repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
chiggs/nvc
test/regress/func3.vhd
5
1866
entity func3 is end entity; architecture test of func3 is type int_array is array (integer range <>) of integer; function copy_and_sum_1(x : int_array) return integer is variable tmp : int_array(1 to x'length); variable sum : integer := 0; begin for i in x'range loop tmp(i) := x(i); end loop; for i in tmp'range loop sum := sum + tmp(i); end loop; return sum; end function; function copy_and_sum_2(x : int_array) return integer is variable tmp : int_array(x'range); variable sum : integer := 0; begin for i in x'range loop tmp(i) := x(i); end loop; for i in tmp'range loop sum := sum + tmp(i); end loop; return sum; end function; function copy_and_add_1(x : int_array; y : integer) return int_array is variable tmp : int_array(1 to 5); begin for i in 1 to 5 loop tmp(i) := x(i) + y; end loop; return tmp; end function; function copy_and_add_2(x : int_array; y : integer) return int_array is variable tmp : int_array(x'range); begin for i in tmp'range loop tmp(i) := x(i) + y; report integer'image(tmp(i)); end loop; return tmp; end function; begin process is variable a : int_array(1 to 5) := (1, 2, 3, 4, 5); variable b : int_array(6 downto 2) := (1, 2, 3, 4, 5); variable c : int_array(1 to 5); begin assert copy_and_sum_1(a) = 15; assert copy_and_sum_2(a) = 15; assert copy_and_sum_2(b) = 15; c := copy_and_add_1(a, 1); assert c = (2, 3, 4, 5, 6); c := copy_and_add_2(a, 5); assert c = (6, 7, 8, 9, 10); wait; end process; end architecture;
gpl-3.0
markusC64/1541ultimate2
fpga/ip/busses/vhdl_source/mem_bus_arbiter_pri_32.vhd
2
1558
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.mem_bus_pkg.all; entity mem_bus_arbiter_pri_32 is generic ( g_registered: boolean := true; g_ports : positive := 3 ); port ( clock : in std_logic; reset : in std_logic; reqs : in t_mem_req_32_array(0 to g_ports-1); resps : out t_mem_resp_32_array(0 to g_ports-1); req : out t_mem_req_32; resp : in t_mem_resp_32 ); end entity; architecture rtl of mem_bus_arbiter_pri_32 is signal req_i : t_mem_req_32; signal req_c : t_mem_req_32; begin -- prioritize the first request found onto output process(reqs) begin req_i <= c_mem_req_32_init; for i in reqs'range loop if reqs(i).request='1' then req_i <= reqs(i); exit; end if; end loop; end process; -- send the reply to everyone (including tag) process(resp) begin for i in resps'range loop resps(i) <= resp; end loop; end process; -- output register (will be eliminated when not used) process(clock) begin if rising_edge(clock) then req_c <= req_i; if resp.rack = '1' and (resp.rack_tag = req_c.tag) then req_c.request <= '0'; end if; end if; end process; req <= req_c when g_registered else req_i; end architecture;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/Lab04/ipcore_dir/VGA_BUFFER_RAM/example_design/VGA_BUFFER_RAM_exdes.vhd
8
5187
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY VGA_BUFFER_RAM_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END VGA_BUFFER_RAM_exdes; ARCHITECTURE xilinx OF VGA_BUFFER_RAM_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT VGA_BUFFER_RAM IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : VGA_BUFFER_RAM PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/Lab04/ipcore_dir/VGA_BUFFER_RAM/example_design/VGA_BUFFER_RAM_exdes.vhd
8
5187
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: VGA_BUFFER_RAM_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY VGA_BUFFER_RAM_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END VGA_BUFFER_RAM_exdes; ARCHITECTURE xilinx OF VGA_BUFFER_RAM_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT VGA_BUFFER_RAM IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : VGA_BUFFER_RAM PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/debounce.vhd
12
2022
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Debouncer -- Project Name: Button Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Debouncer -- Debounce Input Signal -- Input is fed through two flip flops -- If both flip flops(2 cycles) have a high then -- the counter will increment till it goes to -- the necessary wait time. --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_unsigned.all; entity debounce is Generic ( wait_time : INTEGER := 20); -- Wait_time is a fixed time to wait to validate a debounce signal -- Wait time is based on the Nexys 50 MHZ Clock -- XX : (2^xx + 2)/CLK -- 21 : 41.9ms | (2^21 + 2)/50E6 -- 20 : 21.0ms | (2^20 + 2)/50E6 -- 19 : 10.5ms | (2^19 + 2)/50E6 -- 18 : 5.2ms | (2^18 + 2)/50E6 Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; INPUT : in STD_LOGIC; OUTPUT : out STD_LOGIC); end debounce; architecture Logic of debounce is signal D_STATE : STD_LOGIC_VECTOR (1 downto 0); signal D_SET : STD_LOGIC; signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0'); begin D_SET <= D_STATE(0) xor D_STATE(1); --Check what the deboune states are -- *if their is a change in state then D_SET will be set to a high input_monitor: process (EN, CLK) begin if (CLK'event and CLK = '1' and EN = '1') then D_STATE(0) <= INPUT; D_STATE(1) <= D_STATE(0); if(D_SET = '1') then Count <= (others => '0'); elsif(Count(wait_time) = '0') then Count <= Count + 1; else OUTPUT <= D_STATE(1); end if; end if; end process; end Logic;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/debounce.vhd
12
2022
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Debouncer -- Project Name: Button Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Debouncer -- Debounce Input Signal -- Input is fed through two flip flops -- If both flip flops(2 cycles) have a high then -- the counter will increment till it goes to -- the necessary wait time. --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_unsigned.all; entity debounce is Generic ( wait_time : INTEGER := 20); -- Wait_time is a fixed time to wait to validate a debounce signal -- Wait time is based on the Nexys 50 MHZ Clock -- XX : (2^xx + 2)/CLK -- 21 : 41.9ms | (2^21 + 2)/50E6 -- 20 : 21.0ms | (2^20 + 2)/50E6 -- 19 : 10.5ms | (2^19 + 2)/50E6 -- 18 : 5.2ms | (2^18 + 2)/50E6 Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; INPUT : in STD_LOGIC; OUTPUT : out STD_LOGIC); end debounce; architecture Logic of debounce is signal D_STATE : STD_LOGIC_VECTOR (1 downto 0); signal D_SET : STD_LOGIC; signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0'); begin D_SET <= D_STATE(0) xor D_STATE(1); --Check what the deboune states are -- *if their is a change in state then D_SET will be set to a high input_monitor: process (EN, CLK) begin if (CLK'event and CLK = '1' and EN = '1') then D_STATE(0) <= INPUT; D_STATE(1) <= D_STATE(0); if(D_SET = '1') then Count <= (others => '0'); elsif(Count(wait_time) = '0') then Count <= Count + 1; else OUTPUT <= D_STATE(1); end if; end if; end process; end Logic;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/debounce.vhd
12
2022
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Debouncer -- Project Name: Button Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Debouncer -- Debounce Input Signal -- Input is fed through two flip flops -- If both flip flops(2 cycles) have a high then -- the counter will increment till it goes to -- the necessary wait time. --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_unsigned.all; entity debounce is Generic ( wait_time : INTEGER := 20); -- Wait_time is a fixed time to wait to validate a debounce signal -- Wait time is based on the Nexys 50 MHZ Clock -- XX : (2^xx + 2)/CLK -- 21 : 41.9ms | (2^21 + 2)/50E6 -- 20 : 21.0ms | (2^20 + 2)/50E6 -- 19 : 10.5ms | (2^19 + 2)/50E6 -- 18 : 5.2ms | (2^18 + 2)/50E6 Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; INPUT : in STD_LOGIC; OUTPUT : out STD_LOGIC); end debounce; architecture Logic of debounce is signal D_STATE : STD_LOGIC_VECTOR (1 downto 0); signal D_SET : STD_LOGIC; signal Count : STD_LOGIC_VECTOR( wait_time downto 0) := (others => '0'); begin D_SET <= D_STATE(0) xor D_STATE(1); --Check what the deboune states are -- *if their is a change in state then D_SET will be set to a high input_monitor: process (EN, CLK) begin if (CLK'event and CLK = '1' and EN = '1') then D_STATE(0) <= INPUT; D_STATE(1) <= D_STATE(0); if(D_SET = '1') then Count <= (others => '0'); elsif(Count(wait_time) = '0') then Count <= Count + 1; else OUTPUT <= D_STATE(1); end if; end if; end process; end Logic;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/Project1/ALU_tb.vhd
4
3138
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:48:20 04/08/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/ALU_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ALU_Toplevel -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY ALU_tb IS END ALU_tb; ARCHITECTURE behavior OF ALU_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU_Toplevel PORT( RA : IN std_logic_vector(15 downto 0); RB : IN std_logic_vector(15 downto 0); OP : IN std_logic_vector(3 downto 0); CLK : IN std_logic; ALU_OUT : OUT std_logic_vector(15 downto 0); SREG : OUT std_logic_vector(3 downto 0); LDST_DAT : OUT std_logic_vector(15 downto 0); LDST_ADR : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal RA : std_logic_vector(15 downto 0) := (others => '0'); signal RB : std_logic_vector(15 downto 0) := (others => '0'); signal OP : std_logic_vector(3 downto 0) := (others => '0'); signal CLK : std_logic := '0'; --Outputs signal ALU_OUT : std_logic_vector(15 downto 0); signal SREG : std_logic_vector(3 downto 0); signal LDST_DAT : std_logic_vector(15 downto 0); signal LDST_ADR : std_logic_vector(15 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU_Toplevel PORT MAP ( RA => RA, RB => RB, OP => OP, CLK => CLK, ALU_OUT => ALU_OUT, SREG => SREG, LDST_DAT => LDST_DAT, LDST_ADR => LDST_ADR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period*10; OP <= "0000"; RA <= X"0001"; RB <= X"0004"; wait for CLK_period; RB <= X"0001"; wait for CLK_period; RB <= X"0002"; wait for CLK_period; RB <= X"0003"; wait for CLK_period; RB <= X"0004"; -- insert stimulus here wait; end process; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/ALU_tb.vhd
4
3138
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11:48:20 04/08/2016 -- Design Name: -- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/ALU_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: ALU_Toplevel -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY ALU_tb IS END ALU_tb; ARCHITECTURE behavior OF ALU_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU_Toplevel PORT( RA : IN std_logic_vector(15 downto 0); RB : IN std_logic_vector(15 downto 0); OP : IN std_logic_vector(3 downto 0); CLK : IN std_logic; ALU_OUT : OUT std_logic_vector(15 downto 0); SREG : OUT std_logic_vector(3 downto 0); LDST_DAT : OUT std_logic_vector(15 downto 0); LDST_ADR : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal RA : std_logic_vector(15 downto 0) := (others => '0'); signal RB : std_logic_vector(15 downto 0) := (others => '0'); signal OP : std_logic_vector(3 downto 0) := (others => '0'); signal CLK : std_logic := '0'; --Outputs signal ALU_OUT : std_logic_vector(15 downto 0); signal SREG : std_logic_vector(3 downto 0); signal LDST_DAT : std_logic_vector(15 downto 0); signal LDST_ADR : std_logic_vector(15 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU_Toplevel PORT MAP ( RA => RA, RB => RB, OP => OP, CLK => CLK, ALU_OUT => ALU_OUT, SREG => SREG, LDST_DAT => LDST_DAT, LDST_ADR => LDST_ADR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period*10; OP <= "0000"; RA <= X"0001"; RB <= X"0004"; wait for CLK_period; RB <= X"0001"; wait for CLK_period; RB <= X"0002"; wait for CLK_period; RB <= X"0003"; wait for CLK_period; RB <= X"0004"; -- insert stimulus here wait; end process; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/instruction_memory/simulation/bmg_stim_gen.vhd
5
7566
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(4 DOWNTO 0) <= WRITE_ADDR(4 DOWNTO 0); READ_ADDR_INT(4 DOWNTO 0) <= READ_ADDR(4 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 20 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 20 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/Project1/PipelineRegisters.vhd
9
1655
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTERS TO BE USED AS A PIPELINE REGISTER -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PipelineRegisters is generic(dataWidth:integer:=16); Port ( Clk : in STD_LOGIC; -- Clock Ena : in STD_LOGIC; -- Enable Rst : in STD_LOGIC; -- Reset line Din : in STD_LOGIC_VECTOR (dataWidth-1 downto 0); -- Data in Dout : out STD_LOGIC_VECTOR (dataWidth-1 downto 0)); -- Data out end PipelineRegisters; architecture Behavioral of PipelineRegisters is signal DataOutSignal : STD_LOGIC_VECTOR(dataWidth-1 DOWNTO 0) := (others=>'1'); -- Use a signal that always begins at 0 to ensure safe states begin BehavioralProcess: process(Clk, Rst) begin if(rising_edge(Clk) and Ena = '1') then DataOutSignal <= Din; -- Read data in end if; if(falling_edge(Clk) and Ena = '1') then Dout <= DataOutSignal; -- Write data out end if; if(Rst = '1' and Ena = '1') then -- If the reset line has been driven high, reset the data out. DataOutSignal <= (others=>'1'); -- Set data out to all zeroes end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/PipelineRegisters.vhd
9
1655
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTERS TO BE USED AS A PIPELINE REGISTER -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PipelineRegisters is generic(dataWidth:integer:=16); Port ( Clk : in STD_LOGIC; -- Clock Ena : in STD_LOGIC; -- Enable Rst : in STD_LOGIC; -- Reset line Din : in STD_LOGIC_VECTOR (dataWidth-1 downto 0); -- Data in Dout : out STD_LOGIC_VECTOR (dataWidth-1 downto 0)); -- Data out end PipelineRegisters; architecture Behavioral of PipelineRegisters is signal DataOutSignal : STD_LOGIC_VECTOR(dataWidth-1 DOWNTO 0) := (others=>'1'); -- Use a signal that always begins at 0 to ensure safe states begin BehavioralProcess: process(Clk, Rst) begin if(rising_edge(Clk) and Ena = '1') then DataOutSignal <= Din; -- Read data in end if; if(falling_edge(Clk) and Ena = '1') then Dout <= DataOutSignal; -- Write data out end if; if(Rst = '1' and Ena = '1') then -- If the reset line has been driven high, reset the data out. DataOutSignal <= (others=>'1'); -- Set data out to all zeroes end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/PipelineRegisters.vhd
9
1655
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTERS TO BE USED AS A PIPELINE REGISTER -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PipelineRegisters is generic(dataWidth:integer:=16); Port ( Clk : in STD_LOGIC; -- Clock Ena : in STD_LOGIC; -- Enable Rst : in STD_LOGIC; -- Reset line Din : in STD_LOGIC_VECTOR (dataWidth-1 downto 0); -- Data in Dout : out STD_LOGIC_VECTOR (dataWidth-1 downto 0)); -- Data out end PipelineRegisters; architecture Behavioral of PipelineRegisters is signal DataOutSignal : STD_LOGIC_VECTOR(dataWidth-1 DOWNTO 0) := (others=>'1'); -- Use a signal that always begins at 0 to ensure safe states begin BehavioralProcess: process(Clk, Rst) begin if(rising_edge(Clk) and Ena = '1') then DataOutSignal <= Din; -- Read data in end if; if(falling_edge(Clk) and Ena = '1') then Dout <= DataOutSignal; -- Write data out end if; if(Rst = '1' and Ena = '1') then -- If the reset line has been driven high, reset the data out. DataOutSignal <= (others=>'1'); -- Set data out to all zeroes end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab4/VGADebug/VGADebug/clk100MHz.vhd
4
2163
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Pixel CLK -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: 100Mhz Clock -- 50 Mhz to 100 Mhz --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity CLK_100MHZ is port(CLK_IN: in std_logic; CLK_OUT: inout std_logic); end CLK_100MHZ; architecture Behavioral of CLK_100MHZ is component CLKDLL generic (CLKDV_DIVIDE : real := 2.0;--2.0; -- (1.5, 2.0, 2.5, -- 3.0, 4.0, 5.0, 8.0, 16.0) DUTY_CYCLE_CORRECTION : Boolean := TRUE; -- (TRUE, FALSE) STARTUP_WAIT : boolean := FALSE); -- (TRUE, FALSE) port(CLK0 : out STD_ULOGIC; CLK180 : out STD_ULOGIC; CLK270 : out STD_ULOGIC; CLK2X : out STD_ULOGIC; CLK90 : out STD_ULOGIC; CLKDV : out STD_ULOGIC; LOCKED : out STD_ULOGIC; CLKFB : in STD_ULOGIC; CLKIN : in STD_ULOGIC; RST : in STD_ULOGIC); end component; attribute CLKDV_DIVIDE : real; attribute DUTY_CYCLE_CORRECTION : boolean; attribute STARTUP_WAIT : boolean; begin CLKDLL_inst : CLKDLL port map ( CLK0 => open, -- 0 degree DLL CLK ouptput CLK180 => open, -- 180 degree DLL CLK output CLK270 => open, -- 270 degree DLL CLK output CLK2X => CLK_OUT, -- 2X DLL CLK output CLK90 => open, -- 90 degree DLL CLK output CLKDV => open, -- Divided DLL CLK out (CLKDV_DIVIDE) LOCKED => open, -- DLL LOCK status output CLKFB => CLK_OUT, -- DLL clock feedback CLKIN => CLK_IN, -- Clock input (from IBUFG, BUFG or DLL) RST => '0' -- DLL asynchronous reset input ); end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/ipcore_dir/DATAMEM.vhd
12
5734
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file DATAMEM.vhd when simulating -- the core, DATAMEM. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY DATAMEM IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END DATAMEM; ARCHITECTURE DATAMEM_a OF DATAMEM IS -- synthesis translate_off COMPONENT wrapped_DATAMEM PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_DATAMEM USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 8, c_addrb_width => 8, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 256, c_read_depth_b => 256, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 256, c_write_depth_b => 256, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_DATAMEM PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END DATAMEM_a;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/ipcore_dir/DEBUG_RAM/example_design/DEBUG_RAM_prod.vhd
1
10264
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: DEBUG_RAM_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 1 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 0 -- C_INIT_FILE_NAME : no_coe_file_loaded -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 20 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 52 -- C_READ_WIDTH_A : 52 -- C_WRITE_DEPTH_A : 16 -- C_READ_DEPTH_A : 16 -- C_ADDRA_WIDTH : 4 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 52 -- C_READ_WIDTH_B : 52 -- C_WRITE_DEPTH_B : 16 -- C_READ_DEPTH_B : 16 -- C_ADDRB_WIDTH : 4 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY DEBUG_RAM_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(51 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(51 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(51 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(51 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(51 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(51 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END DEBUG_RAM_prod; ARCHITECTURE xilinx OF DEBUG_RAM_prod IS COMPONENT DEBUG_RAM_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(51 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(51 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : DEBUG_RAM_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB ); END xilinx;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/Fetch_CTL.vhd
9
1378
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:46:46 03/25/2016 -- Design Name: -- Module Name: Fetch_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Fetch_CTL is Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; RST : out STD_LOGIC; INC : out STD_LOGIC; PC_EN : out STD_LOGIC; INST_EN : out STD_LOGIC); end Fetch_CTL; architecture Behavioral of Fetch_CTL is begin process(CLK) begin if(rising_edge(CLK)) then RST <= NOT EN; ---- WARNING ! CURRENTLY DISABLING FETCH_CTL WILL SEND ENTIRE DEVICE INTO RESET STATE INC <= EN; PC_EN <= EN; INST_EN <= EN; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/jump_unit_tb.vhd
1
3736
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:10:11 04/22/2016 -- Design Name: -- Module Name: U:/ECE368_Project_Lab1_Team5/jump_unit_tb.vhd -- Project Name: Project1 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: jump_unit -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values USE ieee.numeric_std.ALL; ENTITY jump_unit_tb IS END jump_unit_tb; ARCHITECTURE behavior OF jump_unit_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT jump_unit PORT( CLK : IN std_logic; OP : IN std_logic_vector(3 downto 0); CCR : IN std_logic_vector(3 downto 0); MASK : IN std_logic_vector(3 downto 0); IMMD : IN std_logic_vector(15 downto 0); BRSIG : OUT std_logic ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal OP : std_logic_vector(3 downto 0) := (others => '0'); signal CCR : std_logic_vector(3 downto 0) := (others => '0'); signal MASK : std_logic_vector(3 downto 0) := (others => '0'); signal IMMD : std_logic_vector(15 downto 0) := (others => '0'); --Outputs signal BRSIG : std_logic; -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: jump_unit PORT MAP ( CLK => CLK, OP => OP, CCR => CCR, MASK => MASK, IMMD => IMMD, BRSIG => BRSIG ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin wait for CLK_period*10; -- insert stimulus here OP <= x"0"; MASK <= x"0"; CCR <= x"0"; wait for CLK_period; for i in 0 to 15 loop OP <= std_logic_vector(to_unsigned(i,OP'length)); wait for CLK_period; for j in 0 to 3 loop MASK <= std_logic_vector(to_unsigned(j,MASK'length)); wait for CLK_period; for k in 0 to 3 loop CCR <= std_logic_vector(to_unsigned(k,CCR'length)); wait for CLK_period; if(MASK = CCR AND OP = x"F") then -- BRSIG = 1 assert(BRSIG = '1') report "BRSIG assigned incorrectly, MASK = CCR AND OP = x'F'" severity ERROR; elsif(MASK = CCR AND NOT(OP=x"F")) then assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK = CCR AND OP != x'F'." severity ERROR; elsif ( NOT(MASK = CCR) AND OP=x"F") then assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK != CCR AND OP = x'F'." severity ERROR; else assert(BRSIG = '0') report "BRSIG assigned incorrectly, MASK != CCR AND OP != x'F'." severity ERROR; end if; end loop; end loop; end loop; OP <= x"0"; MASK <= x"0"; CCR <= x"0"; wait; end process; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Lab04/ipcore_dir/DEBUG_RAM/simulation/random.vhd
30
4220
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Random Number Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: random.vhd -- -- Description: -- Random Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY RANDOM IS GENERIC ( WIDTH : INTEGER := 32; SEED : INTEGER :=2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END RANDOM; ARCHITECTURE BEHAVIORAL OF RANDOM IS BEGIN PROCESS(CLK) VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); VARIABLE TEMP : STD_LOGIC := '0'; BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); ELSE IF(EN = '1') THEN TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); RAND_TEMP(0) := TEMP; END IF; END IF; END IF; RANDOM_NUM <= RAND_TEMP; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/random.vhd
30
4220
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Random Number Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: random.vhd -- -- Description: -- Random Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY RANDOM IS GENERIC ( WIDTH : INTEGER := 32; SEED : INTEGER :=2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END RANDOM; ARCHITECTURE BEHAVIORAL OF RANDOM IS BEGIN PROCESS(CLK) VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH); VARIABLE TEMP : STD_LOGIC := '0'; BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH); ELSE IF(EN = '1') THEN TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2); RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0); RAND_TEMP(0) := TEMP; END IF; END IF; END IF; RANDOM_NUM <= RAND_TEMP; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/DATA_CTL.vhd
9
1373
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:29:49 03/30/2016 -- Design Name: -- Module Name: DATA_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DATA_CTL is Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; OP : in STD_LOGIC_VECTOR (3 downto 0); RD_EN : out STD_LOGIC; WR_EN : out STD_LOGIC); end DATA_CTL; architecture Behavioral of DATA_CTL is signal RD, WR : STD_LOGIC := '0'; begin RD_EN <= RD; WR_EN <= WR; process(CLK) begin if(rising_edge(CLK)) then case OP is when "1001" => RD <= '1'; WR <= '0'; when "1010" => RD <= '0'; WR <= '1'; when OTHERS => RD <= '0'; WR <= '0'; end case; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab3/VGADisplay/VGAColor/vga_color.vhd
5
1864
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Keyboard Controller -- Project Name: Keyboard Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Keyboard Controller --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity VGA_COLOR is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; SW : in STD_LOGIC_VECTOR (7 downto 0); HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); end VGA_COLOR; architecture Structural of VGA_COLOR is signal PCLK : STD_LOGIC := '0'; signal hcount : STD_LOGIC_VECTOR (10 downto 0):= (OTHERS => '0'); signal vcount : STD_LOGIC_VECTOR (10 downto 0):= (OTHERS => '0'); signal blank : STD_LOGIC := '0'; begin Pixel_clk: entity work.PIXEL_CLK port map( CLK_IN => CLK, CLK_OUT => PCLK); RGB: entity work.RGB port map( VALUE => SW, BLANK => blank, RED => VGARED, GRN => VGAGRN, BLU => VGABLU); VGA_controller: entity work.vga_controller port map( RST => RST, PIXEL_CLK => PCLK, HS => HSYNC, VS => VSYNC, HCOUNT => hcount, VCOUNT => vcount, BLANK => blank); end Structural;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/Lab04/mux8to1.vhd
12
1166
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Mux 8 to 1 -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Select one bit from a byte --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity MUX8to1 is Port ( SEL : in STD_LOGIC_VECTOR (2 downto 0); DATA : in STD_LOGIC_VECTOR (7 downto 0); OUTPUT : out STD_LOGIC); end MUX8to1; architecture Behavioral of MUX8to1 is signal SEL1 : STD_LOGIC_VECTOR (2 downto 0); begin SEL1<=SEL-2; with SEL1 SELect OUTPUT<= DATA(7) when "000" , DATA(6) when "001" , DATA(5) when "010" , DATA(4) when "011" , DATA(3) when "100" , DATA(2) when "101" , DATA(1) when "110" , DATA(0) when "111" , '0' when others; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/alu_logic_unit.vhd
12
1560
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Logic_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Logic Unit -- Operations - AND, OR, CMP, ANDI --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC_VECTOR (2 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0); RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end Logic_Unit; architecture Combinational of Logic_Unit is signal cmp: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); begin with OP select RESULT <= A and B when "010", -- AND REG A, REG B A or B when "011", -- OR REG A, REG B x"00" when "100", -- CMP REG A, REG B A and B when OTHERS;-- ANDI REG A, IMMED --Compare Operation cmp(3) <= '1' when a<b else '0'; -- N when s<r cmp(2) <= '1' when a=b else '0'; -- Z when s=r -- Choose CCR output with OP select ccr <= cmp when "100", "0000" when OTHERS; end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/Lab04/alu_logic_unit.vhd
12
1560
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Logic_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Logic Unit -- Operations - AND, OR, CMP, ANDI --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Logic_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC_VECTOR (2 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0); RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end Logic_Unit; architecture Combinational of Logic_Unit is signal cmp: STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); begin with OP select RESULT <= A and B when "010", -- AND REG A, REG B A or B when "011", -- OR REG A, REG B x"00" when "100", -- CMP REG A, REG B A and B when OTHERS;-- ANDI REG A, IMMED --Compare Operation cmp(3) <= '1' when a<b else '0'; -- N when s<r cmp(2) <= '1' when a=b else '0'; -- Z when s=r -- Choose CCR output with OP select ccr <= cmp when "100", "0000" when OTHERS; end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/EXTERNAL_MEMORY.vhd
4
5683
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file EXTERNAL_MEMORY.vhd when simulating -- the core, EXTERNAL_MEMORY. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY EXTERNAL_MEMORY IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END EXTERNAL_MEMORY; ARCHITECTURE EXTERNAL_MEMORY_a OF EXTERNAL_MEMORY IS -- synthesis translate_off COMPONENT wrapped_EXTERNAL_MEMORY PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_EXTERNAL_MEMORY USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 14, c_addrb_width => 14, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16384, c_read_depth_b => 16384, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16384, c_write_depth_b => 16384, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_EXTERNAL_MEMORY PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END EXTERNAL_MEMORY_a;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/EXTERNAL_MEMORY/simulation/bmg_stim_gen.vhd
3
7579
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(13 DOWNTO 0) <= WRITE_ADDR(13 DOWNTO 0); READ_ADDR_INT(13 DOWNTO 0) <= READ_ADDR(13 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16384 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16384 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/Project1/ipcore_dir/instruction_memory/simulation/instruction_memory_synth.vhd
5
7943
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: instruction_memory_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY instruction_memory_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE instruction_memory_synth_ARCH OF instruction_memory_synth IS COMPONENT instruction_memory_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: instruction_memory_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/RegisterBank2 (3-25-16)/RegisterBank2/PipelineRegisters.vhd
5
1515
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTERS TO BE USED AS A PIPELINE REGISTER -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PipelineRegisters is Port ( Clk : in STD_LOGIC; -- Clock Ena : in STD_LOGIC; -- Enable Rst : in STD_LOGIC; -- Reset line Din : in STD_LOGIC_VECTOR (15 downto 0); -- Data in Dout : out STD_LOGIC_VECTOR (15 downto 0)); -- Data out end PipelineRegisters; architecture Behavioral of PipelineRegisters is signal DataOutSignal : STD_LOGIC_VECTOR(15 DOWNTO 0) := (others=>'0'); -- Use a signal that always begins at 0 to ensure safe states begin BehavioralProcess: process(Clk, Rst) begin if(rising_edge(Clk) and Ena = '1') then DataOutSignal <= Din; Dout <= DataOutSignal; -- Update data out end if; if(Rst = '1' and Ena = '1') then -- If the reset line has been driven high, reset the data out. Dout <= (others=>'0'); -- Set data out to all zeroes end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/arith_unit.vhd
7
1925
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:20:02 03/28/2016 -- Design Name: -- Module Name: arith_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity arith_unit is Port ( RA : in STD_LOGIC_VECTOR (15 downto 0); RB : in STD_LOGIC_VECTOR (15 downto 0); OP : in STD_LOGIC_VECTOR (2 downto 0); AR_OUT : out STD_LOGIC_VECTOR (15 downto 0); SREG_OUT : out STD_LOGIC_VECTOR (3 downto 0)); end arith_unit; architecture Combinational of arith_unit is signal a,b : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0'); signal RESULT : STD_LOGIC_VECTOR (16 downto 0) := (OTHERS => '0'); signal SREG : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); begin a <= '0' & RA; b <= '0' & RB; with OP select RESULT <= signed(a) + signed(b) when "000" | "101", -- ADD signed(a) - signed(b) when "001", -- SUB --a + b when "101", -- ADDI '0' & X"0000" when OTHERS; SREG(3) <= RESULT(15); -- Negative with signed logic SREG(2) <= '1' when RESULT(15 downto 0) = x"00000000" else '0'; -- Zero SREG(1) <= RESULT(16) xor RESULT(15); -- Overflow with signed logic SREG(0) <= RESULT(16); -- Carry SREG_OUT <= SREG; AR_OUT <= RESULT(15 downto 0); end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab4/VGADebug/VGADebug/clk40MHz.vhd
4
2233
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Pixel CLK -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Pixel Clock -- Output a 40Mhz clock for a vga controller -- 100 Mhz to 40 Mhz --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity CLK_40MHZ is port(CLK_IN: in std_logic; CLK_OUT: inout std_logic); end CLK_40MHZ; architecture Behavioral of CLK_40MHZ is component CLKDLL generic (CLKDV_DIVIDE : real := 2.5;--2.0; -- (1.5, 2.0, 2.5, -- 3.0, 4.0, 5.0, 8.0, 16.0) DUTY_CYCLE_CORRECTION : Boolean := TRUE; -- (TRUE, FALSE) STARTUP_WAIT : boolean := FALSE); -- (TRUE, FALSE) port(CLK0 : out STD_ULOGIC; CLK180 : out STD_ULOGIC; CLK270 : out STD_ULOGIC; CLK2X : out STD_ULOGIC; CLK90 : out STD_ULOGIC; CLKDV : out STD_ULOGIC; LOCKED : out STD_ULOGIC; CLKFB : in STD_ULOGIC; CLKIN : in STD_ULOGIC; RST : in STD_ULOGIC); end component; attribute CLKDV_DIVIDE : real; attribute DUTY_CYCLE_CORRECTION : boolean; attribute STARTUP_WAIT : boolean; signal CLK_D: std_logic; begin CLKDLL_inst : CLKDLL port map ( CLK0 => open, -- 0 degree DLL CLK ouptput CLK180 => open, -- 180 degree DLL CLK output CLK270 => open, -- 270 degree DLL CLK output CLK2X => CLK_D, -- 2X DLL CLK output CLK90 => open, -- 90 degree DLL CLK output CLKDV => CLK_OUT, -- Divided DLL CLK out (CLKDV_DIVIDE) LOCKED => open, -- DLL LOCK status output CLKFB => CLK_D, -- DLL clock feedback CLKIN => CLK_IN, -- Clock input (from IBUFG, BUFG or DLL) RST => '0' -- DLL asynchronous reset input ); end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab3/XTerm/XTerm/pixel_clk.vhd
5
1030
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Pixel CLK -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Pixel Clock -- Output a 40Mhz clock for a vga controller -- 50 Mhz to 40 Mhz --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity PIXEL_CLK is port(CLK_IN: in std_logic; CLK_OUT: inout std_logic); end PIXEL_CLK; architecture Structural of PIXEL_CLK is signal clk100mhz : STD_LOGIC := '0'; begin CLK_100MHZ: entity work.CLK_100MHZ port map( CLK_IN => CLK_IN, CLK_OUT => clk100mhz); CLK_40MHZ: entity work.CLK_40MHZ port map( CLK_IN => clk100mhz, CLK_OUT => CLK_OUT); end Structural;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/ipcore_dir/DEBUG_RAM/simulation/DEBUG_RAM_synth.vhd
1
8891
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: DEBUG_RAM_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY DEBUG_RAM_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE DEBUG_RAM_synth_ARCH OF DEBUG_RAM_synth IS COMPONENT DEBUG_RAM_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(51 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(51 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(51 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(51 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(51 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 52, READ_WIDTH => 52 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: DEBUG_RAM_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Shadow_Register_Bank.vhd
5
4037
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTER BANK TO BE USED IN PIPELINE DEVICE THAT USES GENERAL PURPOSE REGISTERS FOR PIPELINE USE -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity Shadow_Reg is Port ( RAddr : in STD_LOGIC_VECTOR (1 downto 0); -- --RBddr : in STD_LOGIC_VECTOR (1 downto 0); -- --RWddr : in STD_LOGIC_VECTOR (1 downto 0); --DATAIN : in STD_LOGIC_VECTOR (15 downto 0); CLK : in STD_LOGIC; RST : in STD_LOGIC; R : in STD_LOGIC; W : in STD_LOGIC; RAout : out STD_LOGIC_VECTOR (15 downto 0)); -- --RBout : out STD_LOGIC_VECTOR (1 downto 0)); -- end Shadow_Reg; architecture Behavioral of Shadow_Reg is signal R0dat, R1dat, R2dat, R3dat : STD_LOGIC_VECTOR(15 downto 0) := (OTHERS => '0'); begin process(clk,rst) -- Synchronous register bank begin if(rst = '1') then R0dat <= x"1000"; R1dat <= x"1100"; R2dat <= x"1200"; R3dat <= x"1300"; elsif(rising_edge(clk) and R = '1') then -- Synchronous data read when read line enabled on rising edge (before write back) case RAddr is when "00" => RAout <= R0dat; when "01" => RAout <= R1dat; when "10" => RAout <= R2dat; when "11" => RAout <= R3dat; -- when x"4" => RAout <= R4dat; -- when x"5" => RAout <= R5dat; -- when x"6" => RAout <= R6dat; -- when x"7" => RAout <= R7dat; -- when x"8" => RAout <= R8dat; -- when x"9" => RAout <= R9dat; -- when x"A" => RAout <= R10dat; -- when x"B" => RAout <= R11dat; -- when x"C" => RAout <= R12dat; -- when x"D" => RAout <= R13dat; -- when x"E" => RAout <= R14dat; -- when x"F" => RAout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; -- case RBddr is -- when "00" => RBout <= R0dat; -- when "01" => RBout <= R1dat; -- when "10" => RBout <= R2dat; -- when "11" => RBout <= R3dat; -- when x"4" => RBout <= R4dat; -- when x"5" => RBout <= R5dat; -- when x"6" => RBout <= R6dat; -- when x"7" => RBout <= R7dat; -- when x"8" => RBout <= R8dat; -- when x"9" => RBout <= R9dat; -- when x"A" => RBout <= R10dat; -- when x"B" => RBout <= R11dat; -- when x"C" => RBout <= R12dat; -- when x"D" => RBout <= R13dat; -- when x"E" => RBout <= R14dat; -- when x"F" => RBout <= R15dat; -- when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS -- end case; end if; -- if(falling_edge(clk) and W = '1') then -- Synchronous data latching when write line enabled (after data read) -- case RWddr is -- when "00" => R0dat <= DATAIN; -- when "01" => R1dat <= DATAIN; -- when "10" => R2dat <= DATAIN; -- when "11" => R3dat <= DATAIN; -- when x"4" => R4dat <= DATAIN; -- when x"5" => R5dat <= DATAIN; -- when x"6" => R6dat <= DATAIN; -- when x"7" => R7dat <= DATAIN; -- when x"8" => R8dat <= DATAIN; -- when x"9" => R9dat <= DATAIN; -- when x"A" => R10dat <= DATAIN; -- when x"B" => R11dat <= DATAIN; -- when x"C" => R12dat <= DATAIN; -- when x"D" => R13dat <= DATAIN; -- when x"E" => R14dat <= DATAIN; -- when x"F" => R15dat <= DATAIN; -- when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS -- end case; -- end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/word_unit.vhd
2
1893
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 14:45:47 03/31/2016 -- Design Name: -- Module Name: word_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity word_unit is Port ( DATAIN : in STD_LOGIC_VECTOR (15 downto 0); IMMAddr : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; OP : in STD_LOGIC_VECTOR(3 downto 0); -- Pass OP(2) to this (OP=0=Load, OP=1=Write) RESULT : out STD_LOGIC_VECTOR (15 downto 0); DST_ADR : out STD_LOGIC_VECTOR (7 downto 0); STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0)); end word_unit; architecture Combinational of word_unit is signal WREN : STD_LOGIC_VECTOR(0 downto 0) := "0"; begin DST_ADR <= IMMAddr; STORE_DATA <= DATAIN; WREN <= "0" when OP = x"9" else -- x"9" is load word "1" when OP = x"A"; -- x"A" is store word DATAMEMORY : entity work.DATAMEM port map(ADDRA => IMMAddr, DINA => DATAIN, WEA => WREN, -- Write enable CLKA => CLK, DOUTA => RESULT); -- When OP = 1 then WRITE is enabled, IMMAddr gives us the address to write to, DATAIN gives us the data to write. RESULT will soon show data written if untouched -- When OP = 0 then WRITE is disabled, DATAIN is ignored, IMMAddr gives us the address to read from, and RESULT is set to the RESULT. end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/blk_mem_gen_v7_3/example_design/blk_mem_gen_v7_3_prod.vhd
5
10400
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: blk_mem_gen_v7_3_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan3e -- C_XDEVICEFAMILY : spartan3e -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 0 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : blk_mem_gen_v7_3.mif -- C_USE_DEFAULT_DATA : 1 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 16 -- C_READ_WIDTH_A : 16 -- C_WRITE_DEPTH_A : 32 -- C_READ_DEPTH_A : 32 -- C_ADDRA_WIDTH : 5 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 16 -- C_READ_WIDTH_B : 16 -- C_WRITE_DEPTH_B : 32 -- C_READ_DEPTH_B : 32 -- C_ADDRB_WIDTH : 5 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY blk_mem_gen_v7_3_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(4 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END blk_mem_gen_v7_3_prod; ARCHITECTURE xilinx OF blk_mem_gen_v7_3_prod IS COMPONENT blk_mem_gen_v7_3_exdes IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : blk_mem_gen_v7_3_exdes PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/addr_gen.vhd
30
4526
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/addr_gen.vhd
30
4526
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/vga_controller_tb.vhd
7
6285
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: VGA_COLOR_TB -- Project Name: VGA_COLOR -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: VGA_COLOR Test Bench --------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.all; USE ieee.numeric_std.ALL; ENTITY VGA_TOPLEVEL_tb_vhd IS END VGA_TOPLEVEL_tb_vhd; ARCHITECTURE behavior OF VGA_TOPLEVEL_tb_vhd IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT VGA_TOPLEVEL Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --SW : in STD_LOGIC_VECTOR (7 downto 0); PS2_CLK : inout STD_LOGIC; PS2_DATA : inout STD_LOGIC; HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); END COMPONENT; SIGNAL CLK : STD_LOGIC := '0'; SIGNAL RST : STD_LOGIC := '0'; SIGNAL PS2_CLK : STD_LOGIC := '1'; SIGNAL PS2_DATA: STD_LOGIC := '1'; SIGNAL HSYNC : STD_LOGIC := '0'; SIGNAL VSYNC : STD_LOGIC := '0'; SIGNAL VGARED : STD_LOGIC_VECTOR(2 downto 0) := (others=>'0'); SIGNAL VGAGRN : STD_LOGIC_VECTOR(2 downto 0) := (others=>'0'); SIGNAL VGABLU : STD_LOGIC_VECTOR(1 downto 0) := (others=>'0'); --SIGNAL SW : STD_LOGIC_VECTOR(7 downto 0); -- Constants -- constant period : time := 20 ns; -- 25 MHz =(1/20E-9)/2 constant period : time := 10 ns; -- 50 MHz =(1/10E-9)/2 -- constant period : time := 5 ns; -- 100 MHz =(1/10E-9)/2 BEGIN -- Instantiate the Unit Under Test (UUT) uut: VGA_TOPLEVEL PORT MAP( CLK => CLK, RST => RST, --SW => SW, PS2_CLK => PS2_CLK, PS2_DATA=> PS2_DATA, HSYNC => HSYNC, VSYNC => VSYNC, VGARED => VGARED, VGAGRN => VGAGRN, VGABLU => VGABLU); -- Generate clock gen_Clock: process begin CLK <= '0'; wait for period; CLK <= '1'; wait for period; end process gen_Clock; tb : PROCESS BEGIN -- Wait 100 ns for global reset to finish wait for 100 ns; report "Start VGA_Controller Test Bench" severity NOTE; --Simulate Pressing A --Sending the Break Code X"F0" --Start bit '0' PS2_DATA <= '0'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 7 LSB PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 6 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 5 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 4 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 3 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 2 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 1 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 0 MSB PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; -- Odd Parity Bit PS2_DATA <= '1'; PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; -- Stop Bit '1' PS2_DATA <= '1'; PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- END Transmission PS2_CLK <= '1'; wait for 100 us; --Sending the Key Code X"1C" --Start bit '0' PS2_DATA <= '0'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 7 LSB PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 6 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 5 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 4 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- 3 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 2 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 1 PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '0'; -- 0 MSB PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; -- Odd Parity Bit PS2_DATA <= '0'; PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; -- Stop Bit '1' PS2_DATA <= '1'; PS2_CLK <= '1'; wait for 30 us; PS2_CLK <= '0'; wait for 30 us; PS2_DATA <= '1'; -- END Transmission PS2_CLK <= '1'; wait for 100 us; wait; -- will wait forever END PROCESS; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/programCounter.vhd
7
1104
-- Company: Team 5 -- Engineer: -- -- Create Date: 15:15:57 03/11/2016 -- Design Name: -- Module Name: programCounter - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity programCounter is generic(PCWIDTH:integer:=16); Port ( CLK : in STD_LOGIC; EN : in STD_LOGIC; RST : in STD_LOGIC; INSADR : out STD_LOGIC_VECTOR (PCWIDTH-1 downto 0)); end programCounter; architecture Behavioral of programCounter is signal COUNTER : std_logic_vector(PCWIDTH-1 downto 0) := (OTHERS => '0'); begin INSADR <= COUNTER; process(CLK, RST) begin if(RST = '1')then COUNTER <= (OTHERS => '0'); elsif(CLK'event and CLK = '0')then if(EN = '1')then COUNTER <= unsigned(COUNTER) + 1; end if; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab3/KeyboardProject/Keyboard/keycode_to_ascii.vhd
2
7153
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Keycode to Ascii -- Project Name: Keyboard Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Keycode to ascii --------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity KEYCODE_TO_ASCII is port( RST : in STD_LOGIC; CLK : in STD_LOGIC; KEYCODE : in STD_LOGIC_VECTOR(7 downto 0); VALID_SIGNAL : in STD_LOGIC; -- Output COMPLETE: out STD_LOGIC; -- Hit Key sucessfully ASCII : out STD_LOGIC_VECTOR(7 downto 0)--; --KEYBOARD_OUT : out STD_LOGIC_VECTOR(7 downto 0); --WRITE_KEYBOARD: out STD_LOGIC; ); end KEYCODE_TO_ASCII; architecture dataflow of KEYCODE_TO_ASCII is type StateType is (init, idle, READ_BREAKCODE, READ_EXTENDED, READ_KEYCODE,SEND_COMPLETE);--,SEND_CAPS); signal STATE : StateType := init; signal ASCII_LOWER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal ASCII_UPPER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); shared variable Shift_Key : boolean := false; shared variable Caps_Lock : boolean := false; shared variable Extended : boolean := false; begin with KEYCODE select ASCII_LOWER <= -- Alphabet x"61" when x"1C", -- a x"62" when x"32", -- b x"63" when x"21", -- c x"64" when x"23", -- d x"65" when x"24", -- e x"66" when x"2B", -- f -- changed from 6C to 66 x"67" when x"34", -- g x"68" when x"33", -- h x"69" when x"43", -- i x"6A" when x"3B", -- j x"6B" when x"42", -- k x"6C" when x"4B", -- l -- changed from 66 to 6c x"6D" when x"3A", -- m x"6E" when x"31", -- n x"6F" when x"44", -- o x"70" when x"4D", -- p x"71" when x"15", -- q x"72" when x"2D", -- r -- changed from 74 x"73" when x"1B", -- s x"74" when x"2C", -- t -- changed from 72 x"75" when x"3C", -- u -- changed from 79 x"76" when x"2A", -- v x"77" when x"1D", -- w x"78" when x"22", -- x x"79" when x"35", -- y -- changed from 75 x"7A" when x"1A", -- z --Top Row x"60" when x"0E", -- ` x"31" when x"16", -- 1 x"32" when x"1E", -- 2 x"33" when x"26", -- 3 x"34" when x"25", -- 4 x"35" when x"2E", -- 5 x"36" when x"36", -- 6 x"37" when x"3D", -- 7 x"38" when x"3E", -- 8 x"39" when x"46", -- 9 x"30" when x"45", -- 0 x"2D" when x"4E", -- - x"3D" when x"55", -- = --Enter Corner x"5B" when x"54", -- [ x"5D" when x"5B", -- ] x"5C" when x"5D", -- \ x"3B" when x"4C", -- ; x"27" when x"52", -- ' x"2C" when x"41", -- , x"2E" when x"49", -- . x"2F" when x"4A", -- / --Function Keys -- Based on the IBM PC Codes x"1B" when x"76", -- Esc (Escape) x"3B" when x"05", -- F1 x"3C" when x"06", -- F2 x"3D" when x"04", -- F3 x"3E" when x"0C", -- F4 x"3F" when x"03", -- F5 x"40" when x"0B", -- F6 x"41" when x"83", -- F7 x"42" when x"0A", -- F8 x"43" when x"01", -- F9 x"44" when x"09", -- F10 x"85" when x"78", -- F11 x"86" when x"07", -- F12 x"09" when x"0D", -- Tab (Horizontal Tab) x"0D" when x"5A", -- Enter (Carriage Return) --special characters -- taking up unneaded ascii codes for simplicity x"05" when x"58", -- Caps Lock x"06" when x"14", -- Ctrl x"07" when x"11", -- Alt x"08" when x"66", -- Back Space x"20" when x"29", -- Space --Direction Keys -- taking up unneaded ascii codes for simplicity x"01" when x"75", -- Up x"02" when x"72", -- Down x"03" when x"6B", -- Left x"04" when x"74", -- Right --Unknown input x"00" when OTHERS; -- Null with KEYCODE select ASCII_UPPER <= -- Alphabet x"41" when x"1C", -- A x"42" when x"32", -- B x"43" when x"21", -- C x"44" when x"23", -- D x"45" when x"24", -- E -- changed from 48 x"46" when x"2B", -- F x"47" when x"34", -- G x"48" when x"33", -- H -- changed from 45 x"49" when x"43", -- I x"4A" when x"3B", -- J x"4B" when x"42", -- K x"4C" when x"4B", -- L x"4D" when x"3A", -- M x"4E" when x"31", -- N x"4F" when x"44", -- O x"50" when x"4D", -- P x"51" when x"15", -- Q x"52" when x"2D", -- R x"53" when x"1B", -- S -- changed from 54 x"54" when x"2C", -- T -- changed from 55 x"55" when x"3C", -- U x"56" when x"2A", -- V x"57" when x"1D", -- W x"58" when x"22", -- X x"59" when x"35", -- Y x"5A" when x"1A", -- Z -- Special Upper case Characters (top left to bottom right) -- Top Row x"7E" when x"0E", -- ~ x"21" when x"16", -- ! x"40" when x"1E", -- @ x"23" when x"26", -- # x"24" when x"25", -- $ x"25" when x"2E", -- % x"5E" when x"36", -- ^ x"26" when x"3D", -- & x"2A" when x"3E", -- * x"28" when x"46", -- ( x"29" when x"45", -- ) x"5F" when x"4E", -- _ x"2B" when x"55", -- + -- Enter Corner x"7B" when x"54", -- { x"7D" when x"5B", -- } x"7C" when x"5D", -- | x"3A" when x"4C", -- : x"22" when x"52", -- " x"3C" when x"41", -- < x"3E" when x"49", -- > x"3F" when x"4A", -- ? -- Unknown Key x"00" when OTHERS; -- Null PROCESS (KEYCODE,CLK, RST) BEGIN if (RST = '1') then STATE <= init; elsif (CLK'event and CLK= '0' ) then case STATE is when init => ascii <= (OTHERS => '0'); COMPLETE <= '0'; state <= idle; when idle => COMPLETE <= '0'; if VALID_SIGNAL= '1' then Extended := false; if keycode=x"E0" then state <= READ_EXTENDED; -- A Key was pressed elsif keycode=x"F0" then state <= READ_KEYCODE; else -- No break code yet state <= idle; end if; -- Shift Key was press (on) if (keycode=x"12" or keycode=x"54") then Shift_Key := true; end if; end if; when READ_EXTENDED => if VALID_SIGNAL= '1' then Extended := true; if keycode=x"F0" then state <= READ_KEYCODE; else state <= idle; end if; end if; when READ_BREAKCODE => if VALID_SIGNAL= '1' then if keycode=x"F0" then state <= READ_KEYCODE; else state <= idle; end if; end if; when READ_KEYCODE => if VALID_SIGNAL= '1' then -- Shift Key was released (off) if (keycode=x"12" or keycode=x"59") then -- 59 changed from 54 Shift_Key := false; elsif (keycode=x"58") then -- changed from 46 (9) if (Caps_Lock = false) then Caps_Lock := true; else Caps_Lock := false; end if; --state <= SEND_CAPS; else if (Shift_Key = true or Caps_Lock = true) then ascii <= ASCII_UPPER; else ascii <= ASCII_LOWER; end if; end if; state <= SEND_COMPLETE; end if; when SEND_COMPLETE => COMPLETE <= '1'; state <= idle; --when SEND_CAPS => when OTHERS => state <= idle; end case; end if; end process; end architecture dataflow;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/load_store_unit.vhd
11
1366
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Logic_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Load/Store Unit -- Operations - Load/Store to a register --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Load_Store_Unit is Port ( CLK : in STD_LOGIC; A : in STD_LOGIC_VECTOR (7 downto 0); IMMED : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC_VECTOR (3 downto 0); RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end Load_Store_Unit; architecture Behavioral of Load_Store_Unit is signal reg : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal w_en : std_logic := '0';-- '1' = write, '0' = read begin w_en <= '1' when OP="1010" else '0'; process(CLK) begin if (CLK'event and CLK='1') then if (w_en = '1') then reg <= A; end if; end if; end process; RESULT <= reg; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/vga_driver.vhd
10
3053
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2016 -- Module Name: VGA Toplevel -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Toplevel of the VGA Unit --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity VGA_Driver is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --Data INPUT DATA_CLK : in STD_LOGIC; DATA_WE : in STD_LOGIC; DATA_ADR : in STD_LOGIC_VECTOR (11 downto 0); DATA : in STD_LOGIC_VECTOR (7 downto 0); --VGA OUTPUT HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); end VGA_Driver; architecture Structural of VGA_Driver is signal PCLK : STD_LOGIC; signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal blank : STD_LOGIC := '0'; signal MUX8to1_OUT : STD_LOGIC := '0'; signal BUF_ADR : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0'); signal BUF_OUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal FR_ADR : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0'); signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal VGA_ADR : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0'); begin VGA_ADR <= vcount(8 downto 4)*X"50" + hcount(9 downto 3); BUF_ADR <= VGA_ADR(11 downto 0); FR_ADR <= BUF_OUT(6 downto 0) & vcount(3 downto 0); U1: entity work.CLK_25MHZ port map( CLK_IN => CLK, CLK_OUT => PCLK); U2: entity work.vga_controller port map( RST => RST, PIXEL_CLK => PCLK, HS => HSYNC, VS => VSYNC, HCOUNT => hcount, VCOUNT => vcount, BLANK => blank); U3: entity work.RGB port map( VALUE => MUX8to1_OUT, BLANK => blank, RED => VGARED, GRN => VGAGRN, BLU => VGABLU); U4: entity work.MUX8to1 port map( SEL => hcount(2 downto 0), DATA => FR_DATA, OUTPUT => MUX8to1_OUT); U5: entity work.FONT_ROM port map( CLK => CLK, ADDR => FR_ADR, DATA => FR_DATA); U6: entity work.VGA_BUFFER_RAM port map( CLKA => DATA_CLK, WEA(0)=> DATA_WE, ADDRA => DATA_ADR, DINA => DATA, CLKB => CLK, ADDRB => BUF_ADR, DOUTB => BUF_OUT); end Structural;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/vga_driver.vhd
10
3053
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2016 -- Module Name: VGA Toplevel -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Toplevel of the VGA Unit --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity VGA_Driver is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; --Data INPUT DATA_CLK : in STD_LOGIC; DATA_WE : in STD_LOGIC; DATA_ADR : in STD_LOGIC_VECTOR (11 downto 0); DATA : in STD_LOGIC_VECTOR (7 downto 0); --VGA OUTPUT HSYNC : out STD_LOGIC; VSYNC : out STD_LOGIC; VGARED : out STD_LOGIC_VECTOR (2 downto 0); VGAGRN : out STD_LOGIC_VECTOR (2 downto 0); VGABLU : out STD_LOGIC_VECTOR (1 downto 0)); end VGA_Driver; architecture Structural of VGA_Driver is signal PCLK : STD_LOGIC; signal vcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal hcount : STD_LOGIC_VECTOR(9 downto 0):= (OTHERS => '0'); signal blank : STD_LOGIC := '0'; signal MUX8to1_OUT : STD_LOGIC := '0'; signal BUF_ADR : STD_LOGIC_VECTOR(11 downto 0):= (OTHERS => '0'); signal BUF_OUT : STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal FR_ADR : STD_LOGIC_VECTOR(10 downto 0):= (OTHERS => '0'); signal FR_DATA: STD_LOGIC_VECTOR(7 downto 0):= (OTHERS => '0'); signal VGA_ADR : STD_LOGIC_VECTOR(12 downto 0):= (OTHERS => '0'); begin VGA_ADR <= vcount(8 downto 4)*X"50" + hcount(9 downto 3); BUF_ADR <= VGA_ADR(11 downto 0); FR_ADR <= BUF_OUT(6 downto 0) & vcount(3 downto 0); U1: entity work.CLK_25MHZ port map( CLK_IN => CLK, CLK_OUT => PCLK); U2: entity work.vga_controller port map( RST => RST, PIXEL_CLK => PCLK, HS => HSYNC, VS => VSYNC, HCOUNT => hcount, VCOUNT => vcount, BLANK => blank); U3: entity work.RGB port map( VALUE => MUX8to1_OUT, BLANK => blank, RED => VGARED, GRN => VGAGRN, BLU => VGABLU); U4: entity work.MUX8to1 port map( SEL => hcount(2 downto 0), DATA => FR_DATA, OUTPUT => MUX8to1_OUT); U5: entity work.FONT_ROM port map( CLK => CLK, ADDR => FR_ADR, DATA => FR_DATA); U6: entity work.VGA_BUFFER_RAM port map( CLKA => DATA_CLK, WEA(0)=> DATA_WE, ADDRA => DATA_ADR, DINA => DATA, CLKB => CLK, ADDRB => BUF_ADR, DOUTB => BUF_OUT); end Structural;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/alu_shift_unit.vhd
12
1229
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Shift_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Shift Unit -- Operations - Shift Left, Shift Right --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU_Shift_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); COUNT : in STD_LOGIC_VECTOR (2 downto 0); OP : in STD_LOGIC; RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end ALU_Shift_Unit; architecture Combinational of ALU_Shift_Unit is signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0'); begin shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT)); shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT)); RESULT <= shift_left when OP='0' else shift_right; end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Lab04/alu_shift_unit.vhd
12
1229
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Shift_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Shift Unit -- Operations - Shift Left, Shift Right --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ALU_Shift_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); COUNT : in STD_LOGIC_VECTOR (2 downto 0); OP : in STD_LOGIC; RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end ALU_Shift_Unit; architecture Combinational of ALU_Shift_Unit is signal shift_left, shift_right : std_logic_vector (7 downto 0) := (OTHERS => '0'); begin shift_left <= to_stdlogicvector(to_bitvector(A) sll conv_integer(COUNT)); shift_right <= to_stdlogicvector(to_bitvector(A) srl conv_integer(COUNT)); RESULT <= shift_left when OP='0' else shift_right; end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/rgb.vhd
12
1005
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: RGB -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Enable for RGB --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RGB is Port ( VALUE : in STD_LOGIC; BLANK : in std_logic; RED : out STD_LOGIC_VECTOR(2 downto 0); GRN : out STD_LOGIC_VECTOR(2 downto 0); BLU : out STD_LOGIC_VECTOR(1 downto 0)); end RGB; architecture Behavioral of RGB is signal enb : std_logic; begin RED<="000" when BLANK='1' else VALUE & VALUE & VALUE; GRN<="000" when BLANK='1' else VALUE & VALUE & VALUE; BLU<="00" when BLANK='1' else VALUE & VALUE; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab3/XTerm/XTerm/rgb.vhd
12
1005
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: RGB -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Enable for RGB --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RGB is Port ( VALUE : in STD_LOGIC; BLANK : in std_logic; RED : out STD_LOGIC_VECTOR(2 downto 0); GRN : out STD_LOGIC_VECTOR(2 downto 0); BLU : out STD_LOGIC_VECTOR(1 downto 0)); end RGB; architecture Behavioral of RGB is signal enb : std_logic; begin RED<="000" when BLANK='1' else VALUE & VALUE & VALUE; GRN<="000" when BLANK='1' else VALUE & VALUE & VALUE; BLU<="00" when BLANK='1' else VALUE & VALUE; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Lab04/rgb.vhd
12
1005
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: RGB -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Enable for RGB --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RGB is Port ( VALUE : in STD_LOGIC; BLANK : in std_logic; RED : out STD_LOGIC_VECTOR(2 downto 0); GRN : out STD_LOGIC_VECTOR(2 downto 0); BLU : out STD_LOGIC_VECTOR(1 downto 0)); end RGB; architecture Behavioral of RGB is signal enb : std_logic; begin RED<="000" when BLANK='1' else VALUE & VALUE & VALUE; GRN<="000" when BLANK='1' else VALUE & VALUE & VALUE; BLU<="00" when BLANK='1' else VALUE & VALUE; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/rgb.vhd
12
1005
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: RGB -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Enable for RGB --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity RGB is Port ( VALUE : in STD_LOGIC; BLANK : in std_logic; RED : out STD_LOGIC_VECTOR(2 downto 0); GRN : out STD_LOGIC_VECTOR(2 downto 0); BLU : out STD_LOGIC_VECTOR(1 downto 0)); end RGB; architecture Behavioral of RGB is signal enb : std_logic; begin RED<="000" when BLANK='1' else VALUE & VALUE & VALUE; GRN<="000" when BLANK='1' else VALUE & VALUE & VALUE; BLU<="00" when BLANK='1' else VALUE & VALUE; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/ipcore_dir/Instr_Mem/simulation/bmg_tb_pkg.vhd
101
6006
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Testbench Package -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_pkg.vhd -- -- Description: -- BMG Testbench Package files -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE :STRING) RETURN STRING; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE :STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER; ------------------------ FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER; END BMG_TB_PKG; PACKAGE BODY BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER IS VARIABLE DIV : INTEGER; BEGIN DIV := DATA_VALUE/DIVISOR; IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN DIV := DIV+1; END IF; RETURN DIV; END DIVROUNDUP; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE : STD_LOGIC) RETURN STD_LOGIC IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER IS VARIABLE RETVAL : INTEGER := 0; BEGIN IF CONDITION=FALSE THEN RETVAL:=FALSE_CASE; ELSE RETVAL:=TRUE_CASE; END IF; RETURN RETVAL; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE : STRING) RETURN STRING IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; ------------------------------- FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER IS VARIABLE WIDTH : INTEGER := 0; VARIABLE CNT : INTEGER := 1; BEGIN IF (DATA_VALUE <= 1) THEN WIDTH := 1; ELSE WHILE (CNT < DATA_VALUE) LOOP WIDTH := WIDTH + 1; CNT := CNT *2; END LOOP; END IF; RETURN WIDTH; END LOG2ROUNDUP; END BMG_TB_PKG;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/SH_PCREG.vhd
3
2191
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:11:19 04/22/2016 -- Design Name: -- Module Name: SH_PCREG - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SH_PCREG is generic( PCWIDTH:integer:=5; STACKDEPTH:integer:=4); Port (CLK : in STD_LOGIC; RST : in STD_LOGIC; ADRIN : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0); EN : in STD_LOGIC; WR : in STD_LOGIC; -- '1' is Push, '0' is Pop ADROUT : buffer STD_LOGIC_VECTOR(PCWIDTH-1 downto 0); OVFLW : out STD_LOGIC); end SH_PCREG; architecture Behavioral of SH_PCREG is type REG_ARRAY_TYPE is array (0 to STACKDEPTH-2) of STD_LOGIC_VECTOR(PCWIDTH-1 downto 0); signal PC_STACK: REG_ARRAY_TYPE; begin --ADROUT <= PC_STACK(0); process(CLK,RST) begin if RST = '1' then PC_STACK <= (OTHERS => (OTHERS => '0')); ADROUT <= (OTHERS => '0'); elsif (CLK'event and CLK = '1' and EN = '1') then case WR is when '1' => -- case PC_STACK(3) is -- when "00000" => OVFLW <= '0'; -- when OTHERS => OVFLW <= '1'; -- end case; PC_STACK(2) <= PC_STACK(1); PC_STACK(1) <= PC_STACK(0); PC_STACK(0) <= ADROUT; ADROUT <= ADRIN; -- ADROUT <= PC_STACK(0); WHEN '0' => -- ADROUT <= PC_STACK(0); ADROUT <= PC_STACK(0); PC_STACK(0) <= PC_STACK(1); PC_STACK(1) <= PC_STACK(2); PC_STACK(2) <= (OTHERS => '0'); when OTHERS => ADROUT <= ADRIN; -- Sort of a do nothing end case; -- ADROUT <= PC_STACK(0); end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/word_unit.vhd
2
2163
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 14:45:47 03/31/2016 -- Design Name: -- Module Name: word_unit - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity word_unit is Port ( DATAIN : in STD_LOGIC_VECTOR (15 downto 0); IMMAddr : in STD_LOGIC_VECTOR (7 downto 0); CLK : in STD_LOGIC; OP : in STD_LOGIC_VECTOR(3 downto 0); -- Pass OP(2) to this (OP=0=Load, OP=1=Write) RESULT : out STD_LOGIC_VECTOR (15 downto 0); DST_ADR : out STD_LOGIC_VECTOR (7 downto 0); STORE_DATA : out STD_LOGIC_VECTOR (15 downto 0)); end word_unit; architecture Combinational of word_unit is signal WREN : STD_LOGIC_VECTOR(0 downto 0) := "0"; begin DST_ADR <= IMMAddr; STORE_DATA <= DATAIN; WREN <= "1" when OP = x"A" else -- x"9" is load word "0"; -- when OP = x"A"; -- x"A" is store word DATAMEMORY : entity work.DATAMEM port map(ADDRA => IMMAddr, DINA => DATAIN, WEA => WREN, -- Write enable CLKA => not CLK, DOUTA => RESULT); -- When OP = 1 then WRITE is enabled, IMMAddr gives us the address to write to, DATAIN gives us the data to write. RESULT will soon show data written if untouched -- When OP = 0 then WRITE is disabled, DATAIN is ignored, IMMAddr gives us the address to read from, and RESULT is set to the RESULT. end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/IMSEL.vhd
9
1152
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:43:19 03/30/2016 -- Design Name: -- Module Name: IMSEL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IMSEL is Port ( OP : in STD_LOGIC_VECTOR (3 downto 0); SEL_IM : out STD_LOGIC); end IMSEL; architecture Dataflow of IMSEL is signal IM_SELECT : STD_LOGIC := '0'; begin SEL_IM <= IM_SELECT; with OP select IM_SELECT <= '1' when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", '0' when OTHERS; end Dataflow;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/IMSEL.vhd
9
1152
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:43:19 03/30/2016 -- Design Name: -- Module Name: IMSEL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IMSEL is Port ( OP : in STD_LOGIC_VECTOR (3 downto 0); SEL_IM : out STD_LOGIC); end IMSEL; architecture Dataflow of IMSEL is signal IM_SELECT : STD_LOGIC := '0'; begin SEL_IM <= IM_SELECT; with OP select IM_SELECT <= '1' when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", '0' when OTHERS; end Dataflow;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/ipcore_dir/instruction_memory/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab3/XTerm/XTerm/ipcore_dir/VGA_BUFFER_RAM/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/ipcore_dir/Instr_Mem/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/Lab04/font_rom_ascii.vhd
12
53139
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Font Rom ASCII -- Project Name: VGA Toplevel -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Output ASCII value bit by bit -- ROM with Synchonous read -- -- Notes: -- Character ROM STATS: -- 8x16 char font -- 128 Characters -- Size: 512x8 (2^11 x 8) bits -- 16K bits = 1 BRAM --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity FONT_ROM is port( CLK: in std_logic; ADDR: in std_logic_vector(10 downto 0); DATA: out std_logic_vector(7 downto 0) ); end FONT_ROM; architecture arch of FONT_ROM is constant ADDR_WIDTH: integer:=11; constant DATA_WIDTH: integer:=8; signal addr_reg: std_logic_vector(ADDR_WIDTH-1 downto 0); type rom_type is array (0 to 2**ADDR_WIDTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); -- ROM definition: 512x8 constant ROM: rom_type:=( -- code x00 - Blank "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x01 - Smile Face "00000000", -- 0 "00000000", -- 1 "01111110", -- 2 ****** "10000001", -- 3 * * "10100101", -- 4 * * * * "10000001", -- 5 * * "10000001", -- 6 * * "10111101", -- 7 * **** * "10011001", -- 8 * ** * "10000001", -- 9 * * "10000001", -- a * * "01111110", -- b ****** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x02 - Smile Face Invert "00000000", -- 0 "00000000", -- 1 "01111110", -- 2 ****** "11111111", -- 3 ******** "11011011", -- 4 ** ** ** "11111111", -- 5 ******** "11111111", -- 6 ******** "11000011", -- 7 ** ** "11100111", -- 8 *** *** "11111111", -- 9 ******** "11111111", -- a ******** "01111110", -- b ****** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x03 - Heart "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "01101100", -- 4 ** ** "11111110", -- 5 ******* "11111110", -- 6 ******* "11111110", -- 7 ******* "11111110", -- 8 ******* "01111100", -- 9 ***** "00111000", -- a *** "00010000", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x04 - Diamond "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00010000", -- 4 * "00111000", -- 5 *** "01111100", -- 6 ***** "11111110", -- 7 ******* "01111100", -- 8 ***** "00111000", -- 9 *** "00010000", -- a * "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x05 - Cloves "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00011000", -- 3 ** "00111100", -- 4 **** "00111100", -- 5 **** "11100111", -- 6 *** *** "11100111", -- 7 *** *** "11100111", -- 8 *** *** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x06 - Spades "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00011000", -- 3 ** "00111100", -- 4 **** "01111110", -- 5 ****** "11111111", -- 6 ******** "11111111", -- 7 ******** "01111110", -- 8 ****** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x07 - Circle "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00011000", -- 6 ** "00111100", -- 7 **** "00111100", -- 8 **** "00011000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x08 - Circle Invert "11111111", -- 0 ******** "11111111", -- 1 ******** "11111111", -- 2 ******** "11111111", -- 3 ******** "11111111", -- 4 ******** "11111111", -- 5 ******** "11100111", -- 6 *** *** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "11100111", -- 9 *** *** "11111111", -- a ******** "11111111", -- b ******** "11111111", -- c ******** "11111111", -- d ******** "11111111", -- e ******** "11111111", -- f ******** -- code x09 - Ring "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00111100", -- 5 **** "01100110", -- 6 ** ** "01000010", -- 7 * * "01000010", -- 8 * * "01100110", -- 9 ** ** "00111100", -- a **** "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0a - Ring Invert "11111111", -- 0 ******** "11111111", -- 1 ******** "11111111", -- 2 ******** "11111111", -- 3 ******** "11111111", -- 4 ******** "11000011", -- 5 ** ** "10011001", -- 6 * ** * "10111101", -- 7 * **** * "10111101", -- 8 * **** * "10011001", -- 9 * ** * "11000011", -- a ** ** "11111111", -- b ******** "11111111", -- c ******** "11111111", -- d ******** "11111111", -- e ******** "11111111", -- f ******** -- code x0b - Male Symbol "00000000", -- 0 "00000000", -- 1 "00011110", -- 2 **** "00001110", -- 3 *** "00011010", -- 4 ** * "00110010", -- 5 ** * "01111000", -- 6 **** "11001100", -- 7 ** ** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01111000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0c - Female Symbol "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01100110", -- 6 ** ** "00111100", -- 7 **** "00011000", -- 8 ** "01111110", -- 9 ****** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0d - Single Music Note "00000000", -- 0 "00000000", -- 1 "00111111", -- 2 ****** "00110011", -- 3 ** ** "00111111", -- 4 ****** "00110000", -- 5 ** "00110000", -- 6 ** "00110000", -- 7 ** "00110000", -- 8 ** "01110000", -- 9 *** "11110000", -- a **** "11100000", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x0e - Double Music Note "00000000", -- 0 "00000000", -- 1 "01111111", -- 2 ******* "01100011", -- 3 ** ** "01111111", -- 4 ******* "01100011", -- 5 ** ** "01100011", -- 6 ** ** "01100011", -- 7 ** ** "01100011", -- 8 ** ** "01100111", -- 9 ** *** "11100111", -- a *** *** "11100110", -- b *** ** "11000000", -- c ** "00000000", -- d "00000000", -- e "00000000", -- f -- code x0f - Star "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00011000", -- 3 ** "00011000", -- 4 ** "11011011", -- 5 ** ** ** "00111100", -- 6 **** "11100111", -- 7 *** *** "00111100", -- 8 **** "11011011", -- 9 ** ** ** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x10 - Arrow Head Right "00000000", -- 0 "10000000", -- 1 * "11000000", -- 2 ** "11100000", -- 3 *** "11110000", -- 4 **** "11111000", -- 5 ***** "11111110", -- 6 ******* "11111000", -- 7 ***** "11110000", -- 8 **** "11100000", -- 9 *** "11000000", -- a ** "10000000", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x11 - Arrow Head Left "00000000", -- 0 "00000010", -- 1 * "00000110", -- 2 ** "00001110", -- 3 *** "00011110", -- 4 **** "00111110", -- 5 ***** "11111110", -- 6 ******* "00111110", -- 7 ***** "00011110", -- 8 **** "00001110", -- 9 *** "00000110", -- a ** "00000010", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x12 - UP/DOWN Scroll "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "01111110", -- 4 ****** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "01111110", -- 8 ****** "00111100", -- 9 **** "00011000", -- a ** "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x13 - Double Esclamation Mark "00000000", -- 0 "00000000", -- 1 "01100110", -- 2 ** ** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "00000000", -- 9 "01100110", -- a ** ** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x14 - Paragraph Block "00000000", -- 0 "00000000", -- 1 "01111111", -- 2 ******* "11011011", -- 3 ** ** ** "11011011", -- 4 ** ** ** "11011011", -- 5 ** ** ** "01111011", -- 6 **** ** "00011011", -- 7 ** ** "00011011", -- 8 ** ** "00011011", -- 9 ** ** "00011011", -- a ** ** "00011011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x15 - SS Symbol "00000000", -- 0 "01111100", -- 1 ***** "11000110", -- 2 ** ** "01100000", -- 3 ** "00111000", -- 4 *** "01101100", -- 5 ** ** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "01101100", -- 8 ** ** "00111000", -- 9 *** "00001100", -- a ** "11000110", -- b ** ** "01111100", -- c ***** "00000000", -- d "00000000", -- e "00000000", -- f -- code x16 - Block "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "11111110", -- 8 ******* "11111110", -- 9 ******* "11111110", -- a ******* "11111110", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x17 - Scroll up/down bottom "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "01111110", -- 4 ****** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "01111110", -- 8 ****** "00111100", -- 9 **** "00011000", -- a ** "01111110", -- b ****** "00110000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x18 - Scroll up "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "01111110", -- 4 ****** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x19 - Scroll Down "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "01111110", -- 9 ****** "00111100", -- a **** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1a - Scroll Right "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00011000", -- 5 ** "00001100", -- 6 ** "11111110", -- 7 ******* "00001100", -- 8 ** "00011000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1b - Scroll Left "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00110000", -- 5 ** "01100000", -- 6 ** "11111110", -- 7 ******* "01100000", -- 8 ** "00110000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1c - Indent Block "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "11000000", -- 6 ** "11000000", -- 7 ** "11000000", -- 8 ** "11111110", -- 9 ******* "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1d - Scroll Left/Right "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00100100", -- 5 * * "01100110", -- 6 ** ** "11111111", -- 7 ******** "01100110", -- 8 ** ** "00100100", -- 9 * * "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1e - Arrow Head Up "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00010000", -- 4 * "00111000", -- 5 *** "00111000", -- 6 *** "01111100", -- 7 ***** "01111100", -- 8 ***** "11111110", -- 9 ******* "11111110", -- a ******* "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x1f - Arrow Head Down "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "11111110", -- 4 ******* "11111110", -- 5 ******* "01111100", -- 6 ***** "01111100", -- 7 ***** "00111000", -- 8 *** "00111000", -- 9 *** "00010000", -- a * "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x20 - Space "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x21 - Esclimation Mark "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00111100", -- 3 **** "00111100", -- 4 **** "00111100", -- 5 **** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00000000", -- 9 "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x22 - Double Quotations "00000000", -- 0 "01100110", -- 1 ** ** "01100110", -- 2 ** ** "01100110", -- 3 ** ** "00100100", -- 4 * * "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x23 - Pound Sign "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "01101100", -- 3 ** ** "01101100", -- 4 ** ** "11111110", -- 5 ******* "01101100", -- 6 ** ** "01101100", -- 7 ** ** "01101100", -- 8 ** ** "11111110", -- 9 ******* "01101100", -- a ** ** "01101100", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x24 - Dollar Sign "00011000", -- 0 ** "00011000", -- 1 ** "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000010", -- 4 ** * "11000000", -- 5 ** "01111100", -- 6 ***** "00000110", -- 7 ** "00000110", -- 8 ** "10000110", -- 9 * ** "11000110", -- a ** ** "01111100", -- b ***** "00011000", -- c ** "00011000", -- d ** "00000000", -- e "00000000", -- f -- code x25 - Percent Sign "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "11000010", -- 4 ** * "11000110", -- 5 ** ** "00001100", -- 6 ** "00011000", -- 7 ** "00110000", -- 8 ** "01100000", -- 9 ** "11000110", -- a ** ** "10000110", -- b * ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x26 - AND Sign "00000000", -- 0 "00000000", -- 1 "00111000", -- 2 *** "01101100", -- 3 ** ** "01101100", -- 4 ** ** "00111000", -- 5 *** "01110110", -- 6 *** ** "11011100", -- 7 ** *** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01110110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x27 - Single Quotation "00000000", -- 0 "00110000", -- 1 ** "00110000", -- 2 ** "00110000", -- 3 ** "01100000", -- 4 ** "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x28 - Left Parentise "00000000", -- 0 "00000000", -- 1 "00001100", -- 2 ** "00011000", -- 3 ** "00110000", -- 4 ** "00110000", -- 5 ** "00110000", -- 6 ** "00110000", -- 7 ** "00110000", -- 8 ** "00110000", -- 9 ** "00011000", -- a ** "00001100", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x29 - Right Parentise "00000000", -- 0 "00000000", -- 1 "00110000", -- 2 ** "00011000", -- 3 ** "00001100", -- 4 ** "00001100", -- 5 ** "00001100", -- 6 ** "00001100", -- 7 ** "00001100", -- 8 ** "00001100", -- 9 ** "00011000", -- a ** "00110000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2a - Aserisk "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01100110", -- 5 ** ** "00111100", -- 6 **** "11111111", -- 7 ******** "00111100", -- 8 **** "01100110", -- 9 ** ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2b - Plus "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00011000", -- 5 ** "00011000", -- 6 ** "01111110", -- 7 ****** "00011000", -- 8 ** "00011000", -- 9 ** "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2c - Comma "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00011000", -- 9 ** "00011000", -- a ** "00011000", -- b ** "00110000", -- c ** "00000000", -- d "00000000", -- e "00000000", -- f -- code x2d - Minus Sign "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "01111110", -- 7 ****** "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2e - Period "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x2f - Back Slash "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000010", -- 4 * "00000110", -- 5 ** "00001100", -- 6 ** "00011000", -- 7 ** "00110000", -- 8 ** "01100000", -- 9 ** "11000000", -- a ** "10000000", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x30 - Zero "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11001110", -- 5 ** *** "11011110", -- 6 ** **** "11110110", -- 7 **** ** "11100110", -- 8 *** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x31 - One "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 "00111000", -- 3 "01111000", -- 4 ** "00011000", -- 5 *** "00011000", -- 6 **** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "01111110", -- b ** "00000000", -- c ** "00000000", -- d ****** "00000000", -- e "00000000", -- f -- code x32 - Two "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "00000110", -- 4 ** "00001100", -- 5 ** "00011000", -- 6 ** "00110000", -- 7 ** "01100000", -- 8 ** "11000000", -- 9 ** "11000110", -- a ** ** "11111110", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x33 - Three "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "00000110", -- 4 ** "00000110", -- 5 ** "00111100", -- 6 **** "00000110", -- 7 ** "00000110", -- 8 ** "00000110", -- 9 ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x34 - Four "00000000", -- 0 "00000000", -- 1 "00001100", -- 2 ** "00011100", -- 3 *** "00111100", -- 4 **** "01101100", -- 5 ** ** "11001100", -- 6 ** ** "11111110", -- 7 ******* "00001100", -- 8 ** "00001100", -- 9 ** "00001100", -- a ** "00011110", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x35 - Five "00000000", -- 0 "00000000", -- 1 "11111110", -- 2 ******* "11000000", -- 3 ** "11000000", -- 4 ** "11000000", -- 5 ** "11111100", -- 6 ****** "00000110", -- 7 ** "00000110", -- 8 ** "00000110", -- 9 ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x36 - Six "00000000", -- 0 "00000000", -- 1 "00111000", -- 2 *** "01100000", -- 3 ** "11000000", -- 4 ** "11000000", -- 5 ** "11111100", -- 6 ****** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x37 - Seven "00000000", -- 0 "00000000", -- 1 "11111110", -- 2 ******* "11000110", -- 3 ** ** "00000110", -- 4 ** "00000110", -- 5 ** "00001100", -- 6 ** "00011000", -- 7 ** "00110000", -- 8 ** "00110000", -- 9 ** "00110000", -- a ** "00110000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x38 - Eight "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "01111100", -- 6 ***** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x39 - Nine "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "01111110", -- 6 ****** "00000110", -- 7 ** "00000110", -- 8 ** "00000110", -- 9 ** "00001100", -- a ** "01111000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3a - Colin "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00011000", -- 4 ** "00011000", -- 5 ** "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00011000", -- 9 ** "00011000", -- a ** "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3b - Semi-Colin "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00011000", -- 4 ** "00011000", -- 5 ** "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00011000", -- 9 ** "00011000", -- a ** "00110000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3c - Arrow Left "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000110", -- 3 ** "00001100", -- 4 ** "00011000", -- 5 ** "00110000", -- 6 ** "01100000", -- 7 ** "00110000", -- 8 ** "00011000", -- 9 ** "00001100", -- a ** "00000110", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3d - Equal Sign "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111110", -- 5 ****** "00000000", -- 6 "00000000", -- 7 "01111110", -- 8 ****** "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3e - Arrow Right "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "01100000", -- 3 ** "00110000", -- 4 ** "00011000", -- 5 ** "00001100", -- 6 ** "00000110", -- 7 ** "00001100", -- 8 ** "00011000", -- 9 ** "00110000", -- a ** "01100000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x3f - Question Mark "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "00001100", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00000000", -- 9 "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x40 - At Symbol "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "11011110", -- 6 ** **** "11011110", -- 7 ** **** "11011110", -- 8 ** **** "11011100", -- 9 ** *** "11000000", -- a ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x41 - A "00000000", -- 0 "00000000", -- 1 "00010000", -- 2 * "00111000", -- 3 *** "01101100", -- 4 ** ** "11000110", -- 5 ** ** "11000110", -- 6 ** ** "11111110", -- 7 ******* "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "11000110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x42 - B "00000000", -- 0 "00000000", -- 1 "11111100", -- 2 ****** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01111100", -- 6 ***** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "11111100", -- b ****** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x43 - C "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "01100110", -- 3 ** ** "11000010", -- 4 ** * "11000000", -- 5 ** "11000000", -- 6 ** "11000000", -- 7 ** "11000000", -- 8 ** "11000010", -- 9 ** * "01100110", -- a ** ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x44 - D "00000000", -- 0 "00000000", -- 1 "11111000", -- 2 ***** "01101100", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01101100", -- a ** ** "11111000", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x45 - E "00000000", -- 0 "00000000", -- 1 "11111110", -- 2 ******* "01100110", -- 3 ** ** "01100010", -- 4 ** * "01101000", -- 5 ** * "01111000", -- 6 **** "01101000", -- 7 ** * "01100000", -- 8 ** "01100010", -- 9 ** * "01100110", -- a ** ** "11111110", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x46 - F "00000000", -- 0 "00000000", -- 1 "11111110", -- 2 ******* "01100110", -- 3 ** ** "01100010", -- 4 ** * "01101000", -- 5 ** * "01111000", -- 6 **** "01101000", -- 7 ** * "01100000", -- 8 ** "01100000", -- 9 ** "01100000", -- a ** "11110000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x47 - G "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "01100110", -- 3 ** ** "11000010", -- 4 ** * "11000000", -- 5 ** "11000000", -- 6 ** "11011110", -- 7 ** **** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "01100110", -- a ** ** "00111010", -- b *** * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x48 - H "00000000", -- 0 "00000000", -- 1 "11000110", -- 2 ** ** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "11111110", -- 6 ******* "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "11000110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x49 - I "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4a - J "00000000", -- 0 "00000000", -- 1 "00011110", -- 2 **** "00001100", -- 3 ** "00001100", -- 4 ** "00001100", -- 5 ** "00001100", -- 6 ** "00001100", -- 7 ** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01111000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4b - K "00000000", -- 0 "00000000", -- 1 "11100110", -- 2 *** ** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01101100", -- 5 ** ** "01111000", -- 6 **** "01111000", -- 7 **** "01101100", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "11100110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4c - L "00000000", -- 0 "00000000", -- 1 "11110000", -- 2 **** "01100000", -- 3 ** "01100000", -- 4 ** "01100000", -- 5 ** "01100000", -- 6 ** "01100000", -- 7 ** "01100000", -- 8 ** "01100010", -- 9 ** * "01100110", -- a ** ** "11111110", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4d - M "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11100111", -- 3 *** *** "11111111", -- 4 ******** "11111111", -- 5 ******** "11011011", -- 6 ** ** ** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "11000011", -- 9 ** ** "11000011", -- a ** ** "11000011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4e - N "00000000", -- 0 "00000000", -- 1 "11000110", -- 2 ** ** "11100110", -- 3 *** ** "11110110", -- 4 **** ** "11111110", -- 5 ******* "11011110", -- 6 ** **** "11001110", -- 7 ** *** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "11000110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x4f - O "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x50 - P "00000000", -- 0 "00000000", -- 1 "11111100", -- 2 ****** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01111100", -- 6 ***** "01100000", -- 7 ** "01100000", -- 8 ** "01100000", -- 9 ** "01100000", -- a ** "11110000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x51 - Q "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11010110", -- 9 ** * ** "11011110", -- a ** **** "01111100", -- b ***** "00001100", -- c ** "00001110", -- d *** "00000000", -- e "00000000", -- f -- code x52 - R "00000000", -- 0 "00000000", -- 1 "11111100", -- 2 ****** "01100110", -- 3 ** ** "01100110", -- 4 ** ** "01100110", -- 5 ** ** "01111100", -- 6 ***** "01101100", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "11100110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x53 - S "00000000", -- 0 "00000000", -- 1 "01111100", -- 2 ***** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "01100000", -- 5 ** "00111000", -- 6 *** "00001100", -- 7 ** "00000110", -- 8 ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x54 - T "00000000", -- 0 "00000000", -- 1 "11111111", -- 2 ******** "11011011", -- 3 ** ** ** "10011001", -- 4 * ** * "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x55 - U "00000000", -- 0 "00000000", -- 1 "11000110", -- 2 ** ** "11000110", -- 3 ** ** "11000110", -- 4 ** ** "11000110", -- 5 ** ** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x56 - V "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "11000011", -- 4 ** ** "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "01100110", -- 9 ** ** "00111100", -- a **** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x57 - W "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "11000011", -- 4 ** ** "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11011011", -- 7 ** ** ** "11011011", -- 8 ** ** ** "11111111", -- 9 ******** "01100110", -- a ** ** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x58 - X "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "01100110", -- 4 ** ** "00111100", -- 5 **** "00011000", -- 6 ** "00011000", -- 7 ** "00111100", -- 8 **** "01100110", -- 9 ** ** "11000011", -- a ** ** "11000011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x59 - Y "00000000", -- 0 "00000000", -- 1 "11000011", -- 2 ** ** "11000011", -- 3 ** ** "11000011", -- 4 ** ** "01100110", -- 5 ** ** "00111100", -- 6 **** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5a - Z "00000000", -- 0 "00000000", -- 1 "11111111", -- 2 ******** "11000011", -- 3 ** ** "10000110", -- 4 * ** "00001100", -- 5 ** "00011000", -- 6 ** "00110000", -- 7 ** "01100000", -- 8 ** "11000001", -- 9 ** * "11000011", -- a ** ** "11111111", -- b ******** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5b - Left Bracket "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "00110000", -- 3 ** "00110000", -- 4 ** "00110000", -- 5 ** "00110000", -- 6 ** "00110000", -- 7 ** "00110000", -- 8 ** "00110000", -- 9 ** "00110000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5c - Foward Slash "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "10000000", -- 3 * "11000000", -- 4 ** "11100000", -- 5 *** "01110000", -- 6 *** "00111000", -- 7 *** "00011100", -- 8 *** "00001110", -- 9 *** "00000110", -- a ** "00000010", -- b * "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5d - Right Bracket "00000000", -- 0 "00000000", -- 1 "00111100", -- 2 **** "00001100", -- 3 ** "00001100", -- 4 ** "00001100", -- 5 ** "00001100", -- 6 ** "00001100", -- 7 ** "00001100", -- 8 ** "00001100", -- 9 ** "00001100", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5e - Carot Top "00010000", -- 0 * "00111000", -- 1 *** "01101100", -- 2 ** ** "11000110", -- 3 ** ** "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x5f - Under Score "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "11111111", -- d ******** "00000000", -- e "00000000", -- f -- code x60 - Single Quotation "00110000", -- 0 ** "00110000", -- 1 ** "00011000", -- 2 ** "00000000", -- 3 "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x61 - a "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111000", -- 5 **** "00001100", -- 6 ** "01111100", -- 7 ***** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01110110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x62 - b "00000000", -- 0 "00000000", -- 1 "11100000", -- 2 *** "01100000", -- 3 ** "01100000", -- 4 ** "01111000", -- 5 **** "01101100", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x63 - c "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111100", -- 5 ***** "11000110", -- 6 ** ** "11000000", -- 7 ** "11000000", -- 8 ** "11000000", -- 9 ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x64 - d "00000000", -- 0 "00000000", -- 1 "00011100", -- 2 *** "00001100", -- 3 ** "00001100", -- 4 ** "00111100", -- 5 **** "01101100", -- 6 ** ** "11001100", -- 7 ** ** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01110110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x65 - e "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111100", -- 5 ***** "11000110", -- 6 ** ** "11111110", -- 7 ******* "11000000", -- 8 ** "11000000", -- 9 ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x66 - f "00000000", -- 0 "00000000", -- 1 "00111000", -- 2 *** "01101100", -- 3 ** ** "01100100", -- 4 ** * "01100000", -- 5 ** "11110000", -- 6 **** "01100000", -- 7 ** "01100000", -- 8 ** "01100000", -- 9 ** "01100000", -- a ** "11110000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x67 - g "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01110110", -- 5 *** ** "11001100", -- 6 ** ** "11001100", -- 7 ** ** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01111100", -- b ***** "00001100", -- c ** "11001100", -- d ** ** "01111000", -- e **** "00000000", -- f -- code x68 - h "00000000", -- 0 "00000000", -- 1 "11100000", -- 2 *** "01100000", -- 3 ** "01100000", -- 4 ** "01101100", -- 5 ** ** "01110110", -- 6 *** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "11100110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x69 - i "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00011000", -- 3 ** "00000000", -- 4 "00111000", -- 5 *** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6a - j "00000000", -- 0 "00000000", -- 1 "00000110", -- 2 ** "00000110", -- 3 ** "00000000", -- 4 "00001110", -- 5 *** "00000110", -- 6 ** "00000110", -- 7 ** "00000110", -- 8 ** "00000110", -- 9 ** "00000110", -- a ** "00000110", -- b ** "01100110", -- c ** ** "01100110", -- d ** ** "00111100", -- e **** "00000000", -- f -- code x6b - k "00000000", -- 0 "00000000", -- 1 "11100000", -- 2 *** "01100000", -- 3 ** "01100000", -- 4 ** "01100110", -- 5 ** ** "01101100", -- 6 ** ** "01111000", -- 7 **** "01111000", -- 8 **** "01101100", -- 9 ** ** "01100110", -- a ** ** "11100110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6c - l "00000000", -- 0 "00000000", -- 1 "00111000", -- 2 *** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00011000", -- 6 ** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00111100", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6d - m "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11100110", -- 5 *** ** "11111111", -- 6 ******** "11011011", -- 7 ** ** ** "11011011", -- 8 ** ** ** "11011011", -- 9 ** ** ** "11011011", -- a ** ** ** "11011011", -- b ** ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6e - n "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11011100", -- 5 ** *** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x6f - o "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111100", -- 5 ***** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x70 - p "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11011100", -- 5 ** *** "01100110", -- 6 ** ** "01100110", -- 7 ** ** "01100110", -- 8 ** ** "01100110", -- 9 ** ** "01100110", -- a ** ** "01111100", -- b ***** "01100000", -- c ** "01100000", -- d ** "11110000", -- e **** "00000000", -- f -- code x71 - q "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01110110", -- 5 *** ** "11001100", -- 6 ** ** "11001100", -- 7 ** ** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01111100", -- b ***** "00001100", -- c ** "00001100", -- d ** "00011110", -- e **** "00000000", -- f -- code x72 - r "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11011100", -- 5 ** *** "01110110", -- 6 *** ** "01100110", -- 7 ** ** "01100000", -- 8 ** "01100000", -- 9 ** "01100000", -- a ** "11110000", -- b **** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x73 - s "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "01111100", -- 5 ***** "11000110", -- 6 ** ** "01100000", -- 7 ** "00111000", -- 8 *** "00001100", -- 9 ** "11000110", -- a ** ** "01111100", -- b ***** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x74 - t "00000000", -- 0 "00000000", -- 1 "00010000", -- 2 * "00110000", -- 3 ** "00110000", -- 4 ** "11111100", -- 5 ****** "00110000", -- 6 ** "00110000", -- 7 ** "00110000", -- 8 ** "00110000", -- 9 ** "00110110", -- a ** ** "00011100", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x75 - u "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11001100", -- 5 ** ** "11001100", -- 6 ** ** "11001100", -- 7 ** ** "11001100", -- 8 ** ** "11001100", -- 9 ** ** "11001100", -- a ** ** "01110110", -- b *** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x76 - v "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11000011", -- 7 ** ** "11000011", -- 8 ** ** "01100110", -- 9 ** ** "00111100", -- a **** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x77 - w "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000011", -- 5 ** ** "11000011", -- 6 ** ** "11000011", -- 7 ** ** "11011011", -- 8 ** ** ** "11011011", -- 9 ** ** ** "11111111", -- a ******** "01100110", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x78 - x "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000011", -- 5 ** ** "01100110", -- 6 ** ** "00111100", -- 7 **** "00011000", -- 8 ** "00111100", -- 9 **** "01100110", -- a ** ** "11000011", -- b ** ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x79 - y "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11000110", -- 5 ** ** "11000110", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11000110", -- a ** ** "01111110", -- b ****** "00000110", -- c ** "00001100", -- d ** "11111000", -- e ***** "00000000", -- f -- code x7a - z "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00000000", -- 4 "11111110", -- 5 ******* "11001100", -- 6 ** ** "00011000", -- 7 ** "00110000", -- 8 ** "01100000", -- 9 ** "11000110", -- a ** ** "11111110", -- b ******* "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7b - Left Parentise "00000000", -- 0 "00000000", -- 1 "00001110", -- 2 *** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "01110000", -- 6 *** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00001110", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7c - Bracket Bar "00000000", -- 0 "00000000", -- 1 "00011000", -- 2 ** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00000000", -- 6 "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "00011000", -- b ** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7d - Right Parentise "00000000", -- 0 "00000000", -- 1 "01110000", -- 2 *** "00011000", -- 3 ** "00011000", -- 4 ** "00011000", -- 5 ** "00001110", -- 6 *** "00011000", -- 7 ** "00011000", -- 8 ** "00011000", -- 9 ** "00011000", -- a ** "01110000", -- b *** "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7e - Fly Socer "00000000", -- 0 "00000000", -- 1 "01110110", -- 2 *** ** "11011100", -- 3 ** *** "00000000", -- 4 "00000000", -- 5 "00000000", -- 6 "00000000", -- 7 "00000000", -- 8 "00000000", -- 9 "00000000", -- a "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000", -- f -- code x7f - House "00000000", -- 0 "00000000", -- 1 "00000000", -- 2 "00000000", -- 3 "00010000", -- 4 * "00111000", -- 5 *** "01101100", -- 6 ** ** "11000110", -- 7 ** ** "11000110", -- 8 ** ** "11000110", -- 9 ** ** "11111110", -- a ******* "00000000", -- b "00000000", -- c "00000000", -- d "00000000", -- e "00000000" -- f ); begin -- addr register to infer block RAM process (CLK) begin if (CLK'event and CLK = '1') then addr_reg <= ADDR; end if; end process; DATA <= ROM(to_integer(unsigned(addr_reg))); end arch;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/Lab04/ipcore_dir/DEBUG_RAM/simulation/bmg_tb_pkg.vhd
30
6206
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Testbench Package -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_pkg.vhd -- -- Description: -- BMG Testbench Package files -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; PACKAGE BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE :STRING) RETURN STRING; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE :STD_LOGIC) RETURN STD_LOGIC; ------------------------ FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER; ------------------------ FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER; END BMG_TB_PKG; PACKAGE BODY BMG_TB_PKG IS FUNCTION DIVROUNDUP ( DATA_VALUE : INTEGER; DIVISOR : INTEGER) RETURN INTEGER IS VARIABLE DIV : INTEGER; BEGIN DIV := DATA_VALUE/DIVISOR; IF ( (DATA_VALUE MOD DIVISOR) /= 0) THEN DIV := DIV+1; END IF; RETURN DIV; END DIVROUNDUP; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC_VECTOR; FALSE_CASE : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STD_LOGIC; FALSE_CASE : STD_LOGIC) RETURN STD_LOGIC IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : INTEGER; FALSE_CASE : INTEGER) RETURN INTEGER IS VARIABLE RETVAL : INTEGER := 0; BEGIN IF CONDITION=FALSE THEN RETVAL:=FALSE_CASE; ELSE RETVAL:=TRUE_CASE; END IF; RETURN RETVAL; END IF_THEN_ELSE; --------------------------------- FUNCTION IF_THEN_ELSE ( CONDITION : BOOLEAN; TRUE_CASE : STRING; FALSE_CASE : STRING) RETURN STRING IS BEGIN IF NOT CONDITION THEN RETURN FALSE_CASE; ELSE RETURN TRUE_CASE; END IF; END IF_THEN_ELSE; ------------------------------- FUNCTION LOG2ROUNDUP ( DATA_VALUE : INTEGER) RETURN INTEGER IS VARIABLE WIDTH : INTEGER := 0; VARIABLE CNT : INTEGER := 1; BEGIN IF (DATA_VALUE <= 1) THEN WIDTH := 1; ELSE WHILE (CNT < DATA_VALUE) LOOP WIDTH := WIDTH + 1; CNT := CNT *2; END LOOP; END IF; RETURN WIDTH; END LOG2ROUNDUP; END BMG_TB_PKG;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab2/Code/RPNCalc/SevenSeg.vhd
8
4031
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: SevenSeg -- Project Name: SevenSegmentDisplay -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- -- Description: 7-segment display controller -- Will power the 4 7-seg displays on the Nexys 2 --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SSegDriver is port ( CLK : in STD_LOGIC; -- 50 MHz input RST : in STD_LOGIC; EN : in STD_LOGIC; SEG_0 : in STD_LOGIC_VECTOR (3 downto 0); SEG_1 : in STD_LOGIC_VECTOR (3 downto 0); SEG_2 : in STD_LOGIC_VECTOR (3 downto 0); SEG_3 : in STD_LOGIC_VECTOR (3 downto 0); DP_CTRL : in STD_LOGIC_VECTOR (3 downto 0); COL_EN : in STD_LOGIC; SEG_OUT : out STD_LOGIC_VECTOR (6 downto 0); DP_OUT : out STD_LOGIC; AN_OUT : out STD_LOGIC_VECTOR (3 downto 0) ); end SSegDriver; architecture Behavioral of SSegDriver is signal hexnum : STD_LOGIC_VECTOR (3 downto 0); signal segnum : STD_LOGIC_VECTOR (6 downto 0); signal clk240hz : STD_LOGIC :='0'; -- 240Hz clock line ~= 4ms CONSTANT wait240hz : integer := 104166; -- (50E6/240)/2 = 104166.66 signal count240hz : integer range 0 to wait240hz := 0; signal pos : STD_LOGIC_VECTOR (1 downto 0); begin SEG_OUT <= segnum; --convert current hex to the segment display with hexnum select segnum <= "1000000" when "0000", -- 0 "1111001" when "0001", -- 1 "0100100" when "0010", -- 2 "0110000" when "0011", -- 3 "0011001" when "0100", -- 4 "0010010" when "0101", -- 5 "0000010" when "0110", -- 6 "1111000" when "0111", -- 7 "0000000" when "1000", -- 8 "0010000" when "1001", -- 9 "0001000" when "1010", -- A "0000011" when "1011", -- B "1000110" when "1100", -- C "0100001" when "1101", -- D "0000110" when "1110", -- E "0001110" when "1111", -- F "1111111" when others; -- Invalid number clk_div_240hz: process (RST, CLK, EN) begin if (RST = '1') then clk240hz <= '0'; count240hz <= 0; elsif (rising_edge(CLK) and EN = '1') then if (count240hz = wait240hz) then if(clk240hz='0') then clk240hz <= '1'; else clk240hz <= '0'; end if; count240hz <= 0; else count240hz <= count240hz + 1; end if; end if; end process; disp_driver: process (RST, CLK) begin if (RST = '1') then pos <= "00"; hexnum <= (others => '0'); DP_OUT <= '1'; AN_OUT <= (others => '0'); elsif rising_edge(clk240hz) then pos <= pos + 1; if (pos = "11") then pos <= "00"; end if; case (pos) is when "00" => hexnum <= SEG_0; AN_OUT <= "0111"; DP_OUT <= DP_CTRL(0); when "01" => hexnum <= SEG_1; AN_OUT <= "1011"; DP_OUT <= DP_CTRL(1); when "10" => hexnum <= SEG_2; AN_OUT <= "1101"; DP_OUT <= DP_CTRL(2); when "11" => hexnum <= SEG_3; AN_OUT <= "1110"; DP_OUT <= DP_CTRL(3); when others => hexnum <= (others => '0'); AN_OUT <= (others => '0'); end case; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/SevenSeg.vhd
8
4031
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: SevenSeg -- Project Name: SevenSegmentDisplay -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- -- Description: 7-segment display controller -- Will power the 4 7-seg displays on the Nexys 2 --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SSegDriver is port ( CLK : in STD_LOGIC; -- 50 MHz input RST : in STD_LOGIC; EN : in STD_LOGIC; SEG_0 : in STD_LOGIC_VECTOR (3 downto 0); SEG_1 : in STD_LOGIC_VECTOR (3 downto 0); SEG_2 : in STD_LOGIC_VECTOR (3 downto 0); SEG_3 : in STD_LOGIC_VECTOR (3 downto 0); DP_CTRL : in STD_LOGIC_VECTOR (3 downto 0); COL_EN : in STD_LOGIC; SEG_OUT : out STD_LOGIC_VECTOR (6 downto 0); DP_OUT : out STD_LOGIC; AN_OUT : out STD_LOGIC_VECTOR (3 downto 0) ); end SSegDriver; architecture Behavioral of SSegDriver is signal hexnum : STD_LOGIC_VECTOR (3 downto 0); signal segnum : STD_LOGIC_VECTOR (6 downto 0); signal clk240hz : STD_LOGIC :='0'; -- 240Hz clock line ~= 4ms CONSTANT wait240hz : integer := 104166; -- (50E6/240)/2 = 104166.66 signal count240hz : integer range 0 to wait240hz := 0; signal pos : STD_LOGIC_VECTOR (1 downto 0); begin SEG_OUT <= segnum; --convert current hex to the segment display with hexnum select segnum <= "1000000" when "0000", -- 0 "1111001" when "0001", -- 1 "0100100" when "0010", -- 2 "0110000" when "0011", -- 3 "0011001" when "0100", -- 4 "0010010" when "0101", -- 5 "0000010" when "0110", -- 6 "1111000" when "0111", -- 7 "0000000" when "1000", -- 8 "0010000" when "1001", -- 9 "0001000" when "1010", -- A "0000011" when "1011", -- B "1000110" when "1100", -- C "0100001" when "1101", -- D "0000110" when "1110", -- E "0001110" when "1111", -- F "1111111" when others; -- Invalid number clk_div_240hz: process (RST, CLK, EN) begin if (RST = '1') then clk240hz <= '0'; count240hz <= 0; elsif (rising_edge(CLK) and EN = '1') then if (count240hz = wait240hz) then if(clk240hz='0') then clk240hz <= '1'; else clk240hz <= '0'; end if; count240hz <= 0; else count240hz <= count240hz + 1; end if; end if; end process; disp_driver: process (RST, CLK) begin if (RST = '1') then pos <= "00"; hexnum <= (others => '0'); DP_OUT <= '1'; AN_OUT <= (others => '0'); elsif rising_edge(clk240hz) then pos <= pos + 1; if (pos = "11") then pos <= "00"; end if; case (pos) is when "00" => hexnum <= SEG_0; AN_OUT <= "0111"; DP_OUT <= DP_CTRL(0); when "01" => hexnum <= SEG_1; AN_OUT <= "1011"; DP_OUT <= DP_CTRL(1); when "10" => hexnum <= SEG_2; AN_OUT <= "1101"; DP_OUT <= DP_CTRL(2); when "11" => hexnum <= SEG_3; AN_OUT <= "1110"; DP_OUT <= DP_CTRL(3); when others => hexnum <= (others => '0'); AN_OUT <= (others => '0'); end case; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab2/Code/RPNCalc/alu_arithmetic_unit.vhd
11
1650
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Arithmetic_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Artithmetic Unit -- Operations - Add, Sub, Addi --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Arith_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC_VECTOR (2 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0); RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end Arith_Unit; architecture Combinational of Arith_Unit is signal a1, b1 : STD_LOGIC_VECTOR (8 downto 0) := (OTHERS => '0'); signal arith : STD_LOGIC_VECTOR (8 downto 0) := (OTHERS => '0'); begin -- Give extra bit to accound for carry,overflow,negative a1 <= '0' & A; b1 <= '0' & B; with OP select arith <= a1 + b1 when "000", -- ADD a1 - b1 when "001", -- SUB a1 + b1 when "101", -- ADDI a1 + b1 when OTHERS; CCR(3) <= arith(7); -- Negative CCR(2) <= '1' when arith(7 downto 0) = x"0000" else '0'; -- Zero CCR(1) <= arith(8) xor arith(7); -- Overflow CCR(0) <= arith(8); --Carry RESULT <= arith(7 downto 0); end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/alu_arithmetic_unit.vhd
11
1650
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Arithmetic_Unit -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Artithmetic Unit -- Operations - Add, Sub, Addi --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Arith_Unit is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); OP : in STD_LOGIC_VECTOR (2 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0); RESULT : out STD_LOGIC_VECTOR (7 downto 0)); end Arith_Unit; architecture Combinational of Arith_Unit is signal a1, b1 : STD_LOGIC_VECTOR (8 downto 0) := (OTHERS => '0'); signal arith : STD_LOGIC_VECTOR (8 downto 0) := (OTHERS => '0'); begin -- Give extra bit to accound for carry,overflow,negative a1 <= '0' & A; b1 <= '0' & B; with OP select arith <= a1 + b1 when "000", -- ADD a1 - b1 when "001", -- SUB a1 + b1 when "101", -- ADDI a1 + b1 when OTHERS; CCR(3) <= arith(7); -- Negative CCR(2) <= '1' when arith(7 downto 0) = x"0000" else '0'; -- Zero CCR(1) <= arith(8) xor arith(7); -- Overflow CCR(0) <= arith(8); --Carry RESULT <= arith(7 downto 0); end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/PCStack.vhd
2
1160
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:10:53 04/11/2016 -- Design Name: -- Module Name: PCStack - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity PCStack is generic(PCWIDTH:integer:=16); Port ( EN : in STD_LOGIC; OP : in STD_LOGIC; INADR : in STD_LOGIC_VECTOR (PCWIDTH-1 downto 0); OUTADR : in STD_LOGIC_VECTOR (PCWIDTH-1 downto 0)); end PCStack; architecture Behavioral of PCStack is begin process(EN) begin if(EN = '1') then; case OP is when '0' => when '1' => when OTHERS => end case; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/ProgramCounter/ProgramCounter/ipcore_dir/Instr_Mem/simulation/checker.vhd
69
5607
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/ipcore_dir/Instr_Mem/simulation/checker.vhd
69
5607
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/Lab04/ipcore_dir/DEBUG_RAM/simulation/checker.vhd
26
5768
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Lab04/ipcore_dir/DEBUG_RAM/simulation/checker.vhd
26
5768
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Checker -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: checker.vhd -- -- Description: -- Checker -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY CHECKER IS GENERIC ( WRITE_WIDTH : INTEGER :=32; READ_WIDTH : INTEGER :=32 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_IN : IN STD_LOGIC_VECTOR (READ_WIDTH-1 DOWNTO 0); --OUTPUT VECTOR STATUS : OUT STD_LOGIC:= '0' ); END CHECKER; ARCHITECTURE CHECKER_ARCH OF CHECKER IS SIGNAL EXPECTED_DATA : STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL DATA_IN_R: STD_LOGIC_VECTOR(READ_WIDTH-1 DOWNTO 0); SIGNAL EN_R : STD_LOGIC := '0'; SIGNAL EN_2R : STD_LOGIC := '0'; --DATA PART CNT DEFINES THE ASPECT RATIO AND GIVES THE INFO TO THE DATA GENERATOR TO PROVIDE THE DATA EITHER IN PARTS OR COMPLETE DATA IN ONE SHOT --IF READ_WIDTH > WRITE_WIDTH DIVROUNDUP RESULTS IN '1' AND DATA GENERATOR GIVES THE DATAOUT EQUALS TO MAX OF (WRITE_WIDTH, READ_WIDTH) --IF READ_WIDTH < WRITE-WIDTH DIVROUNDUP RESULTS IN > '1' AND DATA GENERATOR GIVES THE DATAOUT IN TERMS OF PARTS(EG 4 PARTS WHEN WRITE_WIDTH 32 AND READ WIDTH 8) CONSTANT DATA_PART_CNT: INTEGER:= DIVROUNDUP(WRITE_WIDTH,READ_WIDTH); CONSTANT MAX_WIDTH: INTEGER:= IF_THEN_ELSE((WRITE_WIDTH>READ_WIDTH),WRITE_WIDTH,READ_WIDTH); SIGNAL ERR_HOLD : STD_LOGIC :='0'; SIGNAL ERR_DET : STD_LOGIC :='0'; BEGIN PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST= '1') THEN EN_R <= '0'; EN_2R <= '0'; DATA_IN_R <= (OTHERS=>'0'); ELSE EN_R <= EN; EN_2R <= EN_R; DATA_IN_R <= DATA_IN; END IF; END IF; END PROCESS; EXPECTED_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>MAX_WIDTH, DOUT_WIDTH => READ_WIDTH, DATA_PART_CNT => DATA_PART_CNT, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => EN_2R, DATA_OUT => EXPECTED_DATA ); PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(EN_2R='1') THEN IF(EXPECTED_DATA = DATA_IN_R) THEN ERR_DET<='0'; ELSE ERR_DET<= '1'; END IF; END IF; END IF; END PROCESS; PROCESS(CLK,RST) BEGIN IF(RST='1') THEN ERR_HOLD <= '0'; ELSIF(RISING_EDGE(CLK)) THEN ERR_HOLD <= ERR_HOLD OR ERR_DET ; END IF; END PROCESS; STATUS <= ERR_HOLD; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/DC_CTL.vhd
6
2306
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); RA0 : in STD_LOGIC_VECTOR (3 downto 0); RA1 : in STD_LOGIC_VECTOR (3 downto 0); RA2 : in STD_LOGIC_VECTOR (3 downto 0); -- RB0 : in STD_LOGIC_VECTOR (3 downto 0); -- RB1 : in STD_LOGIC_VECTOR (3 downto 0); -- RB2 : in STD_LOGIC_VECTOR (3 downto 0); OPC : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0); OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0)); end DC_CTL; architecture Mixed of DC_CTL is signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); begin process(RA, RB, RA0, RA1, RA2) begin -- if (rising_edge(CLK)) then if (RA = RA0) then OP1 <= "01"; -- OP1_SEL <= OP1; elsif (RA = RA1) then OP1 <= "10"; -- OP1_SEL <= OP1; elsif (RA = RA2) then OP1 <= "11"; -- OP1_SEL <= OP1; else OP1 <= "00"; -- OP1_SEL <= OP1; end if; -- OP1_SEL <= OP1; if (RB = RA0) then OP2 <= "01"; elsif (RB = RA1) then OP2 <= "10"; elsif (RB = RA2) then OP2 <= "11"; else OP2 <= "00"; end if; -- end if; end process; OP1_SEL <= OP1; with OPC select OP2_SEL <= OP2 when "0000" | "0001" | "0010" | "0011" | "0100", "00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", "00" when OTHERS; end Mixed;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/DC_CTL.vhd
6
2306
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:52:59 03/25/2016 -- Design Name: -- Module Name: DC_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DC_CTL is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (3 downto 0); RB : in STD_LOGIC_VECTOR (3 downto 0); RA0 : in STD_LOGIC_VECTOR (3 downto 0); RA1 : in STD_LOGIC_VECTOR (3 downto 0); RA2 : in STD_LOGIC_VECTOR (3 downto 0); -- RB0 : in STD_LOGIC_VECTOR (3 downto 0); -- RB1 : in STD_LOGIC_VECTOR (3 downto 0); -- RB2 : in STD_LOGIC_VECTOR (3 downto 0); OPC : in STD_LOGIC_VECTOR (3 downto 0); OP1_SEL : out STD_LOGIC_VECTOR (1 downto 0); OP2_SEL : out STD_LOGIC_VECTOR (1 downto 0)); end DC_CTL; architecture Mixed of DC_CTL is signal OP1, OP2 : STD_LOGIC_VECTOR (1 downto 0) := (OTHERS => '0'); begin process(RA, RB, RA0, RA1, RA2) begin -- if (rising_edge(CLK)) then if (RA = RA0) then OP1 <= "01"; -- OP1_SEL <= OP1; elsif (RA = RA1) then OP1 <= "10"; -- OP1_SEL <= OP1; elsif (RA = RA2) then OP1 <= "11"; -- OP1_SEL <= OP1; else OP1 <= "00"; -- OP1_SEL <= OP1; end if; -- OP1_SEL <= OP1; if (RB = RA0) then OP2 <= "01"; elsif (RB = RA1) then OP2 <= "10"; elsif (RB = RA2) then OP2 <= "11"; else OP2 <= "00"; end if; -- end if; end process; OP1_SEL <= OP1; with OPC select OP2_SEL <= OP2 when "0000" | "0001" | "0010" | "0011" | "0100", "00" when "0101" | "0110" | "0111" | "1000" | "1001" | "1010", "00" when OTHERS; end Mixed;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined[old]/ipcore_dir/EX_MEM/simulation/data_gen.vhd
69
5024
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/VGA_BUFFER_RAM_tb.vhd
8
4695
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: VGA_BUFFER_RAM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY VGA_BUFFER_RAM_tb IS END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_tb_ARCH OF VGA_BUFFER_RAM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; VGA_BUFFER_RAM_synth_inst:ENTITY work.VGA_BUFFER_RAM_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/VGA_BUFFER_RAM_tb.vhd
8
4695
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: VGA_BUFFER_RAM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY VGA_BUFFER_RAM_tb IS END ENTITY; ARCHITECTURE VGA_BUFFER_RAM_tb_ARCH OF VGA_BUFFER_RAM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; VGA_BUFFER_RAM_synth_inst:ENTITY work.VGA_BUFFER_RAM_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/Instruction_Memory.vhd
12
5844
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instruction_Memory.vhd when simulating -- the core, Instruction_Memory. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instruction_Memory IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instruction_Memory; ARCHITECTURE Instruction_Memory_a OF Instruction_Memory IS -- synthesis translate_off COMPONENT wrapped_Instruction_Memory PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instruction_Memory USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instruction_Memory.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 20, c_read_depth_b => 20, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 20, c_write_depth_b => 20, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instruction_Memory PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END Instruction_Memory_a;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/data_gen.vhd
26
5164
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Intruction_Memory/Instruction_Memory/simulation/data_gen.vhd
26
5164
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/Lab04/ipcore_dir/DEBUG_RAM/simulation/data_gen.vhd
26
5164
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/ipcore_dir/VGA_BUFFER_RAM/simulation/bmg_stim_gen.vhd
8
12716
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SDP Configuration -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLKA : IN STD_LOGIC; CLKB : IN STD_LOGIC; TB_RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); ADDRB: OUT STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL DO_READ_R : STD_LOGIC := '0'; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0'); SIGNAL PORTA_WR : STD_LOGIC:='0'; SIGNAL COUNT : INTEGER :=0; SIGNAL INCR_WR_CNT : STD_LOGIC:='0'; SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_RD : STD_LOGIC:='0'; SIGNAL COUNT_RD : INTEGER :=0; SIGNAL INCR_RD_CNT : STD_LOGIC:='0'; SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0'; SIGNAL PORTA_WR_L1 :STD_LOGIC := '0'; SIGNAL PORTA_WR_L2 :STD_LOGIC := '0'; SIGNAL PORTB_RD_R2 :STD_LOGIC := '0'; SIGNAL PORTB_RD_R1 :STD_LOGIC := '0'; SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0'; SIGNAL PORTB_RD_L1 : STD_LOGIC := '0'; SIGNAL PORTB_RD_L2 : STD_LOGIC := '0'; SIGNAL PORTA_WR_R2 : STD_LOGIC := '0'; SIGNAL PORTA_WR_R1 : STD_LOGIC := '0'; CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8; CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((12 <= 12),WR_RD_DEEP_COUNT, ((8/8)*WR_RD_DEEP_COUNT)); CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((12 <= 12),WR_RD_DEEP_COUNT, ((8/8)*WR_RD_DEEP_COUNT)); BEGIN ADDRA <= WRITE_ADDR(11 DOWNTO 0) ; DINA <= DINA_INT ; ADDRB <= READ_ADDR(11 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0'); CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 4096 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 4096, RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 8, DOUT_WIDTH => 8 , DATA_PART_CNT => 1, SEED => 2) PORT MAP ( CLK => CLKA, RST => TB_RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); PORTA_WR_PROCESS: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTA_WR<='1'; ELSE PORTA_WR<=PORTB_RD_COMPLETE; END IF; END IF; END PROCESS; PORTB_RD_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTB_RD<='0'; ELSE PORTB_RD<=PORTA_WR_L2; END IF; END IF; END PROCESS; PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN LATCH_PORTB_RD_COMPLETE<='0'; ELSIF(PORTB_RD_COMPLETE='1') THEN LATCH_PORTB_RD_COMPLETE <='1'; ELSIF(PORTA_WR_HAPPENED='1') THEN LATCH_PORTB_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_RD_L1 <='0'; PORTB_RD_L2 <='0'; ELSE PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE; PORTB_RD_L2 <= PORTB_RD_L1; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_R1 <='0'; PORTA_WR_R2 <='0'; ELSE PORTA_WR_R1 <= PORTA_WR; PORTA_WR_R2 <= PORTA_WR_R1; END IF; END IF; END PROCESS; PORTA_WR_HAPPENED <= PORTA_WR_R2; PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN LATCH_PORTA_WR_COMPLETE<='0'; ELSIF(PORTA_WR_COMPLETE='1') THEN LATCH_PORTA_WR_COMPLETE <='1'; --ELSIF(PORTB_RD_HAPPENED='1') THEN ELSE LATCH_PORTA_WR_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_L1 <='0'; PORTA_WR_L2 <='0'; ELSE PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE; PORTA_WR_L2 <= PORTA_WR_L1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_RD_R1 <='0'; PORTB_RD_R2 <='0'; ELSE PORTB_RD_R1 <= PORTB_RD; PORTB_RD_R2 <= PORTB_RD_R1; END IF; END IF; END PROCESS; PORTB_RD_HAPPENED <= PORTB_RD_R2; PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0'; start_rd_counter: process(clkb) begin if(rising_edge(clkb)) then if(tb_rst='1') then incr_rd_cnt <= '0'; elsif(portb_rd ='1') then incr_rd_cnt <='1'; elsif(portb_rd_complete='1') then incr_rd_cnt <='0'; end if; end if; end process; RD_COUNTER: process(clkb) begin if(rising_edge(clkb)) then if(tb_rst='1') then count_rd <= 0; elsif(incr_rd_cnt='1') then count_rd<=count_rd+1; end if; --if(count_rd=(wr_rd_deep_count)) then if(count_rd=(RD_DEEP_COUNT)) then count_rd<=0; end if; end if; end process; DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0'; PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0'; start_counter: process(clka) begin if(rising_edge(clka)) then if(tb_rst='1') then incr_wr_cnt <= '0'; elsif(porta_wr ='1') then incr_wr_cnt <='1'; elsif(porta_wr_complete='1') then incr_wr_cnt <='0'; end if; end if; end process; COUNTER: process(clka) begin if(rising_edge(clka)) then if(tb_rst='1') then count <= 0; elsif(incr_wr_cnt='1') then count<=count+1; end if; if(count=(WR_DEEP_COUNT)) then count<=0; end if; end if; end process; DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0'; BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC PORT MAP( Q => DO_READ_REG(0), CLK => CLKB, RST => TB_RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC PORT MAP( Q => DO_READ_REG(I), CLK =>CLKB, RST =>TB_RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; REGCE_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN DO_READ_R <= '0'; ELSE DO_READ_R <= DO_READ; END IF; END IF; END PROCESS; WEA(0) <= DO_WRITE ; END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/Debug_tb.vhd
4
2871
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:51:58 03/09/2016 -- Design Name: -- Module Name: Z:/ECE 368/Lab04/Debug_tb.vhd -- Project Name: Lab04 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: VGA_Debug -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY Debug_tb IS END Debug_tb; ARCHITECTURE behavior OF Debug_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT VGA_Debug PORT( CLK : IN std_logic; BTN : IN std_logic_vector(3 downto 0); SW : IN std_logic_vector(7 downto 0); HSYNC : OUT std_logic; VSYNC : OUT std_logic; VGARED : OUT std_logic_vector(2 downto 0); VGAGRN : OUT std_logic_vector(2 downto 0); VGABLU : OUT std_logic_vector(1 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal BTN : std_logic_vector(3 downto 0) := (others => '0'); signal SW : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal HSYNC : std_logic; signal VSYNC : std_logic; signal VGARED : std_logic_vector(2 downto 0); signal VGAGRN : std_logic_vector(2 downto 0); signal VGABLU : std_logic_vector(1 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: VGA_Debug PORT MAP ( CLK => CLK, BTN => BTN, SW => SW, HSYNC => HSYNC, VSYNC => VSYNC, VGARED => VGARED, VGAGRN => VGAGRN, VGABLU => VGABLU ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period*10; -- insert stimulus here wait; end process; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/NewCombined/PC_OFFSET.vhd
3
1207
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:04:12 04/22/2016 -- Design Name: -- Module Name: PC_OFFSET - Combinational -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity PC_OFFSET is generic(PCWIDTH:integer:=16); Port (CUR_ADR : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0); OFFSET : in STD_LOGIC_VECTOR(PCWIDTH-1 downto 0); NEW_ADR : out STD_LOGIC_VECTOR(PCWIDTH-1 downto 0)); end PC_OFFSET; architecture Combinational of PC_OFFSET is begin NEW_ADR <= CUR_ADR + OFFSET; end Combinational;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/RegisterBank2 (3-25-16)/RegisterBank2/RegisterBank.vhd
3
3889
---------------------------------------------------------------------------------- -- Company: UNIVERSITY OF MASSACHUSETTS - DARTMOUTH -- Engineer: CHRISTOPHER PARKS ([email protected]) -- -- Create Date: 15:33:22 03/11/2016 -- Module Name: PipelineRegisters - Behavioral -- Target Devices: SPARTAN XC3S500E -- Description: REGISTER BANK TO BE USED IN PIPELINE DEVICE THAT USES GENERAL PURPOSE REGISTERS FOR PIPELINE USE -- -- Dependencies: IEEE.STD_LOGIC_1164 -- -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- use work.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; entity RegisterBank is Port ( RAddr : in STD_LOGIC_VECTOR (3 downto 0); -- RBddr : in STD_LOGIC_VECTOR (3 downto 0); -- RWddr : in STD_LOGIC_VECTOR (3 downto 0); DATAIN : in STD_LOGIC_VECTOR (15 downto 0); clk : in STD_LOGIC; R : in STD_LOGIC; W : in STD_LOGIC; RAout : out STD_LOGIC_VECTOR (15 downto 0); -- RBout : out STD_LOGIC_VECTOR (15 downto 0)); -- end RegisterBank; architecture Behavioral of RegisterBank is signal R0dat, R1dat, R2dat, R3dat, R4dat, R5dat, R6dat, R7dat, R8dat, R9dat, R10dat, R11dat, R12dat, R13dat, R14dat, R15dat : STD_LOGIC_VECTOR(15 downto 0) := x"0000"; begin process(clk) -- Synchronous register bank begin if(rising_edge(clk) and R = '1') then -- Synchronous data read when read line enabled on rising edge (before write back) case RAddr is when x"0" => RAout <= R0dat; when x"1" => RAout <= R1dat; when x"2" => RAout <= R2dat; when x"3" => RAout <= R3dat; when x"4" => RAout <= R4dat; when x"5" => RAout <= R5dat; when x"6" => RAout <= R6dat; when x"7" => RAout <= R7dat; when x"8" => RAout <= R8dat; when x"9" => RAout <= R9dat; when x"A" => RAout <= R10dat; when x"B" => RAout <= R11dat; when x"C" => RAout <= R12dat; when x"D" => RAout <= R13dat; when x"E" => RAout <= R14dat; when x"F" => RAout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; case RBddr is when x"0" => RBout <= R0dat; when x"1" => RBout <= R1dat; when x"2" => RBout <= R2dat; when x"3" => RBout <= R3dat; when x"4" => RBout <= R4dat; when x"5" => RBout <= R5dat; when x"6" => RBout <= R6dat; when x"7" => RBout <= R7dat; when x"8" => RBout <= R8dat; when x"9" => RBout <= R9dat; when x"A" => RBout <= R10dat; when x"B" => RBout <= R11dat; when x"C" => RBout <= R12dat; when x"D" => RBout <= R13dat; when x"E" => RBout <= R14dat; when x"F" => RBout <= R15dat; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; if(falling_edge(clk) and W = '1') then -- Synchronous data latching when write line enabled (after data read) case RWddr is when x"0" => R0dat <= DATAIN; when x"1" => R1dat <= DATAIN; when x"2" => R2dat <= DATAIN; when x"3" => R3dat <= DATAIN; when x"4" => R4dat <= DATAIN; when x"5" => R5dat <= DATAIN; when x"6" => R6dat <= DATAIN; when x"7" => R7dat <= DATAIN; when x"8" => R8dat <= DATAIN; when x"9" => R9dat <= DATAIN; when x"A" => R10dat <= DATAIN; when x"B" => R11dat <= DATAIN; when x"C" => R12dat <= DATAIN; when x"D" => R13dat <= DATAIN; when x"E" => R14dat <= DATAIN; when x"F" => R15dat <= DATAIN; when others => -- BY DEFAULT DO NOTHING FOR FAULTY ADDRESS end case; end if; end process; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/ProgramCounter_tb.vhd
3
4605
-------------------------------------------------------------------------------- -- Company: UMASS DARTMOUTH -- Engineer: Christopher Parks -- -- Create Date: 16:47:50 04/11/2016 -- Module Name: ProgramCounter_tb.vhd -- Project Name: ProgramCounter -- Description: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY ProgramCounter_tb IS END ProgramCounter_tb; ARCHITECTURE behavior OF ProgramCounter_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ProgramCounter PORT( CLK : IN std_logic; EN : IN std_logic; OPMODE : IN std_logic_vector(1 downto 0); OFFSET : IN std_logic_vector(11 downto 0); INSADR : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal EN : std_logic := '0'; signal OPMODE : std_logic_vector(1 downto 0) := (others => '0'); signal OFFSET : std_logic_vector(11 downto 0) := (others => '0'); --Outputs signal INSADR : std_logic_vector(15 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ProgramCounter PORT MAP ( CLK => CLK, EN => EN, OPMODE => OPMODE, OFFSET => OFFSET, INSADR => INSADR ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin EN <= '0'; wait for CLK_period; EN <= '1'; OPMODE <= "00"; OFFSET <= x"000"; wait for CLK_period; -- Device enabled, in reset mode assert(INSADR = x"0000") report "Reset failure." severity ERROR; OPMODE <= "01"; for i in 1 to 15 loop wait for CLK_period; -- Device enabled, in normal operation mode (PC+1) assert(INSADR = std_logic_vector(to_unsigned(i, INSADR'length))) report "PC+1 failure." severity ERROR; end loop; -- Now: INSADR = 15 OFFSET <= x"00F"; -- Jump PC to 1E OPMODE <= "10"; -- Set mode PC+OFFSET, hold for 1 CLK period wait for CLK_period; -- Device enabled, in offset (jump) mode (PC+OFFSET) INSADR = 1E assert(INSADR = x"001E") report "PC+OFFSET failure." severity ERROR; OFFSET <= x"000"; OPMODE <= "11"; -- Jump PC back to F. wait for CLK_period; -- Device enabled, in return mode (RTL) INSADR = F+1 (10) assert(INSADR = x"0010") report "RTL failure." severity ERROR; OPMODE <= "00"; wait for CLK_period; -- Device enabled, in reset mode (RST) INSADR = 0 assert (INSADR = x"0000") report "2nd reset failure." severity ERROR; OPMODE <= "01"; -- Return to normal operation mode (PC+1) for the rest of simulation wait for CLK_period*15; -- Wait until PC counts back to F assert(INSADR = x"000F") report "PC+1 failure." severity ERROR; OFFSET <= x"002"; OPMODE <= "10"; -- Begin JMP mode (PC+OFFSET) wait for CLK_period; -- INSADR = 11 assert(INSADR = x"0011") report "PC+OFFSET failure." severity ERROR; wait for CLK_period; -- INSADR = 13 assert(INSADR = x"0013") report "PC+OFFSET failure." severity ERROR; wait for CLK_period; -- INSADR = 15 assert(INSADR = x"0015") report "PC+OFFSET failure." severity ERROR; wait for CLK_period; -- INSADR = 17 assert(INSADR = x"0017") report "PC+OFFSET failure." severity ERROR; -- Max jump depth. OPMODE <= "11"; -- Begin RETURN mode (RTL) wait for CLK_period; assert(INSADR = x"0016") report "RTL failure." severity ERROR; wait for CLK_period; assert(INSADR = x"0014") report "RTL failure." severity ERROR; wait for CLK_period; assert(INSADR = x"0012") report "RTL failure." severity ERROR; wait for CLK_period; assert(INSADR = x"0010") report "RTL failure." severity ERROR; OPMODE <= "01"; -- Back to NORMAL mode (PC+1) -- insert stimulus here wait; end process; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/Lab04/ipcore_dir/DEBUG_RAM/simulation/DEBUG_RAM_tb.vhd
5
4665
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: DEBUG_RAM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY DEBUG_RAM_tb IS END ENTITY; ARCHITECTURE DEBUG_RAM_tb_ARCH OF DEBUG_RAM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; DEBUG_RAM_synth_inst:ENTITY work.DEBUG_RAM_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/simulation/DEBUG_RAM_tb.vhd
3
4523
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: DEBUG_RAM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY DEBUG_RAM_tb IS END ENTITY; ARCHITECTURE DEBUG_RAM_tb_ARCH OF DEBUG_RAM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; DEBUG_RAM_synth_inst:ENTITY work.DEBUG_RAM_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/Lab04/data_decoder.vhd
8
1384
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2016 -- Module Name: VGA Data Decoder -- Project Name: VGA Data Decoder -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Convert a 4 bit number to a ascii value --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Data_Decode is Port ( HEXNUM : in STD_LOGIC_VECTOR (3 downto 0); ASCIINUM : out STD_LOGIC_VECTOR (7 downto 0)); end Data_Decode; architecture Structural of Data_Decode is begin --convert current hex to the ascii equivalent with hexnum select asciinum <= x"30" when x"0", -- 0 x"31" when x"1", -- 1 x"32" when x"2", -- 2 x"33" when x"3", -- 3 x"34" when x"4", -- 4 x"35" when x"5", -- 5 x"36" when x"6", -- 6 x"37" when x"7", -- 7 x"38" when x"8", -- 8 x"39" when x"9", -- 9 x"41" when x"A", -- A x"42" when x"B", -- B x"43" when x"C", -- C x"44" when x"D", -- D x"45" when x"E", -- E x"46" when x"F", -- F X"00" when others; -- invalid end Structural;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Register/Lab04/ipcore_dir/DEBUG_RAM/example_design/DEBUG_RAM_exdes.vhd
2
4976
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: DEBUG_RAM_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY DEBUG_RAM_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CLKB : IN STD_LOGIC ); END DEBUG_RAM_exdes; ARCHITECTURE xilinx OF DEBUG_RAM_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT DEBUG_RAM IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(63 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : DEBUG_RAM PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab3/XTerm/XTerm/keycode_to_ascii.vhd
2
7145
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Keycode to Ascii -- Project Name: Keyboard Controller -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Keycode to ascii --------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity KEYCODE_TO_ASCII is port( RST : in STD_LOGIC; CLK : in STD_LOGIC; KEYCODE : in STD_LOGIC_VECTOR(7 downto 0); VALID_SIGNAL : in STD_LOGIC; -- Output COMPLETE: out STD_LOGIC; -- Hit Key sucessfully ASCII : out STD_LOGIC_VECTOR(7 downto 0)--; --KEYBOARD_OUT : out STD_LOGIC_VECTOR(7 downto 0); --WRITE_KEYBOARD: out STD_LOGIC; ); end KEYCODE_TO_ASCII; architecture dataflow of KEYCODE_TO_ASCII is type StateType is (init, idle, READ_BREAKCODE, READ_EXTENDED, READ_KEYCODE,SEND_COMPLETE);--,SEND_CAPS); signal STATE : StateType := init; signal ASCII_LOWER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal ASCII_UPPER : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); shared variable Shift_Key : boolean := false; shared variable Caps_Lock : boolean := false; shared variable Extended : boolean := false; begin with KEYCODE select ASCII_LOWER <= -- Alphabet x"61" when x"1C", -- a x"62" when x"32", -- b x"63" when x"21", -- c x"64" when x"23", -- d x"65" when x"24", -- e x"66" when x"2B", -- f x"67" when x"34", -- g -- cganged to 67 x"68" when x"33", -- h x"69" when x"43", -- i x"6A" when x"3B", -- j x"6B" when x"42", -- k x"6C" when x"4B", -- l -- changed from 66 to 6c x"6D" when x"3A", -- m x"6E" when x"31", -- n x"6F" when x"44", -- o x"70" when x"4D", -- p x"71" when x"15", -- q x"72" when x"2D", -- r -- changed from 74 x"73" when x"1B", -- s x"74" when x"2C", -- t -- changed from 72 x"75" when x"3C", -- u -- changed from 79 x"76" when x"2A", -- v x"77" when x"1D", -- w x"78" when x"22", -- x x"79" when x"35", -- y -- changed from 75 x"7A" when x"1A", -- z --Top Row x"60" when x"0E", -- ` x"31" when x"16", -- 1 x"32" when x"1E", -- 2 x"33" when x"26", -- 3 x"34" when x"25", -- 4 x"35" when x"2E", -- 5 x"36" when x"36", -- 6 x"37" when x"3D", -- 7 x"38" when x"3E", -- 8 x"39" when x"46", -- 9 x"30" when x"45", -- 0 x"2D" when x"4E", -- - x"3D" when x"55", -- = --Enter Corner x"5B" when x"54", -- [ x"5D" when x"5B", -- ] x"5C" when x"5D", -- \ x"3B" when x"4C", -- ; x"27" when x"52", -- ' x"2C" when x"41", -- , x"2E" when x"49", -- . x"2F" when x"4A", -- / --Function Keys -- Based on the IBM PC Codes x"1B" when x"76", -- Esc (Escape) x"3B" when x"05", -- F1 x"3C" when x"06", -- F2 x"3D" when x"04", -- F3 x"3E" when x"0C", -- F4 x"3F" when x"03", -- F5 x"40" when x"0B", -- F6 x"41" when x"83", -- F7 x"42" when x"0A", -- F8 x"43" when x"01", -- F9 x"44" when x"09", -- F10 x"85" when x"78", -- F11 x"86" when x"07", -- F12 x"09" when x"0D", -- Tab (Horizontal Tab) x"0D" when x"5A", -- Enter (Carriage Return) --special characters -- taking up unneaded ascii codes for simplicity x"05" when x"58", -- Caps Lock x"06" when x"14", -- Ctrl x"07" when x"11", -- Alt x"08" when x"66", -- Back Space x"20" when x"29", -- Space --Direction Keys -- taking up unneaded ascii codes for simplicity x"01" when x"75", -- Up x"02" when x"72", -- Down x"03" when x"6B", -- Left x"04" when x"74", -- Right --Unknown input x"00" when OTHERS; -- Null with KEYCODE select ASCII_UPPER <= -- Alphabet x"41" when x"1C", -- A x"42" when x"32", -- B x"43" when x"21", -- C x"44" when x"23", -- D x"45" when x"24", -- E -- changed from 48 x"46" when x"2B", -- F x"47" when x"34", -- G x"48" when x"33", -- H -- changed from 45 x"49" when x"43", -- I x"4A" when x"3B", -- J x"4B" when x"42", -- K x"4C" when x"4B", -- L x"4D" when x"3A", -- M x"4E" when x"31", -- N x"4F" when x"44", -- O x"50" when x"4D", -- P x"51" when x"15", -- Q x"52" when x"2D", -- R x"53" when x"1B", -- S -- changed from 54 x"54" when x"2C", -- T -- changed from 55 x"55" when x"3C", -- U x"56" when x"2A", -- V x"57" when x"1D", -- W x"58" when x"22", -- X x"59" when x"35", -- Y x"5A" when x"1A", -- Z -- Special Upper case Characters (top left to bottom right) -- Top Row x"7E" when x"0E", -- ~ x"21" when x"16", -- ! x"40" when x"1E", -- @ x"23" when x"26", -- # x"24" when x"25", -- $ x"25" when x"2E", -- % x"5E" when x"36", -- ^ x"26" when x"3D", -- & x"2A" when x"3E", -- * x"28" when x"46", -- ( x"29" when x"45", -- ) x"5F" when x"4E", -- _ x"2B" when x"55", -- + -- Enter Corner x"7B" when x"54", -- { x"7D" when x"5B", -- } x"7C" when x"5D", -- | x"3A" when x"4C", -- : x"22" when x"52", -- " x"3C" when x"41", -- < x"3E" when x"49", -- > x"3F" when x"4A", -- ? -- Unknown Key x"00" when OTHERS; -- Null PROCESS (KEYCODE,CLK, RST) BEGIN if (RST = '1') then STATE <= init; elsif (CLK'event and CLK= '0' ) then case STATE is when init => ascii <= (OTHERS => '0'); COMPLETE <= '0'; state <= idle; when idle => COMPLETE <= '0'; if VALID_SIGNAL= '1' then Extended := false; if keycode=x"E0" then state <= READ_EXTENDED; -- A Key was pressed elsif keycode=x"F0" then state <= READ_KEYCODE; else -- No break code yet state <= idle; end if; -- Shift Key was press (on) if (keycode=x"12" or keycode=x"54") then Shift_Key := true; end if; end if; when READ_EXTENDED => if VALID_SIGNAL= '1' then Extended := true; if keycode=x"F0" then state <= READ_KEYCODE; else state <= idle; end if; end if; when READ_BREAKCODE => if VALID_SIGNAL= '1' then if keycode=x"F0" then state <= READ_KEYCODE; else state <= idle; end if; end if; when READ_KEYCODE => if VALID_SIGNAL= '1' then -- Shift Key was released (off) if (keycode=x"12" or keycode=x"59") then -- 59 changed from 54 Shift_Key := false; elsif (keycode=x"58") then -- changed from 46 (9) if (Caps_Lock = false) then Caps_Lock := true; else Caps_Lock := false; end if; --state <= SEND_CAPS; else if (Shift_Key = true or Caps_Lock = true) then ascii <= ASCII_UPPER; else ascii <= ASCII_LOWER; end if; end if; state <= SEND_COMPLETE; end if; when SEND_COMPLETE => COMPLETE <= '1'; state <= idle; --when SEND_CAPS => when OTHERS => state <= idle; end case; end if; end process; end architecture dataflow;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/HardwareDebugDemo/clk4Hz.vhd
2
1503
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: CLK4Hz -- Project Name: CLOCK COUNTER -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Clock Divider -- Lower the Clock frequency from -- 50 Mhz to 4 hz -- 50Mhz = 50,000,000/12,500,000 = 2 Hz -- 4Hz ~= 1/2 second -- Actually 2 KHz, divide by 25,000 --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity clk4Hz is Port ( CLK_IN : in STD_LOGIC; RST : in STD_LOGIC; CLK_OUT : out STD_LOGIC); end clk4Hz; architecture Behavioral of clk4Hz is signal clkdv: STD_LOGIC:='0'; signal counter : integer range 0 to 25000 := 0; begin frequency_divider: process (RST, CLK_IN) begin if (RST = '1') then clkdv <= '0'; counter <= 0; elsif rising_edge(CLK_IN) then if (counter = 25000) then if(clkdv='0') then clkdv <= '1'; else clkdv <= '0'; end if; counter <= 0; else counter <= counter + 1; end if; end if; end process; CLK_OUT <= clkdv; end Behavioral;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/Poject_Lab01/Project1/ipcore_dir/blk_mem_gen_v7_3.vhd
5
5822
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file blk_mem_gen_v7_3.vhd when simulating -- the core, blk_mem_gen_v7_3. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY blk_mem_gen_v7_3 IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END blk_mem_gen_v7_3; ARCHITECTURE blk_mem_gen_v7_3_a OF blk_mem_gen_v7_3 IS -- synthesis translate_off COMPONENT wrapped_blk_mem_gen_v7_3 PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_blk_mem_gen_v7_3 USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "blk_mem_gen_v7_3.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_blk_mem_gen_v7_3 PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END blk_mem_gen_v7_3_a;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/VGA_Debug_Unit/alu_tb.vhd
10
7649
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_TB -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: ALU Test Bench --------------------------------------------------- LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; USE ieee.STD_LOGIC_unsigned.all; USE ieee.numeric_std.ALL; ENTITY ALU_tb_vhd IS END ALU_tb_vhd; ARCHITECTURE behavior OF ALU_tb_vhd IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ALU PORT( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR(7 downto 0); RB : in STD_LOGIC_VECTOR(7 downto 0); OPCODE : in STD_LOGIC_VECTOR(3 downto 0); CCR : out STD_LOGIC_VECTOR(3 downto 0); ALU_OUT : out STD_LOGIC_VECTOR(7 downto 0); LDST_OUT : out STD_LOGIC_VECTOR(7 downto 0)); END COMPONENT; --Inputs SIGNAL CLK : STD_LOGIC := '0'; SIGNAL RA : STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); SIGNAL RB : STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); SIGNAL OPCODE : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); --Outputs SIGNAL CCR : STD_LOGIC_VECTOR(3 downto 0); SIGNAL ALU_OUT : STD_LOGIC_VECTOR(7 downto 0); SIGNAL LDST_OUT : STD_LOGIC_VECTOR(7 downto 0); -- Constants -- constant period : time := 20 ns; -- 25 MHz =(1/20E-9)/2 constant period : time := 10 ns; -- 50 MHz =(1/10E-9)/2 -- constant period : time := 5 ns; -- 100 MHz =(1/10E-9)/2 --Condition Codes SIGNAL N : STD_LOGIC := '0'; SIGNAL Z : STD_LOGIC := '0'; SIGNAL V : STD_LOGIC := '0'; SIGNAL C : STD_LOGIC := '0'; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ALU PORT MAP( CLK => CLK, RA => RA, RB => RB, OPCODE => OPCODE, CCR => CCR, ALU_OUT => ALU_OUT, LDST_OUT => LDST_OUT); -- Assign condition code bits N <= CCR(3); -- N - Negative Z <= CCR(2); -- Z - Zero V <= CCR(1); -- V - Overflow C <= CCR(0); -- C - Carry/Borrow -- Generate clock gen_Clock: process begin CLK <= '0'; wait for period; CLK <= '1'; wait for period; end process gen_Clock; tb : PROCESS BEGIN -- Wait 100 ns for global reset to finish wait for 100 ns; report "Start ALU Test Bench" severity NOTE; ----- Register-Register Arithmetic Tests ----- RA <= "00000101"; -- 5 RB <= "00000011"; -- 3 OPCODE <= "0000"; wait for period; assert (ALU_OUT = 8) report "Failed ADD 1. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed ADD 1 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "0001"; wait for period; assert (ALU_OUT = 2) report "Failed SUB 1. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed SUB 1 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "0010"; wait for period; assert (ALU_OUT = 1) report "Failed AND 1. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed AND 1 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "0011"; wait for period; assert (ALU_OUT = 7) report "Failed OR 1. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed OR 1 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; RA <= "01100100"; -- 100 RB <= "00110010"; -- 50 OPCODE <= "0000"; wait for period; assert (ALU_OUT = 150) report "Failed ADD 2. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "1010") report "Failed ADD 2 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "0001"; wait for period; assert (ALU_OUT = 50) report "Failed SUB 2. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed SUB 2 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "0010"; wait for period; assert (ALU_OUT = "0000000000100000") report "Failed AND 2. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed AND 2 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "0011"; wait for period; assert (ALU_OUT = "0000000001110110") report "Failed OR 2. ALU_OUT=" & integer'image(to_integer(unsigned(ALU_OUT))) severity ERROR; assert (CCR = "0000") report "Failed OR 2 - CCR. CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; ----- END Arithmetic Tests ----- ----- CCR Tests ----- RA <= "00000000"; RB <= "00000000"; OPCODE <= "0000"; wait for period; assert (CCR(2) = '1') report "Failed CCR 1 (Z). CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; RA <= "00000001"; RB <= "11111111"; OPCODE <= "0000"; wait for period; assert (Z = '1') report "Failed CCR 2 (Z). CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; assert (C = '1') report "Failed CCR 3 (C). CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; RA <= "00000000"; RB <= "00000001"; OPCODE <= "0001"; wait for period; assert (N = '1') report "Failed CCR 4 (N). CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; RA <= "01111111"; RB <= "00000001"; OPCODE <= "0000"; wait for period; assert (V = '1') report "Failed CCR 5 (V). CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; RA <= "11111111"; RB <= "00000001"; OPCODE <= "0000"; wait for period; assert (C = '1') report "Failed CCR 6 (C). CCR=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; ----- END CCR Tests ----- -- Mem Test -- OPCODE <= "1001"; wait for period; assert (ALU_OUT = 0) report "Failed MEMORY READ(1) ALU_OUT=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; RA <= X"16"; OPCODE <= "1010"; wait for period; assert (ALU_OUT = 0) report "Failed MEMORY WRITE ALU_OUT=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; OPCODE <= "1001"; wait for period; assert (ALU_OUT = X"16") report "Failed MEMORY READ(2) ALU_OUT=" & integer'image(to_integer(unsigned(CCR))) severity ERROR; -- END Mem Test -- report "Finish ALU Test Bench" severity NOTE; wait; -- will wait forever END PROCESS; END;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/Lab04/alu_toplevel.vhd
7
2688
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: ALU_Toplevel -- Project Name: ALU -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: ALU top level --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.all; entity ALU is Port ( CLK : in STD_LOGIC; RA : in STD_LOGIC_VECTOR (7 downto 0); RB : in STD_LOGIC_VECTOR (7 downto 0); OPCODE : in STD_LOGIC_VECTOR (3 downto 0); CCR : out STD_LOGIC_VECTOR (3 downto 0); ALU_OUT : out STD_LOGIC_VECTOR (7 downto 0); LDST_OUT : out STD_LOGIC_VECTOR (7 downto 0)); end ALU; architecture Structural of ALU is signal arith : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal logic : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal shift : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal memory : STD_LOGIC_VECTOR (7 downto 0) := (OTHERS => '0'); signal ccr_arith : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); signal ccr_logic : STD_LOGIC_VECTOR (3 downto 0) := (OTHERS => '0'); begin LDST_OUT <= memory; Arith_Unit: entity work.Arith_Unit port map( A => RA, B => RB, OP => OPCODE(2 downto 0), CCR => ccr_arith, RESULT => arith); Logic_Unit: entity work.Logic_Unit port map( A => RA, B => RB, OP => OPCODE(2 downto 0), CCR => ccr_logic, RESULT => logic); shift_unit: entity work.alu_shift_unit port map( A => RA, COUNT => RB(2 downto 0), OP => opcode(3), RESULT => shift); Load_Store_Unit: entity work.Load_Store_Unit port map( CLK => CLK, A => RA, IMMED => RB, OP => opcode, RESULT => memory); ALU_Mux: entity work.ALU_Mux port map( OP => opcode, ARITH => arith, LOGIC => logic, SHIFT => shift, MEMORY => memory, CCR_ARITH => ccr_arith, CCR_LOGIC => ccr_logic, ALU_OUT => ALU_OUT, CCR_OUT => CCR); end Structural;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Shadow_Reg_No_VGA/Shadow_EX_NoVGA/ipcore_dir/EXTERNAL_MEMORY/simulation/EXTERNAL_MEMORY_synth.vhd
2
7928
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: EXTERNAL_MEMORY_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY EXTERNAL_MEMORY_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE EXTERNAL_MEMORY_synth_ARCH OF EXTERNAL_MEMORY_synth IS COMPONENT EXTERNAL_MEMORY_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(13 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(13 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: EXTERNAL_MEMORY_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab1/HardwareTestPart1/Lab04/ipcore_dir/Instr_Mem.vhd
13
5601
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2016 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instr_Mem.vhd when simulating -- the core, Instr_Mem. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instr_Mem IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instr_Mem; ARCHITECTURE Instr_Mem_a OF Instr_Mem IS -- synthesis translate_off COMPONENT wrapped_Instr_Mem PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instr_Mem USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instr_Mem.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 0, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 1, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "READ_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instr_Mem PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta ); -- synthesis translate_on END Instr_Mem_a;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
ProjectLab2/Combined/ipcore_dir/Instr_Mem1/simulation/Instr_Mem1_synth.vhd
2
7895
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Instr_Mem1_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY Instr_Mem1_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE Instr_Mem1_synth_ARCH OF Instr_Mem1_synth IS COMPONENT Instr_Mem1_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: Instr_Mem1_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
JumpUnit/ECE368_Project_Lab1_Team5/ipcore_dir/instruction_memory/example_design/instruction_memory_exdes.vhd
5
4671
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: instruction_memory_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY instruction_memory_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END instruction_memory_exdes; ARCHITECTURE xilinx OF instruction_memory_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT instruction_memory IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bmg0 : instruction_memory PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf ); END xilinx;
gpl-3.0
FlatTargetInk/UMD_RISC-16G5
Lab04/Lab04/clk25MHz.vhd
11
2102
--------------------------------------------------- -- School: University of Massachusetts Dartmouth -- Department: Computer and Electrical Engineering -- Engineer: Daniel Noyes -- -- Create Date: SPRING 2015 -- Module Name: Pixel CLK -- Project Name: VGA -- Target Devices: Spartan-3E -- Tool versions: Xilinx ISE 14.7 -- Description: Pixel Clock -- Output a 25Mhz clock for a vga controller -- 50 Mhz to 25 Mhz --------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity CLK_25MHZ is port(CLK_IN: in std_logic; CLK_OUT: inout std_logic); end CLK_25MHZ; architecture Behavioral of CLK_25MHZ is component CLKDLL generic (CLKDV_DIVIDE : real := 2.0; DUTY_CYCLE_CORRECTION : Boolean := TRUE; STARTUP_WAIT : boolean := FALSE); port(CLK0 : out STD_ULOGIC; CLK180 : out STD_ULOGIC; CLK270 : out STD_ULOGIC; CLK2X : out STD_ULOGIC; CLK90 : out STD_ULOGIC; CLKDV : out STD_ULOGIC; LOCKED : out STD_ULOGIC; CLKFB : in STD_ULOGIC; CLKIN : in STD_ULOGIC; RST : in STD_ULOGIC); end component; attribute CLKDV_DIVIDE : real; attribute DUTY_CYCLE_CORRECTION : boolean; attribute STARTUP_WAIT : boolean; signal CLK_D: std_logic; begin CLKDLL_inst : CLKDLL port map ( CLK0 => open, -- 0 degree DLL CLK ouptput CLK180 => open, -- 180 degree DLL CLK output CLK270 => open, -- 270 degree DLL CLK output CLK2X => CLK_D, -- 2X DLL CLK output CLK90 => open, -- 90 degree DLL CLK output CLKDV => CLK_OUT, -- Divided DLL CLK out (CLKDV_DIVIDE) LOCKED => open, -- DLL LOCK status output CLKFB => CLK_D, -- DLL clock feedback CLKIN => CLK_IN, -- Clock input (from IBUFG, BUFG or DLL) RST => '0' -- DLL asynchronous reset input ); end Behavioral;
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_status_flags_sshft.vhd
9
19058
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block V0RhEmDneyx2bIYg5EkqMn5D103c8LY0JwOi4vIzIundV7pB4mhwtg3bWvXtJcqVzWoRpO0iu6hd b5vQvw4OIw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NPilDSqrDwgfnG8QwrK9pzdKc2EyBdnxvte2xUHdXc3XmUSDWcLQM8tlHwjTc0fmRllJEyeVIoy3 +OOdcqUxWRXdJnmylHty6xJAg+/Sjpcxt9Wndn8Uj6P+DnRcBtrGwDKuQj9OLMJ2nyzTdGslagCW 2MvrokQETmpzJTx+xn8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BIsdTK6SsXN9BbudzwhdR157/hy8fR+veNFLoFYP4d7KK59/1qX9WEKIjXxdh6jOd9oKlk3wq1R4 tCRvMmX9QIrfX9P0eZ0ywyvtLKYMELricLvzLddzGzGXy45L0UR5z6O6iyAmkbswbaxcV/rFdbfp +MvPSi29zYu+Ik9PGyM0ZLMRujFCTsVjn0LMR+fwPr0R95gAkMGuKpIq0+RcQreroLO5g0p7eM5g T/nITDKgCwo16W0hWmHYCWVIzSS+jaS6O+hzNbme01d4Haq/09X81kExJZmAz6suZ/M5pGiw45sW uX/NO+nhlJA/4TP3Ii6Su4We30OsNmkV9XudsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zw5vs1IfRKfJY2nstDiEd4QSSb7enWH38yjPDIbhpMKIURm1dzfEQqhIvXlwk78QLnr5oU9yFaBT ulET/5iZm6HXM5TLkrDD7mrsT1wRIzGsWjZJAEjdqivu/Cffrl7vEFxtjUAOwCu2hWlDOzxYA40p EN3J2XA1bMOAqQafEN4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dnOhe7VK3QkpSD5kx1h0DtWGGzmZirboP8jSaNNmyrqJDjh6AzuWE7WlC7GznQi6/XDLxfUKzLta BUfpsw3uzYCN4o5mq3R7oEX/c/2vvZquACpkb+6ddj4+NjUwsUcyq3b1StXepqpUUAsSPsne7xiL Wqm5ZYKFKZkGIY51iEY/x09IrCADOEbB8KNitAUfWXQ8jJU2/5YxLeLKEUA7bO/nIoZudUMSFc+m CiGC9wGaqecuGX/ccHA4hcqekr1yT11hr+Wr7qi13IB0OVTjakXH7phfvhCBquh3C418MF8o1PD3 zPppyLec68s7ZGvu0bZsnINA5R381Y53bX0OGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12368) `protect data_block vK6cYwjcQhndWcb9L7IQrZd9Li+VJB7JOgTTZDFG1KOeomh7cKgqDeszrDqvc+zsGAdox6KWCR9M T6W/8TM5MtQIUpgr0PExzY6QfmHbNbGSxXwKXgaGdIEuHWNG6z56IJP6pXHnYVaGTVfqdY/T9axm 5QhH/5CMyPbIvhalNFLw9NhNoxVzBXH5qQgnJ4Hc3X3f1ReZ8bbyvtHO23jOhOeVgvmwmhIEhLbt 1QhmzpYJ2Joj9sbHmbuj35xCF7VZD7Wlb3lI/U4QO5TEsubd5V0wxgHHGj7H+uCo9uZwIr+dx4rI ZKxAhKbJX0US/511QDpquP6PjvZpEO/0ARPsZJJS0Dr/KYycyuDCIxHmRMg1LJty9iJskWsbqyIC dBMc9VQN+o9U4MSJVvAL+VI6FlMchxyhi+3QVFEasHiU2xakPs2kc2dkr1Xvl9pwonVDXXgZrwmh POF9z1nbYdsi/qf8n+yZDoZSLF8isthAAX0GEahqg+eJel6ygvP303jCcGLbcBerBFPLBAhpFXdU MTQnlSbBRaMWovDXgEJAX+dpePwfl1R4S1sIkkRZsJnmVxwyoUH569DMYLiNzCIIqrR2U64Q5xbp ZpS/0E/xV/mep08zHyMuvb7ZQhaH5SQFFidhG0lJK5b9YzizGsoUr5WtPZ2ZJhPLrMSokOqwtUsW nOn2XO9h+uf7Cextsujkhzo4DxKSrt+y2nbUx3Z5jbzZR9EIwrqaX7y2Y1uSjk0y45E9oiHJJKfQ tfQteFvxnfDzVLd+O4YOuFWvR0n2i7bsHIg+xWlxrilQJusPMHkWlOsLeibI8ExhFKFnUTxQBk9q u0D7OF2gBspTExKOJo0l3lsVrmnPHHB5ms1cuWIuG7H67+jbm3sVKG+Hm5IElRP49NLNqSHOgoyu kRX66WlKB/p7smg6ZaiJv7WRi8t+0Bi9bZbIQ4RrgmaipEtzi+HMgnzFDy5DhcDB5dmczlfUPSA0 W5fH1iwzA2rutLeIaBUHc+Wk/si6T35ZyBn94+p00FStc7w+wlBLwSdXmWGVjlDled9N4v9pFxif lt3U+lDXLfWf2tQL0MwECDexBmubhti0E4A/1JLewveeLTb8p84dfeoeYxHjrvpLodRvz6ubuT1a M+gLNOPoO7hsBTZUiLv12AAZZgMV26ffY2lphcxHdCZ6TgcIudt7fGxoRq55kQOZ32sxUq3M09yH gLAwEGrYebiqrdCJl0asQPu7QUTmZ2JCY3gVrclKWWomQofRgFOSOa2F84OwR4VooRvWZgWCrZMu gbP4r6S0pkG2gO1CDOx0eAfpQIF03UpEkHEIxHjFlNPxUhkZMOkfD0LN53FexYqoi+vrx+5SdQtS 4qJwmounb07u08bTXuI8UAteFXFac4D/R1V99Fs9oQpjs5GetuuvbeHtm9S/0dc2edxIgRPe85hG G55rXiY57PcEm/zs+VI5wjKoLF5hY9mwUpBe5Ph0YxJij+gvbxB7692e08nlCvMfzjRODZPp5puI cN52I1O0YckAlBfBOkbZia95KW2M0Vz6qtJvyVTVVgY/Xnt+uw/neDy9rHwHQTuuEdNrQjhNJma7 c2PpD60gaqv1epFyV9X4C0k/+WJr6I/eizGEUEzQ+6aJxIY4sy3tZlC9mrPmjUjlffp4EKe7SnDP OWKz4snr6jueIoQV/0BmC+23j4jxyyibA2pgG4dsbzVrkwMe85R69KpRMfDR7KaOJf6WzI1yaJ1m WBVEL/DQ6n5sLvZamZs8W0VX6yjYqzsSmIkDNdZg8EdWQn2RBu6z++t4H4NCWwfAkxewP2C/cFG9 NZr7zfF8tE5rIjiWGsJ0mwGceUkyLrCDYfHisKhWN0dKZHjZG0E1iFx6E+8U/OZGjBvTB9uKzz9i tA/a7RIk2xTraLI5gMGv/nwBIW5L8zP3TYC0d++MqR7/faN76Peb+IExlhm3G49Lucc56nKQxCuQ 7Q2wTaYFqHzQ8WFqeK0EdVEYF79atGGXkDA4cgEROjCbRp5r0Y3uBgJkO+We842JHY94hobQEKGk iHcEiW1THw43AY8Kh2tXbOFkPbQ2+Djfr+0Wc/U2p9FZh13yiYZlcxb0Fz2JPIvAK0xEKkFFU1Ty HA2og2pggv/DWWP/tyiGH6tVmruGkDqxcqu/NDs0QaZj6svyRAbfIMKL2tunDWd9Gm4wiA9+esJw YTGitIYtkWU/afo1YTTEFWNR3oo5CjG8jWIAgBVHBX3/XBLQDDqFLy4Mj39m9BN5DDBi1Hxob/0z 5PuqutP941oNUoOLrjaApNrheeUoAWN9tdm8HZaNzvV5eIYVi8gucoFfQaCflc5YaIbPKjJiQWwl abtTUzoFW2/SuKi3FfmkddXltt1b+7+ykXE8FqZOWlEoHB0zwulllTFrJ6cp+8fE+XgfWlWfjqAZ mnfe04feQqeHMAFGfqGNTXZNl5Q1HQIwXmvqZOcNbbRyelRvDNVuLxvvFoALKzTMaB330U8JL2xj pNloPShp0oDJv8mwFqHQ38yO0MTWSI7uVUoHqfaUN7hMPTfU5+6u8gWmTy0vPe8bibPasbPuqaU3 72S6/U9LEShHiiHQPB0uig8tv76uMaR5xdc8oCJVtc7H8vrdOjjEnDCTble4+VG9JQROS63HAp2B SkP3jeDE5oTQtPS7c4zIW5W11wQzBmSBncokcWMFamTBJX+prWYKaJ14CYbq+e/b1rQUQkh89r9p GN9C49s4jQD7n2RcnHJJ0eeEdrA60f7ajwZSUVT1w6QU++HalsF1KI824b2esd1Rr11/3p2hlJa1 4jITz3Qc6SZpyYp+PBlCDSMyx8Y7zY4pwen3vlUuypnWLDuI5psUht/RgrXO9YHzc9G3GCLZpbPW SP/WbiVSzD21IN1GXYwxNEor54nIAIsmdB+Z0Ljq8K4zoKC+xxetorjqWqtjy7dQzSOfrRX1z7Nx nbypNc81sj2tLm8a2vH/efpE6ZFZ+08btA+fKr1XhIdLuM0+5Vw6Nrbbup9O20fT/F2AsyZavShv Lucy0Os/frhq97QlwVRaobBF1QT+rlDJ0sT3bETHYSCWhAfw7prgbgLIUEcuLsCELo4pZk8Due3a zzjZGHLyJiGW8yhb6TlQ491n+/jVe3bBonoZrnepXP3y6fBFjIFNQHZJ25Fb4kiAhqvvASXQE5IJ rbhkyDsvrOTuuRTlcDYPisAB7K1N+a8JwRNVrYxcI/kNRElVC6i27rpFN+Gaoi+v3nOmJrREfn/h 0JciozVg2PZaWWHYNBSfYfZdC/ikFzrszGHffDptcUbsLawjGFENrL+mmSwADLphMFPzfY9Nv9Vf dRNczqx1/OOGL94cXABVt/LCKi3tm6iKhL+VGfbqFS9Icb6X3g02pm21TW8Tbu+CdRZMTcbKlj2X W2P8Gh7N8AU98X1Em4pxL/P6pdEvBJg3Tu+CO/Rr0vnkaDF4iRJdNvdD0iBKSU7TTVkaD76kUcRq 3l3TExuzJ+z86Lv0rKNOjTWxNipThIpQn4BLT1ZrfOty16vYbVx+OVEMAFVNYHNHOcqORoSuhelY WLsfgcbHLyyf5pEHQXOZbAHom5FSIQ+XkYBDDCnP8kM9d4o8no6UoELLvYAmgt+SmuBKBooc0y1h YPHmGwolbwe3saeIUEScTnbg94ROm2PXptluaLWgmaHQL+Qlj1rdLRc5uIuEZZAqhR+cjJ3/m8ZU 0RnNchY72mS2aVHIkjxlHkEypVpQ5vkLYv9fb8hQS249pte32GZAp1DMFgYs9iJvi38cQcpGn6ob Os5Pdt4hTEbCxElGJQ2yL4QcAAOrndq+CqR433YJzBFpnglTu/EyIBNwu1lGO/iu5tJNC+FEyI+k aflf8fCvSB9NLj48bUdk2L9zg0wHXNiQjer9wf46Itw+Tk4q6q6LvYsVLsHwWIcOK38ZQ6DGplpa 2JM65M6TETekR9JOKudZ96/rxlOKQ3DqRqBBqu4/mfvVd4filhcNs8TcnEVLbhiktEWT1pI9J1MX FcB9Zpgr64XrDGFTjOciLyOz45kGQunKNebe9ZYs+xexv92q7apmjEGLbAzNkCBdJXQKsZMlpMX8 pePY+USanTzRLZZSv3isLA83P8lHtjSkV6aT409oVnvJoiGJHkjCbtp9k1EufJ1DoqpS2FCgt4v0 puj76DQykO/EyZKf3JRm/jIODHIvU0x6quB5H/9QVXVb2sQzZXB+1BX6mbNqmH4t1ek+4zr8mz0n lEZGWnZMp10R4iMm1AIqtjB2Nr1ZHEcwjNXtPzxQvX4JlN5A0DJOkT+Hw+5DIqsRswTrteMHoJsB QaW23ny1dPKWSola1AMJhFgCYoA76am66CurD+VOFlV0PEvqaLjM4TT1iiZtgsaYKyO+UgpglkpM uhc3CdNJ35yXuhfHVlaGIbhSauNat1jV8Hwel0iqeszHQp3XN0T8mL3e2/LSwdZswvBjfw/AQoqV dJuDL0R8W4H91qeCG0fACekm6ar2ST+vB9EtvnRSaHAQj2wUK5uzSE6IhPpbvhtqSWz7gmD1ymEs gSoVtQ+Qoil62X/RIfY6fJx2BHPzLO9nSAuXCTTs3sGjHz+4YPlpDzhJdLTOeFqKIXcHjzNo6SFQ QG7ygaXM4DPl8RSyl4xgSc4u1rNeEYFjQYWhUmccOe05Xken+E9/aom1F/gIoOyJ+ZbqbOclCtl2 fc+3zrFnys7NkSk1kVXjFBdH/RmRwvmecRNYAcYkE1dXo0hSRvA6JPfShEKmMNViqUuOJ40x3Lrm h5pa9uCFHdtgRtWTOHMg+YGQ7qZlhWNbGNfXpCX499rAoC5QHjnRrm07UpRsNnqyW5FlQSl/h+rU Qx2hbg/HFWn0z+ia54y9iI6oc/f6vbPfMevXx2KkBSAcA+wdsnzu2/QKPQhWQMMxuhYiHUPyeBkB oBRkfA/YwhTl1t4NcRIXVWaGu7wxW9pswwledu5NgSxP1VvLJt4x9Ez/AREoNs5mO/i4Ab0MG7G2 3DgGouONG8p2n67Gd8rX9IMmzeNAhDb6wdjP4q+/r+W9leHVzzuAE+gFA1YvtRkMwZhYyUgx3yfg QzohJj1t1EawU5ZMEpLV6NpOF5QpXDvVsUaGXDxzjFuS0Tnw0+9Rr/4HOPcfi0vH0jiFZB+XhOen S9nfCMFFRktF7E4YbbI1ScByW7Abb5EhpcqSsKBHS0mysyWq7XGOhM5HqRhV1FoLCbtdE0dLUXBu fUT9uROmh3SjACZfpI5JPGChJ49oF9hxcbO6i0KE90MrnCMBfHozv+3AVmttU/ddCTD4Az3Pn3Ft 6Nk5cTXn0+dpbFFbIqZXUF2EJHr+Gk5O4g+F8BVO+ys8GsCFYUHVwsRq4JvMzf7sHP9K9axcV1Tf DwfuWLToe5bi+lchDQ/rEW1P00g7TSmvv0+WsQMiP8CtHvXLgLXRUbsgz9utz3ZvKDGfTQFRupJO fsyU6hE1UBkMiv9TCVmWVKWTKt/rWTKxPqF2mKA8CmSVpkzSx4/3AwXcDz3sGKtQLHTaEWTi/DiB 6WfjCYEWntnBXWwPbvY1RhZQOmgOXTpLdSrQTpSqzjb/2OW6qQftTra7STLSoCVypgDZiqRmgHkF mfsWvpygv1ZO7F3lHMkE6U2s32G5bjyxTjytufvQUKmHeSj7t2syhL1vfXigoOrW59UZ2bvarXVD VTrCvjTedmmye+hHz9iQtgDgLbcEczhjqJEXyyGZTrwk3Lo2nXnlakHoqq+dlVse0iTVUcIwlNoy GgTViJ4FEeW7/pI9nt+4bquA/Y5A3yR6l1/GIRleCKjMxCgPin3YofJ2OpQKuWWEIfd3NdqctNcO k5ygexZtw13XTDlC3BofexvGQee6moAv5aJA4t6n9vloqqWihg0B54HyLXvF11IBWv142tjMi5jW qswDarf88paqpFnsjNkdPlQF8QjspXoFQyt5nAF2YHjbUMn9i+yVeCOMzx2D/OtX9/WOHpyavhMZ VAHZcBY+bC+lS9pNp2o+pR9/w6rP7coWD4uBIIQqrO2e27OmCWCX4CcMdi7gUc769u31eg0DfVaL zkQKE+DAUfPpFj5sGbjVOZJLqXjrAPoDSeGC73S2lZH4XbtXn3p6jSqYWwtRMol6eGBULyq6Bxu7 joPnntc6MTvdXuiNC+ZaB/q09SSEkjx2cwE3MzD867ggibGYeJ+miFjzcwlUvBOwSiZa45DYrvTT j2yWM7e+vHchK6AArgV/q+vk1QAHbpwnOf2A5cHOZ7Pg1KfeJ0HjSmp0PCFYYHIjXZj5gJdi5jwK l8VLWFglQ7gD6XcnxQuFQNxD9Myu6/teS/cdIGDzpVZ9/VSq8rJ7Ix3qf5Ax9Bdcb4zFIlsJLGJH 9piNV43r9x8g33YZl6TYf5iUXGGwsXtnYYlf/vM77RgGB+XlqD5BYZeNpqDA117GX28PyNiMZOrL 6AeAjCqZJKKVhuf7teqOpVAu72TpEBPhZZUnXCGVVyL32W86sUnNmFNIQs6oKCqT0xB7EzhxXHNu 74d7gI5MsABf/eoUbFeeFsZ8dILbyDa000N8e0WIhW9Fn1wU/+2xuhz5i8cbJxVLYJBff6KtAmj1 Ur8RjaKewPI/GrwDX9tToN8ABAE8asgpLdHWkUC8M6YtxX+ZCmozMSl2+wngQVt7rhz3a/TeMJw+ ThbJwYoqBa+QPutOgWd1ecnicdyAcdhxwOab1Wfxj3O8S4Sl0T18ur5Hwb+7A6D6ZSxJV2/EvPiN MN20321WB3a3ltqY5RqItls1UyxKPAJhMjXOVSj24r4idARTxly8ZDBhgQixOH4UapyE4RCHcf+l j8joyxw6rO4YP5H+5qDEwf64NNMvwdnahOWEEUYHFY/trJBNArL8f0t+vDI3dnCwMqp4bzY9i6Ua /fgYrqJrkaORFdE/qDsS0hDvSN979ZhAIENjxY6qhUuwkFoH4UwZtwW+Vm3zEfEjs4hPtzMnuvfD S1zAkQR2vigShkcIE3Lp+F5k7aEJ8lrIfgqp7Yk+3O9HaOBDYoIk5w1HqnqPazAyz7FXBuKJvBI2 QHxM/N9TFhCC50pwE0EFgMIT14Pwd+k36PLwvg+z9jNf1fdzo5mjXloUrznJ4xwq5zHjx7CUNn14 tkevtaqTPTkzeQSyttcPFGZcnCc0DWt9YH2/mQrQaIIsRMmmYlZEjSCyr9hiaPZADYsps72rOSwf 5t4AfyHKb4mp29UtOR0ap7FR4Wbt4PSkQ5/pVgIDienMINBkA9zXahMC2l/ZmCBQ8wBXWXnGv0oK 6vAKkTY+xdBniduASnELiDwVaZy8NkfLLT/s+rIf+keY4IuLJyBJsl6NvHDkpFEbvktC7PM1tqy2 uZaHHiWbctomlE3fjJAJJYYyblQREe0V+5WmE0saKz9Wea8lfHKQFk+sUCUuOrkOIkAJPhmgL+l4 e22R8QbNle8UUrWIVdmBs/yyjTF60o37Fddah20nSpCIeOBhe+bycPbXjnplCdkMiOCWiE4Zc1b2 OP4+1Hr8l7aBiHVPZ5ntVrNii2hIHMg6F7lI9cJIRtmRpumdywuY5ItSpaOosZ/Bz+fMnucb9W8b 6s0WrQi+auLBj6lRIXh4eWhCCfXLr0ryF1tZ6hJ3rppB1KD1+ul38QoWtgbtxdCOXpeFah8NFmPb x/O8G2fLxTXTuGOYfETmCBhfEnU4zojecq3pVXN2+vP39hBrqdLX6TReZ/XLhAo7P5Eo81IAjtaH 0tIJIFRk4BDINaPq7H3uoRGbZbOtpa4I67A8bprhbHzD1J7W7nFYnAI0VM0jMj31VOeXVMBiSW+K 7zSS2tvEJEqtXru0EmYpdsQ4XU7LpFzD3YvYuEyKc75gjxSjfQa0X8+iUNdznYQ3mJup98kt6THq 2KAXKj+rFFzZc6MsedD/8Bemp6SJpJNexiRodu7lmtvQJJDPs/WA9ISYovet5KlvRv9e+03ykcgk fzPIJjwqNz/qNUNPtMnqXVq7voifbLyHSe6uIwdAzq2Rw90lOHDn0TkS7XvZ0CpVHmX6eH2GDo4n vkj886s2vf+SsBb9SJ6r7ejsW+HveN5lCSYZsiij+QB/AH5wnQporqvNrQ1Jqh0l6tYmdOSTzhdH +eAk1UVr8VCbCHSPsawS7H83QWNya6+yB5s4TvcI+GST3vLs//RcFkAm7EW44q/twIS2bE/LVzmR WsS5Lls6Nqbk7OHOlqjNHHabarx8BzXzBngRkxdwM5ybqa8rjLl0gI1FfGYkhIDHh4PUHinyqSK8 waqKMzlNzz96mvuFNqHfLfzokIaOERMgnVnvmWEURKvkeSEi7+tvraDQvV4Qo7E686FfDU+Ua96g UdRXI04KPMGN0OnG6QnwS8dx/XDOpSWzEtOYjcPRRfWCbfEE6EJ+vyjkV80Xm250h8aPA67hhesi 6F0AVvp+KHEJeI8iCLcc0p9W6VRIN6qA4ww0QX0fcIfYndmy06qrbPvtyDuj2D1M57sKH1liEo6V BksIJMSzdgKPiOByO2kKbvM1ZQXLxA36oiJd9D0duStgrCA2R+ITt5it37vV/tAA3iFhU61zL2Mc 0S8+8ekr6YV+qrE9toaJVGMhIZi/qOWNyDBZT3kUKRkoLCyUbGrjV9rxFNmxTXhQ0Hulxm20bxtq QY9K6rXkkG78gtk/1G+DshijBztSI0FOrUmlWIyClfvjw/4CGEGuEIfWwQh9zL8RgeI4hU03gHYr BWU5dJXZJU+hFTPCFoh3zLLLS+NlmLwyUlx9r+4H9Qo5fQqJwSBzb3A18W1V1JwLCH1STBw+XgA9 3C6V9t+wllv74zr6gSDbKpqFmYVEGb5FJdxCQPpClIazgpVHRma74TQfzmjbIDuXqQDUDpCLPgqy tp47fq/Go4TL5TJiI++uU7uGMWo2VtGvFZGpJh8UnEC7lSY6tmsZ2VGcYTKqz5nsy+Q/WIQrRW5v dkG9OZNfbl6/S9e2BCpUBVjag7hVBBNc8HZ2cbaGBomswsQa7AiGH7Eosy3Z0n/eu9do4KuR3G1q iJ3XeH+720AplATIWo2tyExl9rKd7Bt+SdAh8BXhGnWQp254Nrp2LmV7g6FrcG7jNub2qCT2PyE6 wcjbYVwpNZxpXDWl7xgZbjsZRhofllkfBbiTd9eTl8By9ohQNtEtrUuanRZM7m/gU/uTBGjkc7Mp /dsvmObd9uD+jef3f0+IHCZQ7vw2yhy4lJQhSq+/vOdgGCGw/p+R5Bep5Zq8Qp2Sf8BeXrnoEo3t ZOcCMUXFqXlD05AvMC0u6lYQici5tNUASnTT4h/TILFkTG2L6CWiJpoS/JnT2Ot1dfI4FxtQqMX/ OxsZ13h8ISFICPGNPhRB0hbaYHL6SiQVikAEfERgVLKrP74CCTzT5gZ1FLhuwfLAF6Q3haYrVnEf DFKq704Aqu9vbkeFmSb/p8HXp7dU6EYLS/fZC0NpVrZTJY5mbWWPg/BJ56jAnk35PC5FaaMeW5c3 7CvoTB6fYvtFr3Ci1pr2mAdDVqTlSgEtJxupjzL8y9K+VAmF6FeHGmLP5PDPIcHfdXiT5mjS7y+l 41wk5P0WdmBRsrmu9JIkReWIgVG2kf7KCAO87RtQZWEwPPfLXY0UMqI1h1vwsdWig2sXnq34PmsW AYNb7bRIgZfgnFiv48rv5H+cxHeWiYN7nUubZ4o4f2NXBYAjLtp+rPMBxSsy/dLiezO3TgZQpUWl Ys/w/lOjHjGRkI8XNUlLihl8YDqWfZd2fNUCLjMDw8vv3pKuc7RKTZeqHbbnSHYHlJdZC3yT7Pp/ xcujROSNjEFNT4xcmu2TfHncvJ6bvtw2nrypvtmdyjglaD4yWoHUK8NlJpsUtv+XOa4JSoVfIXAU odZVRHC58DUXXLCo7Ns8W7jKsHrgscAGPpDaLvF7Nj223gC9c7gHLTvNbb/7DECS8EiyILi7GrHi z02Qylc9YpnQlT4t3UvxCTNn+ZoXxG9AezuWyFctpeVNfF91SDf5QPafxsm33GRvy8IWFTa99+nu 4fDTit2ydIcInukMTVv9VeDyaqWdDzBu9EPwBTRHqqilxNqy/nWCOnCS3wo0damjIJK+zJ0OVi6h Pj1F5tIxhFqvfd66sMFtTBc71gGuXu8K6KNYkdCnaVF6rSVIwcyZYDFlHU9F53UXZ0/bCeEDWPx4 CuZUdXLXFchNcF87lDFA8lfj331aYvAhuf01+uFgi4Lixb0/Q2uQvJOzOKMITF/x2CU2kRAWHYFs ydH6RKhSLFsFlc2ubKBbCaZpcYa0ETvPbAxW/7OuEsVr7VP0GDKfa44RN4LTynTu510BtihdkOIq kFB7PdAGMBDzBcAnSMbLr44tLzq7gD/NrWJw7NCTapu/rMmZY5EinYr2N9tAZ9ArK7k7y+sfo6r0 zVYp35FL9KTXKefp+O/D94yHH3hbtaWAk2hNjQomstNHYrQQZ4ClEOwBYgeP72fMrRoHuF8octTM 1ur4LaSqDRRmlpIBKEBAi/FEdLnHXvsAqjLTH0qXwLR7BTh4q9AIvEoS6dMonfO8jGVXNpuLpGTo PdKVH46zxi8ewyRhi6fEbd6DsORrCgVvHhMwxFiaRokIWJzWAOB5fhPzDQaUhlrPgs8sRG3kuziL +TbmFzkegUy6Uk2mRzI9Y3bzWDy+WLN7qbcDq3DjMvfHbZjtIHboO0ZPQMTHCjLqljxlywp1FHV4 cEClM84G9xRO3BDGDbfCP0eByz4E6yZSbhq9Sd1bvsU+3tPVohYypBXG59RUr9SpIrLGNQhmXaIe JeHdfUzmr8FZ5wd/hXzPV/6gYbl4WuyYt/pawoKkJwHxZ5LR4kNzkg3PYN8ITblHnz8tybHFM+jm 0XlhXyUkBNJCnOtCCm5JQjHstdyLI4HqBv0nc7IyB3tajtdbkUfCh93hLsCUGnHxDDTpsZ4iRQba EDswldXapuDFTCxU5QxNDGP/YUuBQHe+VaB7N2M/7Q69mGbhXfLkXB7nn8J0zZgyEVYVA0oq1Xn1 Fir7LLR5A2veZoxUdZA5/ZM3d0FRsHURehdMVIek/vaqAdr4U8qyzwiN7VtSIGC0Qk4ZW5f0ph8I OkAAUaIDDut1Y+khPO/csoUjY2xLBGi+f0LutqbmAcVzpAuaba9ONLLhsRO8pY7PZZ5RVY3FD+FJ VAyn+PjyOTkUDQtemudFCVBYtS0b8MiAKIXBQnAWe8YwT1zvSwrF5d02kxSj3mbegFh4kQ3NPrLi sJW35VQK4ugHC6K++D/5Zm776MzZoui15sVeMcfWaRLAq8Id2tyMiLvftLIA8YVcuuxprDTwN2ZA qLQIMr5nEm791rF/bgWMLkALokpHAzT2cc56+m5P8wu8wBIdIDOEAWp/DV0mHxDPTTrT31zqUCYE nVAgSAtV+EAVwJc62Xg+eDdV3psIcxpxY4I6UNggnluCA3M3bsSkS36MUGrvf+fncJ7HMUTrp4u4 PgISyqZOxYbvIvPCGvqoflv0jRXod26q2DCqjX8SSv4suZn4uuyH4bJcMRh9qZq6q4+T5T9LD9ev EJhqxa7KpKdj11/rIy/wUa0Y+BloEtIq5kXlR+B25mNNrt/OrGhUUJBuhVPl9IEWjMQeALtMM10D L6p7/zsWDdV4yZOxb9nUJaSXw3dDqjnB8IgLzTbUv/M3+3pfgpB3dIL+3pBNaPVnC5B0cAaHrHQM Ob/+v5oT7pz4Pjkj3BHxdb8fmfzVH0DuGjTzwvcjU8HyXBOAIdSkGeTq4Ap7u4D1rUf8cJSRSLc+ 7PTmhiQiLTi12cDXX+jvNSkB37FkKJFiic4XF3TQDqOQFSeieBiusbGbU+Gsi5UcKQzNDIEyY5Ze Ob2gF0pfbR68qkytNMq6aAWBSdR/IAJt03e0vjFfT80QpmJ7hr/Cm7LfTwAHpg+BN3H3h0zE5lHm OjHUtXKVpaxqg6yrUvxPBJ+aPxfS+5uNzfsYNILCyDiH71dXZBF0MP0IBFKUluv4/2nGJPxZMEWS tAcTnunhYYds8AD5a+hQanIL7AB9Hlsguf+yWsCxr/ybAPx58jh3BcrkcwpA+MBXFZ5vjNJLHIa4 fVAPfMlznkay8gd27FEBQA4f6Tv+uHxyVdQJMcN3jFZ5EjtdX82gfiqeM8D1qcoi1udVlRR+Xb3B tdX52oOhwJIomm5WZYsDJG+OYAoFxQPmdRXuYwpfxS8K6A74QrEhGI7lx5SjlVkH5ad+SJZlz28k AdqSeRagCBNJqPVTQY9fGAifmAQQdrKrewY026yLj2U1U5EOI+768Yw0+lC6ZylvzY9NhTwaZC91 vuPLlwrnWmlBk+nhXyfMIbm0A3WLHchPH+DJHV94pKWkhpSG2xv9ueVsw3mP37GePZDJEpBWeX/e o7AsX6LPeAB5mtMXDdKVUhKfX4kSLWtCm933u/S4HlaPZYkk+bumllK6E88N5Pxb6eCN5T+ZuiFA k5fYAxCCDArgr3ZGZGA6XMFD2Xy25cKeoLgY9Aez6ndoqK5Gpp8mlJQ6N18ymvRtNWHe6HcVHhr0 d2vjZ6z512gHeHF6VUxPjl601D4Q8v+zXTnrz58RBFo/C994Cy6petal8g/k4zjpNCofI8M0wImd McgI3J3UMYqIMPiDf3fyZv1yO8QqxHalNw8S7XwSFuFzVO7qK/yym1gV85ypjjDRuOu/CjjfDyyA BrQPSSTrx3tKwpJWtId8PgjW+erKHiEDW5k40WWQA7YAoa//pMT5+WrY+1Seq3dCG1cs9P/R842j WIDdT9WSBwxUlfT94E70nVWQ6Ba/rLXwHAUm03XsyP8RlD7q1n/gG6oZdkQ0QJM32fzKrVWoP/Ew GVul5qzFYrW6FJ3WmnpM0MRZFW6Iq6Q52YtJ+M0pf64T/MwMLCvx/wT1ZnNLPlS0YQdH8j5BLiw2 qDWtnXfwEiu0fpNaUOxirAKjSk7qE0+69Ai+Lm8sqoGZJ3AoI1J5vQRpeszl2vXJD4Yohg8gFlQ4 CJ9kgeE1CBaBqGVdJ7LToxqw0DHUNfbaiAnuGA4aWKCYubhFmtLdQ0clbW1RJ26S6Mk7uy6kV2UG EOjHMy8i858iVF960IUJrEeZLrmA3kIIUxaytgtN3jrhTpebN/0lvynKpCSe/IFxfFsWv7w9uuvW WFq0+azXKcuB5FTeYpk/pXWE4KJcHz4BhSvxvoHwkj42gnMTmiRVDg9j/qEwretVdNVspsXaHsTS xNXResDrLzLtWoSlfDE3rEOUX6x4RrtPAKpjKVlQQWc/rsomNTaahkNt4DVbRkOCosfcI5wI9GFP BWSddPqryGB2/Tl6CGTW+QFcI5WAgeahIvj0/Hnq9H08JAfs0szoAenEZf8XqQvrHYp22EMn4H/s NCrHid8JS15QMWimvNvcQqtb/OETbd9Wlw35QnRav9AE/ccomRUsc8Gx1RuWCMig+oDekaOIlJ9L J2dkoeabBgv463rfio80Aoqi5HiWSWdWAbTQSjAfn6hZSsUSK6ziDwZr4Ir55l3iQ1KIEq8aGMkE hoASZhg7m17h3yMHyW5RTZNprHLf5iC5LqrCRtGx+WoeIQZ38XAuHrVolePrlIqcMVu829SKOHCl 8fvldXvO3QxFw5zi7fxbVAl+w9BB7rtAuAfJ1UeMCWVWlQMpVTqnJxvEZe3BAy8uDGlqKXFPafc7 Z/c3vrtJ0zs4Kf8QwYvDteZqh4xZJ1JzfvwVzO5EHJ8TJUBr9fZSw2iaOlHPuk1TjLEVAibXk1AN pz4QyacZEFBvZX7ybL9F2HYo96khjMcL5QKXVpmskwPk3GFBfb4LZuX7fQhjrpVhkQa3iKiCfcKY R86jHq+Culy/tw5bC9qdLEzqRLvkJ7up+T5ftCWy8QPKAT9QFNi0tmhZC3iFqYVbpp80i1DMUvaJ jdmwMzzVyL+8ueOIdIP9p03TMBN2Z1BFI7vTTmv8DzNlSST9+LWU8XEi/yosuy5imhx1EFYyv3hL gztus6q4N90YctfRVym+e7qENTjwChZNjUCT1Pua6OKCwj51JO5QQ2/9OIzLRDYyDt/8oWfr61PX 0dmE7/Hx5wppjh+gXPzjKTwhdQUVN3eSUESgJHd+tNfsb2rMteOLddT0ZK3KeRK9xZl3N8lpN5Wh 6qQeeEfP1z8vEe5tvNdOPSvh8/CbsJOnsc7yKGBRfOmZVGpoe4+/dVlHtE4WYqQYgYhV6lHVv++M s0V2eNDrhGHctIuSfBNLJME8OeDS1PmLNDGTDaxuCq0XLLhJiPltj8HfS0fqcey0EExpMb3OranW RVhMFCAf3rb2KCke0ZzXFm4bkVlXQtC271iJM8QaS+qgpb56fsjKoEzsxl1e2ZpYt+nod3DMhgdG 1Ktn/lnGiICHZbDUmmeeRaVTF1ps2ffx0fPTsrK3QKJL1JkkslGcrTF2j7rhetazf0xLL0Tqjc/7 q/4zVNwMNbyBYxPnjBPllpgWLn+A+eH9IpE1wTpxVzc6tAOB64DBs7gdbnyVd6WFJFhz7TBcHT6i feQ9SqqVaWADe8sVpQBcXTau7zjeU1doC22MY/CksOklLCRhzazmhgNk9ON5MmOoWfhq0BEl18w1 7qIdnn/ju4Prk9fuEZmByMHT+QOUiUnjKjUK2xeLRaIVpTXsZ48wdgXZC4M6JxPhoknIhvoyQZmT 86eNT88UFEvDDUcw2ib1cPYstCkyyn+Dxb8BOFEGk4/Qo8h9ZRfZ9wIKkW5ojspro67KJY7n2kLv E1M8eSujS6Z7w6yRfFIOm/Kwot45fUzWqUei3Sd1RmzY+1HapiNX+dbSloMxsq3/5kZAaU5YVdrJ y9+VypwcisK/XZ60HUkhW1weXEVybUco8zMvcetJg4Df5GSt7ECepcnDj4MYuMCRseRgij2ynHnf GRetzN7Xex79qLTnEtZxsROJKSEIXIT0bdePoPD3GhU3QJ0AsPMu2uYUKgW16qSyvhYZfw9rP8Qu E7ZMYNXmKAHqcCw4eaxpfT/tZ0roYnseOI2C//jyzcbBG7siN1ynckMqUhI1Fl38gVeUs9HGoxc1 Af7k8xfIgD0oaKEStPJ4FfNewh1qcvBPTsBHfk/muF7PJDLr2arvOcOPSqTuwkXE90FaZgQUwv8s K6xa/pSVGF0jGgGz8IckjZLIo7twjBRbb5wsxmBFxAyjZGY98dU0DQbJwuGsj+rCNQkVzLp6Z4qu BUg+79034AD6tzZEP80HhhjrjGNmymJO0KqqyOf51Uy5qyV0UYY9kT4xB/qHKYeeGzotv6jBwllk xDQVbdSvi/TvrL0u51UfsTdGGVebSgluMCr0XXJyPiM4r34dFQs+z20/2/mEloZfmjsUkVmgbF+e mr68FWpupqdpD/geEJHKobwbUGclzffJue4kHq/ewWfZ4W0xTjhjPNwwKQpZ3fJtj8cV1f9ZwULA QRlGu/oCgmmNSUxjbPgQnLrXLBfHKE4zIpFcbXbsg+BLgj27B3Rq3yE6CL6E9jz1eokc+TI9rIMT pdCnuO9lQjCLrOvcNczdHTMMLPLqDCXT0pn9cihshxuE75y6Ct3nFuA9YfNLoJz5DEPzxzixEFvv aqoPixSKxHHzmZHaf2Bvbmlprp3hQmz2/NMeNcCqRuV0NuglFGr/rFg80rorZFmYa5rUs1HUit3p 2c2iof/IDzJC11JeBxua2ugjjm0kLfDz23b6KDn8iM81AjdYT6Nr8Tk1XW9z3Oqw0D4yZkc1uMT2 +eDEqt8BPofyxxhHmjisOeJxkEJTuS2KPqlFK5lKA/PHVgcnrk8bcgnlm7WHK2Vo6Lm4GQzDmtGP egiYbU8Hnx5H8Dki57Eb7kWRzizKI9otzNhEnBWVvCE/Mw7LnX+fkylqn4D0tL6p/HMoZsM1j4sp h7rtkxXt6WqlMk3pt9mL0ZUt2vX/oC0LlwGTFr8eYp38J4W9r/Z9EQGQhVrHvQ1OJHnTYWEK/mkf jvsQM/fWnf5sTRY2H95FqMahjiQrHqJpOi0tLwWFDMQ4TXJyYyhLBdsIQED9ZIs8UGzhgonymZVl ZbISwf8J/Muwjdcq2V0orxEDw/qcoIMyKcle3Xvcz+zeUjyGl9gxAnJHD+tfoTwKvmF/Ep3Vk6JL ceMKwUg390wYK9HCHVC+kl3Fjb4RsgzQIugmzlTa7G2iiv4PdomIQIX68+EczCWCOx0L2HUgNYWZ /aAie8awQxorexs5uFsPS+rp78bzYf6WlMaI6QSnWQlCNuwgYwRX53AmJhZ+2fUfHXlISQJemkJ8 Pg60y3wqRsAlfxI92uGdD8wVHDwOoAsoAhewEuDkHHOtjmFGVSvMBNua6O4zC+b/x75AddGRMdJA +REPf/dEGHCkxsMdE5mr0f0+RiEYm/XhzLGfYMimnaX3RhiavwV3fHruKlQsksoLO2KOQL/RoROt 1MN2Kpr7ZE1p2oO4QwMiovKElsS5Fb9eiZ52IIYgVUBH8TRNktV0L6xN8lywfOBX /cXjPA2Dx6Q= `protect end_protected
gpl-3.0
progranism/Open-Source-FPGA-Bitcoin-Miner
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/ramfifo/rd_status_flags_sshft.vhd
9
19058
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block V0RhEmDneyx2bIYg5EkqMn5D103c8LY0JwOi4vIzIundV7pB4mhwtg3bWvXtJcqVzWoRpO0iu6hd b5vQvw4OIw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NPilDSqrDwgfnG8QwrK9pzdKc2EyBdnxvte2xUHdXc3XmUSDWcLQM8tlHwjTc0fmRllJEyeVIoy3 +OOdcqUxWRXdJnmylHty6xJAg+/Sjpcxt9Wndn8Uj6P+DnRcBtrGwDKuQj9OLMJ2nyzTdGslagCW 2MvrokQETmpzJTx+xn8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BIsdTK6SsXN9BbudzwhdR157/hy8fR+veNFLoFYP4d7KK59/1qX9WEKIjXxdh6jOd9oKlk3wq1R4 tCRvMmX9QIrfX9P0eZ0ywyvtLKYMELricLvzLddzGzGXy45L0UR5z6O6iyAmkbswbaxcV/rFdbfp +MvPSi29zYu+Ik9PGyM0ZLMRujFCTsVjn0LMR+fwPr0R95gAkMGuKpIq0+RcQreroLO5g0p7eM5g T/nITDKgCwo16W0hWmHYCWVIzSS+jaS6O+hzNbme01d4Haq/09X81kExJZmAz6suZ/M5pGiw45sW uX/NO+nhlJA/4TP3Ii6Su4We30OsNmkV9XudsA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Zw5vs1IfRKfJY2nstDiEd4QSSb7enWH38yjPDIbhpMKIURm1dzfEQqhIvXlwk78QLnr5oU9yFaBT ulET/5iZm6HXM5TLkrDD7mrsT1wRIzGsWjZJAEjdqivu/Cffrl7vEFxtjUAOwCu2hWlDOzxYA40p EN3J2XA1bMOAqQafEN4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dnOhe7VK3QkpSD5kx1h0DtWGGzmZirboP8jSaNNmyrqJDjh6AzuWE7WlC7GznQi6/XDLxfUKzLta BUfpsw3uzYCN4o5mq3R7oEX/c/2vvZquACpkb+6ddj4+NjUwsUcyq3b1StXepqpUUAsSPsne7xiL Wqm5ZYKFKZkGIY51iEY/x09IrCADOEbB8KNitAUfWXQ8jJU2/5YxLeLKEUA7bO/nIoZudUMSFc+m CiGC9wGaqecuGX/ccHA4hcqekr1yT11hr+Wr7qi13IB0OVTjakXH7phfvhCBquh3C418MF8o1PD3 zPppyLec68s7ZGvu0bZsnINA5R381Y53bX0OGw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12368) `protect data_block vK6cYwjcQhndWcb9L7IQrZd9Li+VJB7JOgTTZDFG1KOeomh7cKgqDeszrDqvc+zsGAdox6KWCR9M T6W/8TM5MtQIUpgr0PExzY6QfmHbNbGSxXwKXgaGdIEuHWNG6z56IJP6pXHnYVaGTVfqdY/T9axm 5QhH/5CMyPbIvhalNFLw9NhNoxVzBXH5qQgnJ4Hc3X3f1ReZ8bbyvtHO23jOhOeVgvmwmhIEhLbt 1QhmzpYJ2Joj9sbHmbuj35xCF7VZD7Wlb3lI/U4QO5TEsubd5V0wxgHHGj7H+uCo9uZwIr+dx4rI ZKxAhKbJX0US/511QDpquP6PjvZpEO/0ARPsZJJS0Dr/KYycyuDCIxHmRMg1LJty9iJskWsbqyIC dBMc9VQN+o9U4MSJVvAL+VI6FlMchxyhi+3QVFEasHiU2xakPs2kc2dkr1Xvl9pwonVDXXgZrwmh POF9z1nbYdsi/qf8n+yZDoZSLF8isthAAX0GEahqg+eJel6ygvP303jCcGLbcBerBFPLBAhpFXdU MTQnlSbBRaMWovDXgEJAX+dpePwfl1R4S1sIkkRZsJnmVxwyoUH569DMYLiNzCIIqrR2U64Q5xbp ZpS/0E/xV/mep08zHyMuvb7ZQhaH5SQFFidhG0lJK5b9YzizGsoUr5WtPZ2ZJhPLrMSokOqwtUsW nOn2XO9h+uf7Cextsujkhzo4DxKSrt+y2nbUx3Z5jbzZR9EIwrqaX7y2Y1uSjk0y45E9oiHJJKfQ tfQteFvxnfDzVLd+O4YOuFWvR0n2i7bsHIg+xWlxrilQJusPMHkWlOsLeibI8ExhFKFnUTxQBk9q u0D7OF2gBspTExKOJo0l3lsVrmnPHHB5ms1cuWIuG7H67+jbm3sVKG+Hm5IElRP49NLNqSHOgoyu kRX66WlKB/p7smg6ZaiJv7WRi8t+0Bi9bZbIQ4RrgmaipEtzi+HMgnzFDy5DhcDB5dmczlfUPSA0 W5fH1iwzA2rutLeIaBUHc+Wk/si6T35ZyBn94+p00FStc7w+wlBLwSdXmWGVjlDled9N4v9pFxif lt3U+lDXLfWf2tQL0MwECDexBmubhti0E4A/1JLewveeLTb8p84dfeoeYxHjrvpLodRvz6ubuT1a M+gLNOPoO7hsBTZUiLv12AAZZgMV26ffY2lphcxHdCZ6TgcIudt7fGxoRq55kQOZ32sxUq3M09yH gLAwEGrYebiqrdCJl0asQPu7QUTmZ2JCY3gVrclKWWomQofRgFOSOa2F84OwR4VooRvWZgWCrZMu gbP4r6S0pkG2gO1CDOx0eAfpQIF03UpEkHEIxHjFlNPxUhkZMOkfD0LN53FexYqoi+vrx+5SdQtS 4qJwmounb07u08bTXuI8UAteFXFac4D/R1V99Fs9oQpjs5GetuuvbeHtm9S/0dc2edxIgRPe85hG G55rXiY57PcEm/zs+VI5wjKoLF5hY9mwUpBe5Ph0YxJij+gvbxB7692e08nlCvMfzjRODZPp5puI cN52I1O0YckAlBfBOkbZia95KW2M0Vz6qtJvyVTVVgY/Xnt+uw/neDy9rHwHQTuuEdNrQjhNJma7 c2PpD60gaqv1epFyV9X4C0k/+WJr6I/eizGEUEzQ+6aJxIY4sy3tZlC9mrPmjUjlffp4EKe7SnDP OWKz4snr6jueIoQV/0BmC+23j4jxyyibA2pgG4dsbzVrkwMe85R69KpRMfDR7KaOJf6WzI1yaJ1m WBVEL/DQ6n5sLvZamZs8W0VX6yjYqzsSmIkDNdZg8EdWQn2RBu6z++t4H4NCWwfAkxewP2C/cFG9 NZr7zfF8tE5rIjiWGsJ0mwGceUkyLrCDYfHisKhWN0dKZHjZG0E1iFx6E+8U/OZGjBvTB9uKzz9i tA/a7RIk2xTraLI5gMGv/nwBIW5L8zP3TYC0d++MqR7/faN76Peb+IExlhm3G49Lucc56nKQxCuQ 7Q2wTaYFqHzQ8WFqeK0EdVEYF79atGGXkDA4cgEROjCbRp5r0Y3uBgJkO+We842JHY94hobQEKGk iHcEiW1THw43AY8Kh2tXbOFkPbQ2+Djfr+0Wc/U2p9FZh13yiYZlcxb0Fz2JPIvAK0xEKkFFU1Ty HA2og2pggv/DWWP/tyiGH6tVmruGkDqxcqu/NDs0QaZj6svyRAbfIMKL2tunDWd9Gm4wiA9+esJw YTGitIYtkWU/afo1YTTEFWNR3oo5CjG8jWIAgBVHBX3/XBLQDDqFLy4Mj39m9BN5DDBi1Hxob/0z 5PuqutP941oNUoOLrjaApNrheeUoAWN9tdm8HZaNzvV5eIYVi8gucoFfQaCflc5YaIbPKjJiQWwl abtTUzoFW2/SuKi3FfmkddXltt1b+7+ykXE8FqZOWlEoHB0zwulllTFrJ6cp+8fE+XgfWlWfjqAZ mnfe04feQqeHMAFGfqGNTXZNl5Q1HQIwXmvqZOcNbbRyelRvDNVuLxvvFoALKzTMaB330U8JL2xj pNloPShp0oDJv8mwFqHQ38yO0MTWSI7uVUoHqfaUN7hMPTfU5+6u8gWmTy0vPe8bibPasbPuqaU3 72S6/U9LEShHiiHQPB0uig8tv76uMaR5xdc8oCJVtc7H8vrdOjjEnDCTble4+VG9JQROS63HAp2B SkP3jeDE5oTQtPS7c4zIW5W11wQzBmSBncokcWMFamTBJX+prWYKaJ14CYbq+e/b1rQUQkh89r9p GN9C49s4jQD7n2RcnHJJ0eeEdrA60f7ajwZSUVT1w6QU++HalsF1KI824b2esd1Rr11/3p2hlJa1 4jITz3Qc6SZpyYp+PBlCDSMyx8Y7zY4pwen3vlUuypnWLDuI5psUht/RgrXO9YHzc9G3GCLZpbPW SP/WbiVSzD21IN1GXYwxNEor54nIAIsmdB+Z0Ljq8K4zoKC+xxetorjqWqtjy7dQzSOfrRX1z7Nx nbypNc81sj2tLm8a2vH/efpE6ZFZ+08btA+fKr1XhIdLuM0+5Vw6Nrbbup9O20fT/F2AsyZavShv Lucy0Os/frhq97QlwVRaobBF1QT+rlDJ0sT3bETHYSCWhAfw7prgbgLIUEcuLsCELo4pZk8Due3a zzjZGHLyJiGW8yhb6TlQ491n+/jVe3bBonoZrnepXP3y6fBFjIFNQHZJ25Fb4kiAhqvvASXQE5IJ rbhkyDsvrOTuuRTlcDYPisAB7K1N+a8JwRNVrYxcI/kNRElVC6i27rpFN+Gaoi+v3nOmJrREfn/h 0JciozVg2PZaWWHYNBSfYfZdC/ikFzrszGHffDptcUbsLawjGFENrL+mmSwADLphMFPzfY9Nv9Vf dRNczqx1/OOGL94cXABVt/LCKi3tm6iKhL+VGfbqFS9Icb6X3g02pm21TW8Tbu+CdRZMTcbKlj2X W2P8Gh7N8AU98X1Em4pxL/P6pdEvBJg3Tu+CO/Rr0vnkaDF4iRJdNvdD0iBKSU7TTVkaD76kUcRq 3l3TExuzJ+z86Lv0rKNOjTWxNipThIpQn4BLT1ZrfOty16vYbVx+OVEMAFVNYHNHOcqORoSuhelY WLsfgcbHLyyf5pEHQXOZbAHom5FSIQ+XkYBDDCnP8kM9d4o8no6UoELLvYAmgt+SmuBKBooc0y1h YPHmGwolbwe3saeIUEScTnbg94ROm2PXptluaLWgmaHQL+Qlj1rdLRc5uIuEZZAqhR+cjJ3/m8ZU 0RnNchY72mS2aVHIkjxlHkEypVpQ5vkLYv9fb8hQS249pte32GZAp1DMFgYs9iJvi38cQcpGn6ob Os5Pdt4hTEbCxElGJQ2yL4QcAAOrndq+CqR433YJzBFpnglTu/EyIBNwu1lGO/iu5tJNC+FEyI+k aflf8fCvSB9NLj48bUdk2L9zg0wHXNiQjer9wf46Itw+Tk4q6q6LvYsVLsHwWIcOK38ZQ6DGplpa 2JM65M6TETekR9JOKudZ96/rxlOKQ3DqRqBBqu4/mfvVd4filhcNs8TcnEVLbhiktEWT1pI9J1MX FcB9Zpgr64XrDGFTjOciLyOz45kGQunKNebe9ZYs+xexv92q7apmjEGLbAzNkCBdJXQKsZMlpMX8 pePY+USanTzRLZZSv3isLA83P8lHtjSkV6aT409oVnvJoiGJHkjCbtp9k1EufJ1DoqpS2FCgt4v0 puj76DQykO/EyZKf3JRm/jIODHIvU0x6quB5H/9QVXVb2sQzZXB+1BX6mbNqmH4t1ek+4zr8mz0n lEZGWnZMp10R4iMm1AIqtjB2Nr1ZHEcwjNXtPzxQvX4JlN5A0DJOkT+Hw+5DIqsRswTrteMHoJsB QaW23ny1dPKWSola1AMJhFgCYoA76am66CurD+VOFlV0PEvqaLjM4TT1iiZtgsaYKyO+UgpglkpM uhc3CdNJ35yXuhfHVlaGIbhSauNat1jV8Hwel0iqeszHQp3XN0T8mL3e2/LSwdZswvBjfw/AQoqV dJuDL0R8W4H91qeCG0fACekm6ar2ST+vB9EtvnRSaHAQj2wUK5uzSE6IhPpbvhtqSWz7gmD1ymEs gSoVtQ+Qoil62X/RIfY6fJx2BHPzLO9nSAuXCTTs3sGjHz+4YPlpDzhJdLTOeFqKIXcHjzNo6SFQ QG7ygaXM4DPl8RSyl4xgSc4u1rNeEYFjQYWhUmccOe05Xken+E9/aom1F/gIoOyJ+ZbqbOclCtl2 fc+3zrFnys7NkSk1kVXjFBdH/RmRwvmecRNYAcYkE1dXo0hSRvA6JPfShEKmMNViqUuOJ40x3Lrm h5pa9uCFHdtgRtWTOHMg+YGQ7qZlhWNbGNfXpCX499rAoC5QHjnRrm07UpRsNnqyW5FlQSl/h+rU Qx2hbg/HFWn0z+ia54y9iI6oc/f6vbPfMevXx2KkBSAcA+wdsnzu2/QKPQhWQMMxuhYiHUPyeBkB oBRkfA/YwhTl1t4NcRIXVWaGu7wxW9pswwledu5NgSxP1VvLJt4x9Ez/AREoNs5mO/i4Ab0MG7G2 3DgGouONG8p2n67Gd8rX9IMmzeNAhDb6wdjP4q+/r+W9leHVzzuAE+gFA1YvtRkMwZhYyUgx3yfg QzohJj1t1EawU5ZMEpLV6NpOF5QpXDvVsUaGXDxzjFuS0Tnw0+9Rr/4HOPcfi0vH0jiFZB+XhOen S9nfCMFFRktF7E4YbbI1ScByW7Abb5EhpcqSsKBHS0mysyWq7XGOhM5HqRhV1FoLCbtdE0dLUXBu fUT9uROmh3SjACZfpI5JPGChJ49oF9hxcbO6i0KE90MrnCMBfHozv+3AVmttU/ddCTD4Az3Pn3Ft 6Nk5cTXn0+dpbFFbIqZXUF2EJHr+Gk5O4g+F8BVO+ys8GsCFYUHVwsRq4JvMzf7sHP9K9axcV1Tf DwfuWLToe5bi+lchDQ/rEW1P00g7TSmvv0+WsQMiP8CtHvXLgLXRUbsgz9utz3ZvKDGfTQFRupJO fsyU6hE1UBkMiv9TCVmWVKWTKt/rWTKxPqF2mKA8CmSVpkzSx4/3AwXcDz3sGKtQLHTaEWTi/DiB 6WfjCYEWntnBXWwPbvY1RhZQOmgOXTpLdSrQTpSqzjb/2OW6qQftTra7STLSoCVypgDZiqRmgHkF mfsWvpygv1ZO7F3lHMkE6U2s32G5bjyxTjytufvQUKmHeSj7t2syhL1vfXigoOrW59UZ2bvarXVD VTrCvjTedmmye+hHz9iQtgDgLbcEczhjqJEXyyGZTrwk3Lo2nXnlakHoqq+dlVse0iTVUcIwlNoy GgTViJ4FEeW7/pI9nt+4bquA/Y5A3yR6l1/GIRleCKjMxCgPin3YofJ2OpQKuWWEIfd3NdqctNcO k5ygexZtw13XTDlC3BofexvGQee6moAv5aJA4t6n9vloqqWihg0B54HyLXvF11IBWv142tjMi5jW qswDarf88paqpFnsjNkdPlQF8QjspXoFQyt5nAF2YHjbUMn9i+yVeCOMzx2D/OtX9/WOHpyavhMZ VAHZcBY+bC+lS9pNp2o+pR9/w6rP7coWD4uBIIQqrO2e27OmCWCX4CcMdi7gUc769u31eg0DfVaL zkQKE+DAUfPpFj5sGbjVOZJLqXjrAPoDSeGC73S2lZH4XbtXn3p6jSqYWwtRMol6eGBULyq6Bxu7 joPnntc6MTvdXuiNC+ZaB/q09SSEkjx2cwE3MzD867ggibGYeJ+miFjzcwlUvBOwSiZa45DYrvTT j2yWM7e+vHchK6AArgV/q+vk1QAHbpwnOf2A5cHOZ7Pg1KfeJ0HjSmp0PCFYYHIjXZj5gJdi5jwK l8VLWFglQ7gD6XcnxQuFQNxD9Myu6/teS/cdIGDzpVZ9/VSq8rJ7Ix3qf5Ax9Bdcb4zFIlsJLGJH 9piNV43r9x8g33YZl6TYf5iUXGGwsXtnYYlf/vM77RgGB+XlqD5BYZeNpqDA117GX28PyNiMZOrL 6AeAjCqZJKKVhuf7teqOpVAu72TpEBPhZZUnXCGVVyL32W86sUnNmFNIQs6oKCqT0xB7EzhxXHNu 74d7gI5MsABf/eoUbFeeFsZ8dILbyDa000N8e0WIhW9Fn1wU/+2xuhz5i8cbJxVLYJBff6KtAmj1 Ur8RjaKewPI/GrwDX9tToN8ABAE8asgpLdHWkUC8M6YtxX+ZCmozMSl2+wngQVt7rhz3a/TeMJw+ ThbJwYoqBa+QPutOgWd1ecnicdyAcdhxwOab1Wfxj3O8S4Sl0T18ur5Hwb+7A6D6ZSxJV2/EvPiN MN20321WB3a3ltqY5RqItls1UyxKPAJhMjXOVSj24r4idARTxly8ZDBhgQixOH4UapyE4RCHcf+l j8joyxw6rO4YP5H+5qDEwf64NNMvwdnahOWEEUYHFY/trJBNArL8f0t+vDI3dnCwMqp4bzY9i6Ua /fgYrqJrkaORFdE/qDsS0hDvSN979ZhAIENjxY6qhUuwkFoH4UwZtwW+Vm3zEfEjs4hPtzMnuvfD S1zAkQR2vigShkcIE3Lp+F5k7aEJ8lrIfgqp7Yk+3O9HaOBDYoIk5w1HqnqPazAyz7FXBuKJvBI2 QHxM/N9TFhCC50pwE0EFgMIT14Pwd+k36PLwvg+z9jNf1fdzo5mjXloUrznJ4xwq5zHjx7CUNn14 tkevtaqTPTkzeQSyttcPFGZcnCc0DWt9YH2/mQrQaIIsRMmmYlZEjSCyr9hiaPZADYsps72rOSwf 5t4AfyHKb4mp29UtOR0ap7FR4Wbt4PSkQ5/pVgIDienMINBkA9zXahMC2l/ZmCBQ8wBXWXnGv0oK 6vAKkTY+xdBniduASnELiDwVaZy8NkfLLT/s+rIf+keY4IuLJyBJsl6NvHDkpFEbvktC7PM1tqy2 uZaHHiWbctomlE3fjJAJJYYyblQREe0V+5WmE0saKz9Wea8lfHKQFk+sUCUuOrkOIkAJPhmgL+l4 e22R8QbNle8UUrWIVdmBs/yyjTF60o37Fddah20nSpCIeOBhe+bycPbXjnplCdkMiOCWiE4Zc1b2 OP4+1Hr8l7aBiHVPZ5ntVrNii2hIHMg6F7lI9cJIRtmRpumdywuY5ItSpaOosZ/Bz+fMnucb9W8b 6s0WrQi+auLBj6lRIXh4eWhCCfXLr0ryF1tZ6hJ3rppB1KD1+ul38QoWtgbtxdCOXpeFah8NFmPb x/O8G2fLxTXTuGOYfETmCBhfEnU4zojecq3pVXN2+vP39hBrqdLX6TReZ/XLhAo7P5Eo81IAjtaH 0tIJIFRk4BDINaPq7H3uoRGbZbOtpa4I67A8bprhbHzD1J7W7nFYnAI0VM0jMj31VOeXVMBiSW+K 7zSS2tvEJEqtXru0EmYpdsQ4XU7LpFzD3YvYuEyKc75gjxSjfQa0X8+iUNdznYQ3mJup98kt6THq 2KAXKj+rFFzZc6MsedD/8Bemp6SJpJNexiRodu7lmtvQJJDPs/WA9ISYovet5KlvRv9e+03ykcgk fzPIJjwqNz/qNUNPtMnqXVq7voifbLyHSe6uIwdAzq2Rw90lOHDn0TkS7XvZ0CpVHmX6eH2GDo4n vkj886s2vf+SsBb9SJ6r7ejsW+HveN5lCSYZsiij+QB/AH5wnQporqvNrQ1Jqh0l6tYmdOSTzhdH +eAk1UVr8VCbCHSPsawS7H83QWNya6+yB5s4TvcI+GST3vLs//RcFkAm7EW44q/twIS2bE/LVzmR WsS5Lls6Nqbk7OHOlqjNHHabarx8BzXzBngRkxdwM5ybqa8rjLl0gI1FfGYkhIDHh4PUHinyqSK8 waqKMzlNzz96mvuFNqHfLfzokIaOERMgnVnvmWEURKvkeSEi7+tvraDQvV4Qo7E686FfDU+Ua96g UdRXI04KPMGN0OnG6QnwS8dx/XDOpSWzEtOYjcPRRfWCbfEE6EJ+vyjkV80Xm250h8aPA67hhesi 6F0AVvp+KHEJeI8iCLcc0p9W6VRIN6qA4ww0QX0fcIfYndmy06qrbPvtyDuj2D1M57sKH1liEo6V BksIJMSzdgKPiOByO2kKbvM1ZQXLxA36oiJd9D0duStgrCA2R+ITt5it37vV/tAA3iFhU61zL2Mc 0S8+8ekr6YV+qrE9toaJVGMhIZi/qOWNyDBZT3kUKRkoLCyUbGrjV9rxFNmxTXhQ0Hulxm20bxtq QY9K6rXkkG78gtk/1G+DshijBztSI0FOrUmlWIyClfvjw/4CGEGuEIfWwQh9zL8RgeI4hU03gHYr BWU5dJXZJU+hFTPCFoh3zLLLS+NlmLwyUlx9r+4H9Qo5fQqJwSBzb3A18W1V1JwLCH1STBw+XgA9 3C6V9t+wllv74zr6gSDbKpqFmYVEGb5FJdxCQPpClIazgpVHRma74TQfzmjbIDuXqQDUDpCLPgqy tp47fq/Go4TL5TJiI++uU7uGMWo2VtGvFZGpJh8UnEC7lSY6tmsZ2VGcYTKqz5nsy+Q/WIQrRW5v dkG9OZNfbl6/S9e2BCpUBVjag7hVBBNc8HZ2cbaGBomswsQa7AiGH7Eosy3Z0n/eu9do4KuR3G1q iJ3XeH+720AplATIWo2tyExl9rKd7Bt+SdAh8BXhGnWQp254Nrp2LmV7g6FrcG7jNub2qCT2PyE6 wcjbYVwpNZxpXDWl7xgZbjsZRhofllkfBbiTd9eTl8By9ohQNtEtrUuanRZM7m/gU/uTBGjkc7Mp /dsvmObd9uD+jef3f0+IHCZQ7vw2yhy4lJQhSq+/vOdgGCGw/p+R5Bep5Zq8Qp2Sf8BeXrnoEo3t ZOcCMUXFqXlD05AvMC0u6lYQici5tNUASnTT4h/TILFkTG2L6CWiJpoS/JnT2Ot1dfI4FxtQqMX/ OxsZ13h8ISFICPGNPhRB0hbaYHL6SiQVikAEfERgVLKrP74CCTzT5gZ1FLhuwfLAF6Q3haYrVnEf DFKq704Aqu9vbkeFmSb/p8HXp7dU6EYLS/fZC0NpVrZTJY5mbWWPg/BJ56jAnk35PC5FaaMeW5c3 7CvoTB6fYvtFr3Ci1pr2mAdDVqTlSgEtJxupjzL8y9K+VAmF6FeHGmLP5PDPIcHfdXiT5mjS7y+l 41wk5P0WdmBRsrmu9JIkReWIgVG2kf7KCAO87RtQZWEwPPfLXY0UMqI1h1vwsdWig2sXnq34PmsW AYNb7bRIgZfgnFiv48rv5H+cxHeWiYN7nUubZ4o4f2NXBYAjLtp+rPMBxSsy/dLiezO3TgZQpUWl Ys/w/lOjHjGRkI8XNUlLihl8YDqWfZd2fNUCLjMDw8vv3pKuc7RKTZeqHbbnSHYHlJdZC3yT7Pp/ xcujROSNjEFNT4xcmu2TfHncvJ6bvtw2nrypvtmdyjglaD4yWoHUK8NlJpsUtv+XOa4JSoVfIXAU odZVRHC58DUXXLCo7Ns8W7jKsHrgscAGPpDaLvF7Nj223gC9c7gHLTvNbb/7DECS8EiyILi7GrHi z02Qylc9YpnQlT4t3UvxCTNn+ZoXxG9AezuWyFctpeVNfF91SDf5QPafxsm33GRvy8IWFTa99+nu 4fDTit2ydIcInukMTVv9VeDyaqWdDzBu9EPwBTRHqqilxNqy/nWCOnCS3wo0damjIJK+zJ0OVi6h Pj1F5tIxhFqvfd66sMFtTBc71gGuXu8K6KNYkdCnaVF6rSVIwcyZYDFlHU9F53UXZ0/bCeEDWPx4 CuZUdXLXFchNcF87lDFA8lfj331aYvAhuf01+uFgi4Lixb0/Q2uQvJOzOKMITF/x2CU2kRAWHYFs ydH6RKhSLFsFlc2ubKBbCaZpcYa0ETvPbAxW/7OuEsVr7VP0GDKfa44RN4LTynTu510BtihdkOIq kFB7PdAGMBDzBcAnSMbLr44tLzq7gD/NrWJw7NCTapu/rMmZY5EinYr2N9tAZ9ArK7k7y+sfo6r0 zVYp35FL9KTXKefp+O/D94yHH3hbtaWAk2hNjQomstNHYrQQZ4ClEOwBYgeP72fMrRoHuF8octTM 1ur4LaSqDRRmlpIBKEBAi/FEdLnHXvsAqjLTH0qXwLR7BTh4q9AIvEoS6dMonfO8jGVXNpuLpGTo PdKVH46zxi8ewyRhi6fEbd6DsORrCgVvHhMwxFiaRokIWJzWAOB5fhPzDQaUhlrPgs8sRG3kuziL +TbmFzkegUy6Uk2mRzI9Y3bzWDy+WLN7qbcDq3DjMvfHbZjtIHboO0ZPQMTHCjLqljxlywp1FHV4 cEClM84G9xRO3BDGDbfCP0eByz4E6yZSbhq9Sd1bvsU+3tPVohYypBXG59RUr9SpIrLGNQhmXaIe JeHdfUzmr8FZ5wd/hXzPV/6gYbl4WuyYt/pawoKkJwHxZ5LR4kNzkg3PYN8ITblHnz8tybHFM+jm 0XlhXyUkBNJCnOtCCm5JQjHstdyLI4HqBv0nc7IyB3tajtdbkUfCh93hLsCUGnHxDDTpsZ4iRQba EDswldXapuDFTCxU5QxNDGP/YUuBQHe+VaB7N2M/7Q69mGbhXfLkXB7nn8J0zZgyEVYVA0oq1Xn1 Fir7LLR5A2veZoxUdZA5/ZM3d0FRsHURehdMVIek/vaqAdr4U8qyzwiN7VtSIGC0Qk4ZW5f0ph8I OkAAUaIDDut1Y+khPO/csoUjY2xLBGi+f0LutqbmAcVzpAuaba9ONLLhsRO8pY7PZZ5RVY3FD+FJ VAyn+PjyOTkUDQtemudFCVBYtS0b8MiAKIXBQnAWe8YwT1zvSwrF5d02kxSj3mbegFh4kQ3NPrLi sJW35VQK4ugHC6K++D/5Zm776MzZoui15sVeMcfWaRLAq8Id2tyMiLvftLIA8YVcuuxprDTwN2ZA qLQIMr5nEm791rF/bgWMLkALokpHAzT2cc56+m5P8wu8wBIdIDOEAWp/DV0mHxDPTTrT31zqUCYE nVAgSAtV+EAVwJc62Xg+eDdV3psIcxpxY4I6UNggnluCA3M3bsSkS36MUGrvf+fncJ7HMUTrp4u4 PgISyqZOxYbvIvPCGvqoflv0jRXod26q2DCqjX8SSv4suZn4uuyH4bJcMRh9qZq6q4+T5T9LD9ev EJhqxa7KpKdj11/rIy/wUa0Y+BloEtIq5kXlR+B25mNNrt/OrGhUUJBuhVPl9IEWjMQeALtMM10D L6p7/zsWDdV4yZOxb9nUJaSXw3dDqjnB8IgLzTbUv/M3+3pfgpB3dIL+3pBNaPVnC5B0cAaHrHQM Ob/+v5oT7pz4Pjkj3BHxdb8fmfzVH0DuGjTzwvcjU8HyXBOAIdSkGeTq4Ap7u4D1rUf8cJSRSLc+ 7PTmhiQiLTi12cDXX+jvNSkB37FkKJFiic4XF3TQDqOQFSeieBiusbGbU+Gsi5UcKQzNDIEyY5Ze Ob2gF0pfbR68qkytNMq6aAWBSdR/IAJt03e0vjFfT80QpmJ7hr/Cm7LfTwAHpg+BN3H3h0zE5lHm OjHUtXKVpaxqg6yrUvxPBJ+aPxfS+5uNzfsYNILCyDiH71dXZBF0MP0IBFKUluv4/2nGJPxZMEWS tAcTnunhYYds8AD5a+hQanIL7AB9Hlsguf+yWsCxr/ybAPx58jh3BcrkcwpA+MBXFZ5vjNJLHIa4 fVAPfMlznkay8gd27FEBQA4f6Tv+uHxyVdQJMcN3jFZ5EjtdX82gfiqeM8D1qcoi1udVlRR+Xb3B tdX52oOhwJIomm5WZYsDJG+OYAoFxQPmdRXuYwpfxS8K6A74QrEhGI7lx5SjlVkH5ad+SJZlz28k AdqSeRagCBNJqPVTQY9fGAifmAQQdrKrewY026yLj2U1U5EOI+768Yw0+lC6ZylvzY9NhTwaZC91 vuPLlwrnWmlBk+nhXyfMIbm0A3WLHchPH+DJHV94pKWkhpSG2xv9ueVsw3mP37GePZDJEpBWeX/e o7AsX6LPeAB5mtMXDdKVUhKfX4kSLWtCm933u/S4HlaPZYkk+bumllK6E88N5Pxb6eCN5T+ZuiFA k5fYAxCCDArgr3ZGZGA6XMFD2Xy25cKeoLgY9Aez6ndoqK5Gpp8mlJQ6N18ymvRtNWHe6HcVHhr0 d2vjZ6z512gHeHF6VUxPjl601D4Q8v+zXTnrz58RBFo/C994Cy6petal8g/k4zjpNCofI8M0wImd McgI3J3UMYqIMPiDf3fyZv1yO8QqxHalNw8S7XwSFuFzVO7qK/yym1gV85ypjjDRuOu/CjjfDyyA BrQPSSTrx3tKwpJWtId8PgjW+erKHiEDW5k40WWQA7YAoa//pMT5+WrY+1Seq3dCG1cs9P/R842j WIDdT9WSBwxUlfT94E70nVWQ6Ba/rLXwHAUm03XsyP8RlD7q1n/gG6oZdkQ0QJM32fzKrVWoP/Ew GVul5qzFYrW6FJ3WmnpM0MRZFW6Iq6Q52YtJ+M0pf64T/MwMLCvx/wT1ZnNLPlS0YQdH8j5BLiw2 qDWtnXfwEiu0fpNaUOxirAKjSk7qE0+69Ai+Lm8sqoGZJ3AoI1J5vQRpeszl2vXJD4Yohg8gFlQ4 CJ9kgeE1CBaBqGVdJ7LToxqw0DHUNfbaiAnuGA4aWKCYubhFmtLdQ0clbW1RJ26S6Mk7uy6kV2UG EOjHMy8i858iVF960IUJrEeZLrmA3kIIUxaytgtN3jrhTpebN/0lvynKpCSe/IFxfFsWv7w9uuvW WFq0+azXKcuB5FTeYpk/pXWE4KJcHz4BhSvxvoHwkj42gnMTmiRVDg9j/qEwretVdNVspsXaHsTS xNXResDrLzLtWoSlfDE3rEOUX6x4RrtPAKpjKVlQQWc/rsomNTaahkNt4DVbRkOCosfcI5wI9GFP BWSddPqryGB2/Tl6CGTW+QFcI5WAgeahIvj0/Hnq9H08JAfs0szoAenEZf8XqQvrHYp22EMn4H/s NCrHid8JS15QMWimvNvcQqtb/OETbd9Wlw35QnRav9AE/ccomRUsc8Gx1RuWCMig+oDekaOIlJ9L J2dkoeabBgv463rfio80Aoqi5HiWSWdWAbTQSjAfn6hZSsUSK6ziDwZr4Ir55l3iQ1KIEq8aGMkE hoASZhg7m17h3yMHyW5RTZNprHLf5iC5LqrCRtGx+WoeIQZ38XAuHrVolePrlIqcMVu829SKOHCl 8fvldXvO3QxFw5zi7fxbVAl+w9BB7rtAuAfJ1UeMCWVWlQMpVTqnJxvEZe3BAy8uDGlqKXFPafc7 Z/c3vrtJ0zs4Kf8QwYvDteZqh4xZJ1JzfvwVzO5EHJ8TJUBr9fZSw2iaOlHPuk1TjLEVAibXk1AN pz4QyacZEFBvZX7ybL9F2HYo96khjMcL5QKXVpmskwPk3GFBfb4LZuX7fQhjrpVhkQa3iKiCfcKY R86jHq+Culy/tw5bC9qdLEzqRLvkJ7up+T5ftCWy8QPKAT9QFNi0tmhZC3iFqYVbpp80i1DMUvaJ jdmwMzzVyL+8ueOIdIP9p03TMBN2Z1BFI7vTTmv8DzNlSST9+LWU8XEi/yosuy5imhx1EFYyv3hL gztus6q4N90YctfRVym+e7qENTjwChZNjUCT1Pua6OKCwj51JO5QQ2/9OIzLRDYyDt/8oWfr61PX 0dmE7/Hx5wppjh+gXPzjKTwhdQUVN3eSUESgJHd+tNfsb2rMteOLddT0ZK3KeRK9xZl3N8lpN5Wh 6qQeeEfP1z8vEe5tvNdOPSvh8/CbsJOnsc7yKGBRfOmZVGpoe4+/dVlHtE4WYqQYgYhV6lHVv++M s0V2eNDrhGHctIuSfBNLJME8OeDS1PmLNDGTDaxuCq0XLLhJiPltj8HfS0fqcey0EExpMb3OranW RVhMFCAf3rb2KCke0ZzXFm4bkVlXQtC271iJM8QaS+qgpb56fsjKoEzsxl1e2ZpYt+nod3DMhgdG 1Ktn/lnGiICHZbDUmmeeRaVTF1ps2ffx0fPTsrK3QKJL1JkkslGcrTF2j7rhetazf0xLL0Tqjc/7 q/4zVNwMNbyBYxPnjBPllpgWLn+A+eH9IpE1wTpxVzc6tAOB64DBs7gdbnyVd6WFJFhz7TBcHT6i feQ9SqqVaWADe8sVpQBcXTau7zjeU1doC22MY/CksOklLCRhzazmhgNk9ON5MmOoWfhq0BEl18w1 7qIdnn/ju4Prk9fuEZmByMHT+QOUiUnjKjUK2xeLRaIVpTXsZ48wdgXZC4M6JxPhoknIhvoyQZmT 86eNT88UFEvDDUcw2ib1cPYstCkyyn+Dxb8BOFEGk4/Qo8h9ZRfZ9wIKkW5ojspro67KJY7n2kLv E1M8eSujS6Z7w6yRfFIOm/Kwot45fUzWqUei3Sd1RmzY+1HapiNX+dbSloMxsq3/5kZAaU5YVdrJ y9+VypwcisK/XZ60HUkhW1weXEVybUco8zMvcetJg4Df5GSt7ECepcnDj4MYuMCRseRgij2ynHnf GRetzN7Xex79qLTnEtZxsROJKSEIXIT0bdePoPD3GhU3QJ0AsPMu2uYUKgW16qSyvhYZfw9rP8Qu E7ZMYNXmKAHqcCw4eaxpfT/tZ0roYnseOI2C//jyzcbBG7siN1ynckMqUhI1Fl38gVeUs9HGoxc1 Af7k8xfIgD0oaKEStPJ4FfNewh1qcvBPTsBHfk/muF7PJDLr2arvOcOPSqTuwkXE90FaZgQUwv8s K6xa/pSVGF0jGgGz8IckjZLIo7twjBRbb5wsxmBFxAyjZGY98dU0DQbJwuGsj+rCNQkVzLp6Z4qu BUg+79034AD6tzZEP80HhhjrjGNmymJO0KqqyOf51Uy5qyV0UYY9kT4xB/qHKYeeGzotv6jBwllk xDQVbdSvi/TvrL0u51UfsTdGGVebSgluMCr0XXJyPiM4r34dFQs+z20/2/mEloZfmjsUkVmgbF+e mr68FWpupqdpD/geEJHKobwbUGclzffJue4kHq/ewWfZ4W0xTjhjPNwwKQpZ3fJtj8cV1f9ZwULA QRlGu/oCgmmNSUxjbPgQnLrXLBfHKE4zIpFcbXbsg+BLgj27B3Rq3yE6CL6E9jz1eokc+TI9rIMT pdCnuO9lQjCLrOvcNczdHTMMLPLqDCXT0pn9cihshxuE75y6Ct3nFuA9YfNLoJz5DEPzxzixEFvv aqoPixSKxHHzmZHaf2Bvbmlprp3hQmz2/NMeNcCqRuV0NuglFGr/rFg80rorZFmYa5rUs1HUit3p 2c2iof/IDzJC11JeBxua2ugjjm0kLfDz23b6KDn8iM81AjdYT6Nr8Tk1XW9z3Oqw0D4yZkc1uMT2 +eDEqt8BPofyxxhHmjisOeJxkEJTuS2KPqlFK5lKA/PHVgcnrk8bcgnlm7WHK2Vo6Lm4GQzDmtGP egiYbU8Hnx5H8Dki57Eb7kWRzizKI9otzNhEnBWVvCE/Mw7LnX+fkylqn4D0tL6p/HMoZsM1j4sp h7rtkxXt6WqlMk3pt9mL0ZUt2vX/oC0LlwGTFr8eYp38J4W9r/Z9EQGQhVrHvQ1OJHnTYWEK/mkf jvsQM/fWnf5sTRY2H95FqMahjiQrHqJpOi0tLwWFDMQ4TXJyYyhLBdsIQED9ZIs8UGzhgonymZVl ZbISwf8J/Muwjdcq2V0orxEDw/qcoIMyKcle3Xvcz+zeUjyGl9gxAnJHD+tfoTwKvmF/Ep3Vk6JL ceMKwUg390wYK9HCHVC+kl3Fjb4RsgzQIugmzlTa7G2iiv4PdomIQIX68+EczCWCOx0L2HUgNYWZ /aAie8awQxorexs5uFsPS+rp78bzYf6WlMaI6QSnWQlCNuwgYwRX53AmJhZ+2fUfHXlISQJemkJ8 Pg60y3wqRsAlfxI92uGdD8wVHDwOoAsoAhewEuDkHHOtjmFGVSvMBNua6O4zC+b/x75AddGRMdJA +REPf/dEGHCkxsMdE5mr0f0+RiEYm/XhzLGfYMimnaX3RhiavwV3fHruKlQsksoLO2KOQL/RoROt 1MN2Kpr7ZE1p2oO4QwMiovKElsS5Fb9eiZ52IIYgVUBH8TRNktV0L6xN8lywfOBX /cXjPA2Dx6Q= `protect end_protected
gpl-3.0