repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
lfmunoz/vhdl
ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/dist_mem_gen_v8_0/hdl/dist_mem_gen_v8_0_vh_rfs.vhd
5
40066
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dWNC5O/skI155KAp5KOWoF7PAEoSa9dlQ4BEGvYf9rcCz/XPmDGb9cHdFk41xW/13JPFb1vvJI0y paR+PkKOQw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block arDqe1SZUVXvjYDvQFyp018Qo3kBxAQuqhz4XaALefjTfUVxHLOl0QMJ32OBFkyD4ASVDy0y26uw p1WfQag4myDUgw9X1tg1EkSAjiY2T+bS46vpr/V1iSmCBLeMocwUSy+S6/j8P6sKpKVBIwYNIUk+ GeQaTfzT2jus4jLVuYk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LqZHaa/fqNZRMwLiq21bMdfwLQhhsi3+RWh3hUoB3NQuMju+GhGuY4MooZizud9d3j38An+LHEsr GDrcO/p36+T8vsJNZV7Ufn94KQBBbqctMH8grLiOOYRChU9QJegXc4CDvx7EpF95l1JQ7AYhUc9m BP637BC0zMrYW9oD9CA+HzYHObkLlwfKUJUa5Z9Gy/gRbRwROFmMiHl+pwV8bGzX77zFUBYh3AmU ipbAakCJdSPqhrMWtt2EhngRToN7G+1BVQ/CiA7w2ILV+Itzzt5MfEy1C3HjrlzXl51xB32BWGPz cV4O0htiI8hqTkuOzWq9nCem+ECxavU2KZ3BPg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hl3RtgC3zulj1VBs/uKvPoBXU8IVq31Yn6TPJRPRncW3nwHlMNJjYlyG2Q6bIzixu4iWyHB/ZIHE 5gG8ea3nTO9nr3GqLifJ9msptoTv+MyyZatl294Xr44J6xsXMQ3wJxbBNjUqgn7k7sbogIV78RUM TVWUJAXxrDcDKI89s2M= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block skw9tNQE1FYb2zddMb2x0Xv3aQBe+FTXsJkPGfwdyXRKljpuw2ofmzjM05rUP1jDryQRbTtcu8Dh dD3xnSiXkvMjtSrAPZciwjTkVxxCHTNTshjgHiMAWWR/iLuWdsgBT0JqfSHbGB1Bi4mTjh9x7oVO QYiPIzYyrgsvEVXMyA41XK/6Dvb2pnfqmGXyfQIu7JCvoIQKoTyIAfADRTtAp+pPXpUMu0h+quR+ urUNKn9hxxJ5BNgZbN53EXWHkiNCNV4bBXOgYFLdKkTahF9QNd9xZhbKYYyKomacpiLjvG1YMXv2 28nKiejJmdm4L2LPF6MoBAYk8x00rX1VY1faLg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 27920) `protect data_block BHyLwSnyIU79ty3AfPjH/wNZb8sme5llLRagWEJwaiB3Wy8JV2XvJG2zLeqtHOwGcUrz4ssHY9E2 Zw1gZjkKuNXy2EDFzkFZ4CnZpM3dWhU0JHbjPa6IAecG1DB+Z6HrkwIsgyonMgBihHFjYybfX7+4 HgSEDP4f1NZuUsAyaFu2WrCs52VjWEpGL1WuO/ktgSbxbMZp/sSCJCLXXu+EEanycZQ9lL3goC6h /h79ZdPiISG9tHH7axz9jli1B36wI3j1qIFS1agSXgIue4dudWT25ehTBN7B/iRkCTjM3nHSS2l4 86xQ4aBxvWE1gMGO66qaPdbuNkmjjYfl8N9GHQYuG4+0Yufg6necMGk7fLXZmvRMwDaCKZqj2IB1 zaSjQ2WCJfJd6KmMXuu/0HBGZNqeVMrHK376xgIY3Rt6yfUuuHzUpNdGb2RBrDLgr3Ifim32hDe9 iDcG1qBc8L+ScSXPclEpFAb2V/B5bnyRYa/NOU977Cs0E62tLq6DJ7cz9JIUbrja7EZkUBHELmoa 7TrMaGneU4mrduLR3Z9CRwfykvPffofJ8LZ1LPE5VpolRY3KGySpQMCza8X0BOG39P0Mx6Ekd19n 43YwnWtvjazIDCfO9Rv/ER0TWNpc8l9OTWx6tMookWPYTCC8luGcc/g8Kl2HpImNnl5A2brboDa7 rlJn21/YQGm2LIRCWzJjEqwupeS2gz5fUevOrqXb9XGHk1ZHNaL8yZKGe14HrPEFXHjyUnrvACxK HhXz0QlaqlowuQginwP5nJnUX4PVLKCYPWmCeVh0/7wGhLrKtHtIOhCEw/4Yo8D2Z1qNomy32A1k zNb4QTyG34ajPQfPa5aklxvn5RhCow12TvJ4KYxNIjSU9VAcRTgrsAtDIXRHMxCci+/DNLn/MHhD LODMWOKR6c9pH4C1u9TTzXWsb9XeX3FJRQ7Aj6OwCcspxytrD6vY9CJ3jp0IqE9FWSVJwdnDIbc/ 3bhPT3B6D/6nam8mXe3rUE6KXrokoIlgDbJT/Eqh8jOYAQuG6UGJZLfZciJnr08HJq/ljGNR2N93 YXcgbsaZATatRlUE6LjyD4fKJ5G72tBMsmXhExRU74MYbr1Wyw9jcXOfIBxUDM2tgB/c2mIfBbNn jI4Mb+n5HRblV09G5o2akN4Xr3iMOE6TQuPvhCU1uT7l18FCvmCga412yDyF72N9ZKVZfTNhflWU pmqbPtm1f0VEbhr+BxoHOJb3i/OgJwr8aivCLg1/8Sxx4Lhu0cATZFMhOzV6Zy8xIZIQlmtelWxf vPW2H3kxwsvJaKqfWPgu8K/AIoe2qUJDBD4NnYk4WBkBT59TJfZ4FyV8ukXwaXHPjaVsvDmsPfe0 0MbXM+XVfRxHAgsEcuGuCl8ALZiwj/VEUqFwXf3B2JCLS05kGkXwPmhNTIVyFQioccJ061JeNkli bTGtPtP36rKOPv6+eJpBPMq+njDSUhGNFNvtsGSZDlfn+bsIJEfMtK+13MnGMpeUJSp7ig4R6Ptf A5gMNfVTj9Ygn4WaafBoFLQlIm1vkVI3S6F0z7fDaieZhbvWgCOJZCK2rzLsJj3QVVTkBPlvniBj GVVfcdGuxh1Ri56EdoXGnHzlatTWi/yqmUhrhh6oODuQwnYF2wsqYrijxNjufGWkLglzMk26qeK+ 20Ai1GWf/Slz8/vHaH16GBMsB38Rb7wQPXBzJEfxWRKM/8cBvQ1TiYF+oZTxxnt4QN0leKcWbks0 +SQamdllxA5zZJPLYCaBfPdpsV8kDYGJz5Mf1qEjmzWk1kY5ObMNIIyiNuuHSM6oY/fVg4diWU5Q VFeDsJGZK6LjMd3tXmkZI8qBSqLxkXfsgtvIzRIXZbzj678vyEz5n9/XiwAJ7ZdG9Qv4SPf3QEQN IUzU1g6Jxl/KbTa/MiyOBGdJMIComErAYcR/OeI/9Y0ZiJBxReLogDREy0b3MJwOZt5TfT4CrILf CRBsNgtpqJ2Mgb1tk+YgQptXeaQ4y1m7rOTTUN1e67DarGsKn5bULtZoEjz2Q0dlXhsbHncyz6Bn 3G6V1n9kvz0v1qXA2/rLueO3jqD768j9FQ1eKJJvB9E3YQEm26mIpP57NANBAhCMfCX3sxbAp9Nj sIkaCKby0WGRZ6nhi+Q7hp73aK9ftak3TtrxLsn57zq1u05g8wb7uIKURyvD99PeSqBOIm+VMHW5 SapxFuodX6D+STRqAv+ZbMbMDwg4cvUAz7jl4pc+op6yG6MIFKDvtq/1xf8EodBy3esTnMZ4QWAj Hu4T2fUMwqz3AWNnLNqdDsMERxVTP5bqEdPpmYqo/MKhlMDEpBvWchcuCatjr3bMwbArNGltNjbj pNQIdO6s9gwiONc9EMLtRT+lRI+nPCkM+Wsg4WKVCxMuGUnQELvkFi4KMoxSrbUxqNVBOMgwRvff Unml0rt2BscGfw+PLcRZ8IB2gS3oRc9a9jTm0w8M7eEx5bwuIKhccbHSHwOfvnD3hKnqtezWDQAo wwgf6Xm9QMkWEBjnubq8e8gEalYlHwRmWB13PBQmIaiDOiKTSeSPKw0RXbVKAKzLubfD5RQwSPX+ jzyvWrnWC6+t+5DeWv2MLZVvUCQWYK1xHy69oN38r1de0tao/Qii44oN3G2+2f4I7qBS8z1LZ78b C4hY59jCM9CUhKv+6WJYfcTdbtU88voGtcQTCqLjpgx7SGGT1/AetAe7Uuqfj6s4tH5YTgmXk/SJ awoBS4i14tjTgY28izvqO5bVscPYTN9lwB2D6Dw978Wk2oi1C7MEYnMwbmxjw9QVLKj4IFe/FYIu W8IIYbx6Bds/mtiqStSceKguAXUKFGuZSWGeDMKY3xuZI5ZN/osplKB0Fm1nSlUhlGoASphmzK+t +KrVyRUPW1peTgAQHwQAMbXlgeqGHCsp/AutUd2Ub+jcOIAo8thNuyKH1x/KfmM8btp+FGaDeito 8HULTrCUBQhbGVIEdNwUDEEuxjfr38KJL8fK2ziZTbIVGLT59BlPFFJExdWzfAXHhao/082r2ZNl aymFcatAyJff8LvyZueR3mPVj1yZhr6+wNsSoQHXNAl8pzV9uYtG6TdfiJ9ifK8OBxaLC5hoBucJ CdCZ74ak7IM98BuaDsf/UNK077EgP48iInP3A83IkiaIr1q9J0IOnAqjtHMfGsUjbloj4u7LdTfe Q6asfmul13IuEXa9T1i3EhfxgY+eDWZA9R2dcOprobMNL+cHdZPplYFJZ78ZZzwiznikvRLLGXun khROCeqK9dB0VamWbtCSqg6cLQa8q0sqa7qdwzVV/xez4LNBn+9XUGK0D2exgG2jaMetqgpxoRo6 YMjTBIVDNXpl8hDp6ZORaP5EaE9QuNMlmzpIt4IiI5i5jY5kWkpJFugm1oRo6GjWKOwKm0zN4QN+ pG+1Abqnmm0m7+4jCohyFEwmDqECQ6gXCttUu06WEImDJ9xWfp1OIVlwqNIdPjujIW98rJiL5UQE LHB81Bls2Rie9G3k6YQ2nO1qz8XJ4FLAXX9ofLlVjcgI8rIcHLut/1oNly0XAc/Fl7oxXK1fFsD6 kdEK0/DWvsXJr2P+afNpvq7f8S36Qw0fcpxlOchB6AXq52WwGkWffnndsGhJchWs2h6vww0MqYas YZvUKHRNSZuw2UEohnGexK9hde/F58bRh6eNbwXqo7GzIWlhRFDRxGuV76xL2LPPQQPsxYI9L6HZ rxuMoiZRclbM7GA4YTwG2S6X9Eg9dm/Rm6WVkwDK1NRqJRdYqN3pc9M7FOaMD37g9BWNSileK7mm wKZn2lvFsk6x8eL4eYYKm7jEaxrkKF444l76AHsKN0pxPD1uSCYqrsTUUPXWvFaEKvL0wEL6Qk/X Ut55BMW2sHDBg6hwHa7e+M0MRE+e8Hntj7x7122g9dexs8sw74Sr8sJ8Ni9azCqwJ8E95k/nJt+F S7xWwFM96gOJ2DSAM0GW3GEClZtO8VR56Yz/b0G8+ebVjWX3/H41IAJHTP6Fpm+5asnlC4j6WxUx fVnXnKwU9rCnIYnrcphNM9leArbaoW7Oxn50jQhjJaKw6zt1dEd6wZDOyOB7gk90EXEMY61iKNfR YY9lSlgEHAQXS8RRiz0qbwkA1lzt2qAENhA5jHbxEt0Cf0SKg5JysjGzjXr0CO34VISdJL+/XfAb 9XKKFY2WyFFVw7nBCszBS7w7SROhGdYAEt/bcZZOFymnpnAC0YwVsGZBT7FOSlm2QvicbrT09P7d wKQwRZDXFKI9S5t0dmI9LhpHrwty+aGEqbT0G5jpvuR3yedV5RPTQ48q/7wb6HBm0MBn4Lvc4i58 wSIUx9VobQqtjCf+28KQyydYyJleCSqHpxXxlyQm5FwTYIeC6QOFUMPPr0Z6i1aV/BNhjdmYSiGM 9Pai48DrYDFfgZPJkqa/yk03pzoILBOZNA07sDUzLNVVvrHVEJcJS181VPk40H3hb1BUABQMkpcv +3v1MXhCg0WO9I5uzjTW/rbTJ73DfYwlQbmBqzf8abpv7wWAsn/mdQmMC0wf7/D+CqbCLqUns/em WmETsEc9qQmgx8DO0SFZlDwcwzgAGNXvPvoJE/nnIj32jIhLSchpxXb+FeooEDFUFgERIPElJwhJ kzZLyc3OvdgcukrfsGugEnl/YhNqRIaGHu0vhYqwr+3ZR4D9ZKd4q9jT6q/l8/qiPWoG1YLsm206 E4rqavN9iwxHgh/eDjd+lccLUd8KMf5/WBDI8Pg/pGbD+TWSBBk2pZFgSxwC0poCvITa4SE2D7eN MGkiip/JYRgSTUAImaZsmIFsqdYKqWO5yGU12P6U0sjm3RenovPdQ47/nzS4CoVEhH3HOJzqEvVH xp4EZ0h5VmgMI5vUOzdT1poaL6G4RCam7lJ84M/j8F4b15kcLNZNh8ekAO/p9fi3Uc6mjh2BSQVH MkqJN7lea0w2VI2Kja7LG4Yj62QF4NJdYSrnhE7zT5YPi9IKf43WtwwTXi6lHNdpUwOmAuqrxd6D IuwSah0NaTH/+WZzcDxhwJN5C5PN2Za2IN0uGSaEIl4CQjYJv9MO32vDpmDiyArfeSYaU+zTTaZn IzCzksiX0BVFB0tBE4lCenx++qW963v42VUlg403ehzjhotsQMwqqnXUwUSMxpTxzO0e/WV2EoJX VM+2D+PLTrCHwFlcZ1F5h/MgwLxvByszZOTvq6bGdchc+qmG9u0cWhY1Qxq1bQ7UNqxo42KH5D1N 8WopEyizTR4fHSgwvTof8jZy2zSRTjnnUMfU5Xr1Y5ygmUPirWla3qGI+qdoT5LwJBj1WIKIY7Ax ZSIdxfw+qAA1tac+zKiv/zfHLoNBdffLRcLxtmAeZcOHH/d578KjflDZL+RAp1GkGFJMkUBZRPGj MFFG/lBNVnnSfNJR53UJ2YONM+sfIXWY+PhjXS7O1GMAj14K7WO1635YEnQWbdu3jTRkuoEiffHi S7+n7Z8NeKdEWf7tbxLdpei9d/EGzS7rCNplFNkH4epOd8J/Suy+ciWkvhqrHRR+lUxf1GmkfD8Y J1tD4b4JX8TMzFRNz/xJ+/a4l1XxMXi5wR6x6U/rJh+B+A0DVb/2Wws9oPSKbVsS0fD4iSXS+Pzz WvfaYzXi4A2wj2wPH1CCFccaF1g9ys8shWnbsOYnMoCN2510zzgmpe56sDy+SzjuEYKhkV2VKB0f oN+Dq61BpOLTsQF9JcLwkThyhQTN2Wb3/j5xN7VLr/HLldEuqG3uLDdP8b1GnMG0+MATaNJhCoxq /7OPYyDps+wnG6uoZ4zbC/2KXMmqjYUr6rj9DLLEuGp7yFddPYNF0L23i186XLwbb/7mb/tN9CEc wSv8ay4GJzD0YGYr2hNj6pVy/oValL9jyEo+FHKB/Q8GBPPK1U+RaDFE+Qb17uE+YaoXT2wyPjTI ZKGGgxWI8s8i893L/Z9rSQykLdjZre+9l1+g9rQge8Z1AeAwv/kJudz1ASW5fKT46zalDW+Wtah9 qAJJnFuxIr4465LzxFoFqofus5I2U06TCyIiPAQOOEfPHjRcSLJYOjMc2G3/KN99WVzOYJfSTQXP e0+vj3fiPHygU8DoghR7NlF9AMJ4XHBVs5F2+OW8MUVf+0q5+Z9k7v0XX0/SdeNC2EkTzvAAj8Wv IZ9daSX9Ml3bp9Qck19036sBuw3s+3S5/8xowa4ZtVkfD2+u0RwI3ziq4V4Gg6tsjnpaaeOVsVny bv+BgVP7ykgHn9EZLhkCjK49yg5tbyTTxDMK9ixeBbDbeHYVP2HxUpX0Ei+XUy5Ib0YscT1dM2wG n1LGBszKnSK1uE3/cj85/Fxk/tLqCaQO7Pd4U4gFJRxjFmICGRWMdeW3qLUDHbxLGSk8kSYmddob EY1ZwZ5VG4/66JECMuXgI37kvPGz6mqoPSEFjBotXibzDFhat4CJIKArsVG9FXjiUYPbNEx96b54 ztwyDScnzaq+s8VBuBii1lB+VMb6AdHPUjnDgpZBrqmHsiOc6X6pKVy1B1GmgbB6Y7I0iB1DUr3i S9AMBdtQwm1ntjLjlWbmAqu5m9B6Pf9dMmCuSq6bli+MsV8y+bV7Am8xEcc4Sa67puDd+2xwUP3d hhU49AgTaEtTxkodYMtrdGjSupeT+gsziM/beb1Zk7ftJO4KD22xTF6DLIHb8wdew1XGC02Xk/4F kmYSeiJLLs06/aZ33NtflhbqXvs1IuRAoWJLlDvkV7nNskz1NnhIe7HGO8TeSnTBwX8GBJBPabKa jtQkUrrw+1VWXA+FWgbWtARfv3S50OG/FwLYyBFs1HTryAyOvXTxumw+pdaghwC6ThOt9iK9S6rj 7NXREn2GHBmjwd1FeygBtTH9OkQF8+VvpqNdtNIk4ze8UH9SEw92tUE+PDwAiWsfAHhQVMgmEDqp 74dV4DcUZp6QT+7KRtOItJrY68FFneqfDomNLsYa1fP4eDayHusj5WVIJK45nFKi+WSDj8PXmihn kL5IwLr6MahWbnhlx0eNMzLGqLHow6S+pIc2R+s+Zsl+4nTW9G7JBoVbC/f7aBPdOBGSQLK0EhC+ /TAtpIJ3++6nCFIdewgolJtHdHUUkLA60hNZOtnOqoABlVfQds+Ga60YNkrRfs6wXcBLOoqDMWfQ 5NEyyDTWRziVU9Oj1ogsr9DPwAdvLDHR/D1kEi6n7j645npZ8Uks1YJ9yvFysT0razXQwWON5Z5l 8F/MUyiDbTJFEKIx8bCR+hz9vjxQGf6cCm5J1QF4HcBHH8cCMSBmvRcx8uWWu2iPDnHTC2/6RBJ1 BWU+xCWr3CgC29nw0PUUVzVY5kN2ZtMikeLmzzai/jLc4PmYaaKPgPaAkmZyQjZix8No5TAx9lEM aBw3369R/0JmpoJlje1yFHuc+12hLvlbOc+oqnpDhSxDNyd1ZMhJoSEnIiX7/YorFVCYPlVS1E4l r4rlHG7etOI8Xz9itJ4CUZXqH+1cGObkdCt+AkCYdp4+mQgZncMCyd/M4nSVSisZsT9x0+rgjXIy LU5E41YnMtmtaPsQg4qISTByDw27fqZLLQ1ejxAsIlieoQpdO9x7LhFnBEy/aouy3BjNsWznuJg9 0xqK/0UUH5ZylF+10UwTtB3PrIPl2W4dKI0XIT9LY8VmGJnOaRxCq8bPqb1nAUXfsBeVDwkfcsz8 SoRzYtyVXGs47IwqRZ1gyHf3+XC/bHUcHdiUBxoEfLGlelsqMcskDgTigTjkgI814XtAxXAy6tEG wMWsNDr5myfQ8G7sFJe1nNNX55L5p1HXPinlkmRpE0MUfv2V+1IZPrDlyc2VM4gclNTmTHeNmtAS lOuvMZhI6Ry49UJiCY4VXmAhchKw/y9Ijq5xRHilF0B/zmCkTRojznvOcoxwLA4E7KfGXYLFiYAD VuMavXlmOnfVbFRoESeLRgiHE6VmPXcJGQSmUEHRCAhVb18pSozdQZlMMeeihmzjWHOvd4nSHGAb qwTIYxWQYA46fXcDXZXGqg462zQWPdpwpEkAEHZFHVTQcFv5AaUB8foHOHSDgKp1F29k12Q9RZ92 YEmHzecVe7MtVjoYsz7KtFZl5OWaWzcQn2h+lSKHBYPHWjXjdU2FP9f9YaSTevD6E+pAyikgF6t5 mcBwodkGin5+iM0yi9JihpeYhlE0o2f06Bvbqd393hKrN7t9m1W19YxeGvFV2f9Xetg43FfnNJjJ u7vkqAQIs3d1RJYEY7YfUGS6YvNdCWwbzERD2RDfxnggDnrpkMQBP+GzeJf/O2dh8p01+kg8kD8S 9j/Ts+YN229H3ZPadGc7A7PilJg/MBOyz0gfSDvrb0SQggZ3JDTD7Us1JVwDmBvykKIUWwRR6TLo HyBN8dcYKo1leJeKm538poKZ6LaCPd7/i9PEYWP0jyWNKqE7hj9okRz81V2nUwJLiSiRnCTAWo2B 0mLGSOx7JQuSAeNJUmNnDdO8/i0p9Pq2wuW8jyuAsaD6wVmOcAO9ZxmuOWF56LVVsEWyxH5fowcl KZmQA8RSxSjCCpQgeJfJBpfOfJRQiRZPEFJwEsx5S1ESxkL8Qf7lZjtkwLfn4Btzs1im4zNRJ/om JQRGdWHMblWpG4Kt1yyUtHj2tKc9f0sej5Xiax/QWLoUsODTME7tHgmO7dBCk+77Ek/yisn8LIjH 6ay1A5ZQwrDRrQCQ5fZyULWCpYqAeHqh2fJFV+WD6iJIi5oV/Wj3URjA3FoH4BOl8U8x+cduESab qInu2I0ze5pQWI3W9ofjR1LGR9/nIYBh7C6rvSh+BgDyGcybgtDCMlqbcD5ChgXpfip1/o9Z/9AZ +jLJfTlPj5ZW8YwwD38s2pC6K1IJMqQxKdJ71ZuFBuHm4f8/jmYf6UwU2ZSxZMiyps3H0OBuMELo llJxtK13m+nYk5c3R5pYssmhq2TvnfydggBd/7AN2QCIbVbsgowwvNI0hMpT0rfsO4X+vudbpDjJ HwBab18CF3HwXC3hROZqojEeueBMg5Xy8rL7sw3v+PfZCvI4M4XjRSittG0fI67bJwnjln+kBX1e eaUFPdxCG1NZTWFDjbwq5AefA0cguoZHFZ4kSo5Um77CK7X886ya0tmEKsP81BFFhQg0p3YjOad+ kVGIdLnhb7MlNk2DBLTNGq7tSGPHryAv6k/rR3t/c2oN0WmMSfvYimGFctGHdSPm7oUgucV4nlLb PjoMq36o4YKVqOP9FG4VD9rtsIBXxxbWskMonT5A13epF83eYuTI46YNydLgG20ITMmuPH+MsAm6 ej5ggfYEuMLXRwqzrDb26tpBXx8X+N/JjWd6Htukwdy7Pj8QqYNUzxqx3JIsU+ZhPBf7I9MJ3BLt TAeewDBRuzCF3a346h8ECwyRwA13FGoEwkRUqASnl22A3H9nlb6UyDh+oG8aht4L+yZqJyEcVwfm nsWgn1j7IVQkpOni1xzAxGjcWSgSfxRIHjtYKsDjeDrL4hcp4TlyTK3I+H15ijhIMvYVvhBt70ib zaWPcK7S2S9V7N6hxwonFyzSo0wB6WVBzFPtST/ujZalvKmwRRZNTuzVLZfr7E79AbYWI1DExAu3 zX6wD2r910FmGuc6PvmtNVTguYXPX2pCFvz8Gn1R8gThfHbMTYTBABNtlxyvEI6StFp5ifuDlh+o BKzpBVLGqK9MaWwYNORiX5H1aSHJzcv96sFv4byCnwkmhqklGPXdVlsuu8FpLYQlMhezdBKyHhux HwiUJ7SU/ywRwzO82yYnGXWPQabLhvtwSKqPIGA9wf3a3cjFCeNf9I2oqufZT4WkYblq9Nc3Aduf e0GWWyxzR2jnAKl6stJTVJuXKOm5wj0Pd8F1ss0lgrqgE5yg+t+/x0XtDOvi0louFxEjKI+Zp1of mVT5iMIDnk4pqyxQUaOHJ4tz0w8Bkd8hxiwJlUvBSYLFa5PecDN+sJ+eeM3W2xeUEpg7/3f4GOt+ SwLelxVPlXtzGxyIlbsmKjjzO0jFI59a9GnOTh4zwYNwmGVSVIlsTwToNxukYk1ew4eWMUdY5k8Y 6ayPWJpQKlXUqfDXI0RhzxwZpZ58IXTlUq1NdhiH7yFntvWgrc2QdtFORMjW0HdPE5cPX0/vwaIh C3gqJvYdypflJjSoTfrBeFW7yttyG0wTZmKRapOF7jqKUuSQLE6GRrM7nM/nG/HaEWeBXvRyez3Z /2gxUVsyPtKoSoPXTEsnMAO/fT82ab+5bBckt1mIecoMsGOzV0b4gAoZShaM0R/yE++P3jojWdc5 xFMIhMkSOLY2uHDjwN2CHoqtB3QTBafl/Ir2Y4OKzdk2LRbIgSQksSJxmiJsBlyDpD1dIQuMF1KM xUBmXHTBVlIFD0VeY+Mwtlb/zLoirdOnzQ/CWwzKWlKMJRIOrg8mL/HDAnQ7A5YMEdUQb3aC8dwK K6NMw8WX4VDFK/FiRTlVF6Dk3KxVx2H0LHqAYGspwl9Ouwqexs/PtMP6iW0tNnti2bl8kNHNOFA/ BfnzxbxDz57B3nKX0vanmmOemOS94MSETCxWF2PAgwpGicVI+Ac46gon9hHArGrAAooH2Q+yomwf mHHuTtwebAHolRpKkHFxmHG9HmPsGwxWeHZgzvxdbRmgxrN8wLBjdz3ixOpuL6M5M+788ic05Zip lLGKBpbg7P/WYEbjO4VTzOYklQWQw/1uDG7+BG0XH5CkdYU6OYzWR2jgBaZVfFLPcAXKIaQhyVFf mcWVMA1fmAmFN2CSRjm2rwJs3xr58ikNyCK75pV+RLazezAi4I9Lszm5CmFrj7u1EmDZGd8elsbD IJBrz1VINBj6CQgejJYBbX3+g7tedNAHmjTCwtUuWLZodh3KVL6jjXq7CkTkf9WJMho0nee3OxIM 42bKujx5hpwTDzRsbPTC4OFy3iwjOj5isnyTmzUJLDxCay90AJc+XlKqqe+hE62zWp/WZ8/bsyEf 8rp9/j5VUr+W4z57fwRf18E5iugKqOdUb3Hi0TCyznJRRl/tcW/dCDMJjQybEBWpOU6i5+q0cVUC wJtlCOHJTDvYQ6ESqAOA2GIkDE0HUCoQ4VWkOwGkz7OwBLJUosUTSFNjUJS5t94WGKVHlL4COsty WOwlmcetCoeGvlx5L0tiGCyr5JFKiiyKXWWZTFv2Pi8zF3ROFMRvAMR/YZk4zuHYrAFS0QlL/2xJ A9DQTqVCB5SuuMvXFy6lKlDlw7oq0Rn0QEXAikOFbBpj5pxlM59pKXHkq78ygHcARAjZlzsy2zyp +FQDzD4bheRNW4RypcnTZxAluQEc6FWVBWXVm2B37BBiu1iGDKfa1/SMRcCvO4BrZwzq6NcGwptJ VBUBDnHjr4//hTbRUXiC0D8o/U8denlEF5aHbArBULm5b7YARBfJ3LrF4LPIYPpvu5DVimvRHD+e ocVrT5BiNl/ckEIJgu3DqGcBlhCuKKwNM/OoNRzD38KSjaZQ1FqiVcMcdLOjaPfe+EqAoY0vbFTU Y3Kv8RG2NVIR+1jVrPT6po9N545JK7QzjT9O73BeQ51/Igu+nv/Q/BknMStYEvOSFtwc48v+7nlX K6P2NoSadDhz6q+/GQXDDQgLY8ZrXjQ3w2ijrl8mByq0jtW1Not8wH+v4pusB0fq8XJ/RccG3EpN 4NC5L9i9SOJmuyQzKtRIlNKDyb4FV5RwdE4IVsyiD2bJbGn7hALdT7Z7QNO9RQAbrkIllkJI9wqQ +eUXKdL64nRbd3ELMUd12A/UHJgneee4xq+8tZ2jmysJxSX49f+419TBiHU1N1WyQLn3+pLVEzIF cpzRuJIo6SXdvl+WSTZbtAsG5qvmPTT5sIvsxZ4CCL2UTrfJAAbG2z5sM+7c9YsSyPd1mq668COs TveQn3wcJI6YH5OHepN+zkrMKZ/dr11J3/QSWIkZPvbkH29xL3fB0zoqtBN4BVzxeURgdiI7T8wq PFzyQBv/lf+G5HvOb4K5Q25a6dvjnJnRPKA0uHsADochZ1QRsOpvXZflp3LMZfLRYqWo/1kIhLUS aVUs4XgEGLJDEOw8NB0SOk3RKTB0hL1nPTbrNi5baPmzIhqHgDgjcOG7eqV32UZQPzl9b88dRKiu AnCvvWqTbUA6mvcwQTzYqmz4zdUjLpMj7fLJpSw/KEgzab1kNe3NuXDLnj8gSIpo5S1ctScz5QaQ xqQ27HQd70wU/Sry6g+VLPzsSQC6dvUsxCyv8O3bHaCppJdbdOaikdvxVgDC+WDIuyMdbSbCL8o0 J/fH4GJNtWCj8fK7r4NZCY8EmbWznZckd2N4QgSzOZQnWLAHT2hPexYwU7gg2Q5gsXIfaC/wmhsT BuL/Td7gGXMNUD273qyJSDOtMPB/eYenqpkMZUh+rlCQ426Cm4wL/k40CWUBJsEylzelEKefhes0 q8ztWwrPL0cLiViA85tFMWr5AUuqq3Lce4ou9lGrfcIAPK7J6qH6054ij19+mCDb1GdoLuV10aiT l12RKDCkb7vrfidTHRqGhwi4Gdl/oLBmiI5U2cQ1IcNrek9pJ9FNG3qF2GWqy5F74haPPFGuqgIA mKmd2UPpKNOQSkaeZeP0gDihi42kudC7l51fC6DLyMJC/4s/RLen1cEA+qPMBGL1YyyxvuInq6oZ tkKMNMdUZcjbfbyta2Nu0JNl8sWb5S8btxUopnUYXeoP/M5hdbZs9OEvrTruWplagvd8Hfdb0T3A 0oewsz7/nubjNvPaH+XhJMBw20h0sB46UPfA+ZIeo6ALVZQMdvSRCciWUmbKZrmVjfgMBcqlOoPx AlgcCmAbyZUrC+GbiRXwJcHCHXYQcW2uuCX6Nj7XYCP7OlmWfi2ZyUqumxfBz3Suts/WP0YAm7k+ UizwGXAG2Jv8+o3TTXbeQx4lbyESyxLTDmieO0DlH3gxD4Y5Q7yt5q9g6b9j/BR1bf7jKz92r+Xm iQYzZpuc4gdpG0+uS0E3zRZSAsc2q7sz9gtEy7HSQkI1fnuT4yF/11Ws3igvE7vdnmNcORmC62rF fbXryuND51WjhdQHOWIiv4UAABLrBrNwtaqZyFAsWYegj8LXJDP6mVzP36byFGuegulRFq5Um3d8 Tmuw9PQUyIZLpQdd9Xzgewe0YtM1QT1dLgi7seFZTeQLGRuifYyKfLVvmemBNjF5PnBjiBj7qP9i O75FSOY6m2F+9uF79EcbxlcQySL1ZUa+HU1+aIA3kpKUHceMch0VURZFWK4DO2NYGREq28S/iiBH SJ+c9jAtSD2gTeSdPq5uT4Zbg0XV007P4PKIyNsq2ZO+RqCj06yF0xgEA9CjTn049oeJk7YO/MMi zLi0y4oa+vkQsmsRGInuJa+2lVSnr1LF6q4IYdcdy1ty7lS90XlkQDEpiAss0kappcB3r//qqU8r HqU6VevDxrLmsDW4o9MMaCQCqKTC4MDQjhHop1HDHu27QB/FpP3/fgicUQ1TgrqEw1CmUmOifLzy kjICwtCqxNherFhtDinaukjG/vVZkt5mXy/tFcUqYGMV1cGRNJdDnqt207f0cXXMLtAl1IRo2BLN PrSSAzQlYCnYhMFGQYC06ArJplyib3BLc62khACK3ModmUmrl9neE7Am4zD3RVxQlKfdZEcGe6o+ uLascCcXUD7PrYTanZPhQh2vzjJk1D3FE5eOtvtbLaXV4I2TqnAMenRGiwklI1W8Elh4ezTfdB57 POZepvLRpcfwtWEfECedDIS0Iw49tRVNX/vWKsdGDP2NbY/AGAPYTwdfU+KWu+URX3QcBXT2tV0c BcZMZBdHykVcbeyca0oB3cnZaJrGT/brC242FVkIEeRenMrRmY7fsqtXA8YGIMscVU9wp7VRJjXO vbbnkS4cX1J2C3IDa1++fTryzr7m+KL5DfyTD+1HsNVwM+ZBt4t661X5njX2PmyvMASgd8q3650u EZ4h8yWJRdbigBC3BRPrvauHT+R55k3B8Hw1kbGIfV8RUltDe28waYV1Ht2IkPK0JSfZjhDV1cb2 U1KC6ilcGNcCkSwA2qQGcC8qhccc7sOvxwhbG/XIR7wxhnZ41w3KTi0gE3xBRjg4rkwVFX+NN3wK uslplDXj/fJB2OEc0DQJG2RVW/7CqpF+EWdn/PDOelcGYnjodDpOz9AL5L9tFKZ23RftDVtfUEKJ hZlQU9oPtSuuFYRoNLy3ZXxaszPH5xOvfFAeIwQ4F1cb+P4Mb1kB/TwEFVqVppyYbjwditD6mb+q oRQLDSMbCbLM/IOukonFF+asSHnd8f1c76fTjvAdHVNd1j9V04CISP5fj01A1KF7GmdyxqJzH0uB 5lLwOMxTCLztr7tt3yfo3l6oPAJbPeXx5tvebLpL4mhZoOMiu9z+1Tj8oYglJd3oyYYnDsc73DTE /EejTManIaAuBE4VP2PUiYK+drpdWHlYkFOMEdlWr6NiVr9s759QR64lyyimORXAQ54W8RKaNNQi GsIrKuI9G62xHImU0jFHyN3unxPBrdYg2mshnIa5E6N6Fv6+5y2tnxZcOkrMg1w5PNnt4DBzyHHu 5RSu4i+/9k4PzZ9BB1l9vAOYheKxPgdgvmdrk0Pa15jMUMaKFQ/WNClyKC2anQ5E8V5rT1nWFwR4 lj98XaEEjT05yM2FOTdjFNqAC9v68JEL40VjD0xX3Z/z7VG7FTldKSq/wAJTuW/0OR6kkqJBLRG9 GytlwOezACyhmGOCyJxQ+WFCUVUpE6CstI+76Lgg8sfHsdBsutXVqVQ2MunmJCEMU30xf3o1MrX9 9CH4yXuVjxmMCxLJmMi7iweqNqIw4eEovwn05s7wbBhPVOhjEi1rp4w1skbehCn9MutLUVSlPVeR cp5nRZsjdi76LpJsxE8duwWkpDNF39NOrslLhoSx45akr3CO6siorcCdNyxUQSnGTDrZfvjli9w0 54OHc3VH5oWooMvrru6vx3wZOy3/Zjua70OCnwOxkLLatEuzp5MV4+UgLoTiMLxqLdpL06pu075e TFVJRbDq1USGTIXCG8HqIAdhDOlAmJI1Z48KNGM4e9bJ972+wrjUa0XXJzexS7XbaNo/ds/YhsW3 KG8IF/7Clrl2VoC3euKvbTJvWigac2hx/62P5j2SO8OZtiT2M0dSMjf3M6f7SK1zYjnHuq8KSYXS MGJJphAqykIMqBqlMapvIr1uo+a/PV9/PPJPwWTcyMdLbBzpODvNbvuuMZ/n3t+K9A7U6U+iLIQ1 nrOD8dWtcjLqmLVbbV0IxbwCSb9/zdJQKZ0vwG4rFLdgHF7ekkod7FWUeEuYjAzqJ+5rCvxXn15n TWyV9J8ef8VAw4QGzAyrgI0ORFPJkMoQAHEFPOG4MNLM7uoeWOGdR0BaOd6XizNg3G53iFIla5Kd EnZPFyeQ84iDxH8Sur6AKTJdcl/SKHBqi1wdM1zQLB1JqwSe2YBtLKVGtNigwUQJA6FOYlnXZ8aR PegsraBp381Vsj8tyv5KyQ7zp9jpdwbXA++1rymEJ4I1qdBsoo4MgwN7tqMK725ndFXW9AqaoFYf fWSirdYOzgCfTMpJO3vj2loDwORpV4i0zpGIZgLWau7bWiGRNvHfJe6F4jiqKF1HWxPq3GIzgpzC DnFRoGAQlDQvOhFGqh3iCMGlP62AE3RwEy396ME3H5+St5DMpK3CI8VG/J/mqsyLdLdjFLODWSoX TOgGU3A3LoNX44UarGRPkDk3ab6sT3/FrgtRAIfqaIPGmLF1CLbonWEorwQsD+UdC66zlSQcK4t9 lci2uAMrEulehF7nM5Wayps7YQsBWF8DqALUBftpPLXiFPD1jeYz14UxQ6NBUGw5hEOZUBspmsBf Rdj2zMP69YkbgSEd21o7kppy5KQ2SyfkZcO5lk00hLrtj/NIkfS5IJBI+qmSR7diAQryAe767Te8 OtbSsHuK3/NgcPK9BpgCAqOwLhcZY90IpwAdfJnqW9PhRP2ShL0A1LMnKegqRQLZXTmyj+MBYtYs VnyfMLCiYIGl2lc2pq4XE461d5BXrzuyTNnMJ0wlaE9hgOnBjMwFuzpJsizCroVagp6GdsocdwnN 6oFShSLFki6CFzqiXW1p4vTjwIkF8jilYkO9P5EyBI1JToZ7lWLzTCe9vZiLMkEdbowu/bsNjQEG aY027T5J0P2fX8pnLmUKy2kZSIdFRRKIDGXAh1OJjugbymf4fxJvVvfpjBUHXZtj1DiO63BbGCGZ gaXgFNY/C3mkgvUNBAnFTBLN1lkimXBMg7ognLmWcSASPRS1R2caeiWKBqdGlRpqdmJ1XQuEZTs1 x5DyGiY7vDlztmBGnOlxI8gewR4owQ0ldoxjZrpZjaPg0bLmKGzgTv184+p3ANtFIx5wtXWXuvY+ o/xADGqH+/5eEDodFTwjvKzrA6eRtAKML2hZkcd81V2ToOO81rfNQkfvYX+B2EKLgjN+40UarOtf Y1kF645pLV4g283CWYG4i7RN2nFYShGzg6DHKpgosP4CDw9iCyXEGIm14Ooq5BIPy6dfAy1Mag1o u4Lv7Dah0qEiLaq5Fj/W3RRQDRz5jh6QDlG5mgJJxxAabOB9kQ5vClZTGfM9wI+92tHnv9swDIkR G3O6neDsbPLHGrRSn6CXT9TiDbaMoKoXBo+kHZlRS9zIbvUnUC+5KLrUPB4TabwsJ4QRg7mRFPOL EBbRPRHSYMM5QfYJh4y4XFhsoradeYseqqYAOrJn29GrlAyO6ZZe/PvIJTO8X4rthNg4ckZhumDz G/P205/KFD9/fcT9gxxMZlCcyD54+3rU5mkNIuOEgCDmUPH3skxxe9NrNcOgZvrigOH/KdxqFSOe 3A2XwDt9xKlou4utJzeQ9MJt6sAMMf/Z9YZ0fI+fzEGy/q0EellVwYUS5R94ZzoPPGP7BvhgB+q1 r7+tdFYj6CTOLWSylmV6BS9+crLefC4cOAMk7v8EfPN0RkuRvU93d8sw4PtaaH68dVzOxFIwWqeQ ypE0XfncVHUkQcrP39L1FeIyXX6Gk7lOvH06rS4GgRPkUm9aCj2vxSpFH+3SYkwGisxVm95x6K9/ L2hKZSo3r5O6nlckuJibsRx7AMZsxPjFuWSUAnXWAy7LXyXMq56+VmeA5Ja7V5Kg25GVnlNqMftK x+6w+2UFwpDaIt/rCKMcHq4T3rrG9XjpYs55U/UngAuDZkltQEJMIYcv8koHy/cnnIUlf/AWx3GX L/c038C2Ll3kf6Qe60OjFTd8Vv3658hEZhNAkGM4rfWGwwAsDX/QLrRWwhvPq2AnAXmq2LMXM2P2 7r+TWs9C0gd4Cjr9iBO4bOiARBo+VgWccX1EkqR9aehpZjp3FiWb9revV2Mx82RpT99uywgD01kL rRKmT8VS5lfESEkonBNkVGbU9D0W+IzIQbq6Kft2/QhtBUBcO/h+Ifv7qlIZ+fNPe9N6iMY2jXMz eaSB/14qdhaqr6NERuPfR+1nkSXu6YmI0jJhV5NkhEQBC4jeQMZAAh4FvIKUPEIgCtY8MYuoRnxT 1ViU9TGtghZL4b3VmojtEhyzBK5TF6OM+UjrZkmpE2VwQDzfg9F8VMfnpLp2NXmayHC2Q2XEJEWg elPeKelsjsk9BfgckyMFmJb7Q4uNHrZ+ZHLGcFA+/0J7VW9ETlnvu2eam27QavHJJbXuTDuXCeWz LzvH/iOSxFe9jLtUkC3o5wrf4sk2FF3WeLansLsc79Zr9RLWp3IhSwrrWYbFoVmwxkPHtY2mfN9Q 77p+rruCAD0SdZcJKpIIteh8g0HkDJVJ+F1Yxqd2rzIfud1H/pxtST58Rr9d9RgsBSb3TtMirH7L IVhVsPJ2UzCFZ4aXnQfwgSaX3MUrABdJS0F9jkl0L9Z2wLPG9t23knsm2vKiBe5NByu45Dxg1WFr mGvlrMr+cQYywmYhkNuNomSmMCz2LOTaBYztZ4tiGnYMRCf9jFyv6hpmbxBaerzKdipLwV79QoiD QqqWDibIW0L47QZAXnJ2/mXen2RYj4lGSR7GWam2W2EwO9F/2efgKGUibc2jLJIxMMRGnVpAdEs8 b0da570cx33289gz+UruYyJEZBklgB21nGr61mzSsllTCPpbQRCFJLp6epI4VoYkUclmy+U5bdIh 0PCWN9KvC+anmXPkAUhrewL4uaEqTk29Ql/J4dYGDkyszAdRpUqzJ11tfDOSRln6vTzM5QRq5v0Z Ix9AoTvh4TSOPe+Po5MnsYGXa2tzuMAbQIWOHXYEhpF7fHKRvfG+GrUbtp6da+3niXNK6Dc07Lh3 nhpqw7GUPKUpyFXdCo+G9eUbT4um0DH71jE8SdOD0Idn+OFYJ97Rp7xcMDACUWTmxZKdP2Gc+Gi4 PgfCAV/U9nZ8AD4cfAoXRr80lbhM8As6ongtzkuKnb0uKZM3UhicMYrQYzybwNEbKbvK6605EDWu opDmTZdasbnkugbZXJX0ucKQEfliyRoqQLQy8ra++RRhelMVRTlT4XnO893Rrrtl9qP0aFZSB4LI i22kiKHJ4Peu50t4rqz4rKqYsh7NoX0KoU8wubUmrqjVWLrghIoz5EwsJm5pqV/TPCCrHzr7SpEb dlf4Oh8pisdtlQreLW1aKQjtHMAjdOgUvlZFPCZDD/AhlBwKNV3rXN3il662Y+1vi7jvsiQjfHLl Z6ZnNqoiiB5JBS+cTJb2+Zw1YMd6OztQSis1aU27mNVBcKHWMWXIYTiH5GA2viJf2wVvbh9gSZjT XP3udzNJS1ywB24sWxIzWaIW4qP436wYEgiS/QGaQvGPV41iig1gO0FTaZEX7AZHntw5uKrxTv79 SRgJu/reS0aO40Q8/mLZaJlIelAgwr8BqGX8EVujorc6VwNdXj618BF7TQN5BMApk57jB5QuIDM4 HUyzp49cLzaYt9zkRU+oeV21AdUk1v78BQQu/uAO8vIAeB+X7vnvJFPZ5GHod/5lf0UAqSkvkIhH hQOyXYbboy1ZFOZgQggma7DLHaggs66bWH5MhBkQiJNfEyx4WQcz1/f98XlhWQ52Re2wwE4/NwKG GVyaNwQvIsWurmXQtmfJjjWZFRyAtBPslUP8arjzIm77Vk6U9nqwaeJP7LF2WFBFmmOeC6Nk4WY7 cQkrgBU4GpVRuut8AZBKM0GJkLB/4Ju4/w7wLmWK5zBnuQvObA3evFyX37lFO1gfJ0AiJnLOiGHU OH/2nbYnjrwOp8IbJQ31BNE4l93K/VJPN/8YRqNySR4BClSt0SQCMBSBi6gmU/gpiB8QXz+rLmvC IZ+Ugez4lGIyGKs1WMSHoE09lMC9tVfy18VN8lw4gQH1y+NDolmIN9N3cMq+bvBFntrDfLRhhLJ5 l+vZKd5w/1zs+RGjGHY154+9oIDNrnX83bqB5utDAbIEKbrIjHctmYyjY/Pd4jGLZg90Fm5PgkX1 cEOHamjGkAiEnA4woU1yU6lYluFnJbp1YpqtABrJtD520i9hkH9VlNBGa9HfY0sint0b7VPvR3q9 YyYlUiRYREB9DU/gtDtivPMCybkyNzSi0vqvMnSTPMdZRwApPHT7obCDA632Pm+FD9vAqjcIbQ3A CPxfOBPXIO0kaxVf65b/tFfNDx7/1Pkc2/WwNzCQMh5RGG9A9+muh4/x3fA5NcJ380hcdcBk+RuE M/f/Wd6q+vRFq+4ctSFe9+HBGfHR8IYQB/CfC66LCRCjGmJEIVvG9fHAn6wcq1A9On3lQk+QQRTV KmXnsdHo5g/pBM9zryrpEDCfbwXpL1E70tVWowyvWTGPNmq//FJJ7qy7kok3vTq2WEkT/CVmikJ5 3vwh0i95W0wf3y6kIqvQr/RJrSMtkQvP8G8+Uth6qgrWiNKHICQvpiNz63yfhlBJQsaH0HIaAw9I ii9B7wwLdvBk+C9jMbPAPshpm27Ckk27U35kHnlOau0NC4wJcnE7MRrN+JkVBm/UW+CdSLGNxfNq QSJJE6acBfy8Rb5lVBzoYYmdkQEVQNzkWG1HVrMazcX9fSpk+R1HNjXyxKNqEpfDkYsOJwfH5XGH RjzbAHAIKdyCbTTdJcposBsIm4M/dRP2sg8mPphoXjc4rXgdDfKCBqnWp/nvrVt+8e1r8E9FBNCy rn0xAtLnX2RiiRx2RvVDFmkt0VdGhihA/GKJkUe4ATcJgFlz3Egx6MN0S1CsLeeTXDP1l6S6H0de yoJaYosztFBeEVG1UJRiwzF8fo5QAkG8xcem/BPTn0K8BdXfIAfmkntQbdJigkkVTQL9c1TDYrIA 5C4fm+IgrBE6qyMI/xsPWJcqOPRWDxmMM7pjjHaXdQSLLveCYITkuefw+PECajtGvmlrCkgASHbp 2PeVkOfPsNvVNgXVnS1J72g6AqrbDoH4EHmSv+xNxjf/1BOCc5nBccl0E1SUtp8wGCVbYKyXe5i3 VnUlYhML3mFaDydvVBSEifut5EHFmlmGI4YqVt1CsQMJm9rpdYo1xFKIPdGMj44WVpNW6ADV5yjd SCuRHdFAEXU8AxuQtPmjqMCwPdJweZTSg3wUsEUtEgbiMMHW6yinSvXgOzkef0MbKQ9o1fMEJ65T BqinBqF4RHoIND4tWWm8D1CsAJU4NGi3aMMLtHihfzDwBGg4SsKfb5YtfRkC0AmilymKWa2s28Zp 3Hyju1ks8SOcvVWm6DBRjpEC84PxMX7XjzkxNiBUV5HtaPGNsBQOyLFeUMjapQy7BJMT2yVerjbg CVUnxY/PY/ODCsXrFQ117JUVaMxFNWNhFM5QN3n33o5IC92vSoNQc+Yh0X2hAmiXnwxWXEYeXN1a nEpSOduiECfK6rvMSupQ/NXQEgGK1+QaLutk+LsrYOypwXz4BALqgnmY3BOwccDtCeFAtrKdtim+ T7zG/zRyvCz2kngcu8No1Ae403qVKLzqPAqHSe178gd00ZIH++BWSR3nH13+qszEZqdIEFYmGFhl NAsp5mi98reaK5ysis8i//SewYJdGh1vSEjuu7M6IKlXOCNSrAkx+XzSxJL6Jg6mLb9Szo/qbV2H lZQz88ZmWdXf3IgrJqbsu1mImRatIzmSS12g2LIGyIjPLJcf90txh37fN6BcwdORnnDsirycxyMS VJtMSCVV5NmzqxLbU9M66jBuLFu0fKh6j0fbxd+bjvkQ0hY38BkbOjDr7pA2zgPZ0GeOc2+Kojl+ nIinIRhsvje4oJv5BwjnQxcYYDGI71y07Mi3k0lW2rXwULnfrMF8ak40pU16VeqhboomAAOdqDht FRnonqfLTLrF+UjItrqi87Z5ku/gIGLXGQe4PoQ0e7vUL6kBT82g+/15tgWvE6OdEZoUPIjPPmVD qupuyQyLMwPRQKWsxqypyKvhPVuMCws/LLC/5Bhn33KXVm3bKtd6+4pE9CKh3dPczFU97rviE8q/ IRf3gz2Gn3bwHO8JQ6lJ5FuIOahAR8KhZCaWr/567Yvvn1FTZS+rX6T9LBnh/kspKLXn/QVrOowk Ah6wWR80uhdOqSZmt88v2XTQa3lbTiGCsC2pq7yBmzqJYk5bJpWf7BU9slwFRrw51dn6KowBosyw wQPvYoUM9tAE6Y2cF7sEdHAUmDgJ028b+AsKWxpT/cg3T92YFlXlVISGow8kovDygVA21HQI7KUP Arv1//fMfMnZ4vdT44D/6pbx072JmxC7iZJjAcbd7sPZcG9cksQfVekjUDBZOdl28ZFtTwaf/YVS TOfIh9Pin99Igss3Ws7zAaVgQgkhWYy8L+AKpopv7edxjUuQyV3NecDVwKNPWjW+2sD+X8vWTLbM jkzP9typ0aZ5hNObATdr5Sm22M0Zbds1fMc5xnNWM5nQ0obrdrqWO+TMtZLSGo03iBgYrWHuOOcc BcBCNF1K19A9Dx51ST+NXJHBTHpaHfePC5GvjzUvj1ZgtzpGm/WfVfYkn1FZ7EcBVn3vA3Gkhdpq /B+fMNe3Y0u8WV3frEhpGt3GVVd1KhfotOTJI3FkxzQuBD8ZkT4qqsdlqijKvQiinAzkbpRfz2wl c4ASGSIKVWIT3rB0Q5NNbP0XFuHNhpYj8lg/Q2aJTi8RiUj+RVvzZ3BFeVNLVglz+R/ep9fe+uCc GZ1IQ8MsYoN8UqzEyVjtE+oHO/Z+b7D0A+ce+tRXXP0LwlOP+CagbFXyv86jTOsjxRXSPDcej9yK SoPJUE+t05WNLcCcRphDMuZ1cxO8BWSd96rVtTM1FqpSthSnClXmviXepxxbc4wITBtWstXAtrV/ g567tE6TBxTHQp9EfVVsu8iuhXznD1w/e+pwhcEU44OlkotBwakH2E9AlLgytCw8diuYqGeiuOeB 9UD4wAO7nF8iGCGaOa9/TJrIOlMgeTXjodnGQHccTez2yiMhkruVLf6u8UMRoYIqTh55Tj11XeIf zoZC4Sj/TLTfWfgj0lc+/X/53tCxgeH/HyMLAe6yYyUShnUxBGVw2pqLheUXwce79D2OhEjYThyT BlFMXmZfVppVmZpdBStjpEFM/wRZ9hbPNBIKY2/2NcqqvmrjRnBXi/NYHFsgaJN+fyR5TsIGdAdW TirRJOk7hSasizW3Vdy6fT5+6PNriR0+fY6KUMF5jiBBLZvn7go2iAMnSLE2x9Ygjz/o9SqecdjX nYipNVIY1Y3zmjdgWa3fZhIHey8j3xIgjeDnVWpMvDCOX5USu4LHrHAUL2D8A4++pX1BWkniLw8b 9Kooxm2MErbwzT/Bj1owAGUsa6SoeYO9lUCEeMLafHGEQkgMljecqtkxcscXqtlqoqeqXRwM4uIt LA2fo0flLTN/iEGG8Rtelzf4fSq5VNLbQFdK+NI/79+zzYJmtaG5xI/zrK9ijqVEpmewHND397dd I1jE6RSr99zGpKcW9skF+L01LcmUazigxQY6mhOL1+BsraZa2Mcjky3QeeB38GbYl6jOX+axvEKD +whUTpONJNvALS9NCHW3oSKKfs3bd4KcBFLIloJZ2d/n9f73o/MT5sHETysQnKOPWmd7QDEMvZD0 cfpY08vWWJOwpCFFc1dRjuXqit+HFgzrbtgufupMeKHjHETRq/NOulIfWAHk0bhXIxcuREcPW8Va Tx1EsKfTMY50Q5XTiqXlh4rN9V38hIqpeXOe9lA4ej60pK3S7oRLZ47tSWOu6bPaCmIT6HJf80hF L0vmisty29NNj2HnST5FdFs2BrW7nQlBmKvQPx1e1719iy2zlLYicKQFA3932d/C0o9qiZ9/faqM YQGxs+rNXUN+0ePQUt2rQuksltMk6ZPDcTxcjrYFHHwPXeTwiojMJ9gIHdzg+3HOMKwO/MS36NLm X/xog+Yjvt4Jkm24AHLSkdOUgwboCDoCblGNTDkdGGAiZDmdII+g1bzMcFh9f5ivKzEhu2po4M61 ypGO/ped2b5i3q/pJVm7kYWD4wEME1SyHserYjHxO9celakGq8swNtOJ85aWkL6XU9sPvq2JRPlh ikHo38EK+YebD3puED2JilherAzQR/CzPGYgVcN9af0uSin/vO/KOeQENrwHsZ4RdicOW2G4HCWJ loWmXoc5q63WRk63osIZjhM550GjiBPCoqUHRtBT98c511vS0QSBZyaosG5NFS9f+XQ45yVXrGw9 LTL1AemVYZ4LgCplr1id4+ZdqMUUV/w6X4YET5CmK8rfqu4m9DbXzlt4XO668ZPEBRhBbuwleEmf PPPStCWpwNXCyfzLKUTP9eeGOrhOVi/ogby7THrfOSaMzg6k37bpbk5DdmdfQJWMeACC/eYQ78sk Nk1H454VOm7jvdqkCnolVD4V7FOjqBMSq+PLQr7091Bq69cBt0anB/Tl8XE9BoGW1646sz3ceoKS 9Gf+HbIMKjDh6M49D1h1KrsExqvK+GBf7w9mfmK6GPewJRjgxDwoPUpKrmSMg7T+5ZNfMSErNrBe CzV8J7vfTrb1KcnPnMpnBkTMusNn4mTetuWOaDs772eysAsYukbZwW5cZHv3q44PdkBsoofu7he/ gZlSZpYNG3g+dGAFo5xOKlr3OQgKDqv0Hiqfb/FCIqAJxDdAMS9Y29/Gbu9KtsdlqTsjq9YFsl7s 1gqQ/FLtK2lm5d2mgU6G5hoJ0EiNM4qO36d1z3FVXs875z4Q+stMciqFg+nDdPe47jzSP6VOZJpw 7zfeGHcBdwP27hlcfgi69uqxDCiXaKQe1XfBCSIksJh37XfPpH9B4yJ00MNzi5FhIQKWdJ4vkrVD ReVfn6ToeQR58MzRq9r8jlLc6CSyfZ9zYEUAJuVFtUNWfF5xYSfQGtYYPRdnZ2Y0ceqFfKFO+DT+ lu3BSuAhHeAIB1hhK00nx1mGoI5EA8baCHLIBfkDMOGy0WoUTnVC8EHyS6Uh0abGC13W6Z8NOthn JkukAdB4AIxZRNUY4lMEuUsKGPeO3GBqIGJN2mCbcW8L4DYZpRdHIuD5U8UzW+gpX/5cM73nJj5C GTRkw5r5Q/DygV78U5fN1kjEc6u2F+sWo5Q+r7BsCq9Cx9hOVOmPSbWGjqMTXhPR+j6UxiyJlckn on6l7savHOaP472NmOPBdTs6a0TH1ULorq3TWkz0QbStPjNgRtnI02sNhNfnQE6Nl8Cc5Eae/Z8/ nftsrbvvu+1Y9Lw/C8z0DQ/5utog9vgs9KjE3sMSzOpWk1DiIJCrasKbmQwzWMGdMX7FwvPIaiV9 DYhl8V2AVyI7jUYbMX7dzb5b/+awjC/+ZgIbiy5QTd+xu+z3kad/7vHR9JhupJtScH+FYgrI14PV +vahVsEuxLra5roRferahuwwkDHxjaWEmfc2qtrC/M0KzkPdt1L2RuSS1WM48EOPsMQXPmns7wsZ sOshwgqgdiiZghQUXQMtHETE/OrBX5qvyx6oP5fycSrjDce3IzjDxtxP4LwIh+yW/D+iGjWPpzQP iuV7ntJy+1VRnuKuZqs+Al+mpeeUo6l8dvF0TSDTQivFrsX7RmWXPZ46hgKq9EZNY9zkER7moByg sQbLKDKne90sqEyZF0uhMe5oyVTbnbmkPADFCcxn3Ey7Vy0ZXq4BRJiJx/7F95iCDJBOGbrqRGuK ZTpOJVveA6Argl94rTu4ByZDxKpGznJwJ/8DCltXZmaiQCurzSUI4/biX2CKLITu/m6OyVeeZyM0 0DYFnOOnDYsoF4QuEONRfxjQnfYtYDC2hKGgI0BM7w2jd7Q9ICqST/lkupKfPPa26l/oWsbKHi7D Us2UtdqsA3mZpKtSAH4jP0TRZOfFsw9jtxtawMvJqtrYejagWW3vZR/m4yDBf4E5qlsjNoweTWHt 7QnDLreIm65Big3Wwvcprg+yo9xvo7A4w2pEMvdlyHNrSnverhUfvszZzjDABtwX2xUOcCR37fcT pvfcrsK4FzG2nUmrnDg9geoYtMrZgIYkDbma+jc/Xyrwhs1vNVozi2cYNxctruJ1l/pW7HH4lnFv TubMfr4MgrkbmgCWoxOO6/003vpZ6YTQtaY2iVsRTy1KTq0wBCi/S6YTNIGxpo8zCjDtowjYRd1R GYeYE05Em+McUuxg4mJTCX4IE5bdu1dAucsnpg3NnYnQuXYHOjpSWCnSlkMlSB4pBFrNDXc0GEzT 5bhRvqZ3sBOoCLrfgRRdo83KnQ7Yj80Evr4Ar0uuEWx9/2ptvT6pSAS8+mpt8S0CUuw7zL8xSY3X XIJ6fEZssMM5eXWWP+EFJUUfg73ox814uT2dKPk5G3a4Syz8ohOPLN2ucFue0ACx0s0q51kc352o v/dm06y67uG1omhyX+NOv3vkKyFoV0UlCnTDbj5UFb1QMYurAB7+Vgmg+oJYEFf5ddFy6fb6XD/g CJ763/nr9NB0P6dj7VHi7+7T9t3Ge/bcoXHWWK8g2G3oRyT2m0Jf9372omRn35PGoWLxfkiI+OxQ eGhGriVIJ1vHlooKPAsN08Gqo3CztSgqmCFda20ynL/hOjPXAY5jvw19eec2zgiOWsBmxTtbhsCt j+6/iM5yf9eV8uGZuAHYjeJj2q+pxPDxffMSSCPzggOjED/eLjBplIPYC0O2u/kGley6905nGgoR JmFuiolK4pXMRURugInJsetlYI6mZseFH64RY8IzaJwaF79xJgBe2djAia+Skzt5ngrSRMUQrX/S l2CFbACJVL7m4DQi7v+B/H/j/YTdgEmn/jPse/sGIK+CPKv7BuJlKBq7PWVQJqNrGvq3jB8miZJX KDWHCxvcgZwMfHgyfyWZTXRj4FxKPsUmjYk7e32ex2KoJdvfa59lE4OCSWzsoB+jps+axVY1sCyD Yon6XemqIdrRD3HtqO7bJ3rxiwAwy+sldtxqOvejoWiyqdWJYl1c45lOFiIwYERFsgd/m9w+Yflv OCBOuMAiiCGiBRb7EhNckHRpoCOeDfBDP86rgNg3a871wFP++qPBvur08q9PQKijNU7wnbHaE2wj XfzxGPWWLNLD2oqVFlDmc/H1G6tKJrquR8tXgEgqx8LBpcpHHOEDxqbg2jFTsSQjtqEZYwNV+eo7 XIzw5b8l69XESKJfK77WLb1W9Ukej2Ky6OWMqNluJ+YBJb2HSzyNEd9p8Rn8Oo3NqCc38FOUId45 zrKkztuxXeTehcDq4bVfAtIlGtSr7Tb3Z+4INPINPGk429+DM3hifn1eJqmf2IWEjYUe36kGEHh0 fmFQhF/yx1zI+AFgf/C9KeVcCfhqggw0EvS5F7Fi0w58T9ftO3iLKWOLL8DD7//y9FfmyLnKVu3I +RG2Rk0LyrDxJCRdpJFscsxaVFFgGa4RqaJ/21PDs4uA0XujhrxLKBvECa/03+YIvyQ5XqqigIGD IDHng8XoLFueN8vrZhPqkzoWafAiekPaLp32geJdt7JqSER8O18aaQYke02iZzk1CcHMu5bNTh9S nzcDEkT93Lw2ieUup0eJOul86RVBl2fn4X/MvI5zaSlibGcMzoa0WLW6ICzS6GHBCOKNyskmR5Do BM1uYA4J5yHyCg46aX5mKO8l0OZhZE7etksR1CTu18NdxJaUPaQwTzWdcC/kXBBvUdIM9bmHnfvK qAtZp4Ns9csUVV6pOGCv1lx17FPId8nHegAB3qprw0WLGyzAFP4YQswGr4B0IGE0sVvzOO9iqJGP Hyl5lq0uHIOY2RbkbZlFh2Lk4rPUgk+04/B51rsUtvYdpJCi/+Cz0iYTwUUAA/GTy0HR544uqeTV ZeUBGjidiR9DZajH0xPt1bfb1my804qEm0MDrSggBp9dPUqiLDiRQ3YfCRMLGHxE6e4Ttx8/pPA3 iyrMj64/CG3EmesX+mn7yHfVm/f8+o7jaCkfKzY4J8tgR13bVx004EOLK32pMpiRKku6qQo9/7f2 hq2Xl1Qyb4/XmrS9K50wlzggRpANG5xHx0YeUpzk7Btp5zdG8dCXfbVT/kDZ6yA2r/mwkV2rvhxN vPOsbQI1DWqDurbK13WrU4jQoOmHajEoePWdi9232ziy8iI6m3RJi6xtQOU5FqmEhhTSFhngR7Nd iBpE/1itQ9MIRRM2D8M3Vt3XlSFnW0Ev/COQ0Iz73zu7aZx1TfWf7JMNfdalFjLs9t1HR5SzozZZ GOc8nEKvfu1c0lGzibRUrm8vbrFdl7kEFYRPm0nSv0L3uI3T4gXbQxb8d0wGdDFhXaPhXMsl5QuS 5ZIUETKCEMuaLnBBd4lKWfezQZ1E/bsAxSC8co71x58CHfbjp9c8+iks9168u4qXI60iUubrWpOG u5m+JCNH8KtgMykRB/elytjyhFspsW0lz4MgWcyLTPsGRdJhwjQvn5Jc89XD0EKkH3m3hIXIMq4k HjIPmXtetumr30IS0WZqY2qWVw4G8oEzsYoQHd900qS+AFKvFWQkVzfUbI/nvMTkhCdfxHxgLo+T CFVelHt/BmHQBWUVWLDQ/Aqn1vCF3QopHCankEiH+RvorJnZ6cai1/v/RTVxvQHq7WZ8G2NGHrkr /n2znHQlC+A9gyVmWcxeoMiS9JZzjbLPtOkGZNJgrma/ToAETfBsGu0Mty94Cwyygp6s0dw1I5Dn LLYDRWj8U9etg3rn8xTMICm/dAYwiXXSUEDPy2yNX06xXGlJQxqCmnh3rR1E4nMN9lsFuQ13UXE/ dbcsgRUkzzz6+2r8bzrFdTsxEJnWzVAsDoLFs53u+zmfdpjcF8iLkg5D2dU52RL2I/jEidyZdYtD t8fE96zl6ZtRryht/2hQXxXwmmm4LYS/rvfZMJy5pt7cz9C53t6qHnUB/V2/O1C8+37HFhwrYVfr tMnTGvgq7dH19zDHKUHtTWNuccTKOVCca6PPwMsdvDwe1QnpzPcyRklok4yU7EqILZYEImEXJp7/ 4HZZeYusCBYO6gU7nQLYmYLihEhUnC/6t07U0cSu3uEjHuxee/Mp++rFY5ON/2PzOLaiZlQJZv9t E3tV7Q+yK7jyzUdjqWJ3be5jWflhIr2Dhr+QIDJV1M9r8sTnBEWMPYOJGct8nRxRpjahI1MKu1sq QtVV0yov7E4Xt+j2tNoUdoWDtflozU99iLQV+FrXh1fsDBLOsJyakH6YqT1EcPrIeVTY3M3J8dlC rGla9iTkzsuB4JdnTcCKcLmMuFaLyOlQBnmT1gMnkl1aI2BdIZLGY1sbdoP6bmxeippXw2e/VqX+ wojy1aMBYv4TAbEIytaEvqoUmEzZp1yAoSPkW8WTFkVsDjUOrUosrxAq8V8VWc7A85klWEvlqLYw r3HHDisgTnhoScyxK/M7FycXESpXPbS2srvFufr4B4uOkpAaTmRLyuy3pw/R5oXmIzAF8MQBGYua zkfs9xR40V2pwQ3HNp+O9+AKA3fBgm/n0Y3Tl+2uxELgKJJ9oFIUiM1VevsLSUg8HJWsaoAnQq9s b2/9RrvzBmbeIaUHAxJ8VKdkIQXM6oqVh2nhgxDAGcW4Hjjhob+P6jDruiRziWMjWKpnvnblE46E AglAF6GrnLndhkL3/uHgpvo0kSOVYkw2mkYBoQtG5JD2ZF/V+SuKUeUQxoeRXYb/Gu6E73gy8PF6 0W1TlhZp6JUPraf7GpW665Meljh2iBZRqcjVvY9fQTAJEL7qoE6M0vcM0hz7GIW9aW3/B5i14IjV vnHBdATjMCg/1No0ip0b9CaS3aQr7QoZK3Evmamh1ot+X398ZmLYszRMix4ULdl7kHikgVQlTgH1 AnAg8okqBnFgG9GeAZboXcH0rb9c5dzF51YtVKu6/xc8NP/DaFxZY82TKF4GsIkBXA+1kpPGBOfO YhWTQ1YIxoUSPct3LeCZCxKBlcvHB39ZSTy79OOAHCaCHcgOZo6DYdLz7iCYanm5ppos5IOS0ort 4imO/MHsqoL/9AvxAsUAbQoJE/SOjntAhfNkvdW9za6PZe7Cksb1E01aec90tUbzw4FG/4ffhPVP m89oOWmR4bJ+q5jsGhOH9j5RvqUsUxQGKIuFj3s99lONxoilnKKa3z+xhWCV796SlyG9S2lHrjyX n9BCAfSPni5qmlh2WsariLPQ/CeaELwRMuWSKqmYFUDoXhSkM41Oo5e2AgklYBtXP7rnW1+hN1GO oJBbquV7Om2dV6UZpygHZmJC4h9Ij6DMMZyppz9ou2P4IhHaYRhx3f882W2vltd1m7Ti/0VXhs16 qcBP7T8alyjLiiz3MWVRS9I4rL583x45YdS9ONowsmk8GhfNXTwarIOVIL2xGn2/3UQWomRDEz8o 1jqcd8cc3AUcugiYe66DH5+73LZH9tuUU6AYsu6K26BmM6USA2jIX+zyVhau9pLTH+Or85eOz+/E Nn5MkktMTEq8r7VWm7X81JLqJmq/4ckVDrKNijBOQwhl7BeDZUL0I6m++TiuppBQveW6edmDJ7dV SHGiFv5KskWV9TC8UccxlNCjPDsNcHyZSTShJFgTVBBLFsaihmMSZpPqx4X7QdjVo+2u8d/vgF+p ZISGvPoCL41MxgyUbOx7xLkqxuLs7Z02iJpdQ52LGLjJge55D4dDPTe7a58unreR41VeGjtic18x IsrSP0bk8xtGfeWRe2StBjXKi7bcVohRn74yi/VZx7IcgZ6RxsUYFWVtyGnkzeJgsKTey0ZoFIcn 8cHP4gPff/qc9aXi97VwGkTnMhOSr2F6EPNpMCBXwUCxpsevhFp+99bUM5LxA8Th5u+0k9vhYGgD UViulj9NJTZpK6q5ARSg88SEYNqVkE4PZWPsm5Bg/f7J4lVk+jjE81twiAYw8dcM+AqjKRdDVt6E O5YBx3Eb2XRlwnzsDJCeKP5yfhfz7XTxB8hm5r9f04076CPSzdc5/JnjRuB6HP+bLZRjMCkb3o0I 8wik/R9+HKQfFx4Em5eeEcS2ah3eKBdKQBOiyC59FxBE83qhanNF9D056i8PYtpjn92iMRPXOn3h hgzp2x1uxAqLiuByrW6vEwzs+bG/AX7iCN7yFZZQ881Q44/YC7uboFouMEXaw4+21Pd7orXVwOq8 CVIRRr3Cy+ntNBJ6BSuVBA/zMwn4NDJEzlOv9B1iZeXJrWvNrLkQOp90Lax3dNmuEf0PszurAwHK +rlrZIrvfRZ470NVt6Rx0RrN1uxdkPs1lln5eeVbwVL12UHehDevBSSviQiYfXw8tXsMBWVMzk2l +I0+TioGLbfiA62+vXFdDq6iiFRIv3yp2veMeULEke9lRW9kryyO6NoLnvugk1F0FeCVQB9BSB9s IgwRcC6F2KDJD6Qehap+iwSIvs5GvATAOWmFXE1HpKk6vWJ9DS9L7OzjVouHEUgpSW5UCbqRFvJT dgnqKPCZfFc89W7hYEUIjKbfEIpvjJH42mX1FlIdtcv8pleQ7UZX9WrxpXo96jeOcVocOxbrrS0v QJSPVgVaUJ+xU99spD6aT+U0tqLQnJ44tQumtR9bRl2XebOtdD11VU/CChbZ0nLAuxbLMM8ZN1w+ mGuUJk4h6WioF0Mt4mEp5Fp4vH9pAyKE9oU3Ds9jkytCEC4D8ntBWoqhR86fKNk7jVdXg5DvHS8X qwCcfGgyHhdXkgeav3t7cDXwocSnIPw+3FEXbr8+MEKre0Lnpnu6BXR8N4iQpEKkfhZ52DqumISg fFICGs2rIQkRuh2dLoRKPlb2YoJr5in43jkpRSbuHXn+UGC3m/ftbThWbLTiC6DCMnQLQzIWHF07 DwiNzxJPtOgWbbMu1SjAPxODODl2pv02f2ZQz9T5znYyjdrTX0dH9VjyPQxutYuHD8xLf8OT8B/B 94NGMrsJx8uZebwf82QdLytDXfSQc4wtvv7RqnqB3sTwt18zeRHeUHHC3j3km0JF0o6GfWqOwQnY ccAhXYRlGmHwHGJHUkRiKv0jl97OI0XNza3MHssvhK2mza6W0Y++F0uaVmQd281lYYH/lwjqPvl3 DE0jnIOAxlykA/4NIHrsc5W4qrdrY1JvMdrb/HFpX/q5cJCF/EFRJFIE6KJykRymVtpyv37JHhx3 zvXAQvvXXkVxQ/fkItBfFet5BQXGhMl1cjcBADXLo8RwF+AAt4Yt//lRRVmhuW7GB9yQE2e2Kqk5 bmgeogcy0v6VMAK4BJoHLajXOSQh8yWqyeWf6Z4UOfmVCmZVqqln3vC0VdlwlpgIng6ywlmUenYj ORMq8nwDlgU1ydJimn+Y+gEsEfypkl0teb6+J267nLpp/3s+Oq09eSAKV+Mn5/gy8bXCNfY0+cQJ /ivXTs/Q+BEASSEwXxBDDbfdVoLx+l/FDwEVlShAC+jT8eXLvFvfiREUl+8IVACAoVT2x+UJrK9c BKRdzbYduiwLcDta70vfASIOwXxSVBa8ZMWjF+XHu32jLWfxBYTzTm9Cys5UFLiOV/ivJBXIQ7pc Esv4L7+Y1j/jGj7Rgvx2jVOgrBbeNT8zz1d9NOWA5gMn0sdgLHegnZLG8FtTK5gTvCMoWnl2E4lu aMRpB1/pJzT2hlDyrPoSgsMGaoDmAtkywi7dZcahh9ZU3168XaAj/AYHPn4fM8mL2kuI12qKZBHi c+9F0BnC42yXo+k3XCtwhG2h0xy8s1bGZ3O4u8cQ+wbI6xzJ6dWTawnjGo8gtIPpf8pFoOM07ibx 3Nl9iHLFXNJDWSOcaVC1M4T0NVQ+guheb72WqHckNr9VCBzFph9hQBzTWWA254pecqeB4LO0NQ6H 2SF8h2RnfwSkGg86MPfmTChSkJ2gTqYPFI5UkjIx80ngX0mMjFrc+EApJv/+UboRsOBVVGEDumgn hRpye9dpSQ7G13UbMczK+4AJOmhyuIOuYhMkGreY/yjlqrpwXDOOiZcXxubwv+rPuaR8ndfOJ31Z /++wCtUoJPaaFys3USKITW8Cua6RQ7/7ltG6T+3a59NodD8+vaOMaDihtGhLUBbyZ3pLomjGUrTe /ehEOI8g9RbQLrto+byw2HbqAwODz3vDgFun1oFYkWZE6ZIVB2PZGAGScs19zUZORZj/PISB8mJd /aaz0Li8CqIhSDQGoZVZ5wBtCy8EiJJw7ZxFCOk8ciMc7WYHLCX0J+KyBXSedVYPajDobtnQK8OM 26rVh4QYhiFBCRssoqtQV68xOqbSvPooCgcN0U9zbHfWot4HtV1WA9Xm6WSSWmvu3+LmoNhBgWKA J/pk3NZSCLaxLKqIGm4X/O0one80h2q4JbAzjEXIlFbscey1P0iDZBr4vV3Oe8g0l+r4tGNrsaBk MpYPdim/1nNYnqoQ09/Luwuorelrva9lb+TZRByL8Io8jWxSrpVujgSgXZiMWWrIOyqAjOyBVGBf BQM4e0BxheM9rNhcYz9pvXnpYw66PAyJ4/ituWR/086O1zAf5uJfmgbd5D2BVc3/HEFFWX6OZBmM L6uXvCJqcyNmmbVlDkA0Sliu7ARW3A+hj15w2+CwCH4TbEXvvs0BaSS9edpiCYMpPpO0EJgY9VuR NJo4qd4jvURzPsLi0wt5G6O17T0e11cda0ipyPy8BuTT4QPmpDpVUoMWtCQsRySSceDMWiBKISea bd+8WTJtZbg6O2HIUVPCc5kYyxe1aocApuTgw3xPMwaXoST0Tr11561UzL/3DiZqAgztYp/NU7nS EIezNc35rUbS3BewSunzm/YvfUahoHOLUSWDr4tmRiCebelnGiKULeSw3quYrChdHIR4cTyGzgq/ ECuB4MoaRPzKrp+/dsx2r430sLolge5Y9salKKzE5z70NOls9aRE4fSE34IzWCYasSk44QAOM3PV eqD42OuuJK+f9KN1VnlrsP2RpR+YbAxXWOtax2kqE2rkxeTwA2mUmQaVTNI6bVOeHl5CAab8Dmiy CShi0NRcKLH9sWgHU6qYuluBKWjzz5VKk1IsX2S4FP9+tm1/aueouV4eLxZ3VTRP1KlQFxdu7wvC ZItHqPQQ2NMaPqsBG1n4Nhc+nrPqjKW3a/G3rRHVCZWNqM8YE0Pe56p6u87zPGK9yZITu4CyqLKj WtOf8m9hNf6hVlJQgytpqrcz0mBnwRITLFmfEX/WwYJRP9iRhdD3BugqdUpX6p3h8ULl1Q5ntTe0 osAQP5YBqin2dYDlQRSPwfzqEmxtv28YCHtBPdq5Vui0lQY3j68Bp6lrQWKt5s+NLRdd02oG2/y+ y3jhm1D3PsVYyJyzJ33tQP3EAsbjS2WvGSG4FyGBghmLWRo3udK3eM+bZ95R5b/kHppZ3CSAbMAc WQu4oznJ2XimXocRyNMYSoGj2XmFMrOThXU41Oa6OfkyV+MSnrIf3fD6TBIFxCgr4iYqCoYf5D7y YGy2whldqNDONAbbbrS8hCtZHtMk7yhOj7I6aKFcxM9oY3lwMulNlEIh43GxOxDxNEDswFe8/gHv iE5yw6oYxS7nXsGBaM12dwP6hVWOzACYf4FqJTi8jFAKadnv3u9lFSJEw4dHe+QphoiUIzM1W11t sYqyBOSfm4Gh96mqRnp1b8ArIQ3jfDZpcfdLPh5+HTE9UvCY5dMzSArmx1Oz1e3H6eGdG2Du9ppb q3rfNd2EkQ4bF42dW3umknZ8+8x/w5oLecawrHeZZPrpsgYmCuNcqyWODh6SmMi53LmGIMsK1Amh CljbNoVMc7r+CXULQXPLEjBVlEtlhxUWa5+fMaydaTAGmlndurjSFi58U8sj0WLTGP4eVz+D/mY9 rGtuXSyBQEY1jssHgRXNipmYH+RFOpa3XQGAczuqkp9sMyigY4T8Mtqmqy1FLQrc6avLwxcwoOUp z9ucM1iCtV4w9ixDb8TVOlTzctVBcifO4w4fteWcxPKg/+J6pjmJbV09WBzZtkeyRm2SyLerAKKH /Cs0K29193kl31uofrjAVyCMIMUG+jdVF9yMe3a5zaozh38lwDD+tcSKFHBDbsdujDeA61p44wQh Sqm2EjIBB7xpuVJo877kLbdxIPFfoqyM9ROAwFoKMR4ZT6TT3eECkPqUWuhiXvWW34MFKGgnvqUi w2tXa67CEbt5K+6TTgGKPgtVRm4TX0cek9mGHfOOrtNpDwfKx7GJTE2EisZmKqeK8wq3/ec73UOn y8QbR6JzS/v72SVFZFuOoCw1IURiKFcJxXW40FTsvISgn9mJAvfifLyNb6mUQ/T8k7wM2EDWMpy+ /a2AAYQ8ap9PaxUpfYnZXpBVtZOmwiATORYyhzu6PesfX/wV6eAqw8DP3GqJgbXbaHNt548AHqS7 g7EBxNnI+ah9TxX6FjBMV+DaVN7t/BXVvQvJA2wUH7Y81bcs8eroMkP1v/QV5cP185zjWfAqaYa4 aX/guX0kjoaOgbs8aQlyPI18iCiCXP4RJN+t0pRJip0aUDjm0XgOJocw0WRVnaUAnbvzJHIEW5Fj Ktqk8gG1bzDzn7Txv2rN4GsWO2qPgZ8bIhatYIbu0kApL12ZVIkD3584T6tgqRuXjwv+RgkTPWzx djn+ERwV77eFUFzEmRhvmz7fhU2y4Rmp5CTqotXGy7QBB6KP/zuaEM3yYVTCOWYDw7nkN/SdUnzY JcksEdcsuUdJDfLCXAcKgyPgbWPmcmcKBLP0de3oIp7ZEdee9teRu1mtsZQGeoljEU+CmTm+iWrJ 6sZofiR5JrX8rlmWhkI7gl1TmioSUXhg2Fj1qJ63Gf698lBrM31/Kl1qvaT75VB1SzRs5FLAKGwE GkH/qtWl5/qrY0NQNZ5r2JoYxTd8ACcRGj9Ayl0bQqZaWImAG9u/+m66Cbkur1M96gF1HmM+NGYV qqj+olsZmo03UCX43lGnA/bGQ+Cfy1p6ipK663OnmZPqJ6+TGZOFRtJJScQLSrslr99IjCSdUayt SCoIuDx6+GnfrgQFd2RVr1vGHgofW4k6w862t1z/p8PmnewojCoX+IMKZ2hraEolyJQnARJQ0/hs 5VIBerIShHgYetn562gP80hf/ahCPDvuhzRuFuxvRuXfEFEj7krIMUR84n8Xq6/BGRPGnTK8l843 fv4U2Fb9afLbFR+ji8mwqFVfD4SIzjMeJ9WJEL4fI2gw4sPAllwqPLRilI9w6MF7Fz2toRWmnRPP adxZ5WY5W9y545L+Qbdd4cfQ2rW0LZoqZy+1Xch6ePl8Dq3fw4x2CpGvpz4XQKpj06G2ReuNVLCI BYGiWDZuFFJwRD8ZqXUn/7Z0LDhCncJdiHHPBz1NNqe1yIF5SW8vXjdq/z21pzKOTsBoUcSjs8uX 5rSCtn9hCDEimRQzHUILuOkRt786UNMwkTAQOC8K1eceTRxbmDeuqtt8uSbxvnvEpkvOdXnAmZd5 Fi8xYvtZMIdBXkWeX1iW8i7pnJyAJkQxClwQacdg7Sop9Utrwogh+Ou6SV29tXG9c1pl8s8xbKFK oxxpJPONJXtC+MRdqROS734VFrI6Hi6eezIBN0mIPjrUGQu5tKNn0FhyReNfd46bHK0sztqZFKoG jrttPu6n47eEi+WnboBL6VGzj6K4VML5RSWq3RyWvj6znpfUqesJm+fQjHNPohoZCImb3XrIQ3Nj vFw/9Ef4Uwr53YBC5/PWQiMRpAy+hKVvd/ngxdkxjAxFRLuaoPBnqTAdojFPHWMQmXVOg+McliD5 Y6jk63IkO6ReT4a5sQG6KPzg16YTHruoSLUreu1CeMxujFdAjC61yQZUMSwrtWzKQS7WUO/ncL8y 7jja5gG/5Mhf5jBdKw+mlN9jf8kJNS3cO6ZDbkwEKUEnmQMUmd7Ihre5VVjF1gcA6ZxS7+XF9uD7 12mLNycap/3M2Ya2kub5BvPqLhzpxOiZJ+Kh5tra3TcKU/LWfLCJz2ti767sM7OMx4g6Uw2zQ6Ft kFM1t5TxCxH5VXJsFeqI0U5hntKyOVxn1EdM2aWLrGul7GzYlUbqkq6Yr6qDh4IOmsRDsuqTKVxM RrwIeJVA9/TV27bhEHpT4vQbjnRbGLTYdictvbVRQxxZ9gW1oCChbNU62o0wimALpuOlfpjoOTGI t9M7Ov0Ek+n93XPihuD+jkZxqcNfybRsWaME/iRJXbFBHPdeuhZOk/EWxh5TTfUMvPD1oS4KqBPL gPcfJmvhbwkNKExtP1EEOFkY6wkDrFPKke2k+48Klbk6CRdF5LSzdpIpzDnuKPtpzvvZ07QTKv56 3c8W7dikgw/FvVVj7DAn+bRrlFW7hUrh2T0Mvfxb05hAhy7f6rndqAXn7SLQqpEXHEz8PHKYkg/P yhmOeTGjimIt/GaoQN0H6WQmBSSvSj+f5LFWuwQQilRNfzBCnqyqRDvSd569X6HP3jbJsPQwUdhv 0Ppb/ha3h5f5Tv5VDd9ydHgD/572O9vw0O+VnH2dPNYb9NC+F6ofb+R5gPFYNhrEdggCcxra4AX1 B7VmEOI6lYUz8INOeV+f3pqewknNiWuuz+ymG0DQgoBKM8JgGzCTinpd4+0Uc85SvfZ5s+s39DLe bnWm+FJZlNuw4GivLmrcRdFbJJCxOXHbhoaVC4bR9jTR+TsVZm6H97ATpq2vxaAT43Vaej0tn6GX O+rsAOTLLTsu5kxEBZzR9NnsxreMbWpLi2SSc9W74hkFlfBP4bbIW6tf4x9Z26o4rtDaP7dJ8MsL 5ovjzRLXMkwNl0ddSvtNo8PC30Mv50FHJF4tswlYzQznhOVjhkgIMzR7pTMKyCGCy+I4UepoNZi6 tu8npY8FSBcZAWBPhWS9YhsCuDF5qeUbIg3RSuaXQAmq6G06SEVEHPYyS4zA2nEydQhxBH85ayGi 9r/f14Y+WGEgwFsohmvxskbPfPxbOakXji8dHZ4hBfbQtZXGcOOuZvZFefFB2ZvYJKa5s3oYiISu ozkapLlzFuEloLVBobAI9SQyggmQnBgNSW3jK8RPIqkM9KZKcHmbZ0TpgpMH2hQ= `protect end_protected
mit
hubertokf/VHDL-Fast-Adders
CLAH/CLA2bits/16bits/CLAH16bits/Reg16Bit.vhd
5
550
library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Reg16Bit is port( valIn: in std_logic_vector(15 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(15 downto 0) ); end Reg16Bit; architecture strc_Reg16Bit of Reg16Bit is signal Temp: std_logic_vector(15 downto 0); begin process(valIn, clk, rst) begin if rst = '1' then Temp <= "0000000000000000"; elsif (clk='1' and clk'event) then Temp <= valIn; end if; end process; valOut <= Temp; end strc_Reg16Bit;
mit
hubertokf/VHDL-Fast-Adders
CLAH/CLA4bits/16bits/CLAH16bits/Reg16Bit.vhd
5
550
library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity Reg16Bit is port( valIn: in std_logic_vector(15 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(15 downto 0) ); end Reg16Bit; architecture strc_Reg16Bit of Reg16Bit is signal Temp: std_logic_vector(15 downto 0); begin process(valIn, clk, rst) begin if rst = '1' then Temp <= "0000000000000000"; elsif (clk='1' and clk'event) then Temp <= valIn; end if; end process; valOut <= Temp; end strc_Reg16Bit;
mit
hubertokf/VHDL-Fast-Adders
CSA/16bits/CSA16bits/mux84.vhd
3
309
Library IEEE; Use ieee.std_logic_1164.all; Entity mux84 is port ( In0, In1: in std_logic_vector(3 downto 0); sel: in std_logic; Outs : out std_logic_vector(3 downto 0) ); end mux84; ARCHiTEcTURE arq_mux84 of mux84 is begin with sel select Outs <= In0 when '0', In1 when '1'; end arq_mux84;
mit
lfmunoz/vhdl
ip_blocks/sip_spi/pulse2pulse.vhd
5
4426
library ieee; use ieee.std_logic_1164.all ; use ieee.std_logic_arith.all ; use ieee.std_logic_unsigned.all ; use ieee.std_logic_misc.all ; entity pulse2pulse is port ( in_clk :in std_logic; out_clk :in std_logic; rst :in std_logic; pulsein :in std_logic; inbusy :out std_logic; pulseout :out std_logic ); end pulse2pulse; architecture syn of pulse2pulse is ----------------------------------------------------------------------------------- --constant declarations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --constant declarations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --signal declarations ----------------------------------------------------------------------------------- signal out_set :std_logic; signal out_set_prev :std_logic; signal out_set_prev2 :std_logic; signal in_set :std_logic; signal outreset :std_logic; signal in_reset :std_logic; signal in_reset_prev :std_logic; signal in_reset_prev2:std_logic; ----------------------------------------------------------------------------------- --component declarations ----------------------------------------------------------------------------------- --********************************************************************************* begin --********************************************************************************* ----------------------------------------------------------------------------------- --component instantiations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --synchronous processes ----------------------------------------------------------------------------------- in_proc:process(in_clk,rst) begin if(rst = '1') then in_reset <= '0'; in_reset_prev <= '0'; in_reset_prev2<= '0'; in_set <= '0'; elsif(in_clk'event and in_clk = '1') then --regitser a pulse on the pulse in port --reset the signal when the ouput has registerred the pulse if (in_reset_prev = '1' and in_reset_prev2 = '1') then in_set <= '0'; elsif (pulsein = '1') then in_set <= '1'; end if; --register the reset signal from the other clock domain --three times. double stage synchronising circuit --reduces the MTB in_reset <= outreset; in_reset_prev <= in_reset; in_reset_prev2 <= in_reset_prev; end if; end process in_proc; out_proc:process(out_clk,rst) begin if(rst = '1') then out_set <= '0'; out_set_prev <= '0'; out_set_prev2 <= '0'; outreset <= '0'; pulseout <= '0'; elsif(out_clk'event and out_clk = '1') then --generate a pulse on the outpput when the --set signal has travelled through the synchronising fip flops if (out_set_prev = '1' and out_set_prev2 = '0') then pulseout <= '1'; else pulseout <= '0'; end if; --feedback the corret reception of the set signal to reset the set pulse if (out_set_prev = '1' and out_set_prev2 = '1') then outreset <= '1'; elsif (out_set_prev = '0' and out_set_prev2 = '0') then outreset <= '0'; end if; --register the reset signal from the other clock domain --three times. double stage synchronising circuit --reduces the MTB out_set <= in_set; out_set_prev <= out_set; out_set_prev2 <= out_set_prev; end if; end process out_proc; ----------------------------------------------------------------------------------- --asynchronous processes ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --asynchronous mapping ----------------------------------------------------------------------------------- inbusy <= in_set or in_reset_prev; ------------------- ------------------- end syn;
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/IO_DECODER.vhd
1
3376
-- IO DECODER for SCOMP -- This eliminates the need for a lot of NAND decoders or Comparators -- that would otherwise be spread around the TOP_SCOMP BDF LIBRARY IEEE; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; ENTITY IO_DECODER IS PORT ( IO_ADDR : IN STD_LOGIC_VECTOR(7 downto 0); IO_CYCLE : IN STD_LOGIC; SWITCH_EN : OUT STD_LOGIC; LED_EN : OUT STD_LOGIC; TIMER_EN : OUT STD_LOGIC; DIG_IN_EN : OUT STD_LOGIC; HEX1_EN : OUT STD_LOGIC; HEX2_EN : OUT STD_LOGIC; LCD_EN : OUT STD_LOGIC; LED2_EN : OUT STD_LOGIC; BEEP_EN : OUT STD_LOGIC; CT_EN : OUT STD_LOGIC; L_POS_EN : OUT STD_LOGIC; L_VEL_EN : OUT STD_LOGIC; L_VELCTRL_EN : OUT STD_LOGIC; R_POS_EN : OUT STD_LOGIC; R_VEL_EN : OUT STD_LOGIC; R_VELCTRL_EN : OUT STD_LOGIC; SONAR_EN : OUT STD_LOGIC; I2C_CMD_EN : OUT STD_LOGIC; I2C_DATA_EN : OUT STD_LOGIC; I2C_RDY_EN : OUT STD_LOGIC; UART_D_EN : OUT STD_LOGIC; UART_S_EN : OUT STD_LOGIC; XPOS_EN : OUT STD_LOGIC; YPOS_EN : OUT STD_LOGIC; TPOS_EN : OUT STD_LOGIC; POS_RSTN : OUT STD_LOGIC; RIN_EN : OUT STD_LOGIC; LIN_EN : OUT STD_LOGIC ); END ENTITY; ARCHITECTURE a OF IO_DECODER IS SIGNAL IO_INT : INTEGER RANGE 0 TO 511; begin IO_INT <= TO_INTEGER(UNSIGNED(IO_CYCLE & IO_ADDR)); -- note that this results in a three-digit hex number whose -- upper digit is 1 if IO_CYCLE is asserted, and whose -- lower two digits are the I/O address being presented -- The lines below decode each valid I/O address ... SWITCH_EN <= '1' WHEN IO_INT = 16#100# ELSE '0'; LED_EN <= '1' WHEN IO_INT = 16#101# ELSE '0'; TIMER_EN <= '1' WHEN IO_INT = 16#102# ELSE '0'; DIG_IN_EN <= '1' WHEN IO_INT = 16#103# ELSE '0'; HEX1_EN <= '1' WHEN IO_INT = 16#104# ELSE '0'; HEX2_EN <= '1' WHEN IO_INT = 16#105# ELSE '0'; LCD_EN <= '1' WHEN IO_INT = 16#106# ELSE '0'; LED2_EN <= '1' WHEN IO_INT = 16#107# ELSE '0'; BEEP_EN <= '1' WHEN IO_INT = 16#10A# ELSE '0'; CT_EN <= '1' WHEN IO_INT = 16#10C# ELSE '0'; L_POS_EN <= '1' WHEN IO_INT = 16#180# ELSE '0'; L_VEL_EN <= '1' WHEN IO_INT = 16#182# ELSE '0'; L_VELCTRL_EN <= '1' WHEN IO_INT = 16#183# ELSE '0'; R_POS_EN <= '1' WHEN IO_INT = 16#188# ELSE '0'; R_VEL_EN <= '1' WHEN IO_INT = 16#18A# ELSE '0'; R_VELCTRL_EN <= '1' WHEN IO_INT = 16#18B# ELSE '0'; I2C_CMD_EN <= '1' WHEN IO_INT = 16#190# ELSE '0'; I2C_DATA_EN <= '1' WHEN IO_INT = 16#191# ELSE '0'; I2C_RDY_EN <= '1' WHEN IO_INT = 16#192# ELSE '0'; UART_D_EN <= '1' WHEN IO_INT = 16#198# ELSE '0'; UART_S_EN <= '1' WHEN IO_INT = 16#199# ELSE '0'; SONAR_EN <= '1' WHEN ((IO_INT >= 16#1A0#) AND (IO_INT < 16#1B7#) ) ELSE '0'; XPOS_EN <= '1' WHEN IO_INT = 16#1C0# ELSE '0'; YPOS_EN <= '1' WHEN IO_INT = 16#1C1# ELSE '0'; TPOS_EN <= '1' WHEN IO_INT = 16#1C2# ELSE '0'; POS_RSTN <= '0' WHEN IO_INT = 16#1C3# ELSE '1'; RIN_EN <= '1' WHEN IO_INT = 16#1C8# ELSE '0'; LIN_EN <= '1' WHEN IO_INT = 16#1C9# ELSE '0'; END a;
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeDE1SoC/Video_In_Subsystem/Computer_System_inst.vhd
1
24866
component Computer_System is port ( expansion_jp1_export : inout std_logic_vector(31 downto 0) := (others => 'X'); -- export expansion_jp2_export : inout std_logic_vector(31 downto 0) := (others => 'X'); -- export hps_io_hps_io_emac1_inst_TX_CLK : out std_logic; -- hps_io_emac1_inst_TX_CLK hps_io_hps_io_emac1_inst_TXD0 : out std_logic; -- hps_io_emac1_inst_TXD0 hps_io_hps_io_emac1_inst_TXD1 : out std_logic; -- hps_io_emac1_inst_TXD1 hps_io_hps_io_emac1_inst_TXD2 : out std_logic; -- hps_io_emac1_inst_TXD2 hps_io_hps_io_emac1_inst_TXD3 : out std_logic; -- hps_io_emac1_inst_TXD3 hps_io_hps_io_emac1_inst_RXD0 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD0 hps_io_hps_io_emac1_inst_MDIO : inout std_logic := 'X'; -- hps_io_emac1_inst_MDIO hps_io_hps_io_emac1_inst_MDC : out std_logic; -- hps_io_emac1_inst_MDC hps_io_hps_io_emac1_inst_RX_CTL : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CTL hps_io_hps_io_emac1_inst_TX_CTL : out std_logic; -- hps_io_emac1_inst_TX_CTL hps_io_hps_io_emac1_inst_RX_CLK : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CLK hps_io_hps_io_emac1_inst_RXD1 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD1 hps_io_hps_io_emac1_inst_RXD2 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD2 hps_io_hps_io_emac1_inst_RXD3 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD3 hps_io_hps_io_qspi_inst_IO0 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO0 hps_io_hps_io_qspi_inst_IO1 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO1 hps_io_hps_io_qspi_inst_IO2 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO2 hps_io_hps_io_qspi_inst_IO3 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO3 hps_io_hps_io_qspi_inst_SS0 : out std_logic; -- hps_io_qspi_inst_SS0 hps_io_hps_io_qspi_inst_CLK : out std_logic; -- hps_io_qspi_inst_CLK hps_io_hps_io_sdio_inst_CMD : inout std_logic := 'X'; -- hps_io_sdio_inst_CMD hps_io_hps_io_sdio_inst_D0 : inout std_logic := 'X'; -- hps_io_sdio_inst_D0 hps_io_hps_io_sdio_inst_D1 : inout std_logic := 'X'; -- hps_io_sdio_inst_D1 hps_io_hps_io_sdio_inst_CLK : out std_logic; -- hps_io_sdio_inst_CLK hps_io_hps_io_sdio_inst_D2 : inout std_logic := 'X'; -- hps_io_sdio_inst_D2 hps_io_hps_io_sdio_inst_D3 : inout std_logic := 'X'; -- hps_io_sdio_inst_D3 hps_io_hps_io_usb1_inst_D0 : inout std_logic := 'X'; -- hps_io_usb1_inst_D0 hps_io_hps_io_usb1_inst_D1 : inout std_logic := 'X'; -- hps_io_usb1_inst_D1 hps_io_hps_io_usb1_inst_D2 : inout std_logic := 'X'; -- hps_io_usb1_inst_D2 hps_io_hps_io_usb1_inst_D3 : inout std_logic := 'X'; -- hps_io_usb1_inst_D3 hps_io_hps_io_usb1_inst_D4 : inout std_logic := 'X'; -- hps_io_usb1_inst_D4 hps_io_hps_io_usb1_inst_D5 : inout std_logic := 'X'; -- hps_io_usb1_inst_D5 hps_io_hps_io_usb1_inst_D6 : inout std_logic := 'X'; -- hps_io_usb1_inst_D6 hps_io_hps_io_usb1_inst_D7 : inout std_logic := 'X'; -- hps_io_usb1_inst_D7 hps_io_hps_io_usb1_inst_CLK : in std_logic := 'X'; -- hps_io_usb1_inst_CLK hps_io_hps_io_usb1_inst_STP : out std_logic; -- hps_io_usb1_inst_STP hps_io_hps_io_usb1_inst_DIR : in std_logic := 'X'; -- hps_io_usb1_inst_DIR hps_io_hps_io_usb1_inst_NXT : in std_logic := 'X'; -- hps_io_usb1_inst_NXT hps_io_hps_io_spim1_inst_CLK : out std_logic; -- hps_io_spim1_inst_CLK hps_io_hps_io_spim1_inst_MOSI : out std_logic; -- hps_io_spim1_inst_MOSI hps_io_hps_io_spim1_inst_MISO : in std_logic := 'X'; -- hps_io_spim1_inst_MISO hps_io_hps_io_spim1_inst_SS0 : out std_logic; -- hps_io_spim1_inst_SS0 hps_io_hps_io_uart0_inst_RX : in std_logic := 'X'; -- hps_io_uart0_inst_RX hps_io_hps_io_uart0_inst_TX : out std_logic; -- hps_io_uart0_inst_TX hps_io_hps_io_i2c0_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c0_inst_SDA hps_io_hps_io_i2c0_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c0_inst_SCL hps_io_hps_io_i2c1_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c1_inst_SDA hps_io_hps_io_i2c1_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c1_inst_SCL hps_io_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO09 hps_io_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO35 hps_io_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO40 hps_io_hps_io_gpio_inst_GPIO41 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO41 hps_io_hps_io_gpio_inst_GPIO48 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO48 hps_io_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO53 hps_io_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO54 hps_io_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO61 leds_export : out std_logic_vector(9 downto 0); -- export memory_mem_a : out std_logic_vector(14 downto 0); -- mem_a memory_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba memory_mem_ck : out std_logic; -- mem_ck memory_mem_ck_n : out std_logic; -- mem_ck_n memory_mem_cke : out std_logic; -- mem_cke memory_mem_cs_n : out std_logic; -- mem_cs_n memory_mem_ras_n : out std_logic; -- mem_ras_n memory_mem_cas_n : out std_logic; -- mem_cas_n memory_mem_we_n : out std_logic; -- mem_we_n memory_mem_reset_n : out std_logic; -- mem_reset_n memory_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq memory_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs memory_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n memory_mem_odt : out std_logic; -- mem_odt memory_mem_dm : out std_logic_vector(3 downto 0); -- mem_dm memory_oct_rzqin : in std_logic := 'X'; -- oct_rzqin pushbuttons_export : in std_logic_vector(3 downto 0) := (others => 'X'); -- export sdram_addr : out std_logic_vector(12 downto 0); -- addr sdram_ba : out std_logic_vector(1 downto 0); -- ba sdram_cas_n : out std_logic; -- cas_n sdram_cke : out std_logic; -- cke sdram_cs_n : out std_logic; -- cs_n sdram_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq sdram_dqm : out std_logic_vector(1 downto 0); -- dqm sdram_ras_n : out std_logic; -- ras_n sdram_we_n : out std_logic; -- we_n sdram_clk_clk : out std_logic; -- clk slider_switches_export : in std_logic_vector(9 downto 0) := (others => 'X'); -- export system_pll_ref_clk_clk : in std_logic := 'X'; -- clk system_pll_ref_reset_reset : in std_logic := 'X'; -- reset vga_CLK : out std_logic; -- CLK vga_HS : out std_logic; -- HS vga_VS : out std_logic; -- VS vga_BLANK : out std_logic; -- BLANK vga_SYNC : out std_logic; -- SYNC vga_R : out std_logic_vector(7 downto 0); -- R vga_G : out std_logic_vector(7 downto 0); -- G vga_B : out std_logic_vector(7 downto 0); -- B vga_pll_ref_clk_clk : in std_logic := 'X'; -- clk vga_pll_ref_reset_reset : in std_logic := 'X'; -- reset video_in_TD_CLK27 : in std_logic := 'X'; -- TD_CLK27 video_in_TD_DATA : in std_logic_vector(7 downto 0) := (others => 'X'); -- TD_DATA video_in_TD_HS : in std_logic := 'X'; -- TD_HS video_in_TD_VS : in std_logic := 'X'; -- TD_VS video_in_clk27_reset : in std_logic := 'X'; -- clk27_reset video_in_TD_RESET : out std_logic; -- TD_RESET video_in_overflow_flag : out std_logic -- overflow_flag ); end component Computer_System; u0 : component Computer_System port map ( expansion_jp1_export => CONNECTED_TO_expansion_jp1_export, -- expansion_jp1.export expansion_jp2_export => CONNECTED_TO_expansion_jp2_export, -- expansion_jp2.export hps_io_hps_io_emac1_inst_TX_CLK => CONNECTED_TO_hps_io_hps_io_emac1_inst_TX_CLK, -- hps_io.hps_io_emac1_inst_TX_CLK hps_io_hps_io_emac1_inst_TXD0 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD0, -- .hps_io_emac1_inst_TXD0 hps_io_hps_io_emac1_inst_TXD1 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD1, -- .hps_io_emac1_inst_TXD1 hps_io_hps_io_emac1_inst_TXD2 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD2, -- .hps_io_emac1_inst_TXD2 hps_io_hps_io_emac1_inst_TXD3 => CONNECTED_TO_hps_io_hps_io_emac1_inst_TXD3, -- .hps_io_emac1_inst_TXD3 hps_io_hps_io_emac1_inst_RXD0 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD0, -- .hps_io_emac1_inst_RXD0 hps_io_hps_io_emac1_inst_MDIO => CONNECTED_TO_hps_io_hps_io_emac1_inst_MDIO, -- .hps_io_emac1_inst_MDIO hps_io_hps_io_emac1_inst_MDC => CONNECTED_TO_hps_io_hps_io_emac1_inst_MDC, -- .hps_io_emac1_inst_MDC hps_io_hps_io_emac1_inst_RX_CTL => CONNECTED_TO_hps_io_hps_io_emac1_inst_RX_CTL, -- .hps_io_emac1_inst_RX_CTL hps_io_hps_io_emac1_inst_TX_CTL => CONNECTED_TO_hps_io_hps_io_emac1_inst_TX_CTL, -- .hps_io_emac1_inst_TX_CTL hps_io_hps_io_emac1_inst_RX_CLK => CONNECTED_TO_hps_io_hps_io_emac1_inst_RX_CLK, -- .hps_io_emac1_inst_RX_CLK hps_io_hps_io_emac1_inst_RXD1 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD1, -- .hps_io_emac1_inst_RXD1 hps_io_hps_io_emac1_inst_RXD2 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD2, -- .hps_io_emac1_inst_RXD2 hps_io_hps_io_emac1_inst_RXD3 => CONNECTED_TO_hps_io_hps_io_emac1_inst_RXD3, -- .hps_io_emac1_inst_RXD3 hps_io_hps_io_qspi_inst_IO0 => CONNECTED_TO_hps_io_hps_io_qspi_inst_IO0, -- .hps_io_qspi_inst_IO0 hps_io_hps_io_qspi_inst_IO1 => CONNECTED_TO_hps_io_hps_io_qspi_inst_IO1, -- .hps_io_qspi_inst_IO1 hps_io_hps_io_qspi_inst_IO2 => CONNECTED_TO_hps_io_hps_io_qspi_inst_IO2, -- .hps_io_qspi_inst_IO2 hps_io_hps_io_qspi_inst_IO3 => CONNECTED_TO_hps_io_hps_io_qspi_inst_IO3, -- .hps_io_qspi_inst_IO3 hps_io_hps_io_qspi_inst_SS0 => CONNECTED_TO_hps_io_hps_io_qspi_inst_SS0, -- .hps_io_qspi_inst_SS0 hps_io_hps_io_qspi_inst_CLK => CONNECTED_TO_hps_io_hps_io_qspi_inst_CLK, -- .hps_io_qspi_inst_CLK hps_io_hps_io_sdio_inst_CMD => CONNECTED_TO_hps_io_hps_io_sdio_inst_CMD, -- .hps_io_sdio_inst_CMD hps_io_hps_io_sdio_inst_D0 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D0, -- .hps_io_sdio_inst_D0 hps_io_hps_io_sdio_inst_D1 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D1, -- .hps_io_sdio_inst_D1 hps_io_hps_io_sdio_inst_CLK => CONNECTED_TO_hps_io_hps_io_sdio_inst_CLK, -- .hps_io_sdio_inst_CLK hps_io_hps_io_sdio_inst_D2 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D2, -- .hps_io_sdio_inst_D2 hps_io_hps_io_sdio_inst_D3 => CONNECTED_TO_hps_io_hps_io_sdio_inst_D3, -- .hps_io_sdio_inst_D3 hps_io_hps_io_usb1_inst_D0 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D0, -- .hps_io_usb1_inst_D0 hps_io_hps_io_usb1_inst_D1 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D1, -- .hps_io_usb1_inst_D1 hps_io_hps_io_usb1_inst_D2 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D2, -- .hps_io_usb1_inst_D2 hps_io_hps_io_usb1_inst_D3 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D3, -- .hps_io_usb1_inst_D3 hps_io_hps_io_usb1_inst_D4 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D4, -- .hps_io_usb1_inst_D4 hps_io_hps_io_usb1_inst_D5 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D5, -- .hps_io_usb1_inst_D5 hps_io_hps_io_usb1_inst_D6 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D6, -- .hps_io_usb1_inst_D6 hps_io_hps_io_usb1_inst_D7 => CONNECTED_TO_hps_io_hps_io_usb1_inst_D7, -- .hps_io_usb1_inst_D7 hps_io_hps_io_usb1_inst_CLK => CONNECTED_TO_hps_io_hps_io_usb1_inst_CLK, -- .hps_io_usb1_inst_CLK hps_io_hps_io_usb1_inst_STP => CONNECTED_TO_hps_io_hps_io_usb1_inst_STP, -- .hps_io_usb1_inst_STP hps_io_hps_io_usb1_inst_DIR => CONNECTED_TO_hps_io_hps_io_usb1_inst_DIR, -- .hps_io_usb1_inst_DIR hps_io_hps_io_usb1_inst_NXT => CONNECTED_TO_hps_io_hps_io_usb1_inst_NXT, -- .hps_io_usb1_inst_NXT hps_io_hps_io_spim1_inst_CLK => CONNECTED_TO_hps_io_hps_io_spim1_inst_CLK, -- .hps_io_spim1_inst_CLK hps_io_hps_io_spim1_inst_MOSI => CONNECTED_TO_hps_io_hps_io_spim1_inst_MOSI, -- .hps_io_spim1_inst_MOSI hps_io_hps_io_spim1_inst_MISO => CONNECTED_TO_hps_io_hps_io_spim1_inst_MISO, -- .hps_io_spim1_inst_MISO hps_io_hps_io_spim1_inst_SS0 => CONNECTED_TO_hps_io_hps_io_spim1_inst_SS0, -- .hps_io_spim1_inst_SS0 hps_io_hps_io_uart0_inst_RX => CONNECTED_TO_hps_io_hps_io_uart0_inst_RX, -- .hps_io_uart0_inst_RX hps_io_hps_io_uart0_inst_TX => CONNECTED_TO_hps_io_hps_io_uart0_inst_TX, -- .hps_io_uart0_inst_TX hps_io_hps_io_i2c0_inst_SDA => CONNECTED_TO_hps_io_hps_io_i2c0_inst_SDA, -- .hps_io_i2c0_inst_SDA hps_io_hps_io_i2c0_inst_SCL => CONNECTED_TO_hps_io_hps_io_i2c0_inst_SCL, -- .hps_io_i2c0_inst_SCL hps_io_hps_io_i2c1_inst_SDA => CONNECTED_TO_hps_io_hps_io_i2c1_inst_SDA, -- .hps_io_i2c1_inst_SDA hps_io_hps_io_i2c1_inst_SCL => CONNECTED_TO_hps_io_hps_io_i2c1_inst_SCL, -- .hps_io_i2c1_inst_SCL hps_io_hps_io_gpio_inst_GPIO09 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO09, -- .hps_io_gpio_inst_GPIO09 hps_io_hps_io_gpio_inst_GPIO35 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO35, -- .hps_io_gpio_inst_GPIO35 hps_io_hps_io_gpio_inst_GPIO40 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO40, -- .hps_io_gpio_inst_GPIO40 hps_io_hps_io_gpio_inst_GPIO41 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO41, -- .hps_io_gpio_inst_GPIO41 hps_io_hps_io_gpio_inst_GPIO48 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO48, -- .hps_io_gpio_inst_GPIO48 hps_io_hps_io_gpio_inst_GPIO53 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO53, -- .hps_io_gpio_inst_GPIO53 hps_io_hps_io_gpio_inst_GPIO54 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO54, -- .hps_io_gpio_inst_GPIO54 hps_io_hps_io_gpio_inst_GPIO61 => CONNECTED_TO_hps_io_hps_io_gpio_inst_GPIO61, -- .hps_io_gpio_inst_GPIO61 leds_export => CONNECTED_TO_leds_export, -- leds.export memory_mem_a => CONNECTED_TO_memory_mem_a, -- memory.mem_a memory_mem_ba => CONNECTED_TO_memory_mem_ba, -- .mem_ba memory_mem_ck => CONNECTED_TO_memory_mem_ck, -- .mem_ck memory_mem_ck_n => CONNECTED_TO_memory_mem_ck_n, -- .mem_ck_n memory_mem_cke => CONNECTED_TO_memory_mem_cke, -- .mem_cke memory_mem_cs_n => CONNECTED_TO_memory_mem_cs_n, -- .mem_cs_n memory_mem_ras_n => CONNECTED_TO_memory_mem_ras_n, -- .mem_ras_n memory_mem_cas_n => CONNECTED_TO_memory_mem_cas_n, -- .mem_cas_n memory_mem_we_n => CONNECTED_TO_memory_mem_we_n, -- .mem_we_n memory_mem_reset_n => CONNECTED_TO_memory_mem_reset_n, -- .mem_reset_n memory_mem_dq => CONNECTED_TO_memory_mem_dq, -- .mem_dq memory_mem_dqs => CONNECTED_TO_memory_mem_dqs, -- .mem_dqs memory_mem_dqs_n => CONNECTED_TO_memory_mem_dqs_n, -- .mem_dqs_n memory_mem_odt => CONNECTED_TO_memory_mem_odt, -- .mem_odt memory_mem_dm => CONNECTED_TO_memory_mem_dm, -- .mem_dm memory_oct_rzqin => CONNECTED_TO_memory_oct_rzqin, -- .oct_rzqin pushbuttons_export => CONNECTED_TO_pushbuttons_export, -- pushbuttons.export sdram_addr => CONNECTED_TO_sdram_addr, -- sdram.addr sdram_ba => CONNECTED_TO_sdram_ba, -- .ba sdram_cas_n => CONNECTED_TO_sdram_cas_n, -- .cas_n sdram_cke => CONNECTED_TO_sdram_cke, -- .cke sdram_cs_n => CONNECTED_TO_sdram_cs_n, -- .cs_n sdram_dq => CONNECTED_TO_sdram_dq, -- .dq sdram_dqm => CONNECTED_TO_sdram_dqm, -- .dqm sdram_ras_n => CONNECTED_TO_sdram_ras_n, -- .ras_n sdram_we_n => CONNECTED_TO_sdram_we_n, -- .we_n sdram_clk_clk => CONNECTED_TO_sdram_clk_clk, -- sdram_clk.clk slider_switches_export => CONNECTED_TO_slider_switches_export, -- slider_switches.export system_pll_ref_clk_clk => CONNECTED_TO_system_pll_ref_clk_clk, -- system_pll_ref_clk.clk system_pll_ref_reset_reset => CONNECTED_TO_system_pll_ref_reset_reset, -- system_pll_ref_reset.reset vga_CLK => CONNECTED_TO_vga_CLK, -- vga.CLK vga_HS => CONNECTED_TO_vga_HS, -- .HS vga_VS => CONNECTED_TO_vga_VS, -- .VS vga_BLANK => CONNECTED_TO_vga_BLANK, -- .BLANK vga_SYNC => CONNECTED_TO_vga_SYNC, -- .SYNC vga_R => CONNECTED_TO_vga_R, -- .R vga_G => CONNECTED_TO_vga_G, -- .G vga_B => CONNECTED_TO_vga_B, -- .B vga_pll_ref_clk_clk => CONNECTED_TO_vga_pll_ref_clk_clk, -- vga_pll_ref_clk.clk vga_pll_ref_reset_reset => CONNECTED_TO_vga_pll_ref_reset_reset, -- vga_pll_ref_reset.reset video_in_TD_CLK27 => CONNECTED_TO_video_in_TD_CLK27, -- video_in.TD_CLK27 video_in_TD_DATA => CONNECTED_TO_video_in_TD_DATA, -- .TD_DATA video_in_TD_HS => CONNECTED_TO_video_in_TD_HS, -- .TD_HS video_in_TD_VS => CONNECTED_TO_video_in_TD_VS, -- .TD_VS video_in_clk27_reset => CONNECTED_TO_video_in_clk27_reset, -- .clk27_reset video_in_TD_RESET => CONNECTED_TO_video_in_TD_RESET, -- .TD_RESET video_in_overflow_flag => CONNECTED_TO_video_in_overflow_flag -- .overflow_flag );
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/lpm_dff_db0.vhd
1
4247
-- megafunction wizard: %LPM_FF% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: lpm_ff -- ============================================================ -- File Name: lpm_dff_db0.vhd -- Megafunction Name(s): -- lpm_ff -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY lpm; USE lpm.all; ENTITY lpm_dff_db0 IS PORT ( clock : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (8 DOWNTO 0); sclr : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (8 DOWNTO 0) ); END lpm_dff_db0; ARCHITECTURE SYN OF lpm_dff_db0 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (8 DOWNTO 0); COMPONENT lpm_ff GENERIC ( lpm_fftype : STRING; lpm_type : STRING; lpm_width : NATURAL ); PORT ( sclr : IN STD_LOGIC ; clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (8 DOWNTO 0); data : IN STD_LOGIC_VECTOR (8 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(8 DOWNTO 0); lpm_ff_component : lpm_ff GENERIC MAP ( lpm_fftype => "DFF", lpm_type => "LPM_FF", lpm_width => 9 ) PORT MAP ( sclr => sclr, clock => clock, data => data, q => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACLR NUMERIC "0" -- Retrieval info: PRIVATE: ALOAD NUMERIC "0" -- Retrieval info: PRIVATE: ASET NUMERIC "0" -- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1" -- Retrieval info: PRIVATE: CLK_EN NUMERIC "0" -- Retrieval info: PRIVATE: DFF NUMERIC "1" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: SCLR NUMERIC "1" -- Retrieval info: PRIVATE: SLOAD NUMERIC "0" -- Retrieval info: PRIVATE: SSET NUMERIC "0" -- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: UseTFFdataPort NUMERIC "0" -- Retrieval info: PRIVATE: nBit NUMERIC "9" -- Retrieval info: CONSTANT: LPM_FFTYPE STRING "DFF" -- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_FF" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "9" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock -- Retrieval info: USED_PORT: data 0 0 9 0 INPUT NODEFVAL data[8..0] -- Retrieval info: USED_PORT: q 0 0 9 0 OUTPUT NODEFVAL q[8..0] -- Retrieval info: USED_PORT: sclr 0 0 0 0 INPUT NODEFVAL sclr -- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 9 0 @q 0 0 9 0 -- Retrieval info: CONNECT: @sclr 0 0 0 0 sclr 0 0 0 0 -- Retrieval info: CONNECT: @data 0 0 9 0 data 0 0 9 0 -- Retrieval info: LIBRARY: lpm lpm.lpm_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_dff_db0.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_dff_db0.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_dff_db0.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_dff_db0.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_dff_db0_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/TIMER.vhd
1
1301
-- TIMER.VHD (a peripheral module for SCOMP) -- 2003.04.24 -- -- Timer returns a 16 bit counter value with a resolution of the CLOCK period. -- Writing any value to timer resets to 0x0000, but the timer continues to run. -- The counter value rolls over to 0x0000 after a clock tick at 0xFFFF. LIBRARY IEEE; LIBRARY LPM; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE LPM.LPM_COMPONENTS.ALL; ENTITY TIMER IS PORT(CLOCK, RESETN, CS, IO_WRITE : IN STD_LOGIC; IO_DATA : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END TIMER; ARCHITECTURE a OF TIMER IS SIGNAL COUNT : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL IO_OUT : STD_LOGIC; BEGIN -- Use LPM function to create bidirection I/O data bus IO_BUS: lpm_bustri GENERIC MAP ( lpm_width => 16 ) PORT MAP ( data => COUNT, enabledt => IO_OUT, tridata => IO_DATA ); IO_OUT <= (CS AND NOT(IO_WRITE)); PROCESS (CLOCK, RESETN, CS, IO_WRITE) BEGIN IF (RESETN = '0' OR (CS AND IO_WRITE) = '1') THEN COUNT <= x"0000"; ELSIF (FALLING_EDGE(CLOCK)) THEN COUNT <= COUNT + 1; END IF; END PROCESS; END a;
mit
hubertokf/VHDL-Fast-Adders
CLAH/CLA2bits/CLA2bits.vhd
4
760
LIBRARY Ieee; USE ieee.std_logic_1164.all; ENTITY CLA2bits IS PORT ( val1,val2: IN STD_LOGIC_VECTOR(1 DOWNTO 0); SomaResult:OUT STD_LOGIC_VECTOR(1 DOWNTO 0); CarryIn: IN STD_LOGIC; CarryOut: OUT STD_LOGIC; P, G: OUT STD_LOGIC ); END CLA2bits; ARCHITECTURE strc_cla2bits of CLA2bits is SIGNAL Sum,Gen,Prop,Carry:STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- soma dos valores e propagação do carry -- Sum<=val1 xor val2; Prop<=val1 or val2; Gen<=val1 and val2; PROCESS (Gen,Prop,Carry) BEGIN Carry(1) <= Gen(0) OR (Prop(0) AND CarryIn); END PROCESS; SomaResult(0) <= Sum(0) XOR CarryIn; SomaResult(1) <= Sum(1) XOR Carry(1); P <= Prop(1) AND Prop(0); G <= Gen(1) OR (Prop(1) AND Gen(0)); END strc_cla2bits;
mit
hubertokf/VHDL-Fast-Adders
CSA/8bits/CSA8bits/RCA.vhd
3
862
-- Somador 8_bits -- LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY RCA IS PORT ( CarryIn: in std_logic; val1,val2: in std_logic_vector (3 downto 0); SomaResult: out std_logic_vector (3 downto 0); CarryOut: out std_logic ); END RCA ; ARCHITECTURE strc_RCA OF RCA IS signal carry: std_logic_vector (3 downto 1); COMPONENT Soma1 port ( CarryIn,val1,val2: in std_logic ; SomaResult,CarryOut: out std_logic ); END COMPONENT ; BEGIN --somador-- Som0: Soma1 PORT MAP ( CarryIn, val1(0), val2(0), SomaResult(0), carry(1) ); Som1: Soma1 PORT MAP ( carry(1), val1(1), val2(1), SomaResult(1), carry(2) ); Som2: Soma1 PORT MAP ( carry(2), val1(2), val2(2), SomaResult(2), carry(3) ); Som3: Soma1 PORT MAP ( carry(3), val1(3), val2(3), SomaResult(3), CarryOut ); END strc_RCA ;
mit
lfmunoz/vhdl
ip_blocks/LFSR/tb_lfsr.vhd
1
4435
------------------------------------------------------------------------------------- -- FILE NAME : testbench_template.vhd -- AUTHOR : Luis -- COMPANY : -- UNITS : Entity - testbench_template -- Architecture - Behavioral -- LANGUAGE : VHDL -- DATE : May 21, 2010 ------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------- -- DESCRIPTION -- =========== -- This entity is template for writing test benches -- -- ------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------- -- LIBRARIES ------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; --Library UNISIM; -- use UNISIM.vcomponents.all; --Library xil_defaultlib; ------------------------------------------------------------------------------------- -- ENTITY ------------------------------------------------------------------------------------- entity tb_lfsr is end tb_lfsr; ------------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------------- architecture Behavioral of tb_lfsr is ------------------------------------------------------------------------------------- -- CONSTANTS ------------------------------------------------------------------------------------- constant CLK_10_MHZ : time := 100 ns; constant CLK_200_MHZ : time := 5 ns; constant CLK_125_MHZ : time := 8 ns; constant CLK_100_MHZ : time := 10 ns; constant CLK_368_MHZ : time := 2.7126 ns; constant CLK_25_MHZ : time := 40 ns; constant CLK_167_MHZ : time := 6 ns; constant DATA_WIDTH : natural := 8; constant ADDR_WIDTH : natural := 8; type bus064 is array(natural range <>) of std_logic_vector(63 downto 0); type bus008 is array(natural range <>) of std_logic_vector(7 downto 0); type bus016 is array(natural range <>) of std_logic_vector(15 downto 0); ----------------------------------------------------------------------------------- -- SIGNALS ----------------------------------------------------------------------------------- signal sysclk_p : std_logic := '1'; signal sysclk_n : std_logic := '0'; signal clk : std_logic := '1'; signal clk200 : std_logic := '1'; signal clk100 : std_logic := '1'; signal rst : std_logic := '1'; signal rstn : std_logic := '0'; signal rst_rstin : std_logic_vector(31 downto 0) := (others=>'1'); signal clk_clkin : std_logic_vector(31 downto 0) := (others=>'1'); signal reg0_out : std_logic_vector(2 downto 0); signal reg1_out : std_logic_vector(2 downto 0); signal reg2_out : std_logic_vector(2 downto 0); --*********************************************************************************** begin --*********************************************************************************** -- Clock & reset generation sysclk_p <= not sysclk_p after CLK_100_MHZ/2; sysclk_n <= not sysclk_p; clk <= not clk after CLK_125_MHZ / 2; clk200 <= not clk200 after CLK_200_MHZ / 2; clk100 <= not clk100 after CLK_100_MHZ / 2; rst <= '0' after CLK_125_MHZ * 10; rstn <= '1' after CLK_125_MHZ * 10; rst_rstin <= (0=>rst, 1 => rst, 2=> rst, others =>'0'); clk_clkin <= (13 => clk200, 14 => clk100, others=>clk); ----------------------------------------------------------------------------------- -- Unit under test ----------------------------------------------------------------------------------- uut: entity work.LFSR_0 generic map ( WIDTH => 3 ) port map ( clk_in => clk, rst_in => rst, reg_out => reg0_out ); uut0: entity work.lfsr_internal generic map ( WIDTH => 3 ) port map ( clk_in => clk, rst_in => rst, reg_out => reg1_out ); uut1: entity work.lfsr_external generic map ( WIDTH => 3 ) port map ( clk_in => clk, rst_in => rst, reg_out => reg2_out ); --*********************************************************************************** end architecture Behavioral; --***********************************************************************************
mit
lfmunoz/vhdl
ip_blocks/sip_router_async_s1d2_x4_b/src/sip_router_async_s1d2_x4_b_stellar_regs.vhd
1
10569
-------------------------------------------------------------------------------- -- file name : sip_router_async_s1d2_x4_b_regs.vhd -- -- author : e. barhorst -- -- company : 4dsp -- -- item : number -- -- units : entity -sip_router_async_s1d2_x4_b_regs -- arch_itecture - arch_sip_router_async_s1d2_x4_b_regs -- -- language : vhdl -- -------------------------------------------------------------------------------- -- description -- =========== -- -- -- notes: -------------------------------------------------------------------------------- -- -- disclaimer: limited warranty and disclaimer. these designs are -- provided to you as is. 4dsp specifically disclaims any -- implied warranties of merchantability, non-infringement, or -- fitness for a particular purpose. 4dsp does not warrant that -- the functions contained in these designs will meet your -- requirements, or that the operation of these designs will be -- uninterrupted or error free, or that defects in the designs -- will be corrected. furthermore, 4dsp does not warrant or -- make any representations regarding use or the results of the -- use of the designs in terms of correctness, accuracy, -- reliability, or otherwise. -- -- limitation of liability. in no event will 4dsp or its -- licensors be liable for any loss of data, lost profits, cost -- or procurement of substitute goods or services, or for any -- special, incidental, consequential, or indirect damages -- arising from the use or operation of the designs or -- accompanying documentation, however caused and on any theory -- of liability. this limitation will apply even if 4dsp -- has been advised of the possibility of such damage. this -- limitation shall apply not-withstanding the failure of the -- essential purpose of any limited remedies herein. -- -- from -- ver pcb mod date changes -- === ======= ======== ======= -- -- 0.0 0 19-01-2009 new version -- ---------------------------------------------- -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- specify libraries. -------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_unsigned.all ; use ieee.std_logic_misc.all ; use ieee.std_logic_arith.all ; use ieee.std_logic_1164.all ; -------------------------------------------------------------------------------- -- entity declaration -------------------------------------------------------------------------------- entity sip_router_async_s1d2_x4_b_regs is generic ( start_addr :std_logic_vector(27 downto 0):=x"0000000"; stop_addr :std_logic_vector(27 downto 0):=x"0000001" ); port ( reset :in std_logic; --command if clk_cmd :in std_logic; --cmd_in and cmd_out are synchronous to this clock; out_cmd :out std_logic_vector(63 downto 0); out_cmd_val :out std_logic; in_cmd :in std_logic_vector(63 downto 0); in_cmd_val :in std_logic; --register interface clk_reg :in std_logic; reg0000 :out std_logic_vector(31 downto 0); reg0001 :out std_logic_vector(31 downto 0) ); end entity sip_router_async_s1d2_x4_b_regs ; -------------------------------------------------------------------------------- -- arch_itecture declaration -------------------------------------------------------------------------------- architecture arch_sip_router_async_s1d2_x4_b_regs of sip_router_async_s1d2_x4_b_regs is ----------------------------------------------------------------------------------- --constant declarations ----------------------------------------------------------------------------------- --register addresses constant addr_mbx_in :std_logic_vector(27 downto 0) :=x"0000000"; --register address for the lsb of the start addr constant addr_mbx_out :std_logic_vector(27 downto 0) :=x"0000001"; --register address for the lsb of the start addr --ctrl type std2d_32b is array(natural range<>) of std_logic_vector(31 downto 0); constant nb_regs :integer := 2; ----------------------------------------------------------------------------------- --signal declarations ----------------------------------------------------------------------------------- signal registers :std2d_32b(nb_regs-1 downto 0); signal out_reg :std_logic_vector(31 downto 0); signal out_reg_val :std_logic; signal out_reg_addr :std_logic_vector(27 downto 0); signal in_reg :std_logic_vector(31 downto 0); signal in_reg_val :std_logic; signal in_reg_req :std_logic; signal in_reg_addr :std_logic_vector(27 downto 0); signal local_reset : std_logic_vector(2 downto 0); signal out_mailbox_data_sig :std_logic_vector(31 downto 0); ----------------------------------------------------------------------------------- --component declarations ----------------------------------------------------------------------------------- component sip_router_async_s1d2_x4_b_stellar_cmd generic ( start_addr :std_logic_vector(27 downto 0):=x"0000000"; stop_addr :std_logic_vector(27 downto 0):=x"0000010" ); port ( reset :in std_logic; --command if clk_cmd :in std_logic; --cmd_in and cmd_out are synchronous to this clock; out_cmd :out std_logic_vector(63 downto 0); out_cmd_val :out std_logic; in_cmd :in std_logic_vector(63 downto 0); in_cmd_val :in std_logic; --register interface clk_reg :in std_logic; --register interface is synchronous to this clock out_reg :out std_logic_vector(31 downto 0);--caries the out register data out_reg_val :out std_logic; --the out_reg has valid data (pulse) out_reg_addr :out std_logic_vector(27 downto 0);--out register address in_reg :in std_logic_vector(31 downto 0);--requested register data is placed on this bus in_reg_val :in std_logic; --pulse to indicate requested register is valid in_reg_req :out std_logic; --pulse to request data in_reg_addr :out std_logic_vector(27 downto 0); --requested address --mailbox interface mbx_out_reg :out std_logic_vector(31 downto 0);--value of the mailbox to send mbx_out_val :out std_logic; mbx_in_reg :in std_logic_vector(31 downto 0);--value of the mailbox to send mbx_in_val :in std_logic ); end component; component pulse2pulse port ( in_clk :in std_logic; out_clk :in std_logic; rst :in std_logic; pulsein :in std_logic; inbusy :out std_logic; pulseout :out std_logic ); end component; begin ----------------------------------------------------------------------------------- --component instantiations ----------------------------------------------------------------------------------- i_sip_router_async_s1d2_x4_b_stellar_cmd: sip_router_async_s1d2_x4_b_stellar_cmd generic map ( start_addr =>start_addr, stop_addr =>stop_addr ) port map ( reset =>reset, --command if clk_cmd =>clk_cmd, out_cmd =>out_cmd, out_cmd_val =>out_cmd_val, in_cmd =>in_cmd, in_cmd_val =>in_cmd_val, --register interface clk_reg =>clk_reg, out_reg =>out_reg, out_reg_val =>out_reg_val, out_reg_addr =>out_reg_addr, in_reg =>in_reg, in_reg_val =>in_reg_val, in_reg_req =>in_reg_req, in_reg_addr =>in_reg_addr, mbx_out_reg =>out_mailbox_data_sig, mbx_out_val =>open, mbx_in_reg =>(others=>'0'), mbx_in_val =>'0' ); ----------------------------------------------------------------------------------- --synchronous processes ----------------------------------------------------------------------------------- in_reg_proc: process(clk_reg) begin -- Local reset if reset = '1' then local_reset <= (others => '1'); elsif rising_edge(clk_reg) then local_reset <= local_reset(1 downto 0) & '0'; end if; if(clk_reg'event and clk_reg='1') then if local_reset(2) = '1' then for i in 0 to nb_regs-1 loop registers(i) <= (others => '0'); end loop; else for i in 0 to nb_regs-1 loop if (out_reg_val = '1' and out_reg_addr = i) then registers(i) <= out_reg; end if; end loop; --acknoledge the requested register in_reg_val <= in_reg_req; end if; end if; end process; ----------------------------------------------------------------------------------- --asynchronous processes ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --asynchronous mapping ----------------------------------------------------------------------------------- --map the requested register register in_reg <= registers(conv_integer(in_reg_addr)); reg0000 <=registers(conv_integer(0)); reg0001 <=registers(conv_integer(1)); end architecture arch_sip_router_async_s1d2_x4_b_regs ; -- of sip_router_async_s1d2_x4_b_regs
mit
hubertokf/VHDL-Fast-Adders
BSA/8bits/BSA8bits/BSA8bits.vhd
1
1587
library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_unsigned.all; ENTITY BSA8bits IS PORT ( val1,val2: IN STD_LOGIC_VECTOR(7 DOWNTO 0); SomaResult:OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clk: IN STD_LOGIC; rst: IN STD_LOGIC; CarryOut: OUT STD_LOGIC ); END BSA8bits; architecture strc_BSA8bits of BSA8bits is SIGNAL Cin_temp, Cout_temp, Cout_sig, done: STD_LOGIC; SIGNAL A_sig, B_sig, Out_sig: STD_LOGIC_VECTOR(7 DOWNTO 0); Component Reg1Bit PORT ( valIn: in std_logic; clk: in std_logic; rst: in std_logic; valOut: out std_logic ); end component; Component Reg8Bit PORT ( valIn: in std_logic_vector(7 downto 0); clk: in std_logic; rst: in std_logic; valOut: out std_logic_vector(7 downto 0) ); end component; begin Reg_A: Reg8Bit PORT MAP ( valIn=>val1, clk=>clk, rst=>rst, valOut=>A_sig ); Reg_B: Reg8Bit PORT MAP ( valIn=>val2, clk=>clk, rst=>rst, valOut=>B_sig ); Reg_CarryOut: Reg1Bit PORT MAP ( valIn=>Cin_temp, clk=>clk, rst=>rst, valOut=>CarryOut ); Reg_Ssoma: Reg8Bit PORT MAP ( valIn=>Out_sig, clk=>clk, rst=>rst, valOut=>SomaResult ); process(clk,rst,done) variable counter: integer range 0 to 8 := 0; begin if rst = '1' then Cin_temp <= '0'; elsif (clk='1' and clk'event) then Out_sig(counter) <= (A_sig(counter) XOR B_sig(counter)) XOR Cin_temp; Cin_temp <= (A_sig(counter) AND B_sig(counter)) OR (Cin_temp AND A_sig(counter)) OR (Cin_temp AND B_sig(counter)); counter := counter + 1; end if; end process; end strc_BSA8bits;
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_ILC/ghrd_10as066n2_ILC_inst.vhd
1
1317
component ghrd_10as066n2_ILC is port ( avmm_addr : in std_logic_vector(5 downto 0) := (others => 'X'); -- address avmm_wrdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avmm_write : in std_logic := 'X'; -- write avmm_read : in std_logic := 'X'; -- read avmm_rddata : out std_logic_vector(31 downto 0); -- readdata clk : in std_logic := 'X'; -- clk irq : in std_logic_vector(1 downto 0) := (others => 'X'); -- irq reset_n : in std_logic := 'X' -- reset_n ); end component ghrd_10as066n2_ILC; u0 : component ghrd_10as066n2_ILC port map ( avmm_addr => CONNECTED_TO_avmm_addr, -- avalon_slave.address avmm_wrdata => CONNECTED_TO_avmm_wrdata, -- .writedata avmm_write => CONNECTED_TO_avmm_write, -- .write avmm_read => CONNECTED_TO_avmm_read, -- .read avmm_rddata => CONNECTED_TO_avmm_rddata, -- .readdata clk => CONNECTED_TO_clk, -- clk.clk irq => CONNECTED_TO_irq, -- irq.irq reset_n => CONNECTED_TO_reset_n -- reset_n.reset_n );
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/uart_dcfifo_in.vhd
1
7772
-- megafunction wizard: %LPM_FIFO+% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: dcfifo -- ============================================================ -- File Name: uart_dcfifo_in.vhd -- Megafunction Name(s): -- dcfifo -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 350 03/24/2010 SP 2 SJ Full Version -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY uart_dcfifo_in IS PORT ( aclr : IN STD_LOGIC := '0'; data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); rdclk : IN STD_LOGIC ; rdreq : IN STD_LOGIC ; wrclk : IN STD_LOGIC ; wrreq : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); rdempty : OUT STD_LOGIC ; wrfull : OUT STD_LOGIC ); END uart_dcfifo_in; ARCHITECTURE SYN OF uart_dcfifo_in IS SIGNAL sub_wire0 : STD_LOGIC ; SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT dcfifo GENERIC ( intended_device_family : STRING; lpm_hint : STRING; lpm_numwords : NATURAL; lpm_showahead : STRING; lpm_type : STRING; lpm_width : NATURAL; lpm_widthu : NATURAL; overflow_checking : STRING; rdsync_delaypipe : NATURAL; underflow_checking : STRING; use_eab : STRING; write_aclr_synch : STRING; wrsync_delaypipe : NATURAL ); PORT ( wrclk : IN STD_LOGIC ; rdempty : OUT STD_LOGIC ; rdreq : IN STD_LOGIC ; aclr : IN STD_LOGIC ; wrfull : OUT STD_LOGIC ; rdclk : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); wrreq : IN STD_LOGIC ; data : IN STD_LOGIC_VECTOR (7 DOWNTO 0) ); END COMPONENT; BEGIN rdempty <= sub_wire0; wrfull <= sub_wire1; q <= sub_wire2(7 DOWNTO 0); dcfifo_component : dcfifo GENERIC MAP ( intended_device_family => "Cyclone II", lpm_hint => "MAXIMIZE_SPEED=7,", lpm_numwords => 32, lpm_showahead => "ON", lpm_type => "dcfifo", lpm_width => 8, lpm_widthu => 5, overflow_checking => "ON", rdsync_delaypipe => 5, underflow_checking => "ON", use_eab => "ON", write_aclr_synch => "OFF", wrsync_delaypipe => 5 ) PORT MAP ( wrclk => wrclk, rdreq => rdreq, aclr => aclr, rdclk => rdclk, wrreq => wrreq, data => data, rdempty => sub_wire0, wrfull => sub_wire1, q => sub_wire2 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0" -- Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1" -- Retrieval info: PRIVATE: AlmostFull NUMERIC "0" -- Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1" -- Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "4" -- Retrieval info: PRIVATE: Depth NUMERIC "32" -- Retrieval info: PRIVATE: Empty NUMERIC "1" -- Retrieval info: PRIVATE: Full NUMERIC "1" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0" -- Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0" -- Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0" -- Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0" -- Retrieval info: PRIVATE: Optimize NUMERIC "1" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0" -- Retrieval info: PRIVATE: UsedW NUMERIC "1" -- Retrieval info: PRIVATE: Width NUMERIC "8" -- Retrieval info: PRIVATE: dc_aclr NUMERIC "1" -- Retrieval info: PRIVATE: diff_widths NUMERIC "0" -- Retrieval info: PRIVATE: msb_usedw NUMERIC "0" -- Retrieval info: PRIVATE: output_width NUMERIC "8" -- Retrieval info: PRIVATE: rsEmpty NUMERIC "1" -- Retrieval info: PRIVATE: rsFull NUMERIC "0" -- Retrieval info: PRIVATE: rsUsedW NUMERIC "0" -- Retrieval info: PRIVATE: sc_aclr NUMERIC "0" -- Retrieval info: PRIVATE: sc_sclr NUMERIC "0" -- Retrieval info: PRIVATE: wsEmpty NUMERIC "0" -- Retrieval info: PRIVATE: wsFull NUMERIC "1" -- Retrieval info: PRIVATE: wsUsedW NUMERIC "0" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_HINT STRING "MAXIMIZE_SPEED=7," -- Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "32" -- Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON" -- Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo" -- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8" -- Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "5" -- Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON" -- Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "5" -- Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON" -- Retrieval info: CONSTANT: USE_EAB STRING "ON" -- Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF" -- Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "5" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr -- Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL data[7..0] -- Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL q[7..0] -- Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk -- Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty -- Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq -- Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk -- Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull -- Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq -- Retrieval info: CONNECT: @data 0 0 8 0 data 0 0 8 0 -- Retrieval info: CONNECT: q 0 0 8 0 @q 0 0 8 0 -- Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0 -- Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0 -- Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0 -- Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0 -- Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0 -- Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0 -- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in.bsf TRUE FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in_inst.vhd FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in_waveforms.html FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL uart_dcfifo_in_wave*.jpg FALSE -- Retrieval info: LIB_FILE: altera_mf
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/pr_region_default/pr_region_default_mm_bridge_0/pr_region_default_mm_bridge_0_inst.vhd
1
4666
component pr_region_default_mm_bridge_0 is generic ( DATA_WIDTH : integer := 32; SYMBOL_WIDTH : integer := 8; HDL_ADDR_WIDTH : integer := 10; BURSTCOUNT_WIDTH : integer := 1; PIPELINE_COMMAND : integer := 1; PIPELINE_RESPONSE : integer := 1 ); port ( clk : in std_logic := 'X'; -- clk m0_waitrequest : in std_logic := 'X'; -- waitrequest m0_readdata : in std_logic_vector(DATA_WIDTH-1 downto 0) := (others => 'X'); -- readdata m0_readdatavalid : in std_logic := 'X'; -- readdatavalid m0_burstcount : out std_logic_vector(BURSTCOUNT_WIDTH-1 downto 0); -- burstcount m0_writedata : out std_logic_vector(DATA_WIDTH-1 downto 0); -- writedata m0_address : out std_logic_vector(HDL_ADDR_WIDTH-1 downto 0); -- address m0_write : out std_logic; -- write m0_read : out std_logic; -- read m0_byteenable : out std_logic_vector(3 downto 0); -- byteenable m0_debugaccess : out std_logic; -- debugaccess reset : in std_logic := 'X'; -- reset s0_waitrequest : out std_logic; -- waitrequest s0_readdata : out std_logic_vector(DATA_WIDTH-1 downto 0); -- readdata s0_readdatavalid : out std_logic; -- readdatavalid s0_burstcount : in std_logic_vector(BURSTCOUNT_WIDTH-1 downto 0) := (others => 'X'); -- burstcount s0_writedata : in std_logic_vector(DATA_WIDTH-1 downto 0) := (others => 'X'); -- writedata s0_address : in std_logic_vector(HDL_ADDR_WIDTH-1 downto 0) := (others => 'X'); -- address s0_write : in std_logic := 'X'; -- write s0_read : in std_logic := 'X'; -- read s0_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable s0_debugaccess : in std_logic := 'X' -- debugaccess ); end component pr_region_default_mm_bridge_0; u0 : component pr_region_default_mm_bridge_0 generic map ( DATA_WIDTH => INTEGER_VALUE_FOR_DATA_WIDTH, SYMBOL_WIDTH => INTEGER_VALUE_FOR_SYMBOL_WIDTH, HDL_ADDR_WIDTH => INTEGER_VALUE_FOR_HDL_ADDR_WIDTH, BURSTCOUNT_WIDTH => INTEGER_VALUE_FOR_BURSTCOUNT_WIDTH, PIPELINE_COMMAND => INTEGER_VALUE_FOR_PIPELINE_COMMAND, PIPELINE_RESPONSE => INTEGER_VALUE_FOR_PIPELINE_RESPONSE ) port map ( clk => CONNECTED_TO_clk, -- clk.clk m0_waitrequest => CONNECTED_TO_m0_waitrequest, -- m0.waitrequest m0_readdata => CONNECTED_TO_m0_readdata, -- .readdata m0_readdatavalid => CONNECTED_TO_m0_readdatavalid, -- .readdatavalid m0_burstcount => CONNECTED_TO_m0_burstcount, -- .burstcount m0_writedata => CONNECTED_TO_m0_writedata, -- .writedata m0_address => CONNECTED_TO_m0_address, -- .address m0_write => CONNECTED_TO_m0_write, -- .write m0_read => CONNECTED_TO_m0_read, -- .read m0_byteenable => CONNECTED_TO_m0_byteenable, -- .byteenable m0_debugaccess => CONNECTED_TO_m0_debugaccess, -- .debugaccess reset => CONNECTED_TO_reset, -- reset.reset s0_waitrequest => CONNECTED_TO_s0_waitrequest, -- s0.waitrequest s0_readdata => CONNECTED_TO_s0_readdata, -- .readdata s0_readdatavalid => CONNECTED_TO_s0_readdatavalid, -- .readdatavalid s0_burstcount => CONNECTED_TO_s0_burstcount, -- .burstcount s0_writedata => CONNECTED_TO_s0_writedata, -- .writedata s0_address => CONNECTED_TO_s0_address, -- .address s0_write => CONNECTED_TO_s0_write, -- .write s0_read => CONNECTED_TO_s0_read, -- .read s0_byteenable => CONNECTED_TO_s0_byteenable, -- .byteenable s0_debugaccess => CONNECTED_TO_s0_debugaccess -- .debugaccess );
mit
lfmunoz/vhdl
templates/simulation/fmc160_model/i2c_slave_model.vhd
2
11933
--------------------------------------------------------------------/ ---- ---- ---- WISHBONE rev.B2 compliant synthesizable I2C Slave model ---- ---- ---- ---- ---- ---- Authors: Richard Herveille ([email protected]) www.asics.ws ---- ---- John Sheahan ([email protected]) ---- ---- ---- ---- Downloaded from: http:--www.opencores.org/projects/i2c/ ---- ---- ---- --------------------------------------------------------------------/ ---- ---- ---- Copyright (C) 2001,2002 Richard Herveille ---- ---- [email protected] ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer.---- ---- ---- ---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ---- ---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ---- ---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ---- ---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ---- ---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ---- ---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ---- ---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ---- ---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ---- ---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ---- ---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ---- ---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ---- ---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ---- ---- POSSIBILITY OF SUCH DAMAGE. ---- ---- ---- --------------------------------------------------------------------/ -- CVS Log -- -- $Id: i2c_slave_model.v,v 1.6 2005/02/28 11:33:48 rherveille Exp $ -- -- $Date: 2005/02/28 11:33:48 $ -- $Revision: 1.6 $ -- $Author: rherveille $ -- $Locker: $ -- $State: Exp $ -- -- Change History: -- $Log: i2c_slave_model.v,v $ -- Revision 1.6 2005/02/28 11:33:48 rherveille -- Fixed Tsu:sta timing check. -- Added Thd:sta timing check. -- -- Revision 1.5 2003/12/05 11:05:19 rherveille -- Fixed slave address MSB='1' bug -- -- Revision 1.4 2003/09/11 08:25:37 rherveille -- Fixed a bug in the timing section. Changed 'tst_scl' into 'tst_sto'. -- -- Revision 1.3 2002/10/30 18:11:06 rherveille -- Added timing tests to i2c_model. -- Updated testbench. -- -- Revision 1.2 2002/03/17 10:26:38 rherveille -- Fixed some race conditions in the i2c-slave model. -- Added debug information. -- Added headers. -- library ieee; use ieee.std_logic_1164.all ; use ieee.std_logic_arith.all ; use ieee.std_logic_unsigned.all ; use ieee.std_logic_misc.all ; entity i2c_slave_model is generic ( I2C_ADR : std_logic_vector(6 downto 0) := "1010111" ); port ( scl : in std_logic; sda : inout std_logic ); end i2c_slave_model; architecture syn of i2c_slave_model is ----------------------------------------------------------------------------------- --constant declarations ----------------------------------------------------------------------------------- constant debug :std_logic := '1'; ----------------------------------------------------------------------------------- --signal declarations ----------------------------------------------------------------------------------- type std_2d is array(natural range <>) of std_logic_vector(7 downto 0); type i2s_slave_sm_type is (idle, slave_ack, get_mem_adr, gma_ack, data, data_ack); signal state :i2s_slave_sm_type:=idle; signal mem :std_2d(15 downto 0); signal mem_adr :std_logic_vector(7 downto 0); signal mem_do :std_logic_vector(7 downto 0); signal sr :std_logic_vector(7 downto 0); signal bit_cnt :std_logic_vector(2 downto 0); signal sta : std_logic; signal d_sta : std_logic; signal sto : std_logic; signal d_sto :std_logic; signal i2c_reset :std_logic; signal sda_o :std_logic:='1'; signal sda_dly :std_logic; signal ld : std_logic; signal acc_done :std_logic; signal my_adr :std_logic; signal rw :std_logic; ----------------------------------------------------------------------------------- --component declarations ----------------------------------------------------------------------------------- begin ----------------------------------------------------------------------------------- --component instantiations ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --synchronous processes ----------------------------------------------------------------------------------- shift_reg: process(scl) begin if( scl'event and (scl = '1' or scl = 'H')) then if (sda = '1' or sda = 'H') then sr <= sr(6 downto 0) & '1' after 1 ns; else sr <= sr(6 downto 0) & '0' after 1 ns; end if; if (ld = '1') then bit_cnt <= (others =>'1') after 1 ns; else bit_cnt <= bit_cnt - 1 after 1 ns; end if; end if; end process; detct_proc: process(sda, scl) begin if( sda'event and sda = '0' ) then if(scl = '1' or scl = 'H') then sta <= '1' after 1 ns; d_sta <= '0' after 1 ns; sto <= '0' after 1 ns; if(debug = '1') then report("DEBUG i2c_slave; start condition detected "); end if; else sta <= '0' after 1 ns; end if; elsif( sda'event and (sda = '1' or sda = 'H')) then if(scl = '1' or scl = 'H') then sta <= '0' after 1 ns; sto <= '1' after 1 ns; if(debug = '1') then report("DEBUG i2c_slave; stop condition detected"); end if; else sto <= '0' after 1 ns; end if; elsif ( scl'event and (scl = '1' or scl = 'H')) then d_sta <= sta after 1 ns; end if; end process; sm_proc: process(scl, sto) variable rw_var :std_logic; begin if( (scl'event and scl = '0') or (sto'event and sto = '0')) then if (sto = '1' or( sta = '1' and d_sta= '0')) then state <= idle after 1 ns; sda_o <= '1' after 1 ns; ld <= '1' after 1 ns; else sda_o <= '1' after 1 ns; ld <= '0' after 1 ns; case state is when idle => if(acc_done = '1' and my_adr= '1') then state <= slave_ack after 1 ns; rw <= sr(0) after 1 ns; rw_var := sr(0); sda_o <= '0' after 1 ns; if (debug = '1' and sr(0) = '1') then report("DEBUG i2c_slave; command byte received (read)" ); elsif (debug = '1' and sr(0) = '0') then report("DEBUG i2c_slave; command byte received (write)" ); end if; if (rw_var = '1') then mem_do <= mem(conv_integer(mem_adr)) after 1 ns; if (debug = '1') then report ("DEBUG i2c_slave; data block read from address "); end if; end if; end if; when slave_ack => if (rw = '1') then state <= data after 1 ns; sda_o <= mem_do(7) after 1 ns; else state <= get_mem_adr after 1 ns; ld <= '1' after 1 ns; end if; when get_mem_adr => if (acc_done = '1') then state <= gma_ack after 1 ns; mem_adr <= sr after 1 ns; if (sr <= conv_std_logic_vector(15,7)) then sda_o <= '0' after 1 ns; else sda_o <= '1' after 1 ns; end if; if (debug = '1') then report ("DEBUG i2c_slave; address received. "); end if; end if; when gma_ack => state <= data after 1 ns; ld <= '1' after 1 ns; when data => if(rw = '1') then sda_o <= mem_do(7) after 1 ns; end if; if(acc_done = '1') then state <= data_ack after 1 ns; mem_adr <= mem_adr + 1 after 2 ns; if (rw= '1'and mem_adr <= conv_std_logic_vector(15,7)) then sda_o <= '1' after 3 ns; else sda_o <= '0' after 1 ns; end if; if(rw= '1') then mem_do <= mem(conv_integer(mem_adr)) after 3 ns; if (debug = '1') then report ("DEBUG i2c_slave; data block read"); end if; end if; if (rw= '0') then mem(conv_integer(mem_adr)) <= sr after 1 ns; if (debug = '1') then report ("DEBUG i2c_slave; data block write "); end if; end if; end if; when data_ack => ld <= '1' after 1 ns; if (rw= '1') then if (sda = '1' or sda = 'H') then state <= idle after 1 ns; sda_o <= '1' after 1 ns; else state <= data after 1 ns; sda_o <= mem_do(7) after 1 ns; end if; else state <= data after 1 ns; sda_o <= '1' after 1 ns; end if; end case; end if; elsif( scl'event and (scl = '1' or scl = 'H')) then if (acc_done = '0' and rw = '1') then mem_do <= mem_do(6 downto 0) & '1'; end if; end if; end process; ----------------------------------------------------------------------------------- --asynchronous processes ----------------------------------------------------------------------------------- ----------------------------------------------------------------------------------- --asynchronous mapping ----------------------------------------------------------------------------------- my_adr <= '1' when sr(7 downto 1) = i2c_adr else '0'; --detect if it is our address acc_done <= not or_reduce(bit_cnt); --generate access done signal -- generate delayed version of sda -- this model assumes a hold time for sda after the falling edge of scl. -- According to the Phillips i2c spec, there s/b a 0 ns hold time for sda -- with regards to scl. If the data changes coincident with the clock, the -- acknowledge is missed -- Fix by Michael Sosnoski sda_dly <= '1' after 1 ns when sda = '1' or sda = 'H' else '0' after 1 ns; --generate i2c_reset signal i2c_reset <= sta or sto; -- generate tri-states sda <= '0' when sda_o = '0' else 'Z'; ------------------- ------------------- end syn;
mit
lfmunoz/vhdl
ip_blocks/axi_to_stellarip/vivado_prj/vivado_prj.srcs/sources_1/ip/axi_traffic_gen_0/blk_mem_gen_v8_2/simulation/blk_mem_gen_v8_2.vhd
9
213662
------------------------------------------------------------------------------- -- (c) Copyright 2006 - 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- -- Filename: BLK_MEM_GEN_v8_2.vhd -- -- Description: -- This file is the VHDL behvarial model for the -- Block Memory Generator Core. -- ------------------------------------------------------------------------------- -- Author: Xilinx -- -- History: January 11, 2006: Initial revision -- June 11, 2007 : Added independent register stages for -- Port A and Port B (IP1_Jm/v2.5) -- August 28, 2007 : Added mux pipeline stages feature (IP2_Jm/v2.6) -- April 07, 2009 : Added support for Spartan-6 and Virtex-6 -- features, including the following: -- (i) error injection, detection and/or correction -- (ii) reset priority -- (iii) special reset behavior -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.numeric_std.all; USE ieee.std_logic_unsigned.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_misc.all; LIBRARY STD; USE STD.TEXTIO.ALL; ENTITY blk_mem_axi_regs_fwd_v8_2 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END ENTITY blk_mem_axi_regs_fwd_v8_2; ARCHITECTURE axi_regs_fwd_arch OF blk_mem_axi_regs_fwd_v8_2 IS SIGNAL STORAGE_DATA : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL S_READY_I : STD_LOGIC := '0'; SIGNAL M_VALID_I : STD_LOGIC := '0'; SIGNAL ARESET_D : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');-- Reset delay register BEGIN --assign local signal to its output signal S_READY <= S_READY_I; M_VALID <= M_VALID_I; PROCESS(ACLK) BEGIN IF(ACLK'event AND ACLK = '1') THEN ARESET_D <= ARESET_D(0) & ARESET; END IF; END PROCESS; --Save payload data whenever we have a transaction on the slave side PROCESS(ACLK, ARESET) BEGIN IF (ARESET = '1') THEN STORAGE_DATA <= (OTHERS => '0'); ELSIF(ACLK'event AND ACLK = '1') THEN IF(S_VALID = '1' AND S_READY_I = '1') THEN STORAGE_DATA <= S_PAYLOAD_DATA; END IF; END IF; END PROCESS; M_PAYLOAD_DATA <= STORAGE_DATA; -- M_Valid set to high when we have a completed transfer on slave side -- Is removed on a M_READY except if we have a new transfer on the slave side PROCESS(ACLK,ARESET) BEGIN IF (ARESET_D /= "00") THEN M_VALID_I <= '0'; ELSIF(ACLK'event AND ACLK = '1') THEN IF (S_VALID = '1') THEN --Always set M_VALID_I when slave side is valid M_VALID_I <= '1'; ELSIF (M_READY = '1') THEN --Clear (or keep) when no slave side is valid but master side is ready M_VALID_I <= '0'; END IF; END IF; END PROCESS; --Slave Ready is either when Master side drives M_READY or we have space in our storage data S_READY_I <= (M_READY OR (NOT M_VALID_I)) AND NOT(OR_REDUCE(ARESET_D)); END axi_regs_fwd_arch; ------------------------------------------------------------------------------- -- Description: -- This is the behavioral model of write_wrapper for the -- Block Memory Generator Core. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_axi_write_wrapper_beh IS GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END blk_mem_axi_write_wrapper_beh; ARCHITECTURE axi_write_wrap_arch OF blk_mem_axi_write_wrapper_beh IS ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_AXI_WDATA_WIDTH=8,0, if_then_else((C_AXI_WDATA_WIDTH=16),1, if_then_else((C_AXI_WDATA_WIDTH=32),2, if_then_else((C_AXI_WDATA_WIDTH=64),3, if_then_else((C_AXI_WDATA_WIDTH=128),4, if_then_else((C_AXI_WDATA_WIDTH=256),5,0)))))); SIGNAL bvalid_c : std_logic := '0'; SIGNAL bready_timeout_c : std_logic := '0'; SIGNAL bvalid_rd_cnt_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_r : std_logic := '0'; SIGNAL bvalid_count_r : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0'); SIGNAL awaddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), C_AXI_AWADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL bvalid_wr_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL bvalid_rd_cnt_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL w_last_c : std_logic := '0'; SIGNAL addr_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL aw_ready_r : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL awlen_cntr_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '1'); SIGNAL awlen_int : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL awburst_int : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes : integer := 0; SIGNAL wrap_boundary : integer := 0; SIGNAL wrap_base_addr : integer := 0; SIGNAL num_of_bytes_c : integer := 0; SIGNAL num_of_bytes_r : integer := 0; -- Array to store BIDs TYPE id_array IS ARRAY (3 DOWNTO 0) OF std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); SIGNAL axi_bid_array : id_array := (others => (others => '0')); COMPONENT write_netlist GENERIC( C_AXI_TYPE : integer ); PORT( S_ACLK : IN std_logic; S_ARESETN : IN std_logic; S_AXI_AWVALID : IN std_logic; aw_ready_r : OUT std_logic; S_AXI_WVALID : IN std_logic; S_AXI_WREADY : OUT std_logic; S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN std_logic; S_AXI_WR_EN : OUT std_logic; w_last_c : IN std_logic; bready_timeout_c : IN std_logic; addr_en_c : OUT std_logic; incr_addr_c : OUT std_logic; bvalid_c : OUT std_logic ); END COMPONENT write_netlist; BEGIN --------------------------------------- --AXI WRITE FSM COMPONENT INSTANTIATION --------------------------------------- axi_wr_fsm : write_netlist GENERIC MAP ( C_AXI_TYPE => C_AXI_TYPE ) PORT MAP ( S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, S_AXI_AWVALID => S_AXI_AWVALID, aw_ready_r => aw_ready_r, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BVALID => OPEN, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BREADY => S_AXI_BREADY, S_AXI_WR_EN => S_AXI_WR_EN, w_last_c => w_last_c, bready_timeout_c => bready_timeout_c, addr_en_c => addr_en_c, incr_addr_c => incr_addr_c, bvalid_c => bvalid_c ); --Wrap Address boundary calculation num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWSIZE,"000")); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(awlen_int)+1); wrap_base_addr <= (conv_integer(awaddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary <= wrap_base_addr+total_bytes; --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awaddr_reg <= (OTHERS => '0'); num_of_bytes_r <= 0; awburst_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awaddr_reg <= S_AXI_AWADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; awburst_int <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_AWBURST,"01"); ELSIF (incr_addr_c = '1') THEN IF (awburst_int = "10") THEN IF(conv_integer(awaddr_reg) = (wrap_boundary-num_of_bytes_r)) THEN awaddr_reg <= conv_std_logic_vector(wrap_base_addr,C_AXI_AWADDR_WIDTH); ELSE awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; ELSIF (awburst_int = "01" OR awburst_int = "11") THEN awaddr_reg <= awaddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; S_AXI_AWADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0), awaddr_reg(C_AXI_AWADDR_WIDTH-1 DOWNTO C_RANGE),awaddr_reg); --------------------------------------------------------------------------- -- AXI wlast generation --------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN awlen_cntr_r <= (OTHERS => '1'); awlen_int <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (addr_en_c = '1') THEN awlen_int <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; awlen_cntr_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_AWLEN) AFTER FLOP_DELAY; ELSIF (dec_alen_c = '1') THEN awlen_cntr_r <= awlen_cntr_r - ONE AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; w_last_c <= '1' WHEN (awlen_cntr_r = "00000000" AND S_AXI_WVALID = '1') ELSE '0'; dec_alen_c <= (incr_addr_c OR w_last_c); --------------------------------------------------------------------------- -- Generation of bvalid counter for outstanding transactions --------------------------------------------------------------------------- P_b_valid_os_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_count_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- bvalid_count_r generation IF (bvalid_c = '1' AND bvalid_r = '1' AND S_AXI_BREADY = '1') THEN bvalid_count_r <= bvalid_count_r AFTER FLOP_DELAY; ELSIF (bvalid_c = '1') THEN bvalid_count_r <= bvalid_count_r + "01" AFTER FLOP_DELAY; ELSIF (bvalid_r = '1' AND S_AXI_BREADY = '1' AND bvalid_count_r /= "0") THEN bvalid_count_r <= bvalid_count_r - "01" AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_os_r ; --------------------------------------------------------------------------- -- Generation of bvalid when BID is used --------------------------------------------------------------------------- gaxi_bvalid_id_r:IF (C_HAS_AXI_ID = 1) GENERATE SIGNAL bvalid_d1_c : std_logic := '0'; BEGIN P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; bvalid_d1_c <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- Delay the generation o bvalid_r for generation for BID bvalid_d1_c <= bvalid_c; --external bvalid signal generation IF (bvalid_d1_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_id_r; --------------------------------------------------------------------------- -- Generation of bvalid when BID is not used --------------------------------------------------------------------------- gaxi_bvalid_noid_r:IF (C_HAS_AXI_ID = 0) GENERATE P_b_valid_r: PROCESS (S_ACLK, S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN bvalid_r <= '0'; ELSIF (S_ACLK'event AND S_ACLK='1') THEN --external bvalid signal generation IF (bvalid_c = '1') THEN bvalid_r <= '1' AFTER FLOP_DELAY; ELSIF (conv_integer(bvalid_count_r) <= 1 AND S_AXI_BREADY = '1') THEN bvalid_r <= '0' AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_b_valid_r ; END GENERATE gaxi_bvalid_noid_r; --------------------------------------------------------------------------- -- Generation of Bready timeout --------------------------------------------------------------------------- P_brdy_tout_c: PROCESS (bvalid_count_r) BEGIN -- bready_timeout_c generation IF(conv_integer(bvalid_count_r) = C_AXI_OS_WR-1) THEN bready_timeout_c <= '1'; ELSE bready_timeout_c <= '0'; END IF; END PROCESS P_brdy_tout_c; --------------------------------------------------------------------------- -- Generation of BID --------------------------------------------------------------------------- gaxi_bid_gen:IF (C_HAS_AXI_ID = 1) GENERATE P_bid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN bvalid_wr_cnt_r <= (OTHERS => '0'); bvalid_rd_cnt_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN -- STORE AWID IN AN ARRAY IF(bvalid_c = '1') THEN bvalid_wr_cnt_r <= bvalid_wr_cnt_r + "01"; END IF; -- GENERATE BID FROM AWID ARRAY bvalid_rd_cnt_r <= bvalid_rd_cnt_c AFTER FLOP_DELAY; S_AXI_BID <= axi_bid_array(conv_integer(bvalid_rd_cnt_c)); END IF; END PROCESS P_bid_gen; bvalid_rd_cnt_c <= bvalid_rd_cnt_r + "01" WHEN (bvalid_r = '1' AND S_AXI_BREADY = '1') ELSE bvalid_rd_cnt_r; --------------------------------------------------------------------------- -- Storing AWID for generation of BID --------------------------------------------------------------------------- P_awid_reg:PROCESS (S_ACLK) BEGIN IF (S_ACLK'event AND S_ACLK='1') THEN IF(aw_ready_r = '1' AND S_AXI_AWVALID = '1') THEN axi_bid_array(conv_integer(bvalid_wr_cnt_r)) <= S_AXI_AWID; END IF; END IF; END PROCESS P_awid_reg; END GENERATE gaxi_bid_gen; S_AXI_BVALID <= bvalid_r; S_AXI_AWREADY <= aw_ready_r; END axi_write_wrap_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity write_netlist is GENERIC( C_AXI_TYPE : integer ); port ( S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_AWVALID : in STD_LOGIC := '0'; S_AXI_WVALID : in STD_LOGIC := '0'; S_AXI_BREADY : in STD_LOGIC := '0'; w_last_c : in STD_LOGIC := '0'; bready_timeout_c : in STD_LOGIC := '0'; aw_ready_r : out STD_LOGIC; S_AXI_WREADY : out STD_LOGIC; S_AXI_BVALID : out STD_LOGIC; S_AXI_WR_EN : out STD_LOGIC; addr_en_c : out STD_LOGIC; incr_addr_c : out STD_LOGIC; bvalid_c : out STD_LOGIC ); end write_netlist; architecture STRUCTURE of write_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; BEGIN --------------------------------------------------------------------------- -- AXI LITE --------------------------------------------------------------------------- gbeh_axi_lite_sm: IF (C_AXI_TYPE = 0 ) GENERATE signal w_ready_r_7 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSignal_bvalid_c : STD_LOGIC; signal NlwRenamedSignal_incr_addr_c : STD_LOGIC; signal present_state_FSM_FFd3_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal present_state_FSM_FFd1_15 : STD_LOGIC; signal present_state_FSM_FFd4_16 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd4_In1_21 : STD_LOGIC; signal Mmux_aw_ready_c : STD_LOGIC_VECTOR ( 0 downto 0 ); begin S_AXI_WREADY <= w_ready_r_7; S_AXI_BVALID <= NlwRenamedSignal_incr_addr_c; S_AXI_WR_EN <= NlwRenamedSignal_bvalid_c; incr_addr_c <= NlwRenamedSignal_incr_addr_c; bvalid_c <= NlwRenamedSignal_bvalid_c; NlwRenamedSignal_incr_addr_c <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_7 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_16 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_13 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_15 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000055554440" ) port map ( I0 => S_AXI_WVALID, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => '0', O => present_state_FSM_FFd3_In ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"0000000088880800" ) port map ( I0 => S_AXI_AWVALID, I1 => S_AXI_WVALID, I2 => bready_timeout_c, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd4_16, I5 => '0', O => present_state_FSM_FFd2_In ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"00000000AAAA2000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_WVALID, I4 => present_state_FSM_FFd4_16, I5 => '0', O => addr_en_c ); Mmux_w_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"F5F07570F5F05500" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => w_ready_c ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd3_13, I3 => present_state_FSM_FFd2_14, I4 => present_state_FSM_FFd1_15, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_14, I2 => present_state_FSM_FFd3_13, I3 => '0', I4 => '0', I5 => '0', O => NlwRenamedSignal_bvalid_c ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"2F0F27072F0F2200" ) port map ( I0 => S_AXI_WVALID, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_13, I4 => present_state_FSM_FFd4_16, I5 => present_state_FSM_FFd2_14, O => present_state_FSM_FFd4_In1_21 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_In1_21, I3 => '0', I4 => '0', I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_aw_ready_c_0_1 : STATE_LOGIC generic map( INIT => X"7535753575305500" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => S_AXI_WVALID, I3 => present_state_FSM_FFd4_16, I4 => present_state_FSM_FFd3_13, I5 => present_state_FSM_FFd2_14, O => Mmux_aw_ready_c(0) ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"00000000000000F8" ) port map ( I0 => present_state_FSM_FFd1_15, I1 => S_AXI_BREADY, I2 => Mmux_aw_ready_c(0), I3 => '0', I4 => '0', I5 => '0', O => aw_ready_c ); END GENERATE gbeh_axi_lite_sm; --------------------------------------------------------------------------- -- AXI FULL --------------------------------------------------------------------------- gbeh_axi_full_sm: IF (C_AXI_TYPE = 1 ) GENERATE signal w_ready_r_8 : STD_LOGIC; signal w_ready_c : STD_LOGIC; signal aw_ready_c : STD_LOGIC; signal NlwRenamedSig_OI_bvalid_c : STD_LOGIC; signal present_state_FSM_FFd1_16 : STD_LOGIC; signal present_state_FSM_FFd4_17 : STD_LOGIC; signal present_state_FSM_FFd3_18 : STD_LOGIC; signal present_state_FSM_FFd2_19 : STD_LOGIC; signal present_state_FSM_FFd4_In : STD_LOGIC; signal present_state_FSM_FFd3_In : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal present_state_FSM_FFd2_In1_24 : STD_LOGIC; signal present_state_FSM_FFd4_In1_25 : STD_LOGIC; signal N2 : STD_LOGIC; signal N4 : STD_LOGIC; begin S_AXI_WREADY <= w_ready_r_8; bvalid_c <= NlwRenamedSig_OI_bvalid_c; S_AXI_BVALID <= '0'; aw_ready_r_2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => aw_ready_c, Q => aw_ready_r ); w_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => w_ready_c, Q => w_ready_r_8 ); present_state_FSM_FFd4 : beh_ff_pre generic map( INIT => '1' ) port map ( C => S_ACLK, D => present_state_FSM_FFd4_In, PRE => S_ARESETN, Q => present_state_FSM_FFd4_17 ); present_state_FSM_FFd3 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd3_In, Q => present_state_FSM_FFd3_18 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_19 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_16 ); present_state_FSM_FFd3_In1 : STATE_LOGIC generic map( INIT => X"0000000000005540" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd4_17, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd3_In ); Mmux_aw_ready_c_0_2 : STATE_LOGIC generic map( INIT => X"BF3FBB33AF0FAA00" ) port map ( I0 => S_AXI_BREADY, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd1_16, I4 => present_state_FSM_FFd4_17, I5 => NlwRenamedSig_OI_bvalid_c, O => aw_ready_c ); Mmux_addr_en_c_0_1 : STATE_LOGIC generic map( INIT => X"AAAAAAAA20000000" ) port map ( I0 => S_AXI_AWVALID, I1 => bready_timeout_c, I2 => present_state_FSM_FFd2_19, I3 => S_AXI_WVALID, I4 => w_last_c, I5 => present_state_FSM_FFd4_17, O => addr_en_c ); Mmux_S_AXI_WR_EN_0_1 : STATE_LOGIC generic map( INIT => X"00000000000000A8" ) port map ( I0 => S_AXI_WVALID, I1 => present_state_FSM_FFd2_19, I2 => present_state_FSM_FFd3_18, I3 => '0', I4 => '0', I5 => '0', O => S_AXI_WR_EN ); Mmux_incr_addr_c_0_1 : STATE_LOGIC generic map( INIT => X"0000000000002220" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => incr_addr_c ); Mmux_aw_ready_c_0_11 : STATE_LOGIC generic map( INIT => X"0000000000008880" ) port map ( I0 => S_AXI_WVALID, I1 => w_last_c, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => NlwRenamedSig_OI_bvalid_c ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"000000000000D5C0" ) port map ( I0 => w_last_c, I1 => S_AXI_AWVALID, I2 => present_state_FSM_FFd4_17, I3 => present_state_FSM_FFd3_18, I4 => '0', I5 => '0', O => present_state_FSM_FFd2_In1_24 ); present_state_FSM_FFd2_In2 : STATE_LOGIC generic map( INIT => X"FFFFAAAA08AAAAAA" ) port map ( I0 => present_state_FSM_FFd2_19, I1 => S_AXI_AWVALID, I2 => bready_timeout_c, I3 => w_last_c, I4 => S_AXI_WVALID, I5 => present_state_FSM_FFd2_In1_24, O => present_state_FSM_FFd2_In ); present_state_FSM_FFd4_In1 : STATE_LOGIC generic map( INIT => X"00C0004000C00000" ) port map ( I0 => S_AXI_AWVALID, I1 => w_last_c, I2 => S_AXI_WVALID, I3 => bready_timeout_c, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => present_state_FSM_FFd4_In1_25 ); present_state_FSM_FFd4_In2 : STATE_LOGIC generic map( INIT => X"00000000FFFF88F8" ) port map ( I0 => present_state_FSM_FFd1_16, I1 => S_AXI_BREADY, I2 => present_state_FSM_FFd4_17, I3 => S_AXI_AWVALID, I4 => present_state_FSM_FFd4_In1_25, I5 => '0', O => present_state_FSM_FFd4_In ); Mmux_w_ready_c_0_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => w_last_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_w_ready_c_0_Q : STATE_LOGIC generic map( INIT => X"FABAFABAFAAAF000" ) port map ( I0 => N2, I1 => bready_timeout_c, I2 => S_AXI_AWVALID, I3 => present_state_FSM_FFd4_17, I4 => present_state_FSM_FFd3_18, I5 => present_state_FSM_FFd2_19, O => w_ready_c ); Mmux_aw_ready_c_0_11_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => bready_timeout_c, I1 => S_AXI_WVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N4 ); present_state_FSM_FFd1_In1 : STATE_LOGIC generic map( INIT => X"88808880FFFF8880" ) port map ( I0 => w_last_c, I1 => N4, I2 => present_state_FSM_FFd2_19, I3 => present_state_FSM_FFd3_18, I4 => present_state_FSM_FFd1_16, I5 => S_AXI_BREADY, O => present_state_FSM_FFd1_In ); END GENERATE gbeh_axi_full_sm; end STRUCTURE; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --AXI Behavioral Model entities ENTITY blk_mem_axi_read_wrapper_beh is GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); port ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END blk_mem_axi_read_wrapper_beh; architecture blk_mem_axi_read_wrapper_beh_arch of blk_mem_axi_read_wrapper_beh is ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; CONSTANT FLOP_DELAY : TIME := 100 PS; CONSTANT ONE : std_logic_vector(7 DOWNTO 0) := ("00000001"); CONSTANT C_RANGE : INTEGER := if_then_else(C_WRITE_WIDTH_A=8,0, if_then_else((C_WRITE_WIDTH_A=16),1, if_then_else((C_WRITE_WIDTH_A=32),2, if_then_else((C_WRITE_WIDTH_A=64),3, if_then_else((C_WRITE_WIDTH_A=128),4, if_then_else((C_WRITE_WIDTH_A=256),5,0)))))); SIGNAL ar_id_r : std_logic_vector (C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); SIGNAL addr_en_c : std_logic := '0'; SIGNAL rd_en_c : std_logic := '0'; SIGNAL incr_addr_c : std_logic := '0'; SIGNAL single_trans_c : std_logic := '0'; SIGNAL dec_alen_c : std_logic := '0'; SIGNAL mux_sel_c : std_logic := '0'; SIGNAL r_last_c : std_logic := '0'; SIGNAL r_last_int_c : std_logic := '0'; SIGNAL arlen_int_r : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL arlen_cntr : std_logic_vector(7 DOWNTO 0) := ONE; SIGNAL arburst_int_c : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL arburst_int_r : std_logic_vector(1 DOWNTO 0) := (OTHERS => '0'); SIGNAL araddr_reg : std_logic_vector(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),C_AXI_ARADDR_WIDTH,C_ADDRA_WIDTH)-1 DOWNTO 0); SIGNAL num_of_bytes_c : integer := 0; SIGNAL total_bytes : integer := 0; SIGNAL num_of_bytes_r : integer := 0; SIGNAL wrap_base_addr_r : integer := 0; SIGNAL wrap_boundary_r : integer := 0; SIGNAL arlen_int_c : std_logic_vector(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL total_bytes_c : integer := 0; SIGNAL wrap_base_addr_c : integer := 0; SIGNAL wrap_boundary_c : integer := 0; SIGNAL araddr_out : std_logic_vector(C_ADDRB_WIDTH-1 downto 0) := (OTHERS => '0'); COMPONENT read_netlist GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_INCR_ADDR : OUT std_logic := '0'; S_AXI_ADDR_EN : OUT std_logic := '0'; S_AXI_SINGLE_TRANS : OUT std_logic := '0'; S_AXI_MUX_SEL : OUT std_logic := '0'; S_AXI_R_LAST : OUT std_logic := '0'; S_AXI_R_LAST_INT : IN std_logic := '0'; -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN : OUT std_logic ); END COMPONENT read_netlist; BEGIN dec_alen_c <= incr_addr_c OR r_last_int_c; axi_read_fsm : read_netlist GENERIC MAP( C_AXI_TYPE => 1, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( S_AXI_INCR_ADDR => incr_addr_c, S_AXI_ADDR_EN => addr_en_c, S_AXI_SINGLE_TRANS => single_trans_c, S_AXI_MUX_SEL => mux_sel_c, S_AXI_R_LAST => r_last_c, S_AXI_R_LAST_INT => r_last_int_c, -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => S_ARESETN, -- AXI Full/Lite Slave Read (Read side) S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => S_AXI_RLAST, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- AXI Full/Lite Read Address Signals to BRAM S_AXI_RD_EN => rd_en_c ); total_bytes <= conv_integer(num_of_bytes_r)*(conv_integer(arlen_int_r)+1); wrap_base_addr_r <= (conv_integer(araddr_reg)/if_then_else(total_bytes=0,1,total_bytes))*(total_bytes); wrap_boundary_r <= wrap_base_addr_r+total_bytes; ---- combinatorial from interface num_of_bytes_c <= 2**conv_integer(if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARSIZE,"000")); arlen_int_c <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); total_bytes_c <= conv_integer(num_of_bytes_c)*(conv_integer(arlen_int_c)+1); wrap_base_addr_c <= (conv_integer(S_AXI_ARADDR)/if_then_else(total_bytes_c=0,1,total_bytes_c))*(total_bytes_c); wrap_boundary_c <= wrap_base_addr_c+total_bytes_c; arburst_int_c <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARBURST,"01"); --------------------------------------------------------------------------- -- BMG address generation --------------------------------------------------------------------------- P_addr_reg: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN = '1') THEN araddr_reg <= (OTHERS => '0'); arburst_int_r <= (OTHERS => '0'); num_of_bytes_r <= 0; ELSIF (S_ACLK'event AND S_ACLK = '1') THEN IF (incr_addr_c = '1' AND addr_en_c = '1' AND single_trans_c = '0') THEN arburst_int_r <= arburst_int_c; num_of_bytes_r <= num_of_bytes_c; IF (arburst_int_c = "10") THEN IF(conv_integer(S_AXI_ARADDR) = (wrap_boundary_c-num_of_bytes_c)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_c,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (arburst_int_c = "01" OR arburst_int_c = "11") THEN araddr_reg <= S_AXI_ARADDR + num_of_bytes_c; END IF; ELSIF (addr_en_c = '1') THEN araddr_reg <= S_AXI_ARADDR AFTER FLOP_DELAY; num_of_bytes_r <= num_of_bytes_c; arburst_int_r <= arburst_int_c; ELSIF (incr_addr_c = '1') THEN IF (arburst_int_r = "10") THEN IF(conv_integer(araddr_reg) = (wrap_boundary_r-num_of_bytes_r)) THEN araddr_reg <= conv_std_logic_vector(wrap_base_addr_r,C_AXI_ARADDR_WIDTH); ELSE araddr_reg <= araddr_reg + num_of_bytes_r; END IF; ELSIF (arburst_int_r = "01" OR arburst_int_r = "11") THEN araddr_reg <= araddr_reg + num_of_bytes_r; END IF; END IF; END IF; END PROCESS P_addr_reg; araddr_out <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),araddr_reg(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),araddr_reg); -------------------------------------------------------------------------- -- Counter to generate r_last_int_c from registered ARLEN - AXI FULL FSM -------------------------------------------------------------------------- P_addr_cnt: PROCESS (S_ACLK, S_ARESETN) BEGIN IF S_ARESETN = '1' THEN arlen_cntr <= ONE; arlen_int_r <= (OTHERS => '0'); ELSIF S_ACLK'event AND S_ACLK = '1' THEN IF (addr_en_c = '1' AND dec_alen_c = '1' AND single_trans_c = '0') THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= S_AXI_ARLEN - ONE AFTER FLOP_DELAY; ELSIF addr_en_c = '1' THEN arlen_int_r <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); arlen_cntr <= if_then_else(C_AXI_TYPE = 0,"00000000",S_AXI_ARLEN); ELSIF dec_alen_c = '1' THEN arlen_cntr <= arlen_cntr - ONE AFTER FLOP_DELAY; ELSE arlen_cntr <= arlen_cntr AFTER FLOP_DELAY; END IF; END IF; END PROCESS P_addr_cnt; r_last_int_c <= '1' WHEN (arlen_cntr = "00000000" AND S_AXI_RREADY = '1') ELSE '0' ; -------------------------------------------------------------------------- -- AXI FULL FSM -- Mux Selection of ARADDR -- ARADDR is driven out from the read fsm based on the mux_sel_c -- Based on mux_sel either ARADDR is given out or the latched ARADDR is -- given out to BRAM -------------------------------------------------------------------------- P_araddr_mux: PROCESS (mux_sel_c,S_AXI_ARADDR,araddr_out) BEGIN IF (mux_sel_c = '0') THEN S_AXI_ARADDR_OUT <= if_then_else((C_AXI_TYPE = 1 AND C_AXI_SLAVE_TYPE = 0),S_AXI_ARADDR(C_AXI_ARADDR_WIDTH-1 DOWNTO C_RANGE),S_AXI_ARADDR); ELSE S_AXI_ARADDR_OUT <= araddr_out; END IF; END PROCESS P_araddr_mux; -------------------------------------------------------------------------- -- Assign output signals - AXI FULL FSM -------------------------------------------------------------------------- S_AXI_RD_EN <= rd_en_c; grid: IF (C_HAS_AXI_ID = 1) GENERATE P_rid_gen: PROCESS (S_ACLK,S_ARESETN) BEGIN IF (S_ARESETN='1') THEN S_AXI_RID <= (OTHERS => '0'); ar_id_r <= (OTHERS => '0'); ELSIF (S_ACLK'event AND S_ACLK='1') THEN IF (addr_en_c = '1' AND rd_en_c = '1') THEN S_AXI_RID <= S_AXI_ARID; ar_id_r <= S_AXI_ARID; ELSIF (addr_en_c = '1' AND rd_en_c = '0') THEN ar_id_r <= S_AXI_ARID; ELSIF (rd_en_c = '1') THEN S_AXI_RID <= ar_id_r; END IF; END IF; END PROCESS P_rid_gen; END GENERATE grid; END blk_mem_axi_read_wrapper_beh_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity read_netlist is GENERIC ( -- AXI Interface related parameters start here C_AXI_TYPE : integer := 1; C_ADDRB_WIDTH : integer := 12 ); port ( S_AXI_R_LAST_INT : in STD_LOGIC := '0'; S_ACLK : in STD_LOGIC := '0'; S_ARESETN : in STD_LOGIC := '0'; S_AXI_ARVALID : in STD_LOGIC := '0'; S_AXI_RREADY : in STD_LOGIC := '0'; S_AXI_INCR_ADDR : out STD_LOGIC; S_AXI_ADDR_EN : out STD_LOGIC; S_AXI_SINGLE_TRANS : out STD_LOGIC; S_AXI_MUX_SEL : out STD_LOGIC; S_AXI_R_LAST : out STD_LOGIC; S_AXI_ARREADY : out STD_LOGIC; S_AXI_RLAST : out STD_LOGIC; S_AXI_RVALID : out STD_LOGIC; S_AXI_RD_EN : out STD_LOGIC; S_AXI_ARLEN : in STD_LOGIC_VECTOR ( 7 downto 0 ) ); end read_netlist; architecture STRUCTURE of read_netlist is component beh_muxf7 port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end component; COMPONENT beh_ff_pre generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end COMPONENT beh_ff_pre; COMPONENT beh_ff_ce generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_ce; COMPONENT beh_ff_clr generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end COMPONENT beh_ff_clr; COMPONENT STATE_LOGIC generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic; I4 : in std_logic; I5 : in std_logic ); end COMPONENT STATE_LOGIC; signal present_state_FSM_FFd1_13 : STD_LOGIC; signal present_state_FSM_FFd2_14 : STD_LOGIC; signal gaxi_full_sm_outstanding_read_r_15 : STD_LOGIC; signal gaxi_full_sm_ar_ready_r_16 : STD_LOGIC; signal gaxi_full_sm_r_last_r_17 : STD_LOGIC; signal NlwRenamedSig_OI_gaxi_full_sm_r_valid_r : STD_LOGIC; signal gaxi_full_sm_r_valid_c : STD_LOGIC; signal S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o : STD_LOGIC; signal gaxi_full_sm_ar_ready_c : STD_LOGIC; signal gaxi_full_sm_outstanding_read_c : STD_LOGIC; signal NlwRenamedSig_OI_S_AXI_R_LAST : STD_LOGIC; signal S_AXI_ARLEN_7_GND_8_o_equal_1_o : STD_LOGIC; signal present_state_FSM_FFd2_In : STD_LOGIC; signal present_state_FSM_FFd1_In : STD_LOGIC; signal Mmux_S_AXI_R_LAST13 : STD_LOGIC; signal N01 : STD_LOGIC; signal N2 : STD_LOGIC; signal Mmux_gaxi_full_sm_ar_ready_c11 : STD_LOGIC; signal N4 : STD_LOGIC; signal N8 : STD_LOGIC; signal N9 : STD_LOGIC; signal N10 : STD_LOGIC; signal N11 : STD_LOGIC; signal N12 : STD_LOGIC; signal N13 : STD_LOGIC; begin S_AXI_R_LAST <= NlwRenamedSig_OI_S_AXI_R_LAST; S_AXI_ARREADY <= gaxi_full_sm_ar_ready_r_16; S_AXI_RLAST <= gaxi_full_sm_r_last_r_17; S_AXI_RVALID <= NlwRenamedSig_OI_gaxi_full_sm_r_valid_r; gaxi_full_sm_outstanding_read_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_outstanding_read_c, Q => gaxi_full_sm_outstanding_read_r_15 ); gaxi_full_sm_r_valid_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => gaxi_full_sm_r_valid_c, Q => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r ); gaxi_full_sm_ar_ready_r : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => gaxi_full_sm_ar_ready_c, Q => gaxi_full_sm_ar_ready_r_16 ); gaxi_full_sm_r_last_r : beh_ff_ce generic map( INIT => '0' ) port map ( C => S_ACLK, CE => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, CLR => S_ARESETN, D => NlwRenamedSig_OI_S_AXI_R_LAST, Q => gaxi_full_sm_r_last_r_17 ); present_state_FSM_FFd2 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd2_In, Q => present_state_FSM_FFd2_14 ); present_state_FSM_FFd1 : beh_ff_clr generic map( INIT => '0' ) port map ( C => S_ACLK, CLR => S_ARESETN, D => present_state_FSM_FFd1_In, Q => present_state_FSM_FFd1_13 ); S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o1 : STATE_LOGIC generic map( INIT => X"000000000000000B" ) port map ( I0 => S_AXI_RREADY, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o ); Mmux_S_AXI_SINGLE_TRANS11 : STATE_LOGIC generic map( INIT => X"0000000000000008" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_SINGLE_TRANS ); Mmux_S_AXI_ADDR_EN11 : STATE_LOGIC generic map( INIT => X"0000000000000004" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => S_AXI_ADDR_EN ); present_state_FSM_FFd2_In1 : STATE_LOGIC generic map( INIT => X"ECEE2022EEEE2022" ) port map ( I0 => S_AXI_ARVALID, I1 => present_state_FSM_FFd1_13, I2 => S_AXI_RREADY, I3 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I4 => present_state_FSM_FFd2_14, I5 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, O => present_state_FSM_FFd2_In ); Mmux_S_AXI_R_LAST131 : STATE_LOGIC generic map( INIT => X"0000000044440444" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_RREADY, I5 => '0', O => Mmux_S_AXI_R_LAST13 ); Mmux_S_AXI_INCR_ADDR11 : STATE_LOGIC generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => S_AXI_R_LAST_INT, I1 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => Mmux_S_AXI_R_LAST13, O => S_AXI_INCR_ADDR ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000FE" ) port map ( I0 => S_AXI_ARLEN(2), I1 => S_AXI_ARLEN(1), I2 => S_AXI_ARLEN(0), I3 => '0', I4 => '0', I5 => '0', O => N01 ); S_AXI_ARLEN_7_GND_8_o_equal_1_o_7_Q : STATE_LOGIC generic map( INIT => X"0000000000000001" ) port map ( I0 => S_AXI_ARLEN(7), I1 => S_AXI_ARLEN(6), I2 => S_AXI_ARLEN(5), I3 => S_AXI_ARLEN(4), I4 => S_AXI_ARLEN(3), I5 => N01, O => S_AXI_ARLEN_7_GND_8_o_equal_1_o ); Mmux_gaxi_full_sm_outstanding_read_c1_SW0 : STATE_LOGIC generic map( INIT => X"0000000000000007" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I2 => '0', I3 => '0', I4 => '0', I5 => '0', O => N2 ); Mmux_gaxi_full_sm_outstanding_read_c1 : STATE_LOGIC generic map( INIT => X"0020000002200200" ) port map ( I0 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd1_13, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => N2, O => gaxi_full_sm_outstanding_read_c ); Mmux_gaxi_full_sm_ar_ready_c12 : STATE_LOGIC generic map( INIT => X"0000000000004555" ) port map ( I0 => S_AXI_ARVALID, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => '0', I5 => '0', O => Mmux_gaxi_full_sm_ar_ready_c11 ); Mmux_S_AXI_R_LAST11_SW0 : STATE_LOGIC generic map( INIT => X"00000000000000EF" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I3 => '0', I4 => '0', I5 => '0', O => N4 ); Mmux_S_AXI_R_LAST11 : STATE_LOGIC generic map( INIT => X"FCAAFC0A00AA000A" ) port map ( I0 => S_AXI_ARVALID, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => present_state_FSM_FFd2_14, I3 => present_state_FSM_FFd1_13, I4 => N4, I5 => S_AXI_RREADY_gaxi_full_sm_r_valid_r_OR_9_o, O => gaxi_full_sm_r_valid_c ); S_AXI_MUX_SEL1 : STATE_LOGIC generic map( INIT => X"00000000AAAAAA08" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => present_state_FSM_FFd2_14, I4 => gaxi_full_sm_outstanding_read_r_15, I5 => '0', O => S_AXI_MUX_SEL ); Mmux_S_AXI_RD_EN11 : STATE_LOGIC generic map( INIT => X"F3F3F755A2A2A200" ) port map ( I0 => present_state_FSM_FFd1_13, I1 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I2 => S_AXI_RREADY, I3 => gaxi_full_sm_outstanding_read_r_15, I4 => present_state_FSM_FFd2_14, I5 => S_AXI_ARVALID, O => S_AXI_RD_EN ); present_state_FSM_FFd1_In3 : beh_muxf7 port map ( I0 => N8, I1 => N9, S => present_state_FSM_FFd1_13, O => present_state_FSM_FFd1_In ); present_state_FSM_FFd1_In3_F : STATE_LOGIC generic map( INIT => X"000000005410F4F0" ) port map ( I0 => S_AXI_RREADY, I1 => present_state_FSM_FFd2_14, I2 => S_AXI_ARVALID, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I5 => '0', O => N8 ); present_state_FSM_FFd1_In3_G : STATE_LOGIC generic map( INIT => X"0000000072FF7272" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N9 ); Mmux_gaxi_full_sm_ar_ready_c14 : beh_muxf7 port map ( I0 => N10, I1 => N11, S => present_state_FSM_FFd1_13, O => gaxi_full_sm_ar_ready_c ); Mmux_gaxi_full_sm_ar_ready_c14_F : STATE_LOGIC generic map( INIT => X"00000000FFFF88A8" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_RREADY, I2 => present_state_FSM_FFd2_14, I3 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I4 => Mmux_gaxi_full_sm_ar_ready_c11, I5 => '0', O => N10 ); Mmux_gaxi_full_sm_ar_ready_c14_G : STATE_LOGIC generic map( INIT => X"000000008D008D8D" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => S_AXI_R_LAST_INT, I2 => gaxi_full_sm_outstanding_read_r_15, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N11 ); Mmux_S_AXI_R_LAST1 : beh_muxf7 port map ( I0 => N12, I1 => N13, S => present_state_FSM_FFd1_13, O => NlwRenamedSig_OI_S_AXI_R_LAST ); Mmux_S_AXI_R_LAST1_F : STATE_LOGIC generic map( INIT => X"0000000088088888" ) port map ( I0 => S_AXI_ARLEN_7_GND_8_o_equal_1_o, I1 => S_AXI_ARVALID, I2 => present_state_FSM_FFd2_14, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N12 ); Mmux_S_AXI_R_LAST1_G : STATE_LOGIC generic map( INIT => X"00000000E400E4E4" ) port map ( I0 => present_state_FSM_FFd2_14, I1 => gaxi_full_sm_outstanding_read_r_15, I2 => S_AXI_R_LAST_INT, I3 => S_AXI_RREADY, I4 => NlwRenamedSig_OI_gaxi_full_sm_r_valid_r, I5 => '0', O => N13 ); end STRUCTURE; ------------------------------------------------------------------------------- -- Output Register Stage Entity -- -- This module builds the output register stages of the memory. This module is -- instantiated in the main memory module (BLK_MEM_GEN_v8_2) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_2_output_stage IS GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; C_EN_ECC_PIPE : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; REGCE : IN STD_LOGIC; DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN_I : IN STD_LOGIC; DBITERR_IN_I : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); eccpipece : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_2_output_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6" and "virtex6l". -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- C_HAS_RST : Determines the presence of the RST port -- C_RSTRAM : Determines if special reset behavior is used -- C_RST_PRIORITY : Determines the priority between CE and SR -- C_INIT_VAL : Initialization value -- C_HAS_EN : Determines the presence of the EN port -- C_HAS_REGCE : Determines the presence of the REGCE port -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS : Designates the use of a register at the output -- of the RAM primitive -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- NUM_STAGES : Determines the number of output stages -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE output_stage_behavioral OF BLK_MEM_GEN_v8_2_output_stage IS --******************************************************* -- Functions used in the output stage ARCHITECTURE --******************************************************* -- Calculate num_reg_stages FUNCTION get_num_reg_stages(NUM_STAGES: INTEGER) RETURN INTEGER IS VARIABLE num_reg_stages : INTEGER := 0; BEGIN IF (NUM_STAGES = 0) THEN num_reg_stages := 0; ELSE num_reg_stages := NUM_STAGES - 1; END IF; RETURN num_reg_stages; END get_num_reg_stages; -- Check if the INTEGER is zero or non-zero FUNCTION int_to_bit(input: INTEGER) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = 0) THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END int_to_bit; -- Constants CONSTANT HAS_EN : STD_LOGIC := int_to_bit(C_HAS_EN); CONSTANT HAS_REGCE : STD_LOGIC := int_to_bit(C_HAS_REGCE); CONSTANT HAS_RST : STD_LOGIC := int_to_bit(C_HAS_RST); CONSTANT REG_STAGES : INTEGER := get_num_reg_stages(NUM_STAGES); -- Pipeline array TYPE reg_data_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); TYPE reg_ecc_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC; TYPE reg_eccaddr_array IS ARRAY (REG_STAGES-1 DOWNTO 0) OF STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); CONSTANT REG_INIT : reg_data_array := (OTHERS => init_val); SIGNAL out_regs : reg_data_array := REG_INIT; SIGNAL sbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL dbiterr_regs : reg_ecc_array := (OTHERS => '0'); SIGNAL rdaddrecc_regs: reg_eccaddr_array := (OTHERS => (OTHERS => '0')); -- Internal signals SIGNAL en_i : STD_LOGIC; SIGNAL regce_i : STD_LOGIC; SIGNAL rst_i : STD_LOGIC; SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := init_val; SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL DIN : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL RDADDRECC_IN : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ; SIGNAL SBITERR_IN : STD_LOGIC := '0'; SIGNAL DBITERR_IN : STD_LOGIC := '0'; BEGIN --*********************************************************************** -- Assign internal signals. This effectively wires off optional inputs. --*********************************************************************** -- Internal enable for output registers is tied to user EN or '1' depending -- on parameters en_i <= EN OR (NOT HAS_EN); -- Internal register enable for output registers is tied to user REGCE, EN -- or '1' depending on parameters regce_i <= (HAS_REGCE AND REGCE) OR ((NOT HAS_REGCE) AND en_i); -- Internal SRR is tied to user RST or '0' depending on parameters rst_i <= RST AND HAS_RST; --*************************************************************************** -- NUM_STAGES = 0 (No output registers. RAM only) --*************************************************************************** zero_stages: IF (NUM_STAGES = 0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE zero_stages; NO_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 0) GENERATE DIN <= DIN_I; RDADDRECC_IN <= RDADDRECC_IN_I; SBITERR_IN <= SBITERR_IN_I; DBITERR_IN <= DBITERR_IN_I; END GENERATE NO_ECC_PIPE_REG; WITH_ECC_PIPE_REG: IF (C_EN_ECC_PIPE = 1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(ECCPIPECE = '1') THEN DIN <= DIN_I AFTER FLOP_DELAY; RDADDRECC_IN <= RDADDRECC_IN_I AFTER FLOP_DELAY; SBITERR_IN <= SBITERR_IN_I AFTER FLOP_DELAY; DBITERR_IN <= DBITERR_IN_I AFTER FLOP_DELAY; END IF; END IF; END PROCESS; END GENERATE WITH_ECC_PIPE_REG; --*************************************************************************** -- NUM_STAGES = 1 -- (Mem Output Reg only or Mux Output Reg only) --*************************************************************************** -- Possible valid combinations: -- Note: C_HAS_MUX_OUTPUT_REGS_*=0 when (C_RSTRAM_*=1) -- +-----------------------------------------+ -- | C_RSTRAM_* | Reset Behavior | -- +----------------+------------------------+ -- | 0 | Normal Behavior | -- +----------------+------------------------+ -- | 1 | Special Behavior | -- +----------------+------------------------+ -- -- Normal = REGCE gates reset, as in the case of all Virtex families and all -- spartan families with the exception of S3ADSP and S6. -- Special = EN gates reset, as in the case of S3ADSP and S6. one_stage_norm: IF (NUM_STAGES = 1 AND (C_RSTRAM=0 OR (C_RSTRAM=1 AND (C_XDEVICEFAMILY/="spartan3adsp" AND C_XDEVICEFAMILY/="aspartan3adsp")) OR C_HAS_MEM_OUTPUT_REGS=0 OR C_HAS_RST=0)) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_i WHEN (C_USE_ECC=1 OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i = '1' AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END IF;--Priority conditions END IF;--CLK END PROCESS; END GENERATE one_stage_norm; -- Special Reset Behavior for S6 and S3ADSP one_stage_splbhv: IF (NUM_STAGES=1 AND C_RSTRAM=1 AND (C_XDEVICEFAMILY ="spartan3adsp" OR C_XDEVICEFAMILY ="aspartan3adsp")) GENERATE DOUT <= dout_i; SBITERR <= '0'; DBITERR <= '0'; RDADDRECC <= (OTHERS => '0'); PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF (rst_i='1' AND en_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; ELSIF (regce_i='1' AND rst_i/='1') THEN dout_i <= DIN AFTER FLOP_DELAY; END IF; END IF;--CLK END PROCESS; END GENERATE one_stage_splbhv; --**************************************************************************** -- NUM_STAGES > 1 -- Mem Output Reg + Mux Output Reg -- or -- Mem Output Reg + Mux Pipeline Stages (>0) + Mux Output Reg -- or -- Mux Pipeline Stages (>0) + Mux Output Reg --**************************************************************************** multi_stage: IF (NUM_STAGES > 1) GENERATE DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; PROCESS (CLK,rst_i,regce_i) BEGIN IF (CLK'EVENT AND CLK = '1') THEN IF(C_RST_PRIORITY = "CE") THEN --REGCE has priority and controls reset IF (rst_i='1'AND regce_i='1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; ELSE --RSTA has priority and is independent of REGCE IF (rst_i = '1') THEN dout_i <= init_val AFTER FLOP_DELAY; sbiterr_i <= '0' AFTER FLOP_DELAY; dbiterr_i <= '0' AFTER FLOP_DELAY; rdaddrecc_i <= (OTHERS => '0') AFTER FLOP_DELAY; ELSIF (regce_i='1') THEN dout_i <= out_regs(REG_STAGES-1) AFTER FLOP_DELAY; sbiterr_i <= sbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; dbiterr_i <= dbiterr_regs(REG_STAGES-1) AFTER FLOP_DELAY; rdaddrecc_i <= rdaddrecc_regs(REG_STAGES-1) AFTER FLOP_DELAY; END IF; END IF;--Priority conditions IF (en_i='1') THEN -- Shift the data through the output stages FOR i IN 1 TO REG_STAGES-1 LOOP out_regs(i) <= out_regs(i-1) AFTER FLOP_DELAY; sbiterr_regs(i) <= sbiterr_regs(i-1) AFTER FLOP_DELAY; dbiterr_regs(i) <= dbiterr_regs(i-1) AFTER FLOP_DELAY; rdaddrecc_regs(i) <= rdaddrecc_regs(i-1) AFTER FLOP_DELAY; END LOOP; out_regs(0) <= DIN; sbiterr_regs(0) <= SBITERR_IN; dbiterr_regs(0) <= DBITERR_IN; rdaddrecc_regs(0) <= RDADDRECC_IN; END IF; END IF;--CLK END PROCESS; END GENERATE multi_stage; END output_stage_behavioral; ------------------------------------------------------------------------------- -- SoftECC Output Register Stage Entity -- This module builds the softecc output register stages. This module is -- instantiated in the memory module (BLK_MEM_GEN_v8_2_mem_module) which is -- declared/implemented further down in this file. ------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY BLK_MEM_GEN_v8_2_softecc_output_reg_stage IS GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ; DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_2_softecc_output_reg_stage; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_DATA_WIDTH : Memory write/read width -- C_ADDRB_WIDTH : Width of the ADDRB input port -- of the RAM primitive -- FLOP_DELAY : Constant delay for register assignments --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLK : Clock to synchronize all read and write operations -- RST : Reset input to reset memory outputs to a user-defined -- reset state -- EN : Enable all read and write operations -- REGCE : Register Clock Enable to control each pipeline output -- register stages -- DIN : Data input to the Output stage. -- DOUT : Final Data output -- SBITERR_IN : SBITERR input signal to the Output stage. -- SBITERR : Final SBITERR Output signal. -- DBITERR_IN : DBITERR input signal to the Output stage. -- DBITERR : Final DBITERR Output signal. -- RDADDRECC_IN : RDADDRECC input signal to the Output stage. -- RDADDRECC : Final RDADDRECC Output signal. --------------------------------------------------------------------------- ARCHITECTURE softecc_output_reg_stage_behavioral OF BLK_MEM_GEN_v8_2_softecc_output_reg_stage IS -- Internal signals SIGNAL dout_i : STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i: STD_LOGIC := '0'; SIGNAL dbiterr_i: STD_LOGIC := '0'; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --*************************************************************************** -- NO OUTPUT STAGES --*************************************************************************** no_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=0) GENERATE DOUT <= DIN; SBITERR <= SBITERR_IN; DBITERR <= DBITERR_IN; RDADDRECC <= RDADDRECC_IN; END GENERATE no_output_stage; --**************************************************************************** -- WITH OUTPUT STAGE --**************************************************************************** has_output_stage: IF (C_HAS_SOFTECC_OUTPUT_REGS_B=1) GENERATE PROCESS (CLK) BEGIN IF (CLK'EVENT AND CLK = '1') THEN dout_i <= DIN AFTER FLOP_DELAY; sbiterr_i <= SBITERR_IN AFTER FLOP_DELAY; dbiterr_i <= DBITERR_IN AFTER FLOP_DELAY; rdaddrecc_i <= RDADDRECC_IN AFTER FLOP_DELAY; END IF; END PROCESS; DOUT <= dout_i; SBITERR <= sbiterr_i; DBITERR <= dbiterr_i; RDADDRECC <= rdaddrecc_i; END GENERATE has_output_stage; END softecc_output_reg_stage_behavioral; --****************************************************************************** -- Main Memory module -- -- This module is the behavioral model which implements the RAM --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_MISC.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; ENTITY BLK_MEM_GEN_v8_2_mem_module IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_2"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; ECCPIPECE : IN STD_LOGIC; SLEEP : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END BLK_MEM_GEN_v8_2_mem_module; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE mem_module_behavioral OF BLK_MEM_GEN_v8_2_mem_module IS --**************************************** -- min/max constant functions --**************************************** -- get_max ---------- function SLV_TO_INT(SLV: in std_logic_vector ) return integer is variable int : integer; begin int := 0; for i in SLV'high downto SLV'low loop int := int * 2; if SLV(i) = '1' then int := int + 1; end if; end loop; return int; end; FUNCTION get_max(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a > b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; -- get_min ---------- FUNCTION get_min(a: INTEGER; b: INTEGER) RETURN INTEGER IS BEGIN IF (a < b) THEN RETURN a; ELSE RETURN b; END IF; END FUNCTION; --*************************************************************** -- convert write_mode from STRING type for use in case statement --*************************************************************** FUNCTION write_mode_to_vector(mode: STRING) RETURN STD_LOGIC_VECTOR IS BEGIN IF (mode = "NO_CHANGE") THEN RETURN "10"; ELSIF (mode = "READ_FIRST") THEN RETURN "01"; ELSE RETURN "00"; -- WRITE_FIRST END IF; END FUNCTION; --*************************************************************** -- convert hex STRING to STD_LOGIC_VECTOR --*************************************************************** FUNCTION hex_to_std_logic_vector( hex_str : STRING; return_width : INTEGER) RETURN STD_LOGIC_VECTOR IS VARIABLE tmp : STD_LOGIC_VECTOR((hex_str'LENGTH*4)+return_width-1 DOWNTO 0); BEGIN tmp := (OTHERS => '0'); FOR i IN 1 TO hex_str'LENGTH LOOP CASE hex_str((hex_str'LENGTH+1)-i) IS WHEN '0' => tmp(i*4-1 DOWNTO (i-1)*4) := "0000"; WHEN '1' => tmp(i*4-1 DOWNTO (i-1)*4) := "0001"; WHEN '2' => tmp(i*4-1 DOWNTO (i-1)*4) := "0010"; WHEN '3' => tmp(i*4-1 DOWNTO (i-1)*4) := "0011"; WHEN '4' => tmp(i*4-1 DOWNTO (i-1)*4) := "0100"; WHEN '5' => tmp(i*4-1 DOWNTO (i-1)*4) := "0101"; WHEN '6' => tmp(i*4-1 DOWNTO (i-1)*4) := "0110"; WHEN '7' => tmp(i*4-1 DOWNTO (i-1)*4) := "0111"; WHEN '8' => tmp(i*4-1 DOWNTO (i-1)*4) := "1000"; WHEN '9' => tmp(i*4-1 DOWNTO (i-1)*4) := "1001"; WHEN 'a' | 'A' => tmp(i*4-1 DOWNTO (i-1)*4) := "1010"; WHEN 'b' | 'B' => tmp(i*4-1 DOWNTO (i-1)*4) := "1011"; WHEN 'c' | 'C' => tmp(i*4-1 DOWNTO (i-1)*4) := "1100"; WHEN 'd' | 'D' => tmp(i*4-1 DOWNTO (i-1)*4) := "1101"; WHEN 'e' | 'E' => tmp(i*4-1 DOWNTO (i-1)*4) := "1110"; WHEN 'f' | 'F' => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; WHEN OTHERS => tmp(i*4-1 DOWNTO (i-1)*4) := "1111"; END CASE; END LOOP; RETURN tmp(return_width-1 DOWNTO 0); END hex_to_std_logic_vector; --*************************************************************** -- convert bit to STD_LOGIC --*************************************************************** FUNCTION bit_to_sl(input: BIT) RETURN STD_LOGIC IS VARIABLE temp_return : STD_LOGIC; BEGIN IF (input = '0') THEN temp_return := '0'; ELSE temp_return := '1'; END IF; RETURN temp_return; END bit_to_sl; --*************************************************************** -- locally derived constants to determine memory shape --*************************************************************** CONSTANT MIN_WIDTH_A : INTEGER := get_min(C_WRITE_WIDTH_A, C_READ_WIDTH_A); CONSTANT MIN_WIDTH_B : INTEGER := get_min(C_WRITE_WIDTH_B,C_READ_WIDTH_B); CONSTANT MIN_WIDTH : INTEGER := get_min(MIN_WIDTH_A, MIN_WIDTH_B); CONSTANT MAX_DEPTH_A : INTEGER := get_max(C_WRITE_DEPTH_A, C_READ_DEPTH_A); CONSTANT MAX_DEPTH_B : INTEGER := get_max(C_WRITE_DEPTH_B, C_READ_DEPTH_B); CONSTANT MAX_DEPTH : INTEGER := get_max(MAX_DEPTH_A, MAX_DEPTH_B); TYPE int_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF std_logic_vector(C_WRITE_WIDTH_A-1 DOWNTO 0); TYPE mem_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC_VECTOR(MIN_WIDTH-1 DOWNTO 0); TYPE ecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; TYPE softecc_err_array IS ARRAY (MAX_DEPTH-1 DOWNTO 0) OF STD_LOGIC; --*************************************************************** -- memory initialization function --*************************************************************** IMPURE FUNCTION init_memory(DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); write_width_a : INTEGER; depth : INTEGER; width : INTEGER) RETURN mem_array IS VARIABLE init_return : mem_array := (OTHERS => (OTHERS => '0')); FILE init_file : TEXT; VARIABLE mem_vector : BIT_VECTOR(write_width_a-1 DOWNTO 0); VARIABLE int_mem_vector : int_array:= (OTHERS => (OTHERS => '0')); VARIABLE file_buffer : LINE; VARIABLE i : INTEGER := 0; VARIABLE j : INTEGER; VARIABLE k : INTEGER; VARIABLE ignore_line : BOOLEAN := false; VARIABLE good_data : BOOLEAN := false; VARIABLE char_tmp : CHARACTER; VARIABLE index : INTEGER; variable init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable data : std_logic_vector(255 downto 0) := (others => '0'); variable inside_init_addr_slv : std_logic_vector(31 downto 0) := (others => '0'); variable k_slv : std_logic_vector(31 downto 0) := (others => '0'); variable i_slv : std_logic_vector(31 downto 0) := (others => '0'); VARIABLE disp_line : line := null; variable open_status : file_open_status; variable input_initf_tmp : mem_array ; variable input_initf : mem_array := (others => (others => '0')); file int_infile : text; variable data_line, data_line_tmp, out_data_line : line; variable slv_width : integer; VARIABLE d_l : LINE; BEGIN --Display output message indicating that the behavioral model is being --initialized -- Setup the default data -- Default data is with respect to write_port_A and may be wider -- or narrower than init_return width. The following loops map -- default data into the memory IF (C_USE_DEFAULT_DATA=1) THEN index := 0; FOR i IN 0 TO depth-1 LOOP FOR j IN 0 TO width-1 LOOP init_return(i)(j) := DEFAULT_DATA(index); index := (index + 1) MOD C_WRITE_WIDTH_A; END LOOP; END LOOP; END IF; -- Read in the .mif file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_LOAD_INIT_FILE=1) THEN file_open(init_file, C_INIT_FILE_NAME, read_mode); i := 0; WHILE (i < depth AND NOT endfile(init_file)) LOOP mem_vector := (OTHERS => '0'); readline(init_file, file_buffer); read(file_buffer, mem_vector(file_buffer'LENGTH-1 DOWNTO 0)); FOR j IN 0 TO write_width_a-1 LOOP IF (j MOD width = 0 AND j /= 0) THEN i := i + 1; END IF; init_return(i)(j MOD width) := bit_to_sl(mem_vector(j)); END LOOP; i := i + 1; END LOOP; file_close(init_file); END IF; --Display output message indicating that the behavioral model is done --initializing ASSERT (NOT (C_USE_DEFAULT_DATA=1 OR C_LOAD_INIT_FILE=1)) REPORT " Block Memory Generator data initialization complete." SEVERITY NOTE; if (C_USE_BRAM_BLOCK = 1) then --Display output message indicating that the behavioral model is being --initialized -- Read in the .mem file -- The init data is formatted with respect to write port A dimensions. -- The init_return vector is formatted with respect to minimum width and -- maximum depth; the following loops map the .mif file into the memory IF (C_INIT_FILE /= "NONE") then file_open(open_status, int_infile, C_INIT_FILE, read_mode); while not endfile(int_infile) loop readline(int_infile, data_line); while (data_line /= null and data_line'length > 0) loop if (data_line(data_line'low to data_line'low + 1) = "//") then deallocate(data_line); elsif ((data_line(data_line'low to data_line'low + 1) = "/*") and (data_line(data_line'high-1 to data_line'high) = "*/")) then deallocate(data_line); elsif (data_line(data_line'low to data_line'low + 1) = "/*") then deallocate(data_line); ignore_line := true; elsif (ignore_line = true and data_line(data_line'high-1 to data_line'high) = "*/") then deallocate(data_line); ignore_line := false; elsif (ignore_line = false and data_line(data_line'low) = '@') then read(data_line, char_tmp); hread(data_line, init_addr_slv, good_data); i := SLV_TO_INT(init_addr_slv); elsif (ignore_line = false) then hread(data_line, input_initf_tmp(i), good_data); init_return(i)(write_width_a - 1 downto 0) := input_initf_tmp(i)(write_width_a - 1 downto 0); if (good_data = true) then i := i + 1; end if; else deallocate(data_line); end if; end loop; end loop; file_close(int_infile); END IF; END IF; RETURN init_return; END FUNCTION; --*************************************************************** -- memory type constants --*************************************************************** CONSTANT MEM_TYPE_SP_RAM : INTEGER := 0; CONSTANT MEM_TYPE_SDP_RAM : INTEGER := 1; CONSTANT MEM_TYPE_TDP_RAM : INTEGER := 2; CONSTANT MEM_TYPE_SP_ROM : INTEGER := 3; CONSTANT MEM_TYPE_DP_ROM : INTEGER := 4; --*************************************************************** -- memory configuration constant functions --*************************************************************** --get_single_port ----------------- FUNCTION get_single_port(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_RAM OR mem_type=MEM_TYPE_SP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_single_port; --get_is_rom -------------- FUNCTION get_is_rom(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SP_ROM OR mem_type=MEM_TYPE_DP_ROM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_is_rom; --get_has_a_write ------------------ FUNCTION get_has_a_write(IS_ROM : INTEGER) RETURN INTEGER IS BEGIN IF (IS_ROM=0) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_a_write; --get_has_b_write ------------------ FUNCTION get_has_b_write(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_TDP_RAM) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_write; --get_has_a_read ------------------ FUNCTION get_has_a_read(mem_type : INTEGER) RETURN INTEGER IS BEGIN IF (mem_type=MEM_TYPE_SDP_RAM) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_a_read; --get_has_b_read ------------------ FUNCTION get_has_b_read(SINGLE_PORT : INTEGER) RETURN INTEGER IS BEGIN IF (SINGLE_PORT=1) THEN RETURN 0; ELSE RETURN 1; END IF; END get_has_b_read; --get_has_b_port ------------------ FUNCTION get_has_b_port(HAS_B_READ : INTEGER; HAS_B_WRITE : INTEGER) RETURN INTEGER IS BEGIN IF (HAS_B_READ=1 OR HAS_B_WRITE=1) THEN RETURN 1; ELSE RETURN 0; END IF; END get_has_b_port; --get_num_output_stages ----------------------- FUNCTION get_num_output_stages(has_mem_output_regs : INTEGER; has_mux_output_regs : INTEGER; mux_pipeline_stages : INTEGER) RETURN INTEGER IS VARIABLE actual_mux_pipeline_stages : INTEGER; BEGIN -- Mux pipeline stages can be non-zero only when there is a mux -- output register. IF (has_mux_output_regs=1) THEN actual_mux_pipeline_stages := mux_pipeline_stages; ELSE actual_mux_pipeline_stages := 0; END IF; RETURN has_mem_output_regs+actual_mux_pipeline_stages+has_mux_output_regs; END get_num_output_stages; --*************************************************************************** -- Component declaration of the VARIABLE depth output register stage --*************************************************************************** COMPONENT BLK_MEM_GEN_v8_2_output_stage GENERIC ( C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_RST_TYPE : STRING := "SYNC"; C_HAS_RST : INTEGER := 0; C_RSTRAM : INTEGER := 0; C_RST_PRIORITY : STRING := "CE"; init_val : STD_LOGIC_VECTOR; C_HAS_EN : INTEGER := 0; C_HAS_REGCE : INTEGER := 0; C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_MEM_OUTPUT_REGS : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; NUM_STAGES : INTEGER := 1; C_EN_ECC_PIPE : INTEGER := 0; FLOP_DELAY : TIME := 100 ps); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; REGCE : IN STD_LOGIC; EN : IN STD_LOGIC; DIN_I : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN_I : IN STD_LOGIC; DBITERR_IN_I : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN_I : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); ECCPIPECE : IN STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_2_output_stage; COMPONENT BLK_MEM_GEN_v8_2_softecc_output_reg_stage GENERIC ( C_DATA_WIDTH : INTEGER := 32; C_ADDRB_WIDTH : INTEGER := 10; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; FLOP_DELAY : TIME := 100 ps ); PORT ( CLK : IN STD_LOGIC; DIN : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); DOUT : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); SBITERR_IN : IN STD_LOGIC; DBITERR_IN : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC_IN : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_2_softecc_output_reg_stage; --****************************************************** -- locally derived constants to assist memory access --****************************************************** CONSTANT WRITE_WIDTH_RATIO_A : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_A : INTEGER := C_READ_WIDTH_A/MIN_WIDTH; CONSTANT WRITE_WIDTH_RATIO_B : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH; CONSTANT READ_WIDTH_RATIO_B : INTEGER := C_READ_WIDTH_B/MIN_WIDTH; --****************************************************** -- To modify the LSBs of the 'wider' data to the actual -- address value --****************************************************** CONSTANT WRITE_ADDR_A_DIV : INTEGER := C_WRITE_WIDTH_A/MIN_WIDTH_A; CONSTANT READ_ADDR_A_DIV : INTEGER := C_READ_WIDTH_A/MIN_WIDTH_A; CONSTANT WRITE_ADDR_B_DIV : INTEGER := C_WRITE_WIDTH_B/MIN_WIDTH_B; CONSTANT READ_ADDR_B_DIV : INTEGER := C_READ_WIDTH_B/MIN_WIDTH_B; --****************************************************** -- FUNCTION : log2roundup --****************************************************** FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ------------------------------------------------------------------------------ -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ------------------------------------------------------------------------------ FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS VARIABLE retval : INTEGER := 0; BEGIN IF NOT condition THEN retval:=false_case; ELSE retval:=true_case; END IF; RETURN retval; END if_then_else; --****************************************************** -- Other constants and signals --****************************************************** CONSTANT COLL_DELAY : TIME := 100 ps; -- default data vector CONSTANT DEFAULT_DATA : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_DEFAULT_DATA, C_WRITE_WIDTH_A); CONSTANT CHKBIT_WIDTH : INTEGER := if_then_else(C_WRITE_WIDTH_A>57,8,if_then_else(C_WRITE_WIDTH_A>26,7,if_then_else(C_WRITE_WIDTH_A>11,6,if_then_else(C_WRITE_WIDTH_A>4,5,if_then_else(C_WRITE_WIDTH_A<5,4,0))))); -- the init memory SIGNAL SIGNAL memory_i : mem_array; SIGNAL doublebit_error_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0); SIGNAL current_contents_i : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); -- write mode constants CONSTANT WRITE_MODE_A : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_A); CONSTANT WRITE_MODE_B : STD_LOGIC_VECTOR(1 DOWNTO 0) := write_mode_to_vector(C_WRITE_MODE_B); CONSTANT WRITE_MODES : STD_LOGIC_VECTOR(3 DOWNTO 0) := WRITE_MODE_A & WRITE_MODE_B; -- reset values CONSTANT INITA_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITA_VAL, C_READ_WIDTH_A); CONSTANT INITB_VAL : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := hex_to_std_logic_vector(C_INITB_VAL, C_READ_WIDTH_B); -- memory output 'latches' SIGNAL memory_out_a : STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0) := INITA_VAL; SIGNAL memory_out_b : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := INITB_VAL; SIGNAL sbiterr_in : STD_LOGIC := '0'; SIGNAL sbiterr_sdp : STD_LOGIC := '0'; SIGNAL dbiterr_in : STD_LOGIC := '0'; SIGNAL dbiterr_sdp : STD_LOGIC := '0'; SIGNAL rdaddrecc_in : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_sdp : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL doutb_i : STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL sbiterr_i : STD_LOGIC := '0'; SIGNAL dbiterr_i : STD_LOGIC := '0'; -- memory configuration constants ----------------------------------------------- CONSTANT SINGLE_PORT : INTEGER := get_single_port(C_MEM_TYPE); CONSTANT IS_ROM : INTEGER := get_is_rom(C_MEM_TYPE); CONSTANT HAS_A_WRITE : INTEGER := get_has_a_write(IS_ROM); CONSTANT HAS_B_WRITE : INTEGER := get_has_b_write(C_MEM_TYPE); CONSTANT HAS_A_READ : INTEGER := get_has_a_read(C_MEM_TYPE); CONSTANT HAS_B_READ : INTEGER := get_has_b_read(SINGLE_PORT); CONSTANT HAS_B_PORT : INTEGER := get_has_b_port(HAS_B_READ, HAS_B_WRITE); CONSTANT NUM_OUTPUT_STAGES_A : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_A, C_MUX_PIPELINE_STAGES); CONSTANT NUM_OUTPUT_STAGES_B : INTEGER := get_num_output_stages(C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES); CONSTANT WEA0 : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); CONSTANT WEB0 : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ----------------------------------------------------------------------------- -- DEBUG CONTROL -- DEBUG=0 : Debug output OFF -- DEBUG=1 : Some debug info printed ----------------------------------------------------------------------------- CONSTANT DEBUG : INTEGER := 0; -- internal signals ----------------------------------------------- SIGNAL ena_i : STD_LOGIC; SIGNAL enb_i : STD_LOGIC; SIGNAL reseta_i : STD_LOGIC; SIGNAL resetb_i : STD_LOGIC; SIGNAL wea_i : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL web_i : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL rea_i : STD_LOGIC; SIGNAL reb_i : STD_LOGIC; SIGNAL message_complete : BOOLEAN := false; SIGNAL rsta_outp_stage : STD_LOGIC := '0'; SIGNAL rstb_outp_stage : STD_LOGIC := '0'; --********************************************************* --FUNCTION : Collision check --********************************************************* FUNCTION collision_check (addr_a : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); iswrite_a : BOOLEAN; addr_b : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); iswrite_b : BOOLEAN) RETURN BOOLEAN IS VARIABLE c_aw_bw : INTEGER; VARIABLE c_aw_br : INTEGER; VARIABLE c_ar_bw : INTEGER; VARIABLE write_addr_a_width : INTEGER; VARIABLE read_addr_a_width : INTEGER; VARIABLE write_addr_b_width : INTEGER; VARIABLE read_addr_b_width : INTEGER; BEGIN c_aw_bw := 0; c_aw_br := 0; c_ar_bw := 0; -- Determine the effective address widths FOR each of the 4 ports write_addr_a_width := C_ADDRA_WIDTH-log2roundup(WRITE_ADDR_A_DIV); read_addr_a_width := C_ADDRA_WIDTH-log2roundup(READ_ADDR_A_DIV); write_addr_b_width := C_ADDRB_WIDTH-log2roundup(WRITE_ADDR_B_DIV); read_addr_b_width := C_ADDRB_WIDTH-log2roundup(READ_ADDR_B_DIV); --Look FOR a write-write collision. In order FOR a write-write --collision to exist, both ports must have a write transaction. IF (iswrite_a AND iswrite_b) THEN IF (write_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_bw := 1; ELSE c_aw_bw := 0; END IF; END IF; --width END IF; --iswrite_a and iswrite_b --If the B port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_a) THEN IF (write_addr_a_width > read_addr_b_width) THEN --read_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_b_width --Once both are scaled to read_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_b_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; ELSE --write_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing write_addr_a and read_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_a_width --Once both are scaled to write_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_a_width))) THEN c_aw_br := 1; ELSE c_aw_br := 0; END IF; END IF; --width END IF; --iswrite_a --If the A port is reading (which means it is enabled - so could be -- a TX_WRITE or TX_READ), then check FOR a write-read collision). --This could happen whether or not a write-write collision exists due -- to asymmetric write/read ports. IF (iswrite_b) THEN IF (read_addr_a_width > write_addr_b_width) THEN --write_addr_b_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to write_addr_b_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to write_addr_b_width --Once both are scaled to write_addr_b_width, compare. IF ((conv_integer(addr_a)/2**(C_ADDRA_WIDTH-write_addr_b_width)) = (conv_integer(addr_b)/2**(C_ADDRB_WIDTH-write_addr_b_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; ELSE --read_addr_a_width is smaller, so scale both addresses to that -- width FOR comparing read_addr_a and write_addr_b --addr_a starts as C_ADDRA_WIDTH, -- scale it down to read_addr_a_width --addr_b starts as C_ADDRB_WIDTH, -- scale it down to read_addr_a_width --Once both are scaled to read_addr_a_width, compare. IF ((conv_integer(addr_b)/2**(C_ADDRB_WIDTH-read_addr_a_width)) = (conv_integer(addr_a)/2**(C_ADDRA_WIDTH-read_addr_a_width))) THEN c_ar_bw := 1; ELSE c_ar_bw := 0; END IF; END IF; --width END IF; --iswrite_b RETURN (c_aw_bw=1 OR c_aw_br=1 OR c_ar_bw=1); END FUNCTION collision_check; BEGIN -- Architecture ----------------------------------------------------------------------------- -- SOFTECC and ECC SBITERR/DBITERR Outputs -- The ECC Behavior is modeled by the behavioral models only for Virtex-6. -- The SOFTECC Behavior is modeled by the behavioral models for Spartan-6. -- For Virtex-5, these outputs will be tied to 0. ----------------------------------------------------------------------------- SBITERR <= sbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; DBITERR <= dbiterr_sdp WHEN ((C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE '0'; RDADDRECC <= rdaddrecc_sdp WHEN (((C_FAMILY="virtex7") AND C_MEM_TYPE = 1 AND C_USE_ECC = 1) OR C_USE_SOFTECC = 1) ELSE (OTHERS => '0'); ----------------------------------------------- -- This effectively wires off optional inputs ----------------------------------------------- ena_i <= ENA WHEN (C_HAS_ENA=1) ELSE '1'; enb_i <= ENB WHEN (C_HAS_ENB=1 AND HAS_B_PORT=1) ELSE '1'; wea_i <= WEA WHEN (HAS_A_WRITE=1 AND ena_i='1') ELSE WEA0; web_i <= WEB WHEN (HAS_B_WRITE=1 AND enb_i='1') ELSE WEB0; rea_i <= ena_i WHEN (HAS_A_READ=1) ELSE '0'; reb_i <= enb_i WHEN (HAS_B_READ=1) ELSE '0'; -- these signals reset the memory latches -- For the special reset behaviors in some of the families, the C_RSTRAM -- attribute of the corresponding port is used to indicate if the latch is -- reset or not. reseta_i <= RSTA WHEN ((C_HAS_RSTA=1 AND NUM_OUTPUT_STAGES_A=0) OR (C_HAS_RSTA=1 AND C_RSTRAM_A=1)) ELSE '0'; resetb_i <= RSTB WHEN ((C_HAS_RSTB=1 AND NUM_OUTPUT_STAGES_B=0) OR (C_HAS_RSTB=1 AND C_RSTRAM_B=1) ) ELSE '0'; --*************************************************************************** -- This is the main PROCESS which includes the memory VARIABLE and the read -- and write procedures. It also schedules read and write operations --*************************************************************************** PROCESS (CLKA, CLKB,rea_i,reb_i,reseta_i,resetb_i) -- Initialize the init memory array ------------------------------------ VARIABLE memory : mem_array := init_memory(DEFAULT_DATA, C_WRITE_WIDTH_A, MAX_DEPTH, MIN_WIDTH); -- Initialize the mem memory array ------------------------------------ VARIABLE softecc_sbiterr_arr : softecc_err_array; VARIABLE softecc_dbiterr_arr : softecc_err_array; VARIABLE sbiterr_arr : ecc_err_array; VARIABLE dbiterr_arr : ecc_err_array; CONSTANT doublebit_lsb : STD_LOGIC_VECTOR (1 DOWNTO 0):="11"; CONSTANT doublebit_msb : STD_LOGIC_VECTOR (C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 DOWNTO 0):= (OTHERS => '0'); VARIABLE doublebit_error : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 DOWNTO 0) := doublebit_msb & doublebit_lsb ; VARIABLE current_contents_var : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); --*********************************** -- procedures to access the memory --*********************************** -- write_a ---------- PROCEDURE write_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); inj_sbiterr : IN STD_LOGIC; inj_dbiterr : IN STD_LOGIC) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; VARIABLE message : LINE; VARIABLE errbit_current_contents : STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN -- Block Memory Generator non-cycle-accurate message ASSERT (message_complete) REPORT "Block Memory Generator module is using a behavioral model FOR simulation which will not precisely model memory collision behavior." SEVERITY NOTE; message_complete <= true; -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_A_DIV); IF (address_i >= C_WRITE_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range FOR A Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEA = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_A + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEA_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Insert double bit errors: IF (C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN current_contents(0) := NOT(current_contents(0)); current_contents(1) := NOT(current_contents(1)); END IF; END IF; -- Insert double bit errors: IF (C_USE_SOFTECC=1) THEN IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1 downto 2) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-3 downto 0); doublebit_error(0) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-1); doublebit_error(1) := doublebit_error(C_WRITE_WIDTH_A+CHKBIT_WIDTH-2); current_contents := current_contents XOR doublebit_error(C_WRITE_WIDTH_A-1 DOWNTO 0); END IF; END IF; IF(DEBUG=1) THEN current_contents_var := current_contents; --for debugging current END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_A-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_A + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; -- Store address at which error is injected: IF ((C_FAMILY = "virtex7") AND C_USE_ECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN sbiterr_arr(address_i) := '1'; ELSE sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN dbiterr_arr(address_i) := '1'; ELSE dbiterr_arr(address_i) := '0'; END IF; END IF; -- Store address at which softecc error is injected: IF (C_USE_SOFTECC = 1) THEN IF ((C_HAS_INJECTERR = 1 AND inj_sbiterr = '1') OR (C_HAS_INJECTERR = 3 AND inj_sbiterr = '1' AND inj_dbiterr /= '1')) THEN softecc_sbiterr_arr(address_i) := '1'; ELSE softecc_sbiterr_arr(address_i) := '0'; END IF; IF ((C_HAS_INJECTERR = 2 OR C_HAS_INJECTERR = 3) AND inj_dbiterr = '1') THEN softecc_dbiterr_arr(address_i) := '1'; ELSE softecc_dbiterr_arr(address_i) := '0'; END IF; END IF; END IF; END PROCEDURE; -- write_b ---------- PROCEDURE write_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); byte_en : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); data : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)) IS VARIABLE current_contents : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN -- Shift the address by the ratio address_i := (conv_integer(addr)/WRITE_ADDR_B_DIV); IF (address_i >= C_WRITE_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE = 0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Write" SEVERITY WARNING; END IF; -- valid address ELSE -- Combine w/ byte writes IF (C_USE_BYTE_WEB = 1) THEN -- Get the current memory contents FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) := memory(address_i*WRITE_WIDTH_RATIO_B + i); END LOOP; -- Apply incoming bytes FOR i IN 0 TO C_WEB_WIDTH-1 LOOP IF (byte_en(i) = '1') THEN current_contents(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i) := data(C_BYTE_SIZE*(i+1)-1 DOWNTO C_BYTE_SIZE*i); END IF; END LOOP; -- No byte-writes, overwrite the whole word ELSE current_contents := data; END IF; -- Write data to memory FOR i IN 0 TO WRITE_WIDTH_RATIO_B-1 LOOP memory(address_i*WRITE_WIDTH_RATIO_B + i) := current_contents(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i); END LOOP; END IF; END PROCEDURE; -- read_a ---------- PROCEDURE read_a (addr : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_A_DIV); IF (address_i >= C_READ_DEPTH_A) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for A Read" SEVERITY WARNING; END IF; memory_out_a <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_A-1 LOOP memory_out_a(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_A + i) AFTER FLOP_DELAY; END LOOP; END IF; END IF; END PROCEDURE; -- read_b ---------- PROCEDURE read_b (addr : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); reset : IN STD_LOGIC) IS VARIABLE address_i : INTEGER; VARIABLE i : INTEGER; BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; ELSE -- Shift the address by the ratio address_i := (conv_integer(addr)/READ_ADDR_B_DIV); IF (address_i >= C_READ_DEPTH_B) THEN IF (C_DISABLE_WARN_BHV_RANGE=0) THEN ASSERT FALSE REPORT C_CORENAME & " WARNING: Address " & INTEGER'IMAGE(conv_integer(addr)) & " is outside range for B Read" SEVERITY WARNING; END IF; memory_out_b <= (OTHERS => 'X') AFTER FLOP_DELAY; sbiterr_in <= 'X' AFTER FLOP_DELAY; dbiterr_in <= 'X' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => 'X') AFTER FLOP_DELAY; -- valid address ELSE -- Increment through the 'partial' words in the memory FOR i IN 0 TO READ_WIDTH_RATIO_B-1 LOOP memory_out_b(MIN_WIDTH*(i+1)-1 DOWNTO MIN_WIDTH*i) <= memory(address_i*READ_WIDTH_RATIO_B + i) AFTER FLOP_DELAY; END LOOP; --assert sbiterr and dbiterr signals IF ((C_FAMILY="virtex7") AND C_USE_ECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; --assert softecc sbiterr and dbiterr signals ELSIF (C_USE_SOFTECC = 1) THEN rdaddrecc_in <= addr AFTER FLOP_DELAY; IF (softecc_sbiterr_arr(address_i) = '1') THEN sbiterr_in <= '1' AFTER FLOP_DELAY; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; END IF; IF (softecc_dbiterr_arr(address_i) = '1') THEN dbiterr_in <= '1' AFTER FLOP_DELAY; ELSE dbiterr_in <= '0' AFTER FLOP_DELAY; END IF; ELSE sbiterr_in <= '0' AFTER FLOP_DELAY; dbiterr_in <= '0' AFTER FLOP_DELAY; rdaddrecc_in <= (OTHERS => '0') AFTER FLOP_DELAY; END IF; END IF; END IF; END PROCEDURE; -- reset_a ---------- PROCEDURE reset_a (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_a <= INITA_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; -- reset_b ---------- PROCEDURE reset_b (reset : IN STD_LOGIC) IS BEGIN IF (reset = '1') THEN memory_out_b <= INITB_VAL AFTER FLOP_DELAY; END IF; END PROCEDURE; BEGIN -- begin the main PROCESS --*************************************************************************** -- These are the main blocks which schedule read and write operations -- Note that the reset priority feature at the latch stage is only supported -- for Spartan-6. For other families, the default priority at the latch stage -- is "CE" --*************************************************************************** -- Synchronous clocks: schedule port operations with respect to both -- write operating modes IF (C_COMMON_CLK=1) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODES IS WHEN "0000" => -- write_first write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "0100" => -- read_first write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "0001" => -- write_first read_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0101" => --read_first read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0010" => -- write_first no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "0110" => -- read_first no_change --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1000" => -- no_change write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "1001" => -- no_change read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "1010" => -- no_change no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Synchronous clocks -- Asynchronous clocks: port operation is independent IF (C_COMMON_CLK=0) THEN IF (CLKA='1' AND CLKA'EVENT) THEN CASE WRITE_MODE_A IS WHEN "00" => -- write_first --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; WHEN "01" => -- read_first --Read A IF (rea_i='1') THEN read_a(ADDRA, reseta_i); END IF; --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; WHEN "10" => -- no_change --Write A IF (wea_i/=WEA0) THEN write_a(ADDRA, wea_i, DINA,INJECTSBITERR,INJECTDBITERR); END IF; --Read A IF (rea_i='1' AND (wea_i=WEA0 OR reseta_i='1')) THEN read_a(ADDRA, reseta_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; IF (CLKB='1' AND CLKB'EVENT) THEN CASE WRITE_MODE_B IS WHEN "00" => -- write_first --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; WHEN "01" => -- read_first --Read B IF (reb_i='1') THEN read_b(ADDRB, resetb_i); END IF; --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; WHEN "10" => -- no_change --Write B IF (web_i/=WEB0) THEN write_b(ADDRB, web_i, DINB); END IF; --Read B IF (reb_i='1' AND (web_i=WEB0 OR resetb_i='1')) THEN read_b(ADDRB, resetb_i); END IF; WHEN OTHERS => ASSERT FALSE REPORT "Invalid Operating Mode" SEVERITY ERROR; END CASE; END IF; END IF; -- Asynchronous clocks -- Assign the memory VARIABLE to the user_visible memory_i SIGNAL IF(DEBUG=1) THEN memory_i <= memory; doublebit_error_i <= doublebit_error; current_contents_i <= current_contents_var; END IF; END PROCESS; --******************************************************************** -- Instantiate the VARIABLE depth output stage --******************************************************************** -- Port A rsta_outp_stage <= RSTA and not sleep; rstb_outp_stage <= RSTB and not sleep; reg_a : BLK_MEM_GEN_v8_2_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => "SYNC", C_HAS_RST => C_HAS_RSTA, C_RSTRAM => C_RSTRAM_A, C_RST_PRIORITY => C_RST_PRIORITY_A, init_val => INITA_VAL, C_HAS_EN => C_HAS_ENA, C_HAS_REGCE => C_HAS_REGCEA, C_DATA_WIDTH => C_READ_WIDTH_A, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_A, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_A, C_EN_ECC_PIPE => C_EN_ECC_PIPE, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKA, RST => rsta_outp_stage, --RSTA, EN => ENA, REGCE => REGCEA, DIN_I => memory_out_a, DOUT => DOUTA, SBITERR_IN_I => '0', DBITERR_IN_I => '0', SBITERR => OPEN, DBITERR => OPEN, RDADDRECC_IN_I => (OTHERS => '0'), ECCPIPECE => '0', RDADDRECC => OPEN ); -- Port B reg_b : BLK_MEM_GEN_v8_2_output_stage GENERIC MAP( C_FAMILY => C_FAMILY, C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_RST_TYPE => "SYNC", C_HAS_RST => C_HAS_RSTB, C_RSTRAM => C_RSTRAM_B, C_RST_PRIORITY => C_RST_PRIORITY_B, init_val => INITB_VAL, C_HAS_EN => C_HAS_ENB, C_HAS_REGCE => C_HAS_REGCEB, C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS => C_HAS_MEM_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, NUM_STAGES => NUM_OUTPUT_STAGES_B, C_EN_ECC_PIPE => C_EN_ECC_PIPE, FLOP_DELAY => FLOP_DELAY ) PORT MAP ( CLK => CLKB, RST => rstb_outp_stage,--RSTB, EN => ENB, REGCE => REGCEB, DIN_I => memory_out_b, DOUT => doutb_i, SBITERR_IN_I => sbiterr_in, DBITERR_IN_I => dbiterr_in, SBITERR => sbiterr_i, DBITERR => dbiterr_i, RDADDRECC_IN_I => rdaddrecc_in, ECCPIPECE => ECCPIPECE, RDADDRECC => rdaddrecc_i ); --******************************************************************** -- Instantiate the input / Output Register stages --******************************************************************** output_reg_stage: BLK_MEM_GEN_v8_2_softecc_output_reg_stage GENERIC MAP( C_DATA_WIDTH => C_READ_WIDTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_USE_SOFTECC => C_USE_SOFTECC, FLOP_DELAY => FLOP_DELAY ) PORT MAP( CLK => CLKB, DIN => doutb_i, DOUT => DOUTB, SBITERR_IN => sbiterr_i, DBITERR_IN => dbiterr_i, SBITERR => sbiterr_sdp, DBITERR => dbiterr_sdp, RDADDRECC_IN => rdaddrecc_i, RDADDRECC => rdaddrecc_sdp ); --********************************* -- Synchronous collision checks --********************************* sync_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=1) GENERATE PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; -- collision detect VARIABLE is_collision : BOOLEAN; VARIABLE message : LINE; BEGIN IF (CLKA='1' AND CLKA'EVENT) THEN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision := false; END IF; -- If the write port is in READ_FIRST mode, there is no collision IF (C_WRITE_MODE_A="READ_FIRST" AND wea_i/=WEA0 AND web_i=WEB0) THEN is_collision := false; END IF; IF (C_WRITE_MODE_B="READ_FIRST" AND web_i/=WEB0 AND wea_i=WEA0) THEN is_collision := false; END IF; -- Only flag if one of the accesses is a write IF (is_collision AND (wea_i/=WEA0 OR web_i/=WEB0)) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END IF; END PROCESS; END GENERATE; --********************************* -- Asynchronous collision checks --********************************* async_coll: IF (C_DISABLE_WARN_BHV_COLL=0 AND C_COMMON_CLK=0) GENERATE SIGNAL addra_delay : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL wea_delay : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0); SIGNAL ena_delay : STD_LOGIC; SIGNAL addrb_delay : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); SIGNAL web_delay : STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0); SIGNAL enb_delay : STD_LOGIC; BEGIN -- Delay A and B addresses in order to mimic setup/hold times PROCESS (ADDRA, wea_i, ena_i, ADDRB, web_i, enb_i) BEGIN addra_delay <= ADDRA AFTER COLL_DELAY; wea_delay <= wea_i AFTER COLL_DELAY; ena_delay <= ena_i AFTER COLL_DELAY; addrb_delay <= ADDRB AFTER COLL_DELAY; web_delay <= web_i AFTER COLL_DELAY; enb_delay <= enb_i AFTER COLL_DELAY; END PROCESS; -- Do the checks w/rt A PROCESS (CLKA) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_a : BOOLEAN; VARIABLE is_collision_delay_a : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_a := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_a := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(ADDRA)/='X') THEN is_collision_delay_a := collision_check(ADDRA, wea_i/=WEA0, addrb_delay, web_delay/=WEB0); ELSE is_collision_delay_a := false; END IF; -- Only flag if B access is a write IF (is_collision_a AND web_i/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_a AND web_delay/=WEB0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); IF (wea_i/=WEA0) THEN write(message, STRING'("A write address: ")); ELSE write(message, STRING'("A read address: ")); END IF; write(message, ADDRA); write(message, STRING'(", B write address: ")); write(message, addrb_delay); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; -- Do the checks w/rt B PROCESS (CLKB) use IEEE.STD_LOGIC_TEXTIO.ALL; VARIABLE is_collision_b : BOOLEAN; VARIABLE is_collision_delay_b : BOOLEAN; VARIABLE message : LINE; BEGIN -- Possible collision if both are enabled and the addresses match -- Not checking the collision condition when there is an 'x' on the Addr bus IF (ena_i='1' AND enb_i='1' AND OR_REDUCE(ADDRA) /= 'X') THEN is_collision_b := collision_check(ADDRA, wea_i/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_b := false; END IF; IF (ena_i='1' AND enb_delay='1' AND OR_REDUCE(addra_delay) /= 'X') THEN is_collision_delay_b := collision_check(addra_delay, wea_delay/=WEA0, ADDRB, web_i/=WEB0); ELSE is_collision_delay_b := false; END IF; -- Only flag if A access is a write -- Modified condition checking (is_collision_b AND WEA0_i=/WEA0) to fix CR526228 IF (is_collision_b AND wea_i/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, ADDRA); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); ELSIF (is_collision_delay_b AND wea_delay/=WEA0) THEN write(message, C_CORENAME); write(message, STRING'(" WARNING: collision detected: ")); write(message, STRING'("A write address: ")); write(message, addra_delay); IF (web_i/=WEB0) THEN write(message, STRING'(", B write address: ")); ELSE write(message, STRING'(", B read address: ")); END IF; write(message, ADDRB); write(message, LF); ASSERT false REPORT message.ALL SEVERITY WARNING; deallocate(message); END IF; END PROCESS; END GENERATE; END mem_module_behavioral; --****************************************************************************** -- Top module that wraps SoftECC Input register stage and the main memory module -- -- This module is the top-level of behavioral model --****************************************************************************** LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY blk_mem_gen_v8_2 IS GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_2"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_ELABORATION_DIR : STRING := ""; C_INTERFACE_TYPE : INTEGER := 0; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_CTRL_ECC_ALGO : STRING := "NONE"; C_AXI_TYPE : INTEGER := 0; C_AXI_SLAVE_TYPE : INTEGER := 0; C_HAS_AXI_ID : INTEGER := 0; C_AXI_ID_WIDTH : INTEGER := 4; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; --C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_SLEEP_PIN : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0; C_COUNT_36K_BRAM : string := ""; C_COUNT_18K_BRAM : string := ""; C_EST_POWER_SUMMARY : string := "" ); PORT ( clka : IN STD_LOGIC := '0'; rsta : IN STD_LOGIC := '0'; ena : IN STD_LOGIC := '1'; regcea : IN STD_LOGIC := '1'; wea : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addra : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); dina : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); douta : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); clkb : IN STD_LOGIC := '0'; rstb : IN STD_LOGIC := '0'; enb : IN STD_LOGIC := '1'; regceb : IN STD_LOGIC := '1'; web : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); addrb : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); dinb : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); doutb : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); injectsbiterr : IN STD_LOGIC := '0'; injectdbiterr : IN STD_LOGIC := '0'; sbiterr : OUT STD_LOGIC := '0'; dbiterr : OUT STD_LOGIC := '0'; rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0); eccpipece : in std_logic := '0'; sleep : in std_logic := '0'; -- AXI BMG Input and Output Port Declarations -- AXI Global Signals s_aclk : IN STD_LOGIC := '0'; s_aresetn : IN STD_LOGIC := '0'; -- axi full/lite slave Write (write side) s_axi_awid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid : IN STD_LOGIC := '0'; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast : IN STD_LOGIC := '0'; s_axi_wvalid : IN STD_LOGIC := '0'; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC := '0'; -- axi full/lite slave Read (Write side) s_axi_arid : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid : IN STD_LOGIC := '0'; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_rdata : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC := '0'; -- axi full/lite sideband Signals s_axi_injectsbiterr : IN STD_LOGIC := '0'; s_axi_injectdbiterr : IN STD_LOGIC := '0'; s_axi_sbiterr : OUT STD_LOGIC := '0'; s_axi_dbiterr : OUT STD_LOGIC := '0'; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END blk_mem_gen_v8_2; --****************************** -- Port and Generic Definitions --****************************** --------------------------------------------------------------------------- -- Generic Definitions --------------------------------------------------------------------------- -- C_CORENAME : Instance name of the Block Memory Generator core -- C_FAMILY,C_XDEVICEFAMILY: Designates architecture targeted. The following -- options are available - "spartan3", "spartan6", -- "virtex4", "virtex5", "virtex6l" and "virtex6". -- C_MEM_TYPE : Designates memory type. -- It can be -- 0 - Single Port Memory -- 1 - Simple Dual Port Memory -- 2 - True Dual Port Memory -- 3 - Single Port Read Only Memory -- 4 - Dual Port Read Only Memory -- C_BYTE_SIZE : Size of a byte (8 or 9 bits) -- C_ALGORITHM : Designates the algorithm method used -- for constructing the memory. -- It can be Fixed_Primitives, Minimum_Area or -- Low_Power -- C_PRIM_TYPE : Designates the user selected primitive used to -- construct the memory. -- -- C_LOAD_INIT_FILE : Designates the use of an initialization file to -- initialize memory contents. -- C_INIT_FILE_NAME : Memory initialization file name. -- C_USE_DEFAULT_DATA : Designates whether to fill remaining -- initialization space with default data -- C_DEFAULT_DATA : Default value of all memory locations -- not initialized by the memory -- initialization file. -- C_RST_TYPE : Type of reset - Synchronous or Asynchronous -- -- C_HAS_RSTA : Determines the presence of the RSTA port -- C_RST_PRIORITY_A : Determines the priority between CE and SR for -- Port A. -- C_RSTRAM_A : Determines if special reset behavior is used for -- Port A -- C_INITA_VAL : The initialization value for Port A -- C_HAS_ENA : Determines the presence of the ENA port -- C_HAS_REGCEA : Determines the presence of the REGCEA port -- C_USE_BYTE_WEA : Determines if the Byte Write is used or not. -- C_WEA_WIDTH : The width of the WEA port -- C_WRITE_MODE_A : Configurable write mode for Port A. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_A : Memory write width for Port A. -- C_READ_WIDTH_A : Memory read width for Port A. -- C_WRITE_DEPTH_A : Memory write depth for Port A. -- C_READ_DEPTH_A : Memory read depth for Port A. -- C_ADDRA_WIDTH : Width of the ADDRA input port -- C_HAS_RSTB : Determines the presence of the RSTB port -- C_RST_PRIORITY_B : Determines the priority between CE and SR for -- Port B. -- C_RSTRAM_B : Determines if special reset behavior is used for -- Port B -- C_INITB_VAL : The initialization value for Port B -- C_HAS_ENB : Determines the presence of the ENB port -- C_HAS_REGCEB : Determines the presence of the REGCEB port -- C_USE_BYTE_WEB : Determines if the Byte Write is used or not. -- C_WEB_WIDTH : The width of the WEB port -- C_WRITE_MODE_B : Configurable write mode for Port B. It can be -- WRITE_FIRST, READ_FIRST or NO_CHANGE. -- C_WRITE_WIDTH_B : Memory write width for Port B. -- C_READ_WIDTH_B : Memory read width for Port B. -- C_WRITE_DEPTH_B : Memory write depth for Port B. -- C_READ_DEPTH_B : Memory read depth for Port B. -- C_ADDRB_WIDTH : Width of the ADDRB input port -- C_HAS_MEM_OUTPUT_REGS_A : Designates the use of a register at the output -- of the RAM primitive for Port A. -- C_HAS_MEM_OUTPUT_REGS_B : Designates the use of a register at the output -- of the RAM primitive for Port B. -- C_HAS_MUX_OUTPUT_REGS_A : Designates the use of a register at the output -- of the MUX for Port A. -- C_HAS_MUX_OUTPUT_REGS_B : Designates the use of a register at the output -- of the MUX for Port B. -- C_MUX_PIPELINE_STAGES : Designates the number of pipeline stages in -- between the muxes. -- C_USE_SOFTECC : Determines if the Soft ECC feature is used or -- not. Only applicable Spartan-6 -- C_USE_ECC : Determines if the ECC feature is used or -- not. Only applicable for V5 and V6 -- C_HAS_INJECTERR : Determines if the error injection pins -- are present or not. If the ECC feature -- is not used, this value is defaulted to -- 0, else the following are the allowed -- values: -- 0 : No INJECTSBITERR or INJECTDBITERR pins -- 1 : Only INJECTSBITERR pin exists -- 2 : Only INJECTDBITERR pin exists -- 3 : Both INJECTSBITERR and INJECTDBITERR pins exist -- C_SIM_COLLISION_CHECK : Controls the disabling of Unisim model collision -- warnings. It can be "ALL", "NONE", -- "Warnings_Only" or "Generate_X_Only". -- C_COMMON_CLK : Determins if the core has a single CLK input. -- C_DISABLE_WARN_BHV_COLL : Controls the Behavioral Model Collision warnings -- C_DISABLE_WARN_BHV_RANGE: Controls the Behavioral Model Out of Range -- warnings --------------------------------------------------------------------------- -- Port Definitions --------------------------------------------------------------------------- -- CLKA : Clock to synchronize all read and write operations of Port A. -- RSTA : Reset input to reset memory outputs to a user-defined -- reset state for Port A. -- ENA : Enable all read and write operations of Port A. -- REGCEA : Register Clock Enable to control each pipeline output -- register stages for Port A. -- WEA : Write Enable to enable all write operations of Port A. -- ADDRA : Address of Port A. -- DINA : Data input of Port A. -- DOUTA : Data output of Port A. -- CLKB : Clock to synchronize all read and write operations of Port B. -- RSTB : Reset input to reset memory outputs to a user-defined -- reset state for Port B. -- ENB : Enable all read and write operations of Port B. -- REGCEB : Register Clock Enable to control each pipeline output -- register stages for Port B. -- WEB : Write Enable to enable all write operations of Port B. -- ADDRB : Address of Port B. -- DINB : Data input of Port B. -- DOUTB : Data output of Port B. -- INJECTSBITERR : Single Bit ECC Error Injection Pin. -- INJECTDBITERR : Double Bit ECC Error Injection Pin. -- SBITERR : Output signal indicating that a Single Bit ECC Error has been -- detected and corrected. -- DBITERR : Output signal indicating that a Double Bit ECC Error has been -- detected. -- RDADDRECC : Read Address Output signal indicating address at which an -- ECC error has occurred. --------------------------------------------------------------------------- ARCHITECTURE behavioral OF BLK_MEM_GEN_v8_2 IS COMPONENT BLK_MEM_GEN_v8_2_mem_module GENERIC ( C_CORENAME : STRING := "blk_mem_gen_v8_2"; C_FAMILY : STRING := "virtex7"; C_XDEVICEFAMILY : STRING := "virtex7"; C_USE_BRAM_BLOCK : INTEGER := 0; C_ENABLE_32BIT_ADDRESS : INTEGER := 0; C_MEM_TYPE : INTEGER := 2; C_BYTE_SIZE : INTEGER := 8; C_ALGORITHM : INTEGER := 2; C_PRIM_TYPE : INTEGER := 3; C_LOAD_INIT_FILE : INTEGER := 0; C_INIT_FILE_NAME : STRING := ""; C_INIT_FILE : STRING := ""; C_USE_DEFAULT_DATA : INTEGER := 0; C_DEFAULT_DATA : STRING := ""; C_RST_TYPE : STRING := "SYNC"; C_HAS_RSTA : INTEGER := 0; C_RST_PRIORITY_A : STRING := "CE"; C_RSTRAM_A : INTEGER := 0; C_INITA_VAL : STRING := ""; C_HAS_ENA : INTEGER := 1; C_HAS_REGCEA : INTEGER := 0; C_USE_BYTE_WEA : INTEGER := 0; C_WEA_WIDTH : INTEGER := 1; C_WRITE_MODE_A : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_A : INTEGER := 32; C_READ_WIDTH_A : INTEGER := 32; C_WRITE_DEPTH_A : INTEGER := 64; C_READ_DEPTH_A : INTEGER := 64; C_ADDRA_WIDTH : INTEGER := 6; C_HAS_RSTB : INTEGER := 0; C_RST_PRIORITY_B : STRING := "CE"; C_RSTRAM_B : INTEGER := 0; C_INITB_VAL : STRING := ""; C_HAS_ENB : INTEGER := 1; C_HAS_REGCEB : INTEGER := 0; C_USE_BYTE_WEB : INTEGER := 0; C_WEB_WIDTH : INTEGER := 1; C_WRITE_MODE_B : STRING := "WRITE_FIRST"; C_WRITE_WIDTH_B : INTEGER := 32; C_READ_WIDTH_B : INTEGER := 32; C_WRITE_DEPTH_B : INTEGER := 64; C_READ_DEPTH_B : INTEGER := 64; C_ADDRB_WIDTH : INTEGER := 6; C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0; C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0; C_MUX_PIPELINE_STAGES : INTEGER := 0; C_USE_SOFTECC : INTEGER := 0; C_USE_ECC : INTEGER := 0; C_HAS_INJECTERR : INTEGER := 0; C_SIM_COLLISION_CHECK : STRING := "NONE"; C_COMMON_CLK : INTEGER := 1; FLOP_DELAY : TIME := 100 ps; C_DISABLE_WARN_BHV_COLL : INTEGER := 0; C_EN_ECC_PIPE : INTEGER := 0; C_DISABLE_WARN_BHV_RANGE : INTEGER := 0 ); PORT ( CLKA : IN STD_LOGIC := '0'; RSTA : IN STD_LOGIC := '0'; ENA : IN STD_LOGIC := '1'; REGCEA : IN STD_LOGIC := '1'; WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0); CLKB : IN STD_LOGIC := '0'; RSTB : IN STD_LOGIC := '0'; ENB : IN STD_LOGIC := '1'; REGCEB : IN STD_LOGIC := '1'; WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0); INJECTSBITERR : IN STD_LOGIC := '0'; INJECTDBITERR : IN STD_LOGIC := '0'; ECCPIPECE : IN STD_LOGIC; SLEEP : IN STD_LOGIC; SBITERR : OUT STD_LOGIC; DBITERR : OUT STD_LOGIC; RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) ); END COMPONENT BLK_MEM_GEN_v8_2_mem_module; COMPONENT blk_mem_axi_regs_fwd_v8_2 IS GENERIC( C_DATA_WIDTH : INTEGER := 8 ); PORT ( ACLK : IN STD_LOGIC; ARESET : IN STD_LOGIC; S_VALID : IN STD_LOGIC; S_READY : OUT STD_LOGIC; S_PAYLOAD_DATA : IN STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0); M_VALID : OUT STD_LOGIC; M_READY : IN STD_LOGIC; M_PAYLOAD_DATA : OUT STD_LOGIC_VECTOR(C_DATA_WIDTH-1 DOWNTO 0) ); END COMPONENT blk_mem_axi_regs_fwd_v8_2; COMPONENT blk_mem_axi_read_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; C_AXI_TYPE : integer := 0; C_AXI_SLAVE_TYPE : integer := 0; C_MEMORY_TYPE : integer := 0; C_WRITE_WIDTH_A : integer := 4; C_WRITE_DEPTH_A : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_PIPELINE_STAGES : integer := 0; C_AXI_ARADDR_WIDTH : integer := 12; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; C_ADDRB_WIDTH : integer := 12 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Read (Read side) S_AXI_ARADDR : IN std_logic_vector(C_AXI_ARADDR_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_ARLEN : IN std_logic_vector(7 downto 0) := (OTHERS => '0'); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_ARVALID : IN std_logic := '0'; S_AXI_ARREADY : OUT std_logic; S_AXI_RLAST : OUT std_logic; S_AXI_RVALID : OUT std_logic; S_AXI_RREADY : IN std_logic := '0'; S_AXI_ARID : IN std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); S_AXI_RID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (OTHERS => '0'); -- AXI Full/Lite Read Address Signals to BRAM S_AXI_ARADDR_OUT : OUT std_logic_vector(C_ADDRB_WIDTH-1 downto 0); S_AXI_RD_EN : OUT std_logic ); END COMPONENT blk_mem_axi_read_wrapper_beh; COMPONENT blk_mem_axi_write_wrapper_beh GENERIC ( -- AXI Interface related parameters start here C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE : integer := 0; -- 0: AXI Lite; 1: AXI Full; C_AXI_SLAVE_TYPE : integer := 0; -- 0: MEMORY SLAVE; 1: PERIPHERAL SLAVE; C_MEMORY_TYPE : integer := 0; -- 0: SP-RAM, 1: SDP-RAM; 2: TDP-RAM; 3: DP-ROM; C_WRITE_DEPTH_A : integer := 0; C_AXI_AWADDR_WIDTH : integer := 32; C_ADDRA_WIDTH : integer := 12; C_AXI_WDATA_WIDTH : integer := 32; C_HAS_AXI_ID : integer := 0; C_AXI_ID_WIDTH : integer := 4; -- AXI OUTSTANDING WRITES C_AXI_OS_WR : integer := 2 ); PORT ( -- AXI Global Signals S_ACLK : IN std_logic; S_ARESETN : IN std_logic; -- AXI Full/Lite Slave Write Channel (write side) S_AXI_AWID : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWADDR : IN std_logic_vector(C_AXI_AWADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); S_AXI_AWVALID : IN std_logic := '0'; S_AXI_AWREADY : OUT std_logic := '0'; S_AXI_WVALID : IN std_logic := '0'; S_AXI_WREADY : OUT std_logic := '0'; S_AXI_BID : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); S_AXI_BVALID : OUT std_logic := '0'; S_AXI_BREADY : IN std_logic := '0'; -- Signals for BMG interface S_AXI_AWADDR_OUT : OUT std_logic_vector(C_ADDRA_WIDTH-1 DOWNTO 0); S_AXI_WR_EN : OUT std_logic:= '0' ); END COMPONENT blk_mem_axi_write_wrapper_beh; CONSTANT FLOP_DELAY : TIME := 100 ps; SIGNAL rsta_in : STD_LOGIC := '1'; SIGNAL ena_in : STD_LOGIC := '1'; SIGNAL regcea_in : STD_LOGIC := '1'; SIGNAL wea_in : STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL addra_in : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0); SIGNAL dina_in : STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0):= (OTHERS => '0'); SIGNAL injectsbiterr_in : STD_LOGIC := '0'; SIGNAL injectdbiterr_in : STD_LOGIC := '0'; ----------------------------------------------------------------------------- -- FUNCTION: toLowerCaseChar -- Returns the lower case form of char if char is an upper case letter. -- Otherwise char is returned. ----------------------------------------------------------------------------- FUNCTION toLowerCaseChar( char : character ) RETURN character IS BEGIN -- If char is not an upper case letter then return char IF char<'A' OR char>'Z' THEN RETURN char; END IF; -- Otherwise map char to its corresponding lower case character and -- RETURN that CASE char IS WHEN 'A' => RETURN 'a'; WHEN 'B' => RETURN 'b'; WHEN 'C' => RETURN 'c'; WHEN 'D' => RETURN 'd'; WHEN 'E' => RETURN 'e'; WHEN 'F' => RETURN 'f'; WHEN 'G' => RETURN 'g'; WHEN 'H' => RETURN 'h'; WHEN 'I' => RETURN 'i'; WHEN 'J' => RETURN 'j'; WHEN 'K' => RETURN 'k'; WHEN 'L' => RETURN 'l'; WHEN 'M' => RETURN 'm'; WHEN 'N' => RETURN 'n'; WHEN 'O' => RETURN 'o'; WHEN 'P' => RETURN 'p'; WHEN 'Q' => RETURN 'q'; WHEN 'R' => RETURN 'r'; WHEN 'S' => RETURN 's'; WHEN 'T' => RETURN 't'; WHEN 'U' => RETURN 'u'; WHEN 'V' => RETURN 'v'; WHEN 'W' => RETURN 'w'; WHEN 'X' => RETURN 'x'; WHEN 'Y' => RETURN 'y'; WHEN 'Z' => RETURN 'z'; WHEN OTHERS => RETURN char; END CASE; END toLowerCaseChar; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal FUNCTION equalIgnoreCase( str1 : STRING; str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str2'left TO str1'right LOOP IF NOT (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END equalIgnoreCase; ----------------------------------------------------------------------------- -- FUNCTION: if_then_else -- This function is used to implement an IF..THEN when such a statement is not -- allowed. ---------------------------------------------------------------------------- FUNCTION if_then_else ( condition : BOOLEAN; true_case : STRING; false_case : STRING) RETURN STRING IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : INTEGER; false_case : INTEGER) RETURN INTEGER IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; FUNCTION if_then_else ( condition : BOOLEAN; true_case : STD_LOGIC_VECTOR; false_case : STD_LOGIC_VECTOR) RETURN STD_LOGIC_VECTOR IS BEGIN IF NOT condition THEN RETURN false_case; ELSE RETURN true_case; END IF; END if_then_else; ---------------------------------------------------------------------------- -- FUNCTION : log2roundup ---------------------------------------------------------------------------- FUNCTION log2roundup ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := 1; CONSTANT lower_limit : INTEGER := 1; CONSTANT upper_limit : INTEGER := 8; BEGIN IF (data_value <= 1) THEN width := 0; ELSE WHILE (cnt < data_value) LOOP width := width + 1; cnt := cnt *2; END LOOP; END IF; RETURN width; END log2roundup; ----------------------------------------------------------------------------- -- FUNCTION : log2int ----------------------------------------------------------------------------- FUNCTION log2int ( data_value : INTEGER) RETURN INTEGER IS VARIABLE width : INTEGER := 0; VARIABLE cnt : INTEGER := data_value; BEGIN WHILE (cnt >1) LOOP width := width + 1; cnt := cnt/2; END LOOP; RETURN width; END log2int; ----------------------------------------------------------------------------- -- FUNCTION : divroundup -- Returns the ceiling value of the division -- Data_value - the quantity to be divided, dividend -- Divisor - the value to divide the data_value by ----------------------------------------------------------------------------- FUNCTION divroundup ( data_value : INTEGER; divisor : INTEGER) RETURN INTEGER IS VARIABLE div : INTEGER; BEGIN div := data_value/divisor; IF ( (data_value MOD divisor) /= 0) THEN div := div+1; END IF; RETURN div; END divroundup; SIGNAL s_axi_awaddr_out_c : STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_araddr_out_c : STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_wr_en_c : STD_LOGIC := '0'; SIGNAL s_axi_rd_en_c : STD_LOGIC := '0'; SIGNAL s_aresetn_a_c : STD_LOGIC := '0'; --************************************************************************** -- AXI PARAMETERS CONSTANT AXI_FULL_MEMORY_SLAVE : integer := if_then_else((C_AXI_SLAVE_TYPE = 0 AND C_AXI_TYPE = 1),1,0); CONSTANT C_AXI_ADDR_WIDTH_MSB : integer := C_ADDRA_WIDTH+log2roundup(C_WRITE_WIDTH_A/8); CONSTANT C_AXI_ADDR_WIDTH : integer := C_AXI_ADDR_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT LOWER_BOUND_VAL : integer := if_then_else((log2roundup(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2roundup(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT C_AXI_ADDR_WIDTH_LSB : integer := if_then_else((AXI_FULL_MEMORY_SLAVE = 1),0,LOWER_BOUND_VAL); CONSTANT C_AXI_OS_WR : integer := 2; --************************************************************************** BEGIN -- Architecture --************************************************************************* -- NO INPUT STAGE --************************************************************************* no_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=0) GENERATE rsta_in <= RSTA; ena_in <= ENA; regcea_in <= REGCEA; wea_in <= WEA; addra_in <= ADDRA; dina_in <= DINA; injectsbiterr_in <= INJECTSBITERR; injectdbiterr_in <= INJECTDBITERR; END GENERATE no_input_stage; --************************************************************************** -- WITH INPUT STAGE --************************************************************************** has_input_stage: IF (C_HAS_SOFTECC_INPUT_REGS_A=1) GENERATE PROCESS (CLKA) BEGIN IF (CLKA'EVENT AND CLKA = '1') THEN rsta_in <= RSTA AFTER FLOP_DELAY; ena_in <= ENA AFTER FLOP_DELAY; regcea_in <= REGCEA AFTER FLOP_DELAY; wea_in <= WEA AFTER FLOP_DELAY; addra_in <= ADDRA AFTER FLOP_DELAY; dina_in <= DINA AFTER FLOP_DELAY; injectsbiterr_in <= INJECTSBITERR AFTER FLOP_DELAY; injectdbiterr_in <= INJECTDBITERR AFTER FLOP_DELAY; END IF; END PROCESS; END GENERATE has_input_stage; --************************************************************************** -- NATIVE MEMORY MODULE INSTANCE --************************************************************************** native_mem_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 0) GENERATE mem_module: BLK_MEM_GEN_v8_2_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEXU"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY)))))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => C_EN_ECC_PIPE, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in, DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => ECCPIPECE, SLEEP => SLEEP, RDADDRECC => RDADDRECC ); END GENERATE native_mem_module; --************************************************************************** -- NATIVE MEMORY MAPPED MODULE INSTANCE --************************************************************************** native_mem_map_module: IF (C_INTERFACE_TYPE = 0 AND C_ENABLE_32BIT_ADDRESS = 1) GENERATE --************************************************************************** -- NATIVE MEMORY MAPPED PARAMETERS CONSTANT C_ADDRA_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_A); CONSTANT C_ADDRB_WIDTH_ACTUAL : integer := log2roundup(C_WRITE_DEPTH_B); CONSTANT C_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_A/8); CONSTANT C_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_ACTUAL+log2int(C_WRITE_WIDTH_B/8); CONSTANT C_MEM_MAP_ADDRA_WIDTH_MSB : integer := C_ADDRA_WIDTH_MSB; CONSTANT C_MEM_MAP_ADDRB_WIDTH_MSB : integer := C_ADDRB_WIDTH_MSB; -- Data Width Number of LSB address bits to be discarded -- 1 to 16 1 -- 17 to 32 2 -- 33 to 64 3 -- 65 to 128 4 -- 129 to 256 5 -- 257 to 512 6 -- 513 to 1024 7 -- The following two constants determine this. CONSTANT MEM_MAP_LOWER_BOUND_VAL_A : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_A,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_A,8))); CONSTANT MEM_MAP_LOWER_BOUND_VAL_B : integer := if_then_else((log2int(divroundup(C_WRITE_WIDTH_B,8))) = 0, 0, log2int(divroundup(C_WRITE_WIDTH_B,8))); CONSTANT C_MEM_MAP_ADDRA_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_A; CONSTANT C_MEM_MAP_ADDRB_WIDTH_LSB : integer := MEM_MAP_LOWER_BOUND_VAL_B; SIGNAL rdaddrecc_i : STD_LOGIC_VECTOR(C_ADDRB_WIDTH_ACTUAL-1 DOWNTO 0) := (OTHERS => '0'); --************************************************************************** BEGIN RDADDRECC(C_ADDRB_WIDTH-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_MSB) <= (OTHERS => '0'); RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB) <= rdaddrecc_i; RDADDRECC(C_MEM_MAP_ADDRB_WIDTH_LSB-1 DOWNTO 0) <= (OTHERS => '0'); mem_map_module: BLK_MEM_GEN_v8_2_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => C_USE_BYTE_WEA, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH_ACTUAL, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => C_HAS_ENB, C_HAS_REGCEB => C_HAS_REGCEB, C_USE_BYTE_WEB => C_USE_BYTE_WEB, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH_ACTUAL, C_HAS_MEM_OUTPUT_REGS_A => C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => C_HAS_MUX_OUTPUT_REGS_A, C_HAS_MUX_OUTPUT_REGS_B => C_HAS_MUX_OUTPUT_REGS_B, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => C_EN_ECC_PIPE, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( CLKA => CLKA, RSTA => rsta_in, ENA => ena_in, REGCEA => regcea_in, WEA => wea_in, ADDRA => addra_in(C_MEM_MAP_ADDRA_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRA_WIDTH_LSB), DINA => dina_in, DOUTA => DOUTA, CLKB => CLKB, RSTB => RSTB, ENB => ENB, REGCEB => REGCEB, WEB => WEB, ADDRB => ADDRB(C_MEM_MAP_ADDRB_WIDTH_MSB-1 DOWNTO C_MEM_MAP_ADDRB_WIDTH_LSB), DINB => DINB, DOUTB => DOUTB, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => ECCPIPECE, SLEEP => SLEEP, RDADDRECC => rdaddrecc_i ); END GENERATE native_mem_map_module; --**************************************************************************** -- AXI MEMORY MODULE INSTANCE --**************************************************************************** axi_mem_module: IF (C_INTERFACE_TYPE = 1) GENERATE SIGNAL s_axi_rid_c : STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rdata_c : STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rresp_c : STD_LOGIC_VECTOR(2-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL s_axi_rlast_c : STD_LOGIC := '0'; SIGNAL s_axi_rvalid_c : STD_LOGIC := '0'; SIGNAL s_axi_rready_c : STD_LOGIC := '0'; SIGNAL regceb_c : STD_LOGIC := '0'; BEGIN s_aresetn_a_c <= NOT S_ARESETN; S_AXI_BRESP <= (OTHERS => '0'); s_axi_rresp_c <= (OTHERS => '0'); no_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 0 AND C_HAS_MUX_OUTPUT_REGS_B = 0 ) GENERATE S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RLAST <= s_axi_rlast_c; S_AXI_RVALID <= s_axi_rvalid_c; S_AXI_RID <= s_axi_rid_c; S_AXI_RRESP <= s_axi_rresp_c; s_axi_rready_c <= S_AXI_RREADY; END GENERATE no_regs; has_regs_fwd: IF (C_HAS_MUX_OUTPUT_REGS_B = 1 OR C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE CONSTANT C_AXI_PAYLOAD : INTEGER := if_then_else((C_HAS_MUX_OUTPUT_REGS_B = 1),C_WRITE_WIDTH_B+C_AXI_ID_WIDTH+3,C_AXI_ID_WIDTH+3); SIGNAL s_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); SIGNAL m_axi_payload_c : STD_LOGIC_VECTOR(C_AXI_PAYLOAD-1 DOWNTO 0) := (OTHERS => '0'); BEGIN has_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE regceb_c <= s_axi_rvalid_c AND s_axi_rready_c; END GENERATE has_regceb; no_regceb: IF (C_HAS_MEM_OUTPUT_REGS_B = 0) GENERATE regceb_c <= REGCEB; END GENERATE no_regceb; only_core_op_regs: IF (C_HAS_MUX_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rdata_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RDATA <= m_axi_payload_c(C_AXI_PAYLOAD-C_AXI_ID_WIDTH-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH-C_WRITE_WIDTH_B); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_core_op_regs; only_emb_op_regs: IF (C_HAS_MEM_OUTPUT_REGS_B = 1) GENERATE s_axi_payload_c <= s_axi_rid_c & s_axi_rresp_c & s_axi_rlast_c; S_AXI_RDATA <= s_axi_rdata_c; S_AXI_RID <= m_axi_payload_c(C_AXI_PAYLOAD-1 DOWNTO C_AXI_PAYLOAD-C_AXI_ID_WIDTH); S_AXI_RRESP <= m_axi_payload_c(2 DOWNTO 1); S_AXI_RLAST <= m_axi_payload_c(0); END GENERATE only_emb_op_regs; axi_regs_inst : blk_mem_axi_regs_fwd_v8_2 GENERIC MAP( C_DATA_WIDTH => C_AXI_PAYLOAD ) PORT MAP ( ACLK => S_ACLK, ARESET => s_aresetn_a_c, S_VALID => s_axi_rvalid_c, S_READY => s_axi_rready_c, S_PAYLOAD_DATA => s_axi_payload_c, M_VALID => S_AXI_RVALID, M_READY => S_AXI_RREADY, M_PAYLOAD_DATA => m_axi_payload_c ); END GENERATE has_regs_fwd; axi_wr_fsm : blk_mem_axi_write_wrapper_beh GENERIC MAP( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_AXI_AWADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_WDATA_WIDTH => C_WRITE_WIDTH_A, C_AXI_OS_WR => C_AXI_OS_WR ) PORT MAP( -- AXI Global Signals S_ACLK => S_ACLK, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Slave Write Interface S_AXI_AWADDR => S_AXI_AWADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_AWLEN => S_AXI_AWLEN, S_AXI_AWID => S_AXI_AWID, S_AXI_AWSIZE => S_AXI_AWSIZE, S_AXI_AWBURST => S_AXI_AWBURST, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_BID => S_AXI_BID, -- Signals for BRAM interface S_AXI_AWADDR_OUT =>s_axi_awaddr_out_c, S_AXI_WR_EN =>s_axi_wr_en_c ); mem_module: BLK_MEM_GEN_v8_2_mem_module GENERIC MAP( C_CORENAME => C_CORENAME, C_FAMILY => if_then_else(equalIgnoreCase(C_FAMILY,"VIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QVIRTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"KINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QKINTEX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QARTIX7L"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AARTIX7"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"ZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"AZYNQ"),"virtex7",if_then_else(equalIgnoreCase(C_FAMILY,"QZYNQ"),"virtex7",C_FAMILY))))))))))))))), C_XDEVICEFAMILY => C_XDEVICEFAMILY, C_USE_BRAM_BLOCK => C_USE_BRAM_BLOCK, C_ENABLE_32BIT_ADDRESS => C_ENABLE_32BIT_ADDRESS, C_MEM_TYPE => C_MEM_TYPE, C_BYTE_SIZE => C_BYTE_SIZE, C_ALGORITHM => C_ALGORITHM, C_PRIM_TYPE => C_PRIM_TYPE, C_LOAD_INIT_FILE => C_LOAD_INIT_FILE, C_INIT_FILE_NAME => C_INIT_FILE_NAME, C_INIT_FILE => C_INIT_FILE, C_USE_DEFAULT_DATA => C_USE_DEFAULT_DATA, C_DEFAULT_DATA => C_DEFAULT_DATA, C_RST_TYPE => "SYNC", C_HAS_RSTA => C_HAS_RSTA, C_RST_PRIORITY_A => C_RST_PRIORITY_A, C_RSTRAM_A => C_RSTRAM_A, C_INITA_VAL => C_INITA_VAL, C_HAS_ENA => 1, -- For AXI, Read Enable is always C_HAS_ENA, C_HAS_REGCEA => C_HAS_REGCEA, C_USE_BYTE_WEA => 1, -- For AXI C_USE_BYTE_WEA is always 1, C_WEA_WIDTH => C_WEA_WIDTH, C_WRITE_MODE_A => C_WRITE_MODE_A, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_READ_WIDTH_A => C_READ_WIDTH_A, C_WRITE_DEPTH_A => C_WRITE_DEPTH_A, C_READ_DEPTH_A => C_READ_DEPTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_HAS_RSTB => C_HAS_RSTB, C_RST_PRIORITY_B => C_RST_PRIORITY_B, C_RSTRAM_B => C_RSTRAM_B, C_INITB_VAL => C_INITB_VAL, C_HAS_ENB => 1, -- For AXI, Read Enable is always C_HAS_ENB, C_HAS_REGCEB => C_HAS_MEM_OUTPUT_REGS_B, C_USE_BYTE_WEB => 1, -- For AXI C_USE_BYTE_WEB is always 1, C_WEB_WIDTH => C_WEB_WIDTH, C_WRITE_MODE_B => C_WRITE_MODE_B, C_WRITE_WIDTH_B => C_WRITE_WIDTH_B, C_READ_WIDTH_B => C_READ_WIDTH_B, C_WRITE_DEPTH_B => C_WRITE_DEPTH_B, C_READ_DEPTH_B => C_READ_DEPTH_B, C_ADDRB_WIDTH => C_ADDRB_WIDTH, C_HAS_MEM_OUTPUT_REGS_A => 0, --For AXI, Primitive Registers A is not supported C_HAS_MEM_OUTPUT_REGS_A, C_HAS_MEM_OUTPUT_REGS_B => C_HAS_MEM_OUTPUT_REGS_B, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_HAS_SOFTECC_INPUT_REGS_A => C_HAS_SOFTECC_INPUT_REGS_A, C_HAS_SOFTECC_OUTPUT_REGS_B => C_HAS_SOFTECC_OUTPUT_REGS_B, C_MUX_PIPELINE_STAGES => C_MUX_PIPELINE_STAGES, C_USE_SOFTECC => C_USE_SOFTECC, C_USE_ECC => C_USE_ECC, C_HAS_INJECTERR => C_HAS_INJECTERR, C_SIM_COLLISION_CHECK => C_SIM_COLLISION_CHECK, C_COMMON_CLK => C_COMMON_CLK, FLOP_DELAY => FLOP_DELAY, C_DISABLE_WARN_BHV_COLL => C_DISABLE_WARN_BHV_COLL, C_EN_ECC_PIPE => 0, C_DISABLE_WARN_BHV_RANGE => C_DISABLE_WARN_BHV_RANGE ) PORT MAP( --Port A: CLKA => S_AClk, RSTA => s_aresetn_a_c, ENA => s_axi_wr_en_c, REGCEA => regcea_in, WEA => S_AXI_WSTRB, ADDRA => s_axi_awaddr_out_c, DINA => S_AXI_WDATA, DOUTA => DOUTA, --Port B: CLKB => S_AClk, RSTB => s_aresetn_a_c, ENB => s_axi_rd_en_c, REGCEB => regceb_c, WEB => (OTHERS => '0'), ADDRB => s_axi_araddr_out_c, DINB => DINB, DOUTB => s_axi_rdata_c, INJECTSBITERR => injectsbiterr_in, INJECTDBITERR => injectdbiterr_in, SBITERR => SBITERR, DBITERR => DBITERR, ECCPIPECE => '0', SLEEP => '0', RDADDRECC => RDADDRECC ); axi_rd_sm : blk_mem_axi_read_wrapper_beh GENERIC MAP ( -- AXI Interface related parameters start here C_INTERFACE_TYPE => C_INTERFACE_TYPE, C_AXI_TYPE => C_AXI_TYPE, C_AXI_SLAVE_TYPE => C_AXI_SLAVE_TYPE, C_MEMORY_TYPE => C_MEM_TYPE, C_WRITE_WIDTH_A => C_WRITE_WIDTH_A, C_ADDRA_WIDTH => C_ADDRA_WIDTH, C_AXI_PIPELINE_STAGES => 1, C_AXI_ARADDR_WIDTH => if_then_else((AXI_FULL_MEMORY_SLAVE = 1),C_AXI_ADDR_WIDTH,C_AXI_ADDR_WIDTH-C_AXI_ADDR_WIDTH_LSB), C_HAS_AXI_ID => C_HAS_AXI_ID, C_AXI_ID_WIDTH => C_AXI_ID_WIDTH, C_ADDRB_WIDTH => C_ADDRB_WIDTH ) PORT MAP( -- AXI Global Signals S_ACLK => S_AClk, S_ARESETN => s_aresetn_a_c, -- AXI Full/Lite Read Side S_AXI_ARADDR => S_AXI_ARADDR(C_AXI_ADDR_WIDTH_MSB-1 DOWNTO C_AXI_ADDR_WIDTH_LSB), S_AXI_ARLEN => S_AXI_ARLEN, S_AXI_ARSIZE => S_AXI_ARSIZE, S_AXI_ARBURST => S_AXI_ARBURST, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RLAST => s_axi_rlast_c, S_AXI_RVALID => s_axi_rvalid_c, S_AXI_RREADY => s_axi_rready_c, S_AXI_ARID => S_AXI_ARID, S_AXI_RID => s_axi_rid_c, -- AXI Full/Lite Read FSM Outputs S_AXI_ARADDR_OUT => s_axi_araddr_out_c, S_AXI_RD_EN => s_axi_rd_en_c ); END GENERATE axi_mem_module; END behavioral; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_clr is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_clr; architecture beh_ff_clr_arch of beh_ff_clr is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(CLR, C) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then q_o <= D after 100 ps; end if; end process; end beh_ff_clr_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_ce is generic( INIT : std_logic := '0' ); port( Q : out std_logic; C : in std_logic; CE : in std_logic; CLR : in std_logic; D : in std_logic ); end beh_ff_ce; architecture beh_ff_ce_arch of beh_ff_ce is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, CLR) begin if (CLR = '1') then q_o <= '0'; elsif (rising_edge(C)) then if (CE = '1') then q_o <= D after 100 ps; end if; end if; end process; end beh_ff_ce_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_ff_pre is generic( INIT : std_logic := '1' ); port( Q : out std_logic; C : in std_logic; D : in std_logic; PRE : in std_logic ); end beh_ff_pre; architecture beh_ff_pre_arch of beh_ff_pre is signal q_o : std_logic := INIT; begin Q <= q_o; VITALBehavior : process(C, PRE) begin if (PRE = '1') then q_o <= '1'; elsif (C' event and C = '1') then q_o <= D after 100 ps; end if; end process; end beh_ff_pre_arch; library IEEE; use IEEE.STD_LOGIC_1164.all; entity beh_muxf7 is port( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic ); end beh_muxf7; architecture beh_muxf7_arch of beh_muxf7 is begin VITALBehavior : process (I0, I1, S) begin if (S = '0') then O <= I0; else O <= I1; end if; end process; end beh_muxf7_arch; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity STATE_LOGIC is generic( INIT : std_logic_vector(63 downto 0) := X"0000000000000000" ); port( O : out std_logic := '0'; I0 : in std_logic := '0'; I1 : in std_logic := '0'; I2 : in std_logic := '0'; I3 : in std_logic := '0'; I4 : in std_logic := '0'; I5 : in std_logic := '0' ); end STATE_LOGIC; architecture STATE_LOGIC_arch of STATE_LOGIC is constant INIT_reg : std_logic_vector(63 downto 0) := INIT; begin LUT_beh:process (I0, I1, I2, I3, I4, I5) variable I_reg : std_logic_vector(5 downto 0); begin I_reg := I5 & I4 & I3 & I2 & I1 & I0; O <= INIT_reg(conv_integer(I_reg)); end process; end STATE_LOGIC_arch;
mit
stanford-ppl/spatial-lang
spatial/core/resources/chiselgen/template-level/fringeArria10/build/ip/ghrd_10as066n2/ghrd_10as066n2_clk_0/ghrd_10as066n2_clk_0_inst.vhd
1
329
component ghrd_10as066n2_clk_0 is port ( in_clk : in std_logic := 'X'; -- clk out_clk : out std_logic -- clk ); end component ghrd_10as066n2_clk_0; u0 : component ghrd_10as066n2_clk_0 port map ( in_clk => CONNECTED_TO_in_clk, -- in_clk.clk out_clk => CONNECTED_TO_out_clk -- out_clk.clk );
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/DIG_IN.vhd
1
879
-- DIG_IN.VHD (a peripheral module for SCOMP) -- This module reads digital inputs directly, without debouncing LIBRARY IEEE; LIBRARY LPM; USE IEEE.STD_LOGIC_1164.ALL; USE LPM.LPM_COMPONENTS.ALL; ENTITY DIG_IN IS PORT( CS : IN STD_LOGIC; DI : IN STD_LOGIC_VECTOR(15 DOWNTO 0); IO_DATA : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END DIG_IN; ARCHITECTURE a OF DIG_IN IS SIGNAL B_DI : STD_LOGIC_VECTOR(15 DOWNTO 0); BEGIN -- Use LPM function to create bidirectional I/O data bus IO_BUS: lpm_bustri GENERIC MAP ( lpm_width => 16 ) PORT MAP ( data => B_DI, enabledt => CS, tridata => IO_DATA ); PROCESS BEGIN WAIT UNTIL RISING_EDGE(CS); B_DI <= DI; -- sample the input on the rising edge of CS END PROCESS; END a;
mit
DE5Amigos/SylvesterTheDE2Bot
DE2Botv3Fall16Main/SCOMP.vhd
1
20769
LIBRARY IEEE; LIBRARY ALTERA_MF; LIBRARY LPM; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE ALTERA_MF.ALTERA_MF_COMPONENTS.ALL; USE LPM.LPM_COMPONENTS.ALL; use ieee.numeric_std.all; ENTITY SCOMP IS PORT( CLOCK : IN STD_LOGIC; RESETN : IN STD_LOGIC; PCINT : IN STD_LOGIC_VECTOR( 3 DOWNTO 0); IO_WRITE : OUT STD_LOGIC; IO_CYCLE : OUT STD_LOGIC; IO_ADDR : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0); IO_DATA : INOUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END SCOMP; ARCHITECTURE a OF SCOMP IS TYPE STATE_TYPE IS ( RESET_PC, FETCH, DECODE, EX_LOAD, EX_STORE, EX_STORE2, EX_ADD, EX_SUB, EX_JUMP, EX_JNEG, EX_JPOS, EX_JZERO, EX_AND, EX_OR, EX_XOR, EX_SHIFT, EX_ADDI, EX_ILOAD, EX_ISTORE, EX_CALL, EX_RETURN, EX_IN, EX_OUT, EX_OUT2, EX_LOADI, EX_RETI, EX_MOVR, EX_ADDR, EX_SUBR, EX_ANDR, EX_ORR, EX_CMP, EX_STORER, EX_STORER2, EX_LOADR, EX_LOADR2 ); TYPE STACK_TYPE IS ARRAY (0 TO 9) OF STD_LOGIC_VECTOR(10 DOWNTO 0); type HSREGISTER_FILE is array (0 to 31) of std_logic_vector(15 downto 0); SIGNAL regFile : HSREGISTER_FILE; SIGNAL STATE : STATE_TYPE; SIGNAL PC_STACK : STACK_TYPE; SIGNAL IO_IN : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL AC : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL AC_SAVED : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL AC_SHIFTED : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL IR : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL MDR : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL PC : STD_LOGIC_VECTOR(10 DOWNTO 0); SIGNAL PC_SAVED : STD_LOGIC_VECTOR(10 DOWNTO 0); SIGNAL MEM_ADDR : STD_LOGIC_VECTOR(10 DOWNTO 0); SIGNAL MW : STD_LOGIC; SIGNAL IO_WRITE_INT : STD_LOGIC; SIGNAL GIE : STD_LOGIC; SIGNAL IIE : STD_LOGIC_VECTOR( 3 DOWNTO 0); SIGNAL INT_REQ : STD_LOGIC_VECTOR( 3 DOWNTO 0); SIGNAL INT_REQ_SYNC : STD_LOGIC_VECTOR( 3 DOWNTO 0); -- registered version of INT_REQ SIGNAL INT_ACK : STD_LOGIC_VECTOR( 3 DOWNTO 0); SIGNAL IN_HOLD : STD_LOGIC; BEGIN -- Use altsyncram component for unified program and data memory MEMORY : altsyncram GENERIC MAP ( intended_device_family => "Cyclone", width_a => 16, widthad_a => 11, numwords_a => 2048, operation_mode => "SINGLE_PORT", outdata_reg_a => "UNREGISTERED", indata_aclr_a => "NONE", wrcontrol_aclr_a => "NONE", address_aclr_a => "NONE", outdata_aclr_a => "NONE", init_file => "misctest.mif", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram" ) PORT MAP ( wren_a => MW, clock0 => NOT(CLOCK), address_a => MEM_ADDR, data_a => AC, q_a => MDR ); -- Use LPM function to shift AC using the SHIFT instruction SHIFTER: LPM_CLSHIFT GENERIC MAP ( lpm_width => 16, lpm_widthdist => 4, lpm_shifttype => "ARITHMETIC" ) PORT MAP ( data => AC, distance => IR(3 DOWNTO 0), direction => IR(4), result => AC_SHIFTED ); -- Use LPM function to drive I/O bus IO_BUS: LPM_BUSTRI GENERIC MAP ( lpm_width => 16 ) PORT MAP ( data => AC, enabledt => IO_WRITE_INT, tridata => IO_DATA ); IO_ADDR <= IR(7 DOWNTO 0); WITH STATE SELECT MEM_ADDR <= PC WHEN FETCH, IR(10 DOWNTO 0) WHEN OTHERS; WITH STATE SELECT IO_CYCLE <= '1' WHEN EX_IN, '1' WHEN EX_OUT2, '0' WHEN OTHERS; IO_WRITE <= IO_WRITE_INT; PROCESS (CLOCK, RESETN) variable regFileDest : integer range 0 to 31; variable regFileSource : integer range 0 to 31; BEGIN IF (RESETN = '0') THEN -- Active low, asynchronous reset STATE <= RESET_PC; ELSIF (RISING_EDGE(CLOCK)) THEN CASE STATE IS WHEN RESET_PC => MW <= '0'; -- Clear memory write flag PC <= "00000000000"; -- Reset PC to the beginning of memory, address 0x000 AC <= x"0000"; -- Clear AC register IO_WRITE_INT <= '0'; GIE <= '1'; -- Enable interrupts IIE <= "0000"; -- Mask all interrupts STATE <= FETCH; IN_HOLD <= '0'; INT_REQ_SYNC <= "0000"; WHEN FETCH => MW <= '0'; -- Clear memory write flag IR <= MDR; -- Latch instruction into the IR IO_WRITE_INT <= '0'; -- Lower IO_WRITE after an OUT -- Interrupt Control IF (GIE = '1') AND -- If Global Interrupt Enable set and... (INT_REQ_SYNC /= "0000") THEN -- ...an interrupt is pending IF INT_REQ_SYNC(0) = '1' THEN -- Got interrupt on PCINT0 INT_ACK <= "0001"; -- Acknowledge the interrupt PC <= "00000000001"; -- Redirect execution ELSIF INT_REQ_SYNC(1) = '1' THEN INT_ACK <= "0010"; -- repeat for other pins PC <= "00000000010"; ELSIF INT_REQ_SYNC(2) = '1' THEN INT_ACK <= "0100"; PC <= "00000000011"; ELSIF INT_REQ_SYNC(3) = '1' THEN INT_ACK <= "1000"; PC <= "00000000100"; END IF; GIE <= '0'; -- Disable interrupts while in ISR AC_SAVED <= AC; -- Save AC PC_SAVED <= PC; -- Save PC STATE <= FETCH; -- Repeat FETCH with new PC ELSE -- either no interrupt or interrupts disabled PC <= PC + 1; -- Increment PC to next instruction address STATE <= DECODE; INT_ACK <= "0000"; -- Clear any interrupt acknowledge END IF; WHEN DECODE => -- Write to the register variables. regFileDest := ieee.numeric_std.to_integer(ieee.numeric_std.unsigned(IR(9 downto 5))); regFileSource := ieee.numeric_std.to_integer(ieee.numeric_std.unsigned(IR(4 downto 0))); CASE IR(15 downto 11) IS WHEN "00000" => STATE <= FETCH; WHEN "00001" => STATE <= EX_LOAD; WHEN "00010" => STATE <= EX_STORE; WHEN "00011" => STATE <= EX_ADD; WHEN "00100" => STATE <= EX_SUB; WHEN "00101" => STATE <= EX_JUMP; WHEN "00110" => STATE <= EX_JNEG; WHEN "00111" => STATE <= EX_JPOS; WHEN "01000" => STATE <= EX_JZERO; WHEN "01001" => STATE <= EX_AND; WHEN "01010" => STATE <= EX_OR; WHEN "01011" => STATE <= EX_XOR; WHEN "01100" => STATE <= EX_SHIFT; WHEN "01101" => STATE <= EX_ADDI; WHEN "01110" => STATE <= EX_ILOAD; WHEN "01111" => STATE <= EX_ISTORE; WHEN "10000" => STATE <= EX_CALL; WHEN "10001" => STATE <= EX_RETURN; WHEN "10010" => STATE <= EX_IN; WHEN "10011" => -- OUT STATE <= EX_OUT; IO_WRITE_INT <= '1'; -- raise IO_WRITE WHEN "10100" => -- CLI IIE <= IIE AND NOT(IR(3 DOWNTO 0)); -- disable indicated interrupts STATE <= FETCH; WHEN "10101" => -- SEI IIE <= IIE OR IR(3 DOWNTO 0); -- enable indicated interrupts STATE <= FETCH; WHEN "10110" => STATE <= EX_RETI; WHEN "10111" => STATE <= EX_LOADI; -- -- Register-to-Register Operations -- -- Harrison WHEN "11000" => STATE <= EX_MOVR; WHEN "11001" => STATE <= EX_ADDR; WHEN "11010" => STATE <= EX_SUBR; WHEN "11011" => STATE <= EX_ANDR; WHEN "11100" => STATE <= EX_ORR; WHEN "11101" => STATE <= EX_CMP; WHEN "11110" => STATE <= EX_STORER; WHEN "11111" => STATE <= EX_LOADR; WHEN OTHERS => STATE <= FETCH; -- Invalid opcodes default to NOP END CASE; -- -- Fetch States -- -- WHEN EX_LOAD => AC <= MDR; -- Latch data from MDR (memory contents) to AC STATE <= FETCH; WHEN EX_STORE => MW <= '1'; -- Raise MW to write AC to MEM STATE <= EX_STORE2; WHEN EX_STORE2 => MW <= '0'; -- Drop MW to end write cycle STATE <= FETCH; WHEN EX_ADD => AC <= AC + MDR; STATE <= FETCH; WHEN EX_SUB => AC <= AC - MDR; STATE <= FETCH; WHEN EX_JUMP => PC <= IR(10 DOWNTO 0); STATE <= FETCH; WHEN EX_JNEG => IF (AC(15) = '1') THEN PC <= IR(10 DOWNTO 0); END IF; STATE <= FETCH; WHEN EX_JPOS => IF ((AC(15) = '0') AND (AC /= x"0000")) THEN PC <= IR(10 DOWNTO 0); END IF; STATE <= FETCH; WHEN EX_JZERO => IF (AC = x"0000") THEN PC <= IR(10 DOWNTO 0); END IF; STATE <= FETCH; WHEN EX_AND => AC <= AC AND MDR; STATE <= FETCH; WHEN EX_OR => AC <= AC OR MDR; STATE <= FETCH; WHEN EX_XOR => AC <= AC XOR MDR; STATE <= FETCH; WHEN EX_SHIFT => AC <= AC_SHIFTED; STATE <= FETCH; WHEN EX_ADDI => AC <= AC + (IR(10) & IR(10) & IR(10) & IR(10) & IR(10) & IR(10 DOWNTO 0)); STATE <= FETCH; WHEN EX_ILOAD => IR(10 DOWNTO 0) <= MDR(10 DOWNTO 0); STATE <= EX_LOAD; WHEN EX_ISTORE => IR(10 DOWNTO 0) <= MDR(10 DOWNTO 0); STATE <= EX_STORE; WHEN EX_CALL => FOR i IN 0 TO 8 LOOP PC_STACK(i + 1) <= PC_STACK(i); END LOOP; PC_STACK(0) <= PC; PC <= IR(10 DOWNTO 0); STATE <= FETCH; WHEN EX_RETURN => FOR i IN 0 TO 8 LOOP PC_STACK(i) <= PC_STACK(i + 1); END LOOP; PC <= PC_STACK(0); STATE <= FETCH; WHEN EX_IN => IF IN_HOLD = '0' THEN AC <= IO_DATA; IN_HOLD <= '1'; ELSE STATE <= FETCH; IN_HOLD <= '0'; END IF; WHEN EX_OUT => STATE <= EX_OUT2; WHEN EX_OUT2 => STATE <= FETCH; WHEN EX_LOADI => AC <= (IR(10) & IR(10) & IR(10) & IR(10) & IR(10) & IR(10 DOWNTO 0)); STATE <= FETCH; WHEN EX_RETI => GIE <= '1'; -- re-enable interrupts PC <= PC_SAVED; -- restore saved registers AC <= AC_SAVED; STATE <= FETCH; -- -- Register-to-Register Operations -- WHEN EX_MOVR => if( regFileDest = 0 ) then -- If regFileSource is 0, then we dont want to overwrite AC with register_file(0) -- We just skip. if( regFileSource /= 0 ) then AC <= regFile(regFileSource); end if; else if( regFileSource = 0) then regFile(regFileDest) <= AC; else regFile(regFileDest) <= regFile(regFileSource); end if; end if; STATE <= FETCH; WHEN EX_ADDR => if( regFileDest = 0 ) then if(regFileSource = 0) then -- Effectively doing AC*2. AC <= AC + AC; else AC <= AC + regFile(regFileSource); end if; else if(regFileSource = 0) then AC <= regFile(regFileDest) + AC; else AC <= regFile(regFileDest) + regFile(regFileSource); end if; end if; STATE <= FETCH; -- -- SUBR -- -- Subtract two registers together. -- -- Format: subr <regA>, <regB> -- -- AC = <regA> - <regB> -- -- NOTE: The following pseudo-instructions could be implemented in the assembler: -- -- 1) subr <regA>, <regB>, <regC> becomes -- -- subr <regB>, <regC> -- movr <regA>, AC -- -- -- WHEN EX_SUBR => if( regFileDest = 0 ) then if(regFileSource = 0) then -- Anything minus itself is just zero. AC <= x"0000"; else AC <= AC - regFile(regFileSource); end if; else if(regFileSource = 0) then AC <= regFile(regFileDest) - AC; else AC <= regFile(regFileDest) - regFile(regFileSource); end if; end if; STATE <= FETCH; -- -- ANDR -- -- Logical AND two registers together. -- -- Format: ANDR <regA>, <regB> -- -- AC = <regA> & <regB> -- -- NOTE: The following pseudo-instructions could be implemented in the assembler: -- -- 1) andr <regA>, <regB>, <regC> becomes -- -- andr <regB>, <regC> -- movr <regA>, AC -- -- -- WHEN EX_ANDR => if( regFileDest = 0 ) then if(regFileSource /= 0) then AC <= AC and regFile(regFileSource); end if; -- AC <= AC and AC is just AC... IE do nothing. else if(regFileSource /= 0) then AC <= regFile(regFileDest) and regFile(regFileSource); else AC <= regFile(regFileDest) and AC; end if; end if; STATE <= FETCH; -- -- ORR -- -- Logical OR two registers together. -- -- Format: ORR <regA>, <regB> -- -- AC = <regA> | <regB> -- -- NOTE: The following pseudo-instructions could be implemented in the assembler: -- -- 1) orr <regA>, <regB>, <regC> becomes -- -- orr <regB>, <regC> -- movr <regA>, AC -- -- -- WHEN EX_ORR => if( regFileDest = 0 ) then if( regFileSource /= 0) then AC <= AC or regFile(regFileSource); end if; -- AC <= AC or AC is just AC. else if( regFileSource = 0) then AC <= regFile(regFileDest) or AC; else AC <= regFile(regFileDest) or regFile(regFileSource); end if; end if; STATE <= FETCH; -- -- CMP -- -- Compare two registers together. -- -- Format: CMP <regA>, <regB> -- -- AC = -1 when <regA> is less than <regB> -- AC = 0 when <regA> equals <regB> -- AC = 1 when <regA> is greater than <regB> -- -- Then the programmer uses jneg, jzero, jpos to jump accordingly. -- -- NOTE: The following pseudo-instructions could be implemented in the assembler: -- -- 1) gt <regA>, <regB>, <labelToJumpToIfTrue> becomes -- -- cmp <regA>, <regB> -- jpos <labelToJumpToIfTrue> -- -- 2) lt <regA>, <regB>, <labelToJumpToIfTrue> becomes -- -- cmp <regA>, <regB> -- jneg <labelToJumpToIfTrue> -- -- 3) eq <regA>, <regB>, <labelToJumpToIfTrue> becomes -- -- cmp <regA>, <regB> -- jzero <labelToJumpToIfTrue> -- -- 4) lte <regA>, <regB>, <labelToJumpToIfTrue> becomes -- -- cmp <regA>, <regB> -- jneg <labelToJumpToIfTrue> -- jzero <labelToJumpToIfTrue> -- -- 5) gte <regA>, <regB>, <labelToJumpToIfTrue> becomes -- -- cmp <regA>, <regB> -- jzero <labelToJumpToIfTrue> -- jpos <labelToJumpToIfTrue> -- -- -- -- WHEN EX_CMP => if( regFileDest = 0 ) then -- If we are comparing the AC to the AC then of course it is equal. if(regFileSource = 0) then AC <= x"0000"; else -- If the AC and the source register are equal then we set the AC = 0. if(AC = regFile(regFileSource)) then AC <= "0000000000000000"; -- If the AC is less than the register source, then we set AC = -1. elsif(ieee.numeric_std.signed(AC) < ieee.numeric_std.signed(regFile(regFileSource))) then AC <= "1111111111111111"; -- If the AC is greater than the register source, then we set AC = 1. else AC <= "0000000000000001"; end if; end if; else if(regFileSource = 0) then if(regFile(regFileDest) = AC) then AC <= "0000000000000000"; elsif(ieee.numeric_std.signed(regFile(regFileDest)) < ieee.numeric_std.signed(AC)) then AC <= "1111111111111111"; else AC <= "0000000000000001"; end if; else if(regFile(regFileDest) = regFile(regFileSource)) then AC <= "0000000000000000"; elsif(ieee.numeric_std.signed(regFile(regFileDest)) < ieee.numeric_std.signed(regFile(regFileSource))) then AC <= "1111111111111111"; else AC <= "0000000000000001"; end if; end if; end if; STATE <= FETCH; -- -- STORER -- -- Store data to an address in the supplied register. -- Oh yeah, you MUST BE CAREFUL when using this... -- -- On the other hand, you can now write self modifying -- code, just in case you want to have some fun... -- -- -- Format: STORER <register> -- -- Memory[register] := AC -- -- -- WHEN EX_STORER => -- Modify the instruction register to our new -- address which is stored in the register that is -- provided as part of the instruction. if(regFileDest = 0) then IR(10 downto 0) <= AC(10 downto 0); else IR(10 downto 0) <= regFile(regFileDest)(10 downto 0); end if; -- Now we write the MW signal high. -- On the next clock, MEM_ADDR will be loaded with our -- new "modified" IR values :) MW <= '1'; STATE <= EX_STORER2; WHEN EX_STORER2 => MW <= '0'; STATE <= FETCH; -- -- LOADR -- -- Load data stored in memory at the address specified by in -- in the register to the AC. -- -- NOTE: Be careful!! There is no telling what will happen -- if you specify an address that is out of bounds!! -- -- Have fun! -- -- Format: LOADR <register> -- -- AC := Memory[register] -- -- WHEN EX_LOADR => -- Modify the IR(10 downto 0) value to the value stored in -- the register. if(regFileDest = 0) then IR(10 downto 0) <= AC(10 downto 0); else IR(10 downto 0) <= regFile(regFileDest)(10 downto 0); end if; STATE <= EX_LOADR2; WHEN EX_LOADR2 => -- At this point, the memory has been read, and the value -- returned to the MDR. We can now grab that data like normal. AC <= MDR; STATE <= FETCH; -- Just for reference. --WHEN EX_STORE => -- MW <= '1'; -- Raise MW to write AC to MEM -- STATE <= EX_STORE2; -- WHEN EX_STORE2 => -- MW <= '0'; -- Drop MW to end write cycle -- STATE <= FETCH; -- Dont need this... WHEN EX_GT => STATE <= FETCH; WHEN OTHERS => STATE <= FETCH; -- If an invalid state is reached, return to FETCH END CASE; INT_REQ_SYNC <= INT_REQ; -- register interrupt requests to SCOMP's clock. END IF; END PROCESS; -- This process monitors the external interrupt pins, setting -- some flags if a rising edge is detected, and clearing flags -- once the interrupt is acknowledged. PROCESS(RESETN, PCINT, INT_ACK, IIE) BEGIN IF (RESETN = '0') THEN INT_REQ <= "0000"; -- clear all interrupts on reset ELSE FOR i IN 0 TO 3 LOOP -- for each of the 4 interrupt pins IF (INT_ACK(i) = '1') OR (IIE(i) = '0') THEN INT_REQ(i) <= '0'; -- if acknowledged or masked, clear interrupt ELSIF RISING_EDGE(PCINT(i)) THEN INT_REQ(i) <= '1'; -- if rising edge on PCINT, request interrupt END IF; END LOOP; END IF; END PROCESS; END a;
mit
AlexMitakos/DES-in-VHDL
testbenches/test_xor_32_bits.vhdl
1
544
library ieee; use ieee.std_logic_1164.all; use work.all; entity test_xor_32_bits is end test_xor_32_bits; architecture behavior of test_xor_32_bits is signal data_in: std_logic_vector(0 to 31); signal key: std_logic_vector(0 to 31); signal data_out: std_logic_vector(0 to 31); begin uut: entity xor_32_bits port map (data_in,key,data_out); testprocess: process is begin data_in<="01100000000000000000000000000001"; key<="10100000000000000000000000000000"; wait for 10 ns; end process testprocess; end architecture behavior;
mit
equation314/PVZ
rom/Objects.vhd
1
6048
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: Objects.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY Objects IS PORT ( address : IN STD_LOGIC_VECTOR (15 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ); END Objects; ARCHITECTURE SYN OF objects IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (11 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( address_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0); clock0 : IN STD_LOGIC ; q_a : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(11 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "objects.mif", intended_device_family => "Cyclone II", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 49152, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 16, width_a => 12, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "objects.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "57344" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "16" -- Retrieval info: PRIVATE: WidthData NUMERIC "12" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "objects.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "57344" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "16" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "12" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 16 0 INPUT NODEFVAL "address[15..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 12 0 OUTPUT NODEFVAL "q[11..0]" -- Retrieval info: CONNECT: @address_a 0 0 16 0 address 0 0 16 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 12 0 @q_a 0 0 12 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL Objects.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL Objects.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL Objects.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL Objects.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL Objects_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
mit
equation314/PVZ
lib/encoder.vhd
1
1217
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity Encoder is port( in_digit: in std_logic_vector(3 downto 0); out_digit: out std_logic_vector(6 downto 0) ); end Encoder; architecture bhv of Encoder is begin process(in_digit) begin case in_digit is when "0000" => out_digit <= "1111110"; -- 0 when "0001" => out_digit <= "0110000"; -- 1 when "0010" => out_digit <= "1101101"; -- 2 when "0011" => out_digit <= "1111001"; -- 3 when "0100" => out_digit <= "0110011"; -- 4 when "0101" => out_digit <= "1011011"; -- 5 when "0110" => out_digit <= "0011111"; -- 6 when "0111" => out_digit <= "1110000"; -- 7 when "1000" => out_digit <= "1111111"; -- 8 when "1001" => out_digit <= "1110011"; -- 9 when "1010" => out_digit <= "1110111"; -- a when "1011" => out_digit <= "0011111"; -- b when "1100" => out_digit <= "1001110"; -- c when "1101" => out_digit <= "0111101"; -- d when "1110" => out_digit <= "1001111"; -- e when "1111" => out_digit <= "1000111"; -- f when others => out_digit <= "0000000"; end case; end process; end bhv;
mit
AlexMitakos/DES-in-VHDL
vhdl/s8_box.vhdl
1
1182
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity s8_box is port( data_in: in std_logic_vector(0 to 5); data_out: out std_logic_vector(0 to 3)); end s8_box; architecture behavior of s8_box is type s8box is array(0 to 3, 0 to 15) of integer range 0 to 15; constant box: s8box:= ((13,2,8,4,6,15,11,1,10,9,3,14,5,0,12,7), (1,15,13,8,10,3,7,4,12,5,6,11,0,14,9,2), (7,11,4,1,9,12,14,2,0,6,10,13,15,3,5,8), (2,1,14,7,4,10,8,13,15,12,9,0,3,5,6,11)); begin process(data_in) is variable column: integer range 0 to 15; variable row: integer range 0 to 3; variable tmp: std_logic_vector(0 to 1); --this variable holds the first and last bit of the input that represents the row. It is usedto cast two separate bits into one vector of size two. variable data_out_decimal: integer range 0 to 15; --this variable contains the output data in decimal representation begin column:=to_integer(unsigned(data_in(1 to 4))); tmp:=data_in(0)&data_in(5); row:=to_integer(unsigned(tmp)); data_out_decimal:=box(row,column); data_out<=std_logic_vector(to_unsigned(data_out_decimal,data_out'length)); end process; end behavior;
mit
equation314/PVZ
rom/PeaSun.vhd
1
6045
-- megafunction wizard: %ROM: 1-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: PeaSun.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2013 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY PeaSun IS PORT ( address : IN STD_LOGIC_VECTOR (12 DOWNTO 0); clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ); END PeaSun; ARCHITECTURE SYN OF peasun IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (11 DOWNTO 0); COMPONENT altsyncram GENERIC ( clock_enable_input_a : STRING; clock_enable_output_a : STRING; init_file : STRING; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; numwords_a : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_reg_a : STRING; widthad_a : NATURAL; width_a : NATURAL; width_byteena_a : NATURAL ); PORT ( address_a : IN STD_LOGIC_VECTOR (12 DOWNTO 0); clock0 : IN STD_LOGIC ; q_a : OUT STD_LOGIC_VECTOR (11 DOWNTO 0) ); END COMPONENT; BEGIN q <= sub_wire0(11 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( clock_enable_input_a => "BYPASS", clock_enable_output_a => "BYPASS", init_file => "peasun.mif", intended_device_family => "Cyclone II", lpm_hint => "ENABLE_RUNTIME_MOD=NO", lpm_type => "altsyncram", numwords_a => 4608, operation_mode => "ROM", outdata_aclr_a => "NONE", outdata_reg_a => "CLOCK0", widthad_a => 13, width_a => 12, width_byteena_a => 1 ) PORT MAP ( address_a => address, clock0 => clock, q_a => sub_wire0 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: AclrAddr NUMERIC "0" -- Retrieval info: PRIVATE: AclrByte NUMERIC "0" -- Retrieval info: PRIVATE: AclrOutput NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: Clken NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "peasun.mif" -- Retrieval info: PRIVATE: NUMWORDS_A NUMERIC "4352" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: RegAddr NUMERIC "1" -- Retrieval info: PRIVATE: RegOutput NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: SingleClock NUMERIC "1" -- Retrieval info: PRIVATE: UseDQRAM NUMERIC "0" -- Retrieval info: PRIVATE: WidthAddr NUMERIC "13" -- Retrieval info: PRIVATE: WidthData NUMERIC "12" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: INIT_FILE STRING "peasun.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "4352" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "ROM" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "13" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "12" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: USED_PORT: address 0 0 13 0 INPUT NODEFVAL "address[12..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: q 0 0 12 0 OUTPUT NODEFVAL "q[11..0]" -- Retrieval info: CONNECT: @address_a 0 0 13 0 address 0 0 13 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: q 0 0 12 0 @q_a 0 0 12 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL PeaSun.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL PeaSun.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL PeaSun.cmp FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL PeaSun.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL PeaSun_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
mit
Given-Jiang/Binarization
Binarization_dspbuilder/hdl/alt_dspbuilder_constant_GNZEH3JAKA.vhd
20
592
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_constant_GNZEH3JAKA is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "000000000000000000001111"; width : natural := 24); port( output : out std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_constant_GNZEH3JAKA is Begin -- Constant output <= "000000000000000000001111"; end architecture;
mit
MrDoomBringer/DSD-Labs
Lab 10/TLC.vhd
1
6250
-- FPGA Traffic Light Controller for Altera DE-2 board -- Cliff Chapman -- 11/04/2013 -- -- Lab 9 - Digital Systems Design LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE ieee.std_logic_signed.ALL; ENTITY tlc IS PORT( -- 50 mhz clock signal clk : IN STD_LOGIC; -- Reset signal rst : IN STD_LOGIC; -- Car occupancy sensor for side road s_car : IN STD_LOGIC; -- Main road lights, RED YELLOW GREEN m to l m_light : OUT STD_LOGIC_VECTOR (2 DOWNTO 0) := "100"; -- Side road lights, RED YELLOW GREEN m to l s_light : OUT STD_LOGIC_VECTOR (2 DOWNTO 0) := "001"; -- Delay time display d_hex0 : OUT STD_LOGIC_VECTOR (6 DOWNTO 0); d_hex1 : OUT STD_LOGIC_VECTOR (6 DOWNTO 0) ); END tlc; ARCHITECTURE rtl OF tlc IS COMPONENT sevenseg_bcd_display PORT ( r : IN STD_LOGIC_VECTOR (7 DOWNTO 0); s : IN STD_LOGIC := '1'; -- Select tied to '1' by default to show numeric values HEX0, HEX1, HEX2 : OUT STD_LOGIC_VECTOR (6 DOWNTO 0) ); END COMPONENT; -- State for main light loops TYPE state_type IS (m_row_s, m_row_lock_s, s_row_s , main2side_s, side2main_s ); SIGNAL state: state_type; -- State for light transition TYPE light_state_type IS (main_g_side_r_s, main_r_side_g_s , main_r_side_ry_s, main_ry_side_r_s , main_y_side_r_s, main_r_side_y_s ); SIGNAL state_light: light_state_type; -- Timer display output SIGNAL d_timer : STD_LOGIC_VECTOR (7 DOWNTO 0); -- Target for timeout SIGNAL timeout_limit : STD_LOGIC_VECTOR (7 DOWNTO 0); -- Count for the clock divider SIGNAL cnt: STD_LOGIC_VECTOR (26 DOWNTO 0) := "000000000000000000000000000"; -- 1 second clock SIGNAL clock_1 : STD_LOGIC; -- Timeout state for count timers SIGNAL timeout : STD_LOGIC; BEGIN disp : sevenseg_bcd_display PORT MAP ( r => d_timer, s => '1', HEX2 => OPEN, HEX1 => d_hex1, HEX0 => d_hex0 ); clock_div: PROCESS (clk, cnt) BEGIN IF (rising_edge(clk)) THEN IF (cnt >= "001111110000011110101111101") THEN cnt <= "000000000000000000000000000"; clock_1 <= NOT clock_1; ELSE cnt <= cnt + '1'; END IF; END IF; END PROCESS clock_div; state_monitor : PROCESS (state, clk, rst) BEGIN IF (rst = '0') THEN state <= m_row_s; ELSIF (rising_edge(clk) AND rst = '1') THEN CASE state IS WHEN m_row_s => IF (s_car = '1') THEN state <= main2side_s; ELSE state <= m_row_s; END IF; WHEN main2side_s => IF (state_light = main_r_side_g_s) THEN state <= s_row_s; ELSE state <= main2side_s; END IF; WHEN s_row_s => IF (timeout = '1') THEN state <= side2main_s; ELSE state <= s_row_s; END IF; WHEN side2main_s => IF (state_light = main_g_side_r_s) THEN state <= m_row_lock_s; ELSE state <= side2main_s; END IF; WHEN m_row_lock_s => IF (timeout = '1') THEN state <= m_row_s; ELSE state <= m_row_lock_s; END IF; WHEN OTHERS => state <= m_row_s; END CASE; END IF; END PROCESS state_monitor; light_state_monitor : PROCESS (state, state_light, timeout, rst, clk) BEGIN IF (rst = '0') THEN state_light <= main_g_side_r_s; timeout_limit <= "00000000"; ELSIF (rising_edge(clk) AND rst = '1') THEN IF (state = main2side_s) THEN CASE state_light IS WHEN main_g_side_r_s => state_light <= main_y_side_r_s; WHEN main_y_side_r_s => timeout_limit <= "00001000"; IF (timeout = '1') THEN timeout_limit <= "00001010"; state_light <= main_r_side_ry_s; ELSE state_light <= main_y_side_r_s; END IF; WHEN main_r_side_ry_s => IF (timeout = '1') THEN state_light <= main_r_side_g_s; ELSE state_light <= main_r_side_ry_s; END IF; WHEN OTHERS => timeout_limit <= "ZZZZZZZZ"; END CASE; ELSIF (state = side2main_s) THEN CASE state_light IS WHEN main_r_side_g_s => state_light <= main_r_side_y_s; timeout_limit <= "00100110"; WHEN main_r_side_y_s => IF (timeout = '1') THEN timeout_limit <= "00101000"; state_light <= main_ry_side_r_s; ELSE state_light <= main_r_side_y_s; END IF; WHEN main_ry_side_r_s => IF (timeout = '1') THEN state_light <= main_g_side_r_s; ELSE state_light <= main_ry_side_r_s; END IF; WHEN OTHERS => timeout_limit <= "ZZZZZZZZ"; END CASE; END IF; END IF; END PROCESS light_state_monitor; timeout_counter : PROCESS (timeout_limit, clk, clock_1, rst, d_timer) BEGIN IF (timeout_limit = "00000000" OR rst = '0') THEN d_timer <= "00000000"; ELSIF (rst = '1' AND timeout_limit /= "00000000" AND rising_edge(clock_1)) THEN d_timer <= d_timer + '1'; ELSE d_timer <= d_timer; END IF; END PROCESS timeout_counter; timeout_monitor : PROCESS (d_timer, timeout_limit, rst) BEGIN IF (timeout_limit /= "00000000" AND rst = '1' AND d_timer >= timeout_limit) THEN timeout <= '1'; ELSE timeout <= '0'; END IF; END PROCESS timeout_monitor; output_monitor : PROCESS (state, state_light, rst) BEGIN IF (rst = '0') THEN timeout_limit <= "00000000"; m_light <= "100"; s_light <= "001"; ELSE CASE state IS WHEN m_row_s => timeout_limit <= "00000000"; WHEN main2side_s => timeout_limit <= "ZZZZZZZZ"; WHEN s_row_s => timeout_limit <= "00011110"; WHEN side2main_s => timeout_limit <= "ZZZZZZZZ"; WHEN m_row_lock_s => timeout_limit <= "01000110"; WHEN OTHERS => timeout_limit <= "ZZZZZZZZ"; END CASE; CASE state_light IS WHEN main_g_side_r_s => m_light <= "100"; s_light <= "001"; WHEN main_y_side_r_s => m_light <= "010"; s_light <= "001"; WHEN main_r_side_g_s => m_light <= "001"; s_light <= "100"; WHEN main_r_side_ry_s => m_light <= "001"; s_light <= "011"; WHEN main_r_side_y_s => m_light <= "001"; s_light <= "010"; WHEN main_ry_side_r_s => m_light <= "011"; s_light <= "001"; WHEN OTHERS => m_light <= "001"; s_light <= "001"; END CASE; END IF; END PROCESS output_monitor; END ARCHITECTURE;
mit
223323/lab2
HDL/source/rtl/vhdl/counter.vhd
1
1583
------------------------------------------------------------------------------- -- Odsek za racunarsku tehniku i medjuracunarske komunikacije -- Autor: LPRS2 <[email protected]> -- -- Ime modula: timer_counter -- -- Opis: -- -- Modul broji sekunde i prikazuje na LED diodama -- ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY counter IS GENERIC ( WIDTH : positive := 10 ); PORT ( clk_i : IN STD_LOGIC; rst_i : IN STD_LOGIC; cnt_rst_i : IN STD_LOGIC; cnt_en_i : IN STD_LOGIC; cnt_o : out std_logic_vector(WIDTH-1 downto 0) ); END counter; ARCHITECTURE rtl OF counter IS signal cnt : std_logic_vector(WIDTH-1 downto 0); BEGIN process(clk_i,rst_i,cnt_rst_i) begin if rst_i = '0' or cnt_rst_i = '0' then cnt <= (others => '0'); elsif rising_edge(clk_i) and cnt_en_i = '1' then cnt <= cnt + 1; end if; end process; cnt_o <= cnt; END rtl;
mit
ComputerArchitectureGroupPWr/SimulationCore
src/DummyHeater.vhd
1
669
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; entity DummyHeater is port( heaterOut : out std_logic; heaterIn : in std_logic ); end DummyHeater; architecture low_level_definition of DummyHeater is signal outSignal : std_logic; attribute keep : string; attribute keep of heaterOut: signal is "true"; attribute keep of outSignal: signal is "true"; attribute s: string; attribute s of heaterOut: signal is "yes"; attribute s of outSignal: signal is "yes"; begin heaterOut <= outSignal; outSignal <= heaterIn; end low_level_definition;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prj.srcs/sources_1/ip/sin_taylor_series_ap_dmul_4_max_dsp_64/sim/sin_taylor_series_ap_dmul_4_max_dsp_64.vhd
6
10824
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY sin_taylor_series_ap_dmul_4_max_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END sin_taylor_series_ap_dmul_4_max_dsp_64; ARCHITECTURE sin_taylor_series_ap_dmul_4_max_dsp_64_arch OF sin_taylor_series_ap_dmul_4_max_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 4, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END sin_taylor_series_ap_dmul_4_max_dsp_64_arch;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prj.srcs/sources_1/ip/sin_taylor_series_ap_ddiv_29_no_dsp_64/hdl/axi_utils_v2_0_vh_rfs.vhd
20
292080
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dC9ujZ2E0hXv0iNBa7f7rOGh0hi0qDX7cT6h/vnR4HQcBW/vYYsWcfuNK4sQjQ8CdlxA/mvNnwVd UYM/mrbzJw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OvkGEBO2JMmXVTGGIMMEv4Y5AjQB5vQIONVj7UfT1nlQg8G6bw9MXD0txkmQUyK5CCN+L8lMErld ESWAd+vN0i7AO0xQam94i/OigTSQSTfR3PU+Cz1Lxs6nLrF2VfWT9+ROufUlJ8OIxdnPkZ9d+hsr KLu8wV5bowXP37myh8s= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l3euNltsg/hIjEeAr/5X+HsMVWqkQrvmDw2JmSJEkhgkne+rEXQcAPIlnuBGKOE+JbSU51egyF0M cxxlY99Z1/eSt37braURJm9w2/PM4u7p4qR0AaJ97pnJSdcQ+gf4wlM6AjoXB5Asrlz7E/6A5spy N+PiiiSCvyBszZJTbpI= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BDyqPyDgPQYGhocyas7N5t/CdFBv1150aQw5k3NPvEvyJSwIaXHy1ifKK6ux4FA6Qe9DYBoEwc12 eH4YLK1h+oiuxMtRFIxf+Lox3dUP1YxpO9j1ozgUMXNK3gDha6VtNudzU5MYypm9wXggDlg3HVbo ZhCpBHbcIYEFYl6Cl+2nb0exNweF9+TuSm9mkacN/4K7u7lY7gAGqqoxMkwNB+uI/9vdYkCEr/vX YxVn4XpuuXMsqA9LMYCTFYL4IyuLcCo/R6EB7HbBxJ4BJx/CqzyIlGRGJ9THVO0Iuat5Jo2g4yk8 QBR/qqUO+X6lgX4Ul3YTlPxXgNGni5ZUNFK+iA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fjDAeDatmJx23uW8yBlA202w/Vvvv95pZYRnEREEJGOP/5IBOO37M0MLS2Ck3cBWPPHU2Z+SdBa9 j8mZ2Vd0heOudl5pTTljUzVw29QoUEJHzeihTtuG5+Hd1PaJcSFEpcenZziZG+DkkuENmF1kd98l 0p8p8Bl4n4wL53n8Oinpeg8nXvtMpXkMtrMeGkkmxSTf9DlxbRi4M9FMkgEhZngkUwblg0wTUE6P cQfX9U7GB6Sna9qiahQlU8bkhptu7gt1AUuP7Mh/0Tf1rm6LVTdPQo0jv6XCPuyZMNC0zLVihjL8 RAC852kJDpTQ9rDgo2TZojv9U/vVOtlYeNcKhw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y7/YZKbn+/cgRhGnQA8jkGeaCv9w3i658O9sUpHaypF/4uYCybvKI0rQTwY+mCWn6F5E7+HrYsEu 07T5PT7hagPT6U0EGMRceX2+4oenaD8NtjFoTvgGj+fxHJ4DAi21cXYlKlrHBYrkhol6TXs5k5fM qqqAFjXvCbT3feJ2G9UCIOVIa5+z5rgNv1s7YosqFuD75XgyionP0G9wccEgPLnBsrAI9zusMNGf Zl/39PJDc0d3za2D/0iUMRaIe/pFwTx6NX6FG4Yfw9g9r3LcASe18a3tYX7YLF5BYVs1p8ixlox6 gL7ER/vuAsMF+h7cxA4CDgXaAVdR+3Y3H/hSEg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block k0SDwkcqpu/5Px7cdpn4jwhmBywG88lywZj5IAvgFO2GF9jtLHmg1ziRteFZ9stLb1qACFZoqoE+ DyFnJoS1+Rxt7or8QyoAzCd3OBlT5N946nmlRjZcRourxvetoDCpC2RERANccur6/84iHMVfkuAn Oxl27irvt6dGDSGwc0e/Vgm0B8DknS5LYUrl+4ssqyfoTlUQLikX9lLVn04v8lLYI3pFWLbj4uQ7 mDcBnKfhbwN9dAlVi3kcU0wuw63FkoNmo9JLfaszDVBiZFVjxdVdRiO0nDHw6/y2EYpltTcqfCwn ryq+Uw0IFb1W5nXPq6s8RSOXBHa5NTQ68jvO6pFnGidZcOztwxHUcJJD6Z5wdCgAlpGKp5hYg2PL EbW0ObgQ6qYe0OdeTU52zS7oIpbQaoQuuCCRRwB5Wz6ZdY0HKYTDMEEOqJ6eQtpjzidH/vPvTYDS Ex7tpJAVi1CplFXVEcZ2PXcd3CQWWyoyTS+jz4D0kx8qTSh8anHVUoE+TTDgEtrRgCG/e9vFMJsN uwvZ0Gst30/D8I6ic7Y7hlk86BwNIe6eXUyhf4Ag/nHPz1PpdDLQyQm2ZfyAvIxoxuvF5bfQiOg3 Hry2wbXcLPd5aWCtdEDf5kLKTMgBU/S6C9uDEzdmJs3MqVZtj7TFf34phkK8D5xcpaaQmNUlzf4/ p2JQsiGPOEXBc2jON6DDxzdtO9iNWpSirX8+UlzdH2UcO0XL3aVjvSIffrh6NjI7P09hHsin6DQZ 2rNg1t2aC2M+MpEqLyk0jjBJwMmvJ14sCcbW4ZLNQXFtcNpv+sclEpIBgppLNI+JFznQ4b2mkdq+ hC3gxaKUeU+FRk24MUjGmDyrsSlli6+9B6tRFVTH0/jkHr8KPz+yVaJhuwDcAuZ09VdQtVqqGDxy gsfhEGudYu+peZnLqOnxrZRUfIGWqglbsDt7eMQn56HTWPlQkRjxhesvA7wfhgNwV1yMwhAwLVWc oQ7BqSg3JA4zQo2T2PZZLX3G7GCR+tJG7unZ8GEAbjLx6lpBHdm+zczhZ+Br/3m7KTU/hB+2Bekw kk2R8zSVOqXszEgQ4O+kRwiuV15NjhjBAyTPnhSGXh9c6rZhPxnp7BEsGnc1Mim8ucwj2djscWos 7tUINfISFF8rXmaOU8itAy28+HFFkWHI0q6POhhIAyZNTEZXlX0LfGfAlWwlUqNxf19F3ALjhXXt 8fGTxb8VWrNPBJGHgN7Rz5TZekTp1LXR03+d7Qeg5GS8+vZHDQ3GkDhPHaeM9RPiuShG7vSgnnKK HPJtcVDs2wE7fXzho/Q3iVTiOMLQN4D7FdHkAlL8/MzspV0oy373aCh47lWF8FsdPfAEI/JF+eYA ydCgv5d4Heuv0hLZ3W1gMPjPlHCY0U8YuJESwfbLufPvxSHGqw47pP7muTx9uuA8UJkkFzqQ06I9 bA3AgpzytxG6FLBETkXN4zoy66wrOIHE5HNBRpRUFwILg8lEeGZ9mWfvuyLUsSCa+TviCqGiujl+ NHN4mq7VxT0qyRZzF9f562JIma0uNYCWSAuTGs9l5q/+PQObJ3uQ3QV10rqTuEL2gbWeWicXzrov dZWoUyZv0uKQiFZSeD7tHEW1gQgug6z9kNn+Y7YQav/Fb3BzoZr58Kiuk520D4OFZehc5JJnUKWZ 1FfzRf1F5sBaPYJ5A5Y96yDjNh83oCEX4dpe6RNqYsJ5WYzBqaVc7wC4qm1wAnyZ9aTmVuIjA7rw 3UoOia6/TqL2X5k+Q/pGsyPgaSjXxZN3NRdP0XasH7R1diO/HmNMlVKHqhAM9lfdiIKUc/zombZx 6IshJdcmrPqxDglXl2Ip+SXp55OnHkTXb26Xb7vrfdc/rT5HJdbGhNcr1EjJMWaDwDGmiV2xiyio ya1D+/0GaSfXLJoSZq9nF5hMNqhJQvYizPvxaBDDtTqcq3hYHo07BPL7To98uN2B9/pRgR+GTPdd nhS4A373jU+IrhaxeM99sV96eMepuDE7auuE5XOtfNKPyl7faA5eK5Is2UkJYZVdQ0k67TJX5QOe RKe6o4vdw+mLB+gSWtVtZ7Vg93HV4EDKa1MQNZ+a1seg0oX4gctXI6z+VcfgpvzN24fh+hFI52wK IzFXvWx3Jyilp8P2epmRpVoRv1oXT9lgWuziuvSahZRpWoemrSgYYW+hN70Z4nodN9plnE71Flyq MmN1kY+Q3z00gvzBY1TD9gZm15d1NsAxu7me+b+bDm9EH0ImEKXOW84plvnpoiF4IpaymtY+7Mpr kYGWMjIUbuIRHeN1ykjFNqpH85FSEBwrfBVEmdyZzPuwgCmR1wh597fE+H7+c/+5lhRMkZ9DcuZ2 eSxRPX9AWYwgETivw9OTVKVYemBp3JNAilIHMkILq1d1Wff3FEeY4sHbJrSKYSWKh8v9yaGMCuwT S3lvmXYNrMgM7sSCeZ3vtYKF4gBjXyOJzbvjQUAbiiWzrr454+M0OtPEpMpZtPL4dPjkMAyrEZfI sERZJLn7YdyAU6USX3DZvwEEtUUWyv9pJiVnBqMGsPVmvXPunfBB8JF75B62Id7DMbguofbmIx/F 9h8YUwUZtfaTqKZMS1xXeXPyELiyzgsEX2D9jqxEddcCBNMXQdCS4c73KOuFKXEddw1OC41zVnNc ALnXr/qVpOubFCqCumAO4pKg0FGovytkCgXVbgc2TocgNHKFpwwBNxc780s0uK9C8N39PimS4kxE Y7lrQA3ReQqBM2oMVRGE/YpwtnaZtW6GRbLmvw5CTB3N9AHfTyYAKXd4ZiMTZjcPuEySMI+NyAGA WktReeCmqqgUyWBHpJkNh9PqEki4kmKoVfFVca17qUH1MCl0xKY+ylH5SjnqVCbp11C4DeTtSL9A 31EPaHS6EIZ1JUVjFpzMdyPMI8IYVu4wyc0USxOvFYG6YX73wHy7riaXL95MoHEo07FXbFy+2ZVc bO+wlqwUROlX/1/tb7y0xHpy96cSX2dvOWEDFT74oip1YiOBUFnFtKdMo1G8CRUEyznfO7reYhuv A2/AX78Q0nq+gUlnYxv9dnY2wtX+39hu8ib8C7/MO04RjNAVk0VPQmqv0xp/Q5a4eBlHBNFMVwHN deiybXVY8cPJXW84UnP+jwRWRWzj8q5ROFeLMKVzCdqGFeZD7K8czkPV8PV68+O8N+pXTh04UcnT Gei2sduKkLffnn8WzUA1kjlJZZaGU9c01iDnYc7Es/JXBk+VbGiXTiNXfGiZZAIsdq9G6VNeOjII wjyvuXVhJgmlm3NXwlqO/u1N2fKTdjubnHTOX0BvEi/bK0V1EoyH2t//nla6dj1qXSXVZ3ApSSAc OhcAcQdni+n2Wvxwt65+/W8STTNL+Eth7PCz1eS5QnUDwLSd9elHZT/29YNPWp5cZ/Y8WhyXYyPg 9gmmG8C27ieRNjUF6ozeKQdjldib/zJXoonmNg4yYVkBzqGYZF9Io2NLJtKclfAo1AEKHpS5GVBf eCFmKeQjuh/ibkn9DGHLApCtxn4gOTgapLPIgJuWIx0r4GcDnZYx4nP76eP9DrTRGnq7MhSHcIdH hhg6sytyCq2VADr7k8CiwrtGPBiS+SmxrsUEuFJhu0BqzC+R7p2ls4ZYnr1RU1yfnonmH89G8zK1 v6dSp5QCutUK+S6kaRaWrQVWFC2mPWVC6uh2sty0UdCrMLWsXL8UW6tLYOxRNQ2nBpls4gA+9v1h XpXXaFU9txzDm5GU8xzSQ7fKKbEK1SUYeQ313F2MOiOd+TNFT8bZeOw0QXZO8SPWjV+x1DmCix3t HqEu5Hj0V8+oqc82g/1ZYG5S5zo++5MevppTf31WRmUDxoyhK7lS+MyKVBZbQhx2xIaBRPLRD70M u1aryfT9STTZ1GNfEZueDnbD8kd+gj+3w0OkRsP68i0pZd08ehccaq7TCtpieXHv44GKqd5qrxMd 3b+4KwU0eYMAETrTT0RsZFNBCTygVRPcSQGQ6fx/IjBfca2h4NymxA9eJWzjpeKiG/iw5j07Uwut iWmdHmlptpHMbtc1ZtGAbCTDcSNqdHAhg1YE5A4+tUQQ9voxdtUASAPgzLOe+8uPnvN2LxhO6Vqk ctEsHjI6BaceqRR/f3NpPByrgSU9XzLKF93cMyJbpZIyuOPpbDv8D20wOAG0J25fO1E7J3KtyCRn oooBKpjeMc0Q4HKMBUTmcWOrt6JF4dD+gY/hcb1yc9joZ/W7tNy/TsB714ttWN54PmgzjLtOwkct YDrB7rciJyc1cjTgu2huzLb120wUH9Lp0t5HuGj6xiA8zusXHnLG5rIswpQh96SW7AwyjQy/hD+g SbDwM5Gt5rNBE0tEVgCT9/1clfGzFljz6FKG+6gngHLxTw0aYFb8UfNWms+pJtK9a+fiNtEJ2fsU uQKoj3HWuyGSHyg2hnhI2k6AUULpKKffbW42BpRVNQxl43fENW/62wDtomJF2wkPAny+l6paBhOx aucUhdJPO2+DJbS06g5+seJVLdbXuts9MZ/AnXMCN3mcFHC8Eoe2OPpb3sEr+wbE/niwUrd6uW9F XgWzrBWs2fWzaeLHBAebWhVMOIq0xKPJNBaQc2dZy54sZA2Ix74nUQDVxTkV3ydm1f7SL2k6kgOG U+SzrgfaGO1DU4PkWpJ1Sh85dFWTnh+PU/+vPgUMYjctdsVxAqeaKTCDmS929bOfUX15ObUCk1mB JiHjo3wMmG8fHrydXc+ja/+cSuJwRrt+8BfTEHiBZUe+fTFE5b9CC0b5UgMSYsEVSq5YUSOK0T8B JRKopx1evs5Oc1zZXGAlK2XWGV5jRpJisMC7EL1MKV44vYqbtcUBWA6TofGs8Dw57xsvOIuIQL3d hiV2nAcMYKLdB207sC5Yxz7XrOCPlLrkc+AAglnNUtzRkxzc0+L8/AWgR6X2IGy09n5s0IZnKqVu wFWrr+VcFY4uHXbN3T1m6iu+BEMhbr6OCe+EQC1gzs9hQnB9ilqQb3cBV8NQ5Kh5JXPCT9Z54vu7 /yvOLf6+aj07tVJyFp/viObj2CdL28ejkK+Y4fb4dlUSx/wb67FAwYNb354FLSAExpMCssgRwP9L zHnCgIY66Q53yJEsd7qTIemaLShZ3fK+rt6M68FlIotGbzfp1f9AFp18jIF7XQ40cxDGIOoTBk71 SXvpo6fg+aPHvFyhhxX9YBUcKD2LIe4tjAf7O+Fkz0ZQCByKo5d6qHK48sNIHcz+wbg28pb+e0xG /YoSZhVZDkPI7FFEC6hq1LlN9PsGlgITaWGO+jqmpz+flNAZJGHYHUf+ajZ7vJlIrqIQwGCvKz3v D0OMNMewBDOqCymh4JGAV1QsVxfLIJhKX1GRfmVPlPVZ4uZIDA6Dz1LhQaKfWPgMeVlTDSnWL0EW lTzwa6EyujY10TZle8z3hIqtgS/zIaNEMHA76Y0I0/Y5d02h/m4VrBpI+Ob4WrM9vICaVOSZrOOy 3zZtEgbqFkXBS5IJV4Fah3MB1EBoIli05Dx+rHsKDu2DluNHsJ7abaSoAg90USuWIYcoOz63hIPh TL/EzbPF2rjRqDRr4bShevoOVIrHmF9iPX0y7Z+VxhkNBQFveTtrMTy6t1UDwjIynvTCB6RBjoRL IRjHDCsUCky+kP7FtvukAVNz9+bwTeVU5UZLhGhJHgsqggXJNcl2dx7vU4xfbatgVsRkl16xhuML bjqU+M+L6RpJaE25JRT3vSaSPilh4r6IZHA4gP4z/nhuZo6ygeAuy1sEPDmLZPI1oO/8woB2qXQg FMgVWjqWbaA8QBqoE37u979IR9n4YkMy2T1AYHs50OI5l27oituXsgdcge8f228DV1aAWAAxYi3P q51ullyCASId1+Fv+Ob8PUuODfhilqPI5u1K2tx0ZIsA6A8j69n1ZYiRHHrCp901JFmtw/59/tFX S+S7LwqL0NRuFG0pX4vo4LdBdy7RtAuqbIPrH4dF7xckC99OMPaTKyU+NkN99Q74ffPHnQ6OJQGT V51cOljtQm7HLNCzJtg5Mw6zIwsJ4/dhz6ZSHtWFtm/n/eP0++hjwwZYCLl8CbsQMp64H674DXF1 fWqMYqflGCet42GK1Q/kpSRhMN/udOurIAUpPgZWbgEURGHoBue5VR6DnX/Ou8DTPcaLAOorySGV 6Z/gJXASqzaNtUK9nUnK7gGtzD2Oqir4nvSJ1Cxgw1qibHgqsFAAK4YIKPfOG5dogBkPlQ2MSal8 nOt2JFWWK4+X/OnTYvUdsfOXNIYYN1GR1OL5MADFBV4LDuBV0jQK1LvORMRe8sZI53bsb0hZOZbn 2KCh86Dg5m4WrFMrqrf8fJGe6S2HjaV9sB99FihotQZiYyr6qClDnRoh0woLx8zf51caNKLF9/IK N540gj74cZUvsbkmNlhnjvP/PnXGsLcP7+CceGf6Uq2kNnVGiMcCHCAje/SWCcrSTqD2DYh2ZhID 1jzquQ0o16PbtVDKNZPc4UQ0s6w/XqGpJ12PoYghCV8k074nifcZvDvAQYxZeuMxNFvegMB1jalV nGUM5nR4RTS6/zxsZ774PCGUgfflJvSRUbXaHPeyYJXHVnAoVOPJZmt4FEq+cTGu58xDV4vpGuv5 rNS3YPCiQD1fr49G2gqKMO4WELda4ppXiYObr9zmXHnxHFFmAqY2MHD7OZ9nRT7Osdb2jf8+1pUQ qVKxuVVSRacKXe7uFOcF6qZifpejG2qdnkt1TTaFKG8Udov7qzw4C/TwQWU4/PJxXiRWeE/ZID+g OpbbbaaT0j9j4OeTeawzkSiIdb2LARYEe974ZlGhV1P3TT1uMdp3+n9VyNnENdnAAlUUTa12L89f 3Ek16y6ZFO8C0bWJ3gSJcHH1b/Aj62XH13Nowl3ZzkbqWnw9zEn1z1RmgZU6J5tr+0FY7gmiya2T OXJ349NvzL61bHNlhg2yr5avTSCjR/ugyOC9l3AAzpil+hYpevHLOdVGVyIKyBC7nY1vmo53qygO YPe2/ShYgavN/QmtLCxwYac+Twn6xNENuHR7IL3qxkM7J4k1tEfoyVlQmDOx04HkmECIzomLgdbY wLepDE3w9PCjj4E1zx07RZUeRcNH3bTZlEO3X2hv3cGDHzuRG+lBay/MPoDapz7DdSU3bKR1KEqU P0lS8SRJHWcejRwu3TgiQf85e3vmpgLUFahUj0XWO4lIxVyLb43uNEqps7Z/1Bb2pdnUL1PrSddC ArQsGE2U4fVcxgoRA/MvuWkkTizIaOKwMVQiUWm76nasgIVSOPyE7xvx7B6GNCywwi/pH6kmEiZ4 xmPGpH2XkVMoQVihPPRIcO3HBotkqpJuJlvQOXpqXE0B09jQAe7FEBgC3KqerB7HL6c2LPYOgrgU maG+ATeHPoXnmVMjLbbh0m+vEPJz21mSKOJml4aljE91ACpuLMS7SswPZU5Q4lCn5pifaQmmA5fC YXLznwXiTpX8B+clY4ky8pQXz0HNHadFk6RKLXGHiImhgAjLWPNfN/BlpMTefhtW3OV9Yk/q0HAp PhR+h6zRkfFhvrV/4DBqIU2tqCQetp3PpENtnKs+obyxAiYWcyapJHQb/IyViwkx1iZnxeh09jp7 X+aKndc3EbjN9/MzzvttWKyyNEJqSCpJQYo0MPaQ4KyLFQh4GaCbO0NIA2WcUiwRruloXzVMIJnf zRnqu0tyDhizgnaPaVpdLnbo63Xahm0GExXt7w3zrTC/LNKtD0dDEFjvMQTLrb7w0BcvtsBlC7kA dd6/W+hDIFimE6tgCtbwUEYnGpM5p3+HZKCB6Dp/SsyYxqqgoqEfp3bEPFx0ps8rCLZU1gHcrshd u3ZsNuk8DX+Mr+LQG91V/oCxmNf2spKVaQYmq8D78flOoDK3AUsjloYdTHqozYlXLH0m/VQSARo5 ce5tz9RWM5uxy038cTDMpCsCk4lDH48TlD3qdjnyXc667QvoABf4nzqCbGza/YdITNLH5oA4Rp/k 3e0/dtFNpx8BNOT0vXOw6vxX4DoRzp89dO49AmOBecjWcJm/wfzy1T4/fy4MxfoOY0Y87yR21IHX vURvFaBTtapZPTNeVcs0prbGJDf2odwNb88YCdoAhNB0UApvo0QpbzFmzhkEUcvEJ3zY6Q8aFBiM 6YHIXKJ2afnYzWfzGkiDJ+QvMgys/UpkJqOn58rYdevN0Oaj346sOUiURjCdLcw5WifHcmGqxbW8 3tyZZYHzxeha1pyUjOTlN+oiBqXoE8qxHD7cP++he7y6a1uyaUps/89/dhUAZvMj1tA8vB0q3vP/ fkSJ18U+8P4PMetDOIgHefR0/d9+mrLfkJyS50Px1SSHl20K60dTk9bspRdmVRAPpiPF49UKyZLV et7WKzIBjIrX7NFfaxV8/chYxceSRzBB/reE1qaux56UFTghlLfS6KgGLcYghRFG91uwf4l0Gctv 4UqH1SYWKXOFKTgRm8DFeUP1s4oOL3BKZGYQ8VCn0/Qpkbq01t/vwIl/7vmGRUbo1d/9Qrx5XAQE h5G/pNKFQvm0KN4y1Z2rGR85Cu72SWQAEdGya3eZwQ5GI6iG2ZS0tqkLzwPIxo/EeVqPAdrXsUdl wAhoxOmK8aUjxk+8Kj+iB9PPz0BIDrRTNyZ1At9n3z5Q2FzsTzEQ4ZEdPxDS3AdRiMk0vIOq3id9 2zPzA/wX+HhwTCEshdtdg1g6CR3QEywA222bEFgxcCYPEvc5r3C9aNiOs3MXgBEYPDcsKZpN9I9q 7h6lvY1ni0uFk5FpCs9/7RkmUQ1InCDh2lgtj+S1YLdibADz9yakn5ewQxqUfgQP5d5UvsojxC5C rc/0J7vjGoeikmBZABDH+jCVjAz4lXAdrmikBmLVCwdtIXZNNY/IuWLd6z/QnSlrauUydZ+Ifn+O OzgYyiZcxi4wphcduGfEHoRtlwEPROWd34jxT5exjysWByKbf0wIaKISH2viuwf9bdLWm7YM4+tL iaXM86MdY1ZANmVR6365rJqsni3lJtJ225t6pqrVencv77Bu2FNNKIBoxP1Scbom3oDRACoLoP0/ QGSVtptogXOY+qyPqv4HOFS8igm8S1I2txNm5x7shG7PiDjpv3Fc0gsOnSog3v7vEtcKASYuIn53 gN9SZbZlyUqcfySVB6sMt+5OONWbOwfSa2JZDT3pkpar2mD8ImZmVc/+xbviUmPQ78MeicPGS2TA YP5776v4qVeUQ2dJkhy+EnlpasxwOFWT2idMohhJYXdr0kRI9BYnWnspaKhJqBobk24Ddre+ZqP1 WbAf34sGkL41pNCs5+vYlq8o+EUT+AijXKqjRu5tp52RkCjFWKGOTF2NkrWDLVQcWCbwH7hHDBdL eo3b+fOmTd6pD7IGKYrNlNzpo8TdlcDeS3GXF9Evz3UOowmHwKOqPTyDm1R4StF7TN+JhSixYhHR 7TFCHKnowUxFBUtYNOcuHTne3O4BZEim4FMBLAyjHM5FHKcuQ5eHLZxdxLKGlQ+lBFqG7VDbrQjF opzuj9eG2t0a4J3260tkvCBiXeDVMUbvFBkiqjLAILMORaeLShygsp05uLGql0MDpl13tu4SpgmA TtQjvX0ZxWVdBe1AtVJjjxTHATmjYIMv6ZHi6klqqO9+qjd0mIMZxfVZJgKKmhMPeijc/FHvYJ9c MIDt8j/8PmYp7rYuXuNp7ffFHO6ildvT0JADxVidrh/Z8m01uMyqFPSVaXnOiSxOjfepPCUpMmVK 6ClB7li0zYqHArSnndVVMOB+EbBhIf0/RQVvL6ym0F+41q1pA7dTqH3BTgx81wy2/DFGJlgII8lN WXTsPL00FRyObWPqsTQQUlXJPfEiKiS3wk6RCofh+0hCRbPoDMXsmjOv8Jv/G61FJQbrcCvae00v ZeXY3ADn7n0Xmiz/xoC7C4EsJRetChBjMOyNY80EwDdFcR7eBTOLom71W5B2CCdqo6RU6u/BZ+Fv lJJWUvToTnIqNCFDuweJ8+K3Eyu1JGepvN7+njGqhuobV0OY5+eXPtBVglO4PP/QF5JUsq+xO+4r 3PABRA9eiCffmbKAXVCLBRWdcS1KAqaFJ3Yq4TNc3Bo8lGcxY5zQg2oV+vs3TfYWg7gBDRsvzmq8 1uT+kXi1it7FQLBmeAYOXO7YS2B7ZkDDOZAwElB+ZSapOPdWj2crmLdLSbpK6EdegOb9JES8uXS7 qKoIVEcim7CVAZsKRUJfPjWb8XZ3PO1NFf9bNc5pufwJEkZZ8R7KM9zev0dKpppZd2IgB0J4Q3xI Tw1XpxcD8W5CYxjnwLeD6DYdPiOWirqxfBbzMbI3NriMzSRzzT9G/Efeoj/n1orKVZ0+5525s0pv LvBBViEfdWtamrOBqMSTarXFBv+WSErBci/CmQx0LoY/Xjz/V+vvQ8zJMYlYJmv7pSlUeDQpO6VR TDPe3P3KlpECpmsZuENnH0ua331IgBccmAsjHv9+n4dJ80BHco5pze2vSTfpnrJq37lQq/frpV0m a9ua8PNoM9DO1zeS9XKHUCLymLma8XBFOt73MYIDQjgfaocah5b+ujFzM73ZvRy0MvvZ/g0Pw9Fg 1c3FLrffyoqiCMkbseZ8g9t+kAkjLXPkvN82A8nSsuC0GdXmME2zoVYTz3VuSMUW1bLyo7aEkC62 kn9ABwUn7t+YxB58vfGH2byrMf/PM2LingKQBSHXcRlTq/j0809xc2QjeV3nduR8UAM8BuODNi1X o9N0aPU8aEE0D1V0wNZU6+umMxwjm7zNit2bcK9vmUYNmX1vxJG5UIyHD3uPpFmHU+SvHKj3zege zReqmy8yy475Nk0f4kFwcnjJn0Jy36OXnyXr7buK5rvpG8xqsIOMGt9O7+nGNB2K8ku295ICsym9 rX43LKrSuQhanSs709Q1qCc9ToeT7OxLWVO+fWbrZlMKQQAEERFuyW/NFnECzvcVCNGt0BcNICkU riEvBD2JXgD9LHiTEDVvqdDfmU4jfnpFvKZX0uXWdmH8Uw4zXXJC329AtTA3BooIS3CcYmbzjHgf CgZ4WCR8+wAgqdmYx880K28rbWmwvtpFvZyPra+8RhzgRb5CqdNu6FoGIS3vq6ryMBS/IEuCVjP1 CuYT2iYVI1BfUTnPsTCpiaAeSmszCkgDQFntdNek7u1dgO4P+vsnDJd/DMsRYLmEEHQR4c+9XiEr W1PFTLZXVuvf08170KzYsfwAIQLxuX5EDvoBLMtEofw1ePuzcbUhYagqFgqpUQQvOadfx96Uo3Rs 5o5swv+xVrCbCCQ/C6julriuKfV5FCpqgr72F/g6Ie+Nm7oAy/TPR3MsMmKy9WqLoEaKaO1CDn6B yacxkAOBo7TslSOmtxeyu096nX5sP7bP9xXdhrJpQBqA30hhiEpJVaBUJvJBgc9b2pq2MTpoxRbF 7xZYI2TvXnYlMhn2LTcgYYrJmGnVzEl91Wm8iEfiaKukcGSRP6mmPV19SmTH9va7VcDz4DF4hE6R prmiININSbM+68UrO+vxGY8Qjx/oUEdaUYomoMa39UJ/QDIP/n00KRfx4/tifCNpmhWVBBmfrCdl Gz6DAFnNcoLHtthN439gVySvrQ5+E8rltcEzNnWk+BB/WnkhIhF+Zl/EWT18R+4VwawgLYo43dhP Um0kClPrh1vbWOagkCYYEeOEwDYfrIoYMaD6s+PuKxlRiFkuJEFky7vmPHaU7N7p3bmh19L55xv8 sMCy8vi1ef9fGmKskY5hdgah2XNhDoJ0tG+LpGKwzrSGsDVvF7irh1pWTY0RKKfHeNePEhgMdBb/ ppQcAkRr2l692fzH1uN9EgCrLyQq+tZM6VyPXx5cm1GgshZ/mx1S8iYlaXC+PUlZGhN78fGU55lL BWLN5QB07wtrRIjrc+ITqkd5vP78r0JFGttn2D6ZPRB8eOubE9hD5cB/J+HioZhDL0fyJI5Ieava Wmjmv5M7whNTZznDmZ2dmTz+yxBTXdtl4fXBIPK1DGGCMhkzGLlWHmfyOa84tdirC0M1KH9t6hnD ULx/tKyCNkzuiWPsKzFEdxzs5ms9plyq9q2bEbey0FpcLJweGdx/QuQSU1cQuHQldtZXKruXI4k7 YUdYbk9+5sjp4WNwDAUw2Dx3iUlDACFT8E1uhqgJiI+MjtdO4LSgvV2UhCn7iek2eHQ3GtOoQS1R AEl0N8m3yOpVde3SnY1cmp4tkyV0O134vomnUhDydHJ/k++k0Z0kLMnziHvwR1Oad2bWakAhyG3w 7PfRRnPNVgk2orAP9MCFRSfPYoxi9mOFgPGtq495YOm8E0ab2jgyqZs6odNMMoMuTTCJ57RzSDJm I3az43zgIUPBThuSrhW37nwyN3kY5Sa2h1AcYduFjbFtGIy/U7+QtWjf0RShaBzt9ektpEor+DhN aruMhoGuHf02OhvxaXVHeDVic6VQmLNE507O7ShipRwFQZm/1MqTrXM1JylJxV43+iPttrYW98nq idJ/hbdgij2vFpPhKljrPPNSjD0ucI6wqQSfWj26IWX+NhRUaAe0u4EiThB8g3Sn8NR0/+yxkWX1 owp5bqP5vbnp1Z9f7ELndenWzc2G2vq222FUKfW/KLxT4apztWlK7aDRZT/mfjsA5pWheWmNRavS JWwifinr5BKc/b1t2BBXl2klYlrxOAkHDmBHOHy3NRrA9rCAa/0ysmPdSaPXjZrbDOUtgzlatrIG +ugb3gWB4sAeQX1qzzpnqL3m3UkfdVWzpmKC4W17fG23S6LeE+R3ADUsZ4Bn9QTHd45lpobMYImH XoWoaZ98UXmzxiiKyaYCUdbJATbXZH2CxNTwvJaxBPlRjTOYMmhQqSAMqI4zp3B0IldXr1gee3em huTLsIpRmeS0pB/ZUAQBLQWortT3K3/dyjWIFr8epMP4UN6i+NURn9GyqlZ6OSUBNdpKbZNZN/XJ WDGVtUwjOHRGIf1NvCGnkuLU/ZtcmeZ5SyX3lIA3sym9VI1mVU96+HQLT3zTgaP6Y0kVk1Zzsn+F AFxmH81h7ylqgxAptitbklbWg5p/bFoCBPFvuWrO1zNzBIdem4oLz+jbCG5FHAIWE1rBQakZQq+U l0SzjnhycTxtZQyXlTn2dJmpr+u59Py5G712CiyLdra3RaUsoV6MxpAAi6XWfnIBv0HtljGfu3sE mpRVqqpEiC/g8FRyCamkT8D7uGDkDuiJjG8H7qnI0d+Xtf6zM5IO1r9B9q7LWwPYhB38V/MM0swx chq4apLnGyplO98Gt/X9LzEe56ciZI5IPCe/OhXNlD8R0e5vKmjYOnIuJFoBR7xSFFo8KzBiyVu0 plmgb6nNGJSE9I4rmjlcQALddXzXg/h+me+7HZZG0gVDIz8Z/NtZWeE5wWzuLIIv/K5SgMYRTB+c lE48k9IC9Ry7vJgjhdVrqbPMb7x08bDhBsayLLR/Tbnv/VEsc2WSsn7Al5oJY+24DVl4SzjlK2pl PWc92DJ9xtOA8n6ZYys04X+smWwQuJxhzesP169YpZ7ZjpmEoGgzODlGcizppB7HgMlOl5ynShZG OZkAvR0h21zvcG46AZPzvlWvDbwhfRdXXn2TfQLvuHb9GZR1XbGIlHg9TV9c9JKm/z4XZi2sWS84 ppXiEz41M+ddDt4sRyOGVK+9pnnxy+qrJCfr091rxPWj63HbtOIylaL4+BJomaW19+UeCBQpwsJD DqiqZma9nyJFhVfn3s29zo+1zDnVFts9jxrqewAKqDuoo+Eqy46ui12vafsyGpOj2EPwCu5IEtzT LbTcRXuFBtfbJfCCiV8yVLj8as7oR72Jl4IhW2gfzg7o/JBNwMzkU2HJptl6Rw5cv4baJMTG6Uvc pw4bljsOW8VVOnRDJPAF3O57EBCWN1f+aLbQzDTkx0iXuwwLldWvTRR0Cb0jR/tc/RGwyUeYS5Fn W0Gg3NdKDam3Q4Gi4ficpu5MnIgWhyB0wGWm3eKGMCKP6YmwovqYB5ciDZ2xgKS9jenFV4m8Yxgo SsVljubBv79bMPfZ0P2+5dkTJ2nZyrayBdCFbFI6Sw2sHsJJVzEdrpiWah5ZiAGv/EQIPKkO6NyX 2/dRf2q0+HzezWfiOUx5r8ucSc431zzGKbYSQ4/G2xqf+CMU7AwDkkLH5B1QvoGPaf8rcikt1AC/ er4U/xVhDgUFN3leG9VdftmoWYvUzHMoBOEVEQo9tmXkD/Bn6JNFjcXoDOUSZqamZCPI3nwjJHMF PJ5rifGqFFgp6Srka87kmcFb61z8d4uEYZUdv3S1MAdl94hI6YEmhT0k2Uu6ujA08PRQAxYJWt8t cMi3aAR7p8avTHsALd4ri6XfMQIOhiXkovPSWLM4JtYDc4tqadsJIkagDzcB2bguTc+geWp50Fou DhBtugZWml6jASq68ThY9SI+EXZsyIWRxMcsbGe6YIZ+kGYWCsEukNzT9Hk4CjbI9CWoUd+JYzs+ BHSBoOWMWeyZYp92na8KiIiC0b2Z5cFIBbVQcKkcvi+gA+tLugHYpwCzR1kLnzLhxLJaqqVSgGkq dEpjFLo4n2+ZSbNUDDi+/BiHORTUpyvJs1M0OaoQ1na2PDEUo2X3aAhifZsRAGWYWAZBaCsyPDkD rT6lOHI5u15z1zYXFcOslXwBef4mjorbdllJABn2DsvfPZO5T/7Jqg0gAJPbZoBaNChdG5/yjr9Q pL68IN7QzEavzxwGfAEMIafIaGwNJANF7QhkhWgxshWwWz6h2W1Elfgr1disPTuTGaiubBnaiim5 ENVwf+HfasJyo/X44jKnvM7Ubwf2Iq0+PBTHxhPWsE/0RVqJgji7LDRDY9HfappcGb08XGUc0qpC wqqyrg8mSwcqstkqKICjtjuZGTozodEIShdCwJaEYw2PTem1mFroMqPOwpT5wUloR5Nvl/Wew4Vz tGyubqMkE7ZCLpF1rNMCM2JfEs4CZECidPnf2uFQEnQN6YIsz8FOmSkhscTC2xqSfsnmWQchDxHL zzn7xNzAfRk+MOXm1ACI+Jnt39cVjZXsjoEx/X1yIOD1ts6C4Qua/i2eplVho+acfVksOBwZuQmb /QRHNQuhX6/p7oZ5HFl2Tky2zYDSL6zlVyF2ZpEGctQ9UxnDrpIaeYIvTAoaY7f2U4f+EaiW0dSt /NV1fq+Durukb7x7nRdeLY/cvZm47Qa5O4WFIrz9PN8fsAwhDke6Wr83U2t5VbwA/j5c3jzhdruv tYvadiQTOC6FgAEmjwWleFI7HmbMxlhg+7ToY72BvLfBmkFp6AaZSoPeQxfwP5VZLlugXyJqVbUQ R5xD6aBIEes1pyCLG3hVSYFp46g1ZI4HeJPJpuHi9Qo125WGS5qzUQhPUdZAXPOPiWQfmnY2LKG1 Eplwl3TnmIn4waEpmpTfg5ICmq+o6StDwomJLFP7Kj07pP1eF1LBmrDglcTp8beHyOAmLnreVgfr 1NZYi0hrbcPMDKU6p3xrpvRdMnmVQpcj0YOcQqrPzFfwkR69XQ9udrF3kPCKjgs/KyKGHCc1Jy+l 7DbtJNJ4IYtDUeDpjK1+XHCLq1dMjLJQc0KOIE4t61BG+mCIeYU6cCbynPt8HeuN38leIr+qhFUi 0IkWrZK7rCJuEl6IEaOTP493sDwM4rNMatCPmSq1Nr6zDOYFLwZjnoH/IDeF5xxutyme5qG0twKZ zC51C/zXmNutCXtqYIW8Q8cCquNVjLZO+K2OE3Y9866vSO5RmDW8vbhVbvOTEyqnPgbbWrg2WKtl OxkcbtRsv6URdE7uSk/lrJ3hyEuWK1ugy0DavhDNnQ7lvn+Igf00CFmTcm0LkURtaeBeJGIwp6/d MpZ/oBxhXaEfM3Ays25xqI9Wc4cupMfyDlXyuTntq1NA1DIEywHJ9gbaIvoPwTVKdG+6FVd1ZUac iG7by8QPSUTGmZy9WmYzL5lFiGTPzSY88jHvqibY7dzlhADRDHNf1SXEWsR7M8ZZlq/mON8+qLSB 4s0tsu+TZeeSjN0W99Pmk43YSPhhgJ6d8ecQ2pPQCgcqHRtzqwmyH+cBs5o1relfkObsdfPYYjQ2 YbF5QnBAOww5cDv8ae6NGmOl1PoI7qAFz+xpzotHg2dlPr6ht+b3eWiYakUjbit4KmSIzLC4UKvo a7KeVjLYLGXI4CzLO7VmJkBe65/nOvXPVATqe+FRPXG9dlN2iND21UUNri5M6h5N3mQCYNec+C0v gihb26DZYSbVGUhWNvDb3u1pm24YW6ZMrxEMOud+gEaofdD0cABTi3K9UaXYyd94aCy8UFOI9K2I qxUde+I5Apc3Y9nsSbnxcsHKR/DapVyvYzMiS42xBKN9I8UN4sdVljqNuyigtkpI6z36Bn1LwlS4 fbgqJ+bEzTxEkPrgIzXYFRa1cHt3OriG2elPa3GtRM2rf5+SkFPvhVFZL5n21npc9sX3K13di4ba cKCEoHnGo1FSn+PVxBv56j51TyyuW990pjTxwAdeSJ47h/zOMdKmM9L1KTfMtQYol7rd0cWCLz7g A3blB+X4jIfXagLyDPv+N03t65O3vnH5uBwNuFSygLrnCKKwqFY1Xb5/q3WJXUKVEFAKkxbBinUD FRzrqPb4li0MhCetqKbQyesxkZlSfB8IoZR1Y9qR+PVhy4tVl8Bo1HUt4y2tlXEiyf1AwmYFqtAm ixmiKJdtjuYMKsbOqmMSryoACnqYkBGiUeHBD3lepqKOpKOMhfEUu7BszcIPv9Gp34gASNRUydod IUbMkf0+GGxNozxdJGMZ6JmvWZ+7QKZNoA+DrEfnJ91KeIZvgEFsopSs0fIex6T5zaIuiQPzRLX/ JqD3LmQ1P93X/qg3T6p4aUACFmIZYPFrsodnliqGKTOQjmpNpaGEqMNf2nRATG+5oJhUgQ5Vd7lz b4MOjTIheueuWuZnXLrAwACQWDCFk9kN2AXtijnZtZ3tkWo7hw2cFbj879rWEk/gCdMipEPYVKh0 CrNEiEHL043dZbRhTaufHysxwFPhGB09xJkzkxINn1QihETgFY2Wlv+QJYZHqsZ74pVK/xsfM/hM hy0h3s5Gz4LpsAo4FWdnCbQbB3YXtQEjA7XIOQHRg95UF//qhnpGtOJ17KN8K/7nz7hjAwuczD3X DkNv4k6uj6QtE6huINw6HRUiLfX1PpLa1j3h3TrN1p+RyFURAVBIaxy+s0Iwmtzeg1t34uvRU27P 4AUXEFT124wbIsB+kLsrlzeBbx9ywV3zzWGJcqFn/JASX5r0cpuJc4Dvdx8jJE2MsigXlDBmQv8E nxPSKw/kIoBbIhlCOiuS7TTJgvzFBkkR5mwlmOj8h7nDStBeKcxS6qhOXGQOuS5Ld/ZcFfAdKVvK qqWVEpnvKvC1ITH9UqtBRx9xCW4qIZp0kGziV7D+y1YLLyXrP7f7GzsGxw2g5PqD3c6p+sGpMchh tBwYqLWgk1yykbjkzjzzkgoD7fWN+y7YXpGnnjIzJ6LocQA0SV+l0OKuLGegKx87qg5YPYTgfz8C AxZ4JNKxHnihh+2+kGrNKg41n8/Sa/EuwvrGjv6ZRVgh8RpuW5k38AfQ10mXicZHvyxceqSXE9hu haB5OzEbhcO6v9L7l0P4jf9KHkc2sudLpEj9uyVT+nJn8rQmEOOpHLm2YzEgr+A0ilJKcIHOL4LE Kp15Om1bZ+DYI64kaQGxze1cEaixt9ndQK5yLlBUH27SxyqII2OcwUXWuM6mAkEwqQ43rNJS01l3 nF7vrfQl2PP8HQJvw1CNuyi4rkBkFZEyefGa3yKYy5x9hZFjkt5+bxLsaiNd/TEppjIjQD2NPR31 QPsxi173h4cacV1yhEQrSWNEP387m3fIU2hvWuT2UJP7lXlJpC/4new17XBk1tNcWR2GDGSXANr5 TSQnvbnlVmRECYkovniN7KHXPp39jZOMEcjdaYTTaTAHEF2ordLHH05CaGZmy92+VwJZ+sNPBjp2 +SNIg1R3mXevwPAGwviC2dV2KbbQEF6RrLcl8WgN1p6vuI4wS+dm9ntn+hZ85cDTsA+hjm8pDdk6 LQNE9gJwHLqGKkp8WksOSGjRmbC2T5luJGIcy8+Ku+JhNCnKySNNyadhMEcYmXAJt2G30gn6FJe9 xOYyEQzsuT7S8+ousFATfuunOKfEx4QwEXOhVl25qOae41VGCTryFeryF3S/Dp7EfmbRrqJO6d2V 8J5rhbHLFDEJiiK+xtZ8ZuFHf1M+O5PUwpmweA1ROCBd8lsFPyWkfl2J2JNYxXH6mtNl8REn0rGR G5hcM5inUfZ/vCkDgZ5B+a04BjbwJS49CIimcNRtBEA/ncHyZm9Z1iVW4rn2sBi9QaoWIXMZVx0I uI6Bwhozb/YybgdAcG7PeQB0fl4/3H/61T0VDmkA3JUMb3z2CG7uTGqg1X6t7rxQi0wUtZ3q/3Ps 775sd8fELuaTstxgx1YY4zbu5KgVhSqH99ddI6ULzZck4RXysb3FKK07jBPDvRdG8c258FS40Hyt WlyzgLJkjTFBdvMjhfngSawjRJ932/+hfpqHk6kYxiX28X8MXFmRmNEkV5sjHA+h+QXQk3dEtt14 LYWuDBNaVrYl/Fa6AiKAPvTBhQv/kOyCxaMWtyE6uPUokTvA5hdA75sVmVZ/3b9Bgsvsw4SVxUXO pJzrEpiCK3SgCN3TXp9ko0BRu5Q6VOOWVB/fEq2jplEBQdcHZHs3w234FTjcIyo8LZDl3RAejlzu wT62dwEyHG3fFbERZJRG5wU8VfQjN/6jPF/wFdspUMRebSotrzJOHDfqDNKEizY3q5nzUSmexXiS UFBw1YrTxc6gRu9Z8vyUdDONAAAcv+JNwOxjceZNo/bcyTjnI9U9G5LY+XzNF5eqFwQeFHMOiEsP wW7BMwxTqh+Y3b3EB8g35EZvedyLhNuUYkQOy71jSTZSsW+bivYERxsH7MPhuxYaUiQvh0XuQroM fTl1N6h7eTDBRB2nEEegypS9FaMVV2BU08jvmiH80zfLt8rZXAjLtIYns16ZlltWcfiRi+ufC3qX x8r0PLETAILXMRynDlJb1wCc41gvNm9K7UDSbLcKAqJC+qgoqPQ4EedYYTRpi3xrFuV6wjkhZEvM A3BHGpr+QRwMO1YTZTFHbHKa1QUgyS2Lrjug+EbB5I1oDeUKzBEDV+DyJbLSaQY/Pq1UlNHqf3oP 0LIR2cIBr+Solm96aM8rih5BFlRJB0yH2OPuSlTvuqrzjyihBvXJ+KbstbNjHOL0LyH+aEQX/4c8 gickNcsZvxoeypRNXkGxWz0TBAcOaEal6H/L/A7Bejhv5imdhqQL6xOC7Z1QLBaLS57YDE7fAyBk 5n1Dx8myC+05Bb8VicQV+JBVXbSPqkGdkdWx+lvBdVj++ZIbxi2/UIxChXzqonArr5VBlG2KECVX NnX0v+4wEu/dbZHrN7fE/bdXMu60BQUhNekwYxL6piJG6196AJhjdwu31S5iqUHAUJoKzPP7uxyf LMsnvGCPLqqIjhtDvYSvP8LZSuZ46LPyFUwv97Wp69Q13++Puhw2nU6nLAIgci/JgiF28vHtcMEk NClrGADrqWKBogBwI86HrkxDJeFyrixeRdkCGtma8jgBq7YdZ2XvBz5PFHb0YJoJU7yEnmNz5H7D cRhMP6phPKw1HL93n1TtaypVtRRJ3bONSkBjAcKdzrbFIJI+HmfVnArMRrZ0Zd6oDKrvpJNRxxln P+wz/TWLYipfAk6k/3nRssGuzh+A/8wWOVuKbJ+x4a2fTMxwmWOdPnmh4mT3KNkQdbDL3OJ3ndMp eOL77+DDceIUlYUAOkd/YIGeETP7zJPyn1EUdPP11ZQ0V1xdR+zNDSARtOGB32rWSdU+Q6/TXYc6 T6o33/LPjUsP32exbbOKkcn3RKv9AAaDhWSHgIATulKZIhx5kMYxRtx3qUd1j0SI4LAqAh37gALu 8zV9nVIdtO2cQUB3ghWTV3D3UHqUOBYG3mP63YeTQI/bmDbuNQHHt0/Q9onOt+Kfk+6xykzjrXzq lvKXtDLgpDm/jXUXesHACcbtcm9Gv9bcqdbK+x9JJCGtZlWhVDcO9kRYMuyHfxOCPJqOHx9j8MPL JK85YmJkNET7cYV2hBagiJwKd7CKSOPRns8KwRiT0ZmmLC/QLzR8GtJ64mFmWoAvj863ChCaCgMY ktOMqj1aTITLsNKq1u5Sct79SQUACImS+igpItkIuCVmtKNsg4l0NsLg86PujQOYDOykuqf29yKl 9G0oCWIEnjDTBCUONCl0TzzznF4YpMwzcACJtpw0YUUbHyGF3x4ddVhIBDttZBddYyg2fxbG5tpm Rrjdj8QkPrWvHKaBGQyp3xm7QG0T8O/buV4jcjJxGUNb3j+jsALeqd2q8O9g8RTFG2mUO6yrcTIz Ruwe82RIhFASTEjG8kvJJdKwiwLFEApe8LUJ7TP6YmgG13N/1PBA0FnNz2+OOLw1R1BGIzWT3iI4 vSAdO89LxnwekFjBaHo8dzX+NQVwVjbnW9FhUeiT3dPSWkYVlauC4mWurd/rWxfpCVgAw3gATQZO dhMU//tDhnolHN05Qzl3BKCAO2FqYL4vCavOzLkg8PloemtC4GrD2TU7Ze7xwqttejEesDWjYdDb nYxGJ6yiccOBCjZg02o9iS/yjXVggy/1oZ0SFkWkw9R5kGocDvOL3/f3RU7KERKNUp3z0RZ4zcbs sR8sxI4XxNxbrTaabDf6G+bOXaOKbBCc8NLuU7Hlf4zSrFu6E8QxNfeFn2sXjlW6+6tK8wXVilim 7zIQZKs6xAoGxXFJ9u+FnzLNCS+oShEwFD5qd+Y9evX722wkU8swTCmnRpWHdkskidrACS5C5p+f rArReRCM2ltPN42QeIs1WAjBvMYZHqCI9IwqCxDJwnlFOqxzZ5yloEXdLP3pZHrGntNF2Gx1XQyh 02FPcX0CxKhiYdJIzR+OL62XUbCccJhGe6WZ5mef3qMDwbsQzHGkTjzBVrY0B5XGtW0MdoZA/wEl fOkdRv3wpigo3MCMt6Qh2aaoUgMDw5G3/jCrJfz04yUDFUQkRRF3ox8H+eIQTPIexzR7EHEqLa8J 0tMLEpdXSszjsQl83yaPPT179f0Qr4rpfuSQlgLdche/z1zz1PqPJjBFBM2Xcz+wUVzNNgtN16sK /BZFt6Sp5uI3nTC0DkWTrQnh50wcovPiztpcK7DsOHWecseqmI3+jQpbn4vgjcYZvDHshqvtE9u2 CRMPSVzboSexg5YJxWQzrI8NJygm64GHoi8tA/1BEjUoGdbvtv5AvA2OIiXXw1RKPsERtTT92qQg keqiJ5EL9/r6HPQb9/UR5DEVvKN0pZMua/QiFldvw9Tckp3MMcvhsaBIbXjbX4FhFN3k2AewfMSH xhd2nZNyTpszEpZNQHw5Pwo2YQpjIe8B48MUA5WeIzNhRUIaxGkXijceR+NQJGZZ9CH3/Oe6nKzF wjFUzZYEREssPEIrhJfi3LtPOdGEuwd+aSqmLDnVkyGs8B3ppWJ3SYzuaCFYWCQ6xGuMtUP+Q4pc Oe7y1zFoTdPWw9LBCmM4pObPr+13nV6HYaUi66/WhSqmZl1Kgpo+l2cPZsx/szdEUqtV5Pw3dHu0 AlIaiY1Brq11FM9RwtlLaRvHi/RRyAZ7w/9YX4EC6PKghtiBJrbfQ80/w1pi5+K4aF+YKM5tkRKT roAB9VkDe90qfZRkWmjK1D1tBWy80tlPbbhBBMhuOWnFQC7Jz8/6Kircn2BVyiP20JuSg70fxVkH AlUxA9XrUiv2lQxFYyKGPGoYDv0FL0un/vQ0d95/hY/BgOVtTXxGJ4W3vA6dz74xEXcOtSJIhrB9 SBnqSrS/623uvBKDdkzkgowpqgg35Yd6s5zuwlG4wrW2w6+MDTMSMax+uOcIakm3z/AvW2AGYHQH VzG5GbUboC55NEo4MDVH31VpeUQkkSV67Y+pjA+hxfkQJrFSfmcy2rkhx2IocF5XkltJ7UNxXOlQ GBJBjgtEszBpwiSGW83Oax2RCTy2dV8KJyszwtgMhnhCZtiHm3ml6HV16ByCOWYF+ivlkFRGKSXm 9j6hLWMI0z2aEJOlLj1QTfiNxA4Ec9Ujyb0UHrMyzABI5MrSF1Yz+ktyv1Xp0l9hitEXFvs2apWb 9UJqDD9n6RBo1GDDYT3nb7DR8B1qNX8qOq3HH8ROWtu7NoNvza+KeezbtDOrOQ0N679b5G+zjgKw SwURVd2xUT5bLTapuIQl/FsP4YdlPhHhmJAKTQ9/xhdxWT1og6/sVPVn/Pc2oSf2O9fGH8NCrkOb 1NaN9NiYtzk4NJQxmHXVTU4Yh7wQLEwynOnp+b7d1EDwX+mCki9uo3Mk3ru7trqJSUr0ETTHokn6 6mqDrywraIlUZU53MyzJrPvGIC3ar4XH8KeF7w1folfF0/pvJ92OeRUOzJaXdSX1PxkRa2hm17Dd vAf8KjabvqAd3rrmCj7eiOAlJU+xFYEOlpsRMOd+dkCwySzIUBjIx/HTzC3EoZKIJxSV4ti2HW8a EDY3f86gEkcM9l6C/C0jLcNyROj3HVCW/mNr0Z3iSf5IQYIDlwFrg5uMaHcb+pgrR0VpH/QVUrgp T4WaW3eae47tqDIRODqvqUgfNlFG4rbKydvfKbaMrLjIXcyqd+q2ZS0kwDODDPOrdhYw0rC+XF5K TKs1CYNOqVKXU9VftAxP8YvWpcBVg8BLUNsywmB5AdIJGZ7wuCSe/NKHoVXMhw2YDhJT35kk8dlY FIrcwQy46iEKxyA7ga/g/Cid1J8DM6F+Mnwx1J1DVu575ePmuX4GgBRSb04JmtiPi42ITfejbx0X uYeu4gESmB6bXe269R/PW1WYawi+kuJhj7+ZElvJrwM8eaE0vcH9KB2le0DPn0KbQFowdklNPkyx lreBJLqQoPv8FiWp/sPth15tmYxJEE9JKgdQleu2il3JWiYfKI0jbvl4MkaKSjwMPFTGq7igyvDm WSmLzpnaQ8Qxiv4UAEhW7e2m4r89Sv5mlq05db9tgB2ZeR5SNmG98mfmAHlabArCZFvDYwjY8yCk cr8HUsY4to4c8yZuWfMfNrlKSCVsBSsqAud4zs5qYofesjskjGwzRWG/Q3vWQw04tD/h9Q25S1NQ k+7LGPNA9GsGz6toEM+2gGBx87QQOeGKnJ+bDxCVfXRt1BuiD1hoDAjoEM/4fbkSyKFxkSG6TtfZ jRa4impbohhDOM44szBmx1FSTLpo3Uba6yrTbbNZFXF75At0uAIB6NeK0kVz6znyDXgQ+7hL0Wdt I00mMWIVUAYnVE3oMg411ayIoBfio5Ar/GG9xx4BYIrPtZJN4jVXXeuxKV9dVNLZYDkrGo7i2NOl vtJowtFfph6T5WoCWTTfXJi/koZzCop4/7iS/4wgluRQkGNBhviFpeEMmT0fooRtwwTDRv8cE8wf xTzyeV2vQ20K83nr1QFFir3tZYu7LGRMk1t/TuAy3hFbCqXJYOAtQ/xr+lgr+3m8dgvPwnuuR9h4 OUecIJ7JsvN5IbCIQtrQJdHGIRTZGx2WpRLOjalV8IyhlrTSd/fSk4fdF/erxyiVp8h+OQY2tBiv f9gu9UdlErDqYBu8pY5GpXquKWXk9fm/k+2Wy/qJBQeTAfNmrSeY0eBdUdAN5MP/LCFaAvW80Xz7 Ysndr9o4KvQ6fmSZZrj0WQyQnfpQhwZ7V28iKQeTR+ChQw96vkOMQZiVfxanMgokdtmvW81Tkbrq nWKnk2z5gk/FJ3m3X7w+qR0cGXG2tMb8aeQuhkoXrMr2mdZpxPhfzWqOiqcmheJ6QgODj4OArH4U X2J+1uZxnrMgdscE2EOXE3DVk6f8+utJ4fY51TAML7NH7XVcKy4pf18md5YoUS5JRf2Qb66m1Pju TbSIOl0Xcjl7i5PhGqemTKd7G5ihqE1XQ5WwZZ+6NkHmAfpJyTE6a3/oF8aM0SZOEooIt0w/JrKT t1v1G6AedZyz9PtcNvP7h73QODFmxj3+mksiQEX+VhokdkG3UKEY+h+M0fkXg14LSBhHjWS+iac9 4dlaVQuq4aNLy05uHWmbUfvmUSKL0BfMKzgATmQ66ArnxRZ6WjU0qDcMtecL7h8hc4x7/NzEErao JMVBga5VqdMnTGcvFlPK6VBQ1Bw0wUfwtYJZsE55oEn8WhEL9nkIMQD5lIpRrySHpdeFv1Z1Inyd AYz6zEGnYda1l5DgR/lPvXMBEjXgM9nzJHR5I2Ar1K69ejiu3o1AuKNALNUTvI7q+VHrrGUVTY/5 AbLConSugQqqTakbBXjDzls5tdmY636bif0B4/bEcfV+X+0qDxAfgubjZ9Ni9QAxfzBbuq8qjKBO 0muNit6pUHFsCM1Ums0ZiseFjZnXpNrUH1RJr1pZ9MRLPKZ4iDEvmkYLbcRyt3ovpQXEFabDCQm7 wVCZvBFb66F8dtwNl8oplTtyq8eB8nOCsHhDvZB1GXA2ALZrxwA80urgWuqvN+m12A5UKwAZHVOh cYU+XFMumXF/9zraIjld+peODYgR57usd8axlXEh7pielCTWRj1+XWysdH7jPocF+GHjvOUJ3gDx 7UmGs5iloDYShbR01vuv1SribD0cl5IpUuHKFOWsjzCXPiGAEp1SycgwKqsYBrTdN4xiEVa8EFIV GiypP5EF0lkF0TyxGSffXxp9HZIk5xBWp778UsBAj/QEkluPCd2PIZ6oqU2oCloxZ2UIPadkIdVD /rJa7YT6Qrd4QZHij1MJMqnfAnK8qibxjsqZmzT9UuIb5yQLLAuh/m8EDs4yJUHkGdGDd5vNTeso /Qgnppl7WwpKyHda99x1FRilJrMW6BwBIJoB+rsadYmvHcLbCbRgfs3QQsz96o+oq/VzKaThJSCt /LNHuQFpS3DiGxeFpkpGYIZtqw+H3zh6gCdiUB2Xjb2SCvc0WxCKsk/2GztOAZmWbfjYmGRLHLqG pOJBRFzDOjO++NwbtMtqxGaJyI6/QqTYbS1wiexXGHSBZX8XiS0b7sRAweMZKxYwHBKG38DOXi3O lpEr9dCqNZDwR0k6myFkWeeZthTiyyMM65KSAuYBqSv6rr8ypXclXfFzdNlrCyD5X5djklzpC3nI k3YbzZmXKU+3Dcob5O63u7wk6XIV9+ZtcSbhd7BE62lbmKqos8t0Ny3EEzUWsn/cks2RdONvllNW nf/Jg2k+gr5I27FhgsKCgYqG3+dD+X8+OJ6y0rGoP5CfB6yU+s8wSqk4XI1emDLduEhMjAOo17kG UxB4+i/vC7OYuGbO1Zwkvpf7q5Glp/ZMfe4i9AscS8Qq3xbF8aME/C1JNYQOnS4C8OWZLogl0FwT 4Rt65sqmxHWrmam/aPg6jH+5XGITttRftdPV6D3DTM9OUTGLeTxG1BETisSltcTXmsRvHApRM9HL iqOegCytLZmZQQrIc0mngLaPHCG68RbzXmTvoVXDQ8Zb5IWLE4DbGAljdDdwES/gUvgv/498Tssi oaFjBA9eDrWb/9xxzKa+LPVdG6c7iSRYlkoeyyMWQPVYSl9d3FBfK/qpABDoafEsrUX5ipBErekm UQwyeJ0SkgrCi9G3Id0I6QAPwmJs9qOM0P52G6zMxDxP9nzk9fOgiEJWMyMrxnBq+bNMAuoyzfLE o6XesIxee7rqpUPaV/kLZCY/raSCz8v+q6mW9LLNvryjtC+huDv8NMoA5Ah6xhaukteJoe7/+p3a uujt5sC+smtglcQQyeCCc4JNfyRfI1p5CRMI2aEnP1Ym9AiijXgH5/qgjB+fc4NEA7AdNP62RTm9 r7o1jA6mJ9B2cWu8+THhRRB9KeID3KSK4gvuRCSuQBZ/Zyhdl8moKc6jQxzqoEHoOqZ+JzwSpxp6 pLTbWnGnrvWwZ8RXkfPUDDjyRVRrvIGGtt2BYJvWS+PBXJmVkWvQtosWCMqly6C9NoZeG0sHgU1Y eHjA8I2DCVu0Khvt2EVlzFv69AAI8VeGZn4+JF6oWLihC4jZ9Q3U/n/Qn/AdasenEhcrqe6yIWpY EiombFxvMrF52q7Qb/qytwHnbAluld06SK7kBNbmwKNglnsYnY0YEHls8Hi2fO+OwbVhWJDXRiYe 6TyyKdJnUyHZSYmE89xeNyWGAGEauxV9DgftwiqVREbwiPVcP2Np1dwy8Q0S9gbkNa0PJuXty5y3 fWp5/mxF/4DbTD1AMdum7//j32UE7exdxWZM25DDf60myjrPqUbHyYuF0t32oMmeIYBEZdzMfZ06 gcwkPDUn1dS1cdpDK8MD1ZhtCS/TCswCG8IyaXEWOTDoqxHIXzF/oiHy+xq5OtcBJIjOdwOSEodZ 09aTfbFE5ToFeHnyenYmlnh9iKkGNQk//j/uSzkwRQ4AHPWD3MF7kRR5UpP0lHOS8FdOXnCA6nBd Sd/PH1+aIBxLgqjSWh4QIJ/FJZs+p7zGOwRXR/rTAGcFwCeRmxHZGqka6ZjYVmwqtWe3ahLkuVjO A1+bPcirqN8WPniUaPjoxo/1ZRM70IK0RQ8Vr0gzcwEMY/RnYyyy/owuXUf/6WdYgS4DmN+fUTsl oZojRkztdQ4iAMzQV2fIYINXZnNcc4mJZ3LapoKIOyWHYr/CkWDeMN3RUgyppbbBkuD+Q3DCDLa8 mEdUHogBKH6OqX94rJKb3DDKkoR+lcuI06ybme0IoSIxZRIWWb7BKYHOePUZE+z++4uVcaYgdwdQ Laow7hHbN7X+vCJfwRaAdh5/YvwRRtnwpsVgRui2PnjdR9vddnKVFGru4hTGr/NarFS2fgjv7Doc 3m6J1s+xvJAT/rPYG7K6guxKf6fNjAAO0m4PjNz2dYuiVJFGFfVC9HI+PqPsdq8PnHKGYiums10+ wMo9hXx1U4ObSmjDdIP78VR0o+YhU3CPMBst2Og3vb98SeiM4ksR9DKCl5EMGuGV7Ihq+HWxJETH fcvihuNJBadbLTIiLWJ2TZ7cZkHcoeSL27ASKUlc0YwWpxi3RC3T0GHw2PakwFTKmHMWi3/4dbN5 jk4j7eM3rEzCPkMZ9brlr6D6FhZrmjOlYEkT9vdz3GQ5b6+7QR3mM/ZcjM6UyywZIcxo75dIpiK1 IsRaht9bSyrfSUtoZAUxjPgJJ8adeplGc4ObIWkT5XyRL0ibLAP4wsfok6Qgvu2EVuGm1TXVA96y b3EWKroQM9j2s7ZWzcgMvwLpdgA0rGRr5+R7CTf61/wqKLTHDexxzbe1x8q+AkVhM+CCRNq3tZAi tmGfvWnHceilnoKOFCIpeS+frrA84soT/OD5PtxPrIesbxaYNBHqGf3/ZwP3sMcuTZCRHH63rQAO Nexx7nTRuzsQjPQqLFLFWZ/4T9fuQsX9ZuGWlGIaxcR962WjhoazVTH9WCRVLFrzmG74v/i2RZe9 anxMAtY+LVGv2UxNf/dkGXmMKgB9xNFUXl4XOpmJF3MFXuCroNqScEngZ0fGLE2MLtAd3K9wWz0N ZDOCpgo6EcZ3+rY/syphBojuIahSfIPVD4scWPhOFcq4eCG01z0+VwIXx2a+JZaxbXv09J111pzw ykZuAZ4ZvSljhW8+KAwtB/h7V4v20+xCLauY6XWdzO+ZFw/evhJd154DoVKYWtSX7BRtAC9g6gLt xRvDx1Puh6OjgU5Q6bnWAEQv6++j3ul0RBkb66/okTku+pBNeHNliO/o991aAhhu3ZfPYBR3BGfb 08beJv0txE88/zU4Ctj0ACBCeCsJ7FqtAftrf6O5oMOeh2yhW4gMghzgzvG5kp8YOWaDpH/cFcpo 3OwfMLa9pkH5hsuoPgWlB4GzkLOie9wjiQDFspbUAv5gG5XhADI1Vj0BxMS3fLjSyugyL7rBJoeg FKVaYLMiVrAEQ3z806VfNfHzirI6vv8AguzADfodr3NHyBCQMeBjUWkWkItZ/P16o2/ig6xE+aS6 mnQptYfLumtIx2RYy5gt76Boc+Q9a2G3N/8XcBkpaH3Wgf/sreGS2z062un9seyqPrAGOQv28H31 +G1tItJz7QJ6eBLsuouieZ9qGSsP0fg/F+0ymE7+/C5IpoxC1KvUSBLQJWQcs2IYiVGVNiN9Mmg3 lqlgVHgIZvMxoFZyItxoHaY4+ExgzqJowpnXR9J09ioTFvOXh/HViL7hnZNco7Fdm0O0GvCrSrmq yRNizVtnc7QV3RUEnR6k0pYEFPg5K4mJ28Qw3k1PVD+/TZHIQMdDp2jUL4a0epiTDWxaO9SqOcRR gAdfVe9hHzfYxHMtytUUVLJXXjQK7B47PJVlj4RRs8QDwBh5mVX94DW0knYE9GRuXz+Jl8kZXQKe jY+L2/ZmDnpZRr8kKJxINFaba+l5prWnXPUMtZ1VRMeDp8X5lj3f7wcIcW0cSDwWogXNLK9DkBCR GJx51beXgeGalU6mlneV9P41Gb7OU8OixVq+S+AzqgsZVbDgElT5zoGA5DxcLbhWIHQSR0DYTx36 iNGHf1dGjAn4xH9yQHC1nb4oLaNtFViBxkiUCz5iZmhbOicaggeteM6Mx5ntFLI58QxTc4hjWuiw 8G+PrQgMfeCG6ut8DjLJt3/EWcVh6GTf5ULs7bCaM5aEZ5o4Z5BR3EMGvYMmpUkuDE53BncUSUqJ b9xBDTuEgGEOwFKaXHoKFqncP3C+nSvRRohswQr5O2Vf4M7FjRkxuTVX5hcJ4o2mS5fvnRQFId44 fZSY0u3J0+sCgS+cLyyY/5HbJPWSWk/vY5uCSwnCzxX3YjBUtnR1ox8mMyv6orbJXDr3IM1RN5LI m7pm3oRNByaS4VNG8XA2VksQCVNNKGQcRyLH2wO7iTWrhe1560T9yOFoVYAAi0rlkYzmjUdyFBxi Kl264CXRQOrHxFvCK3uDfTTwPnVmMOpnpMec7AD5xSz6YE/UZb5c8aSoa9QfvDhf3HPyzLsTyXzP 8BgTeiJY2JS8zwP4tTHi+0QorgoIhKuvjbX1dZUtMorNUU3Lgu9TR9J9H6WzyI4pz4wphcM7GKLH au35ojOUJvAzIpKXyPTIVMuofKJuh3EA17tsWc2kep6mWttpX5DxkPxuC7+RkbKAep7IDJjgFvSq 1MxwyIk1qlzZCelW3AcUWBkb9yYQJ5dXOL/6H2cIKKReLqrLGApiXv+hFIpP9OJXTl7a5+bWSYrP YyH8Q74iFbkCcI2l6KZiIe31NGEKUaG/CRk9HcDGfdugGzNtRZuI0YI1KdtmotzYkWI/Q0q5atUK 6E8obAQuaC/iz//vETxEPulfmtnSvfKbnf3OBUjcfKl+EpezcczrFwmgeK0LvXjB1KWYzfcQjXPe sl/7s9BO4biLyf+CMEZKV8SdyBF5RwbKBnCAW75q1PKcJD4hVeQqUWLle/o9lnoP8k6L2W9Vb9k6 257pqzZ5dyNNt+832fSSzS84677HIsEbfFkzIuETIqt41nbtD1mk6DD30xXrThz4lUfHb0xY9ziz wwuvGKI55ZW7zmUZLk4L0jVOfACexnc+Ja/NSXnEgArDLQAMKEQHNYDryT3cdOd6lZbNH9ATMhBa /Vl9ogrkUvItaTYkb2v3Bj92b6AatA2KK5qdygAHmvhkBxdpnuyMpfLYULMYZaWag7R6qzpG5Bl+ nO+lX3r4UYGVF6chXPjzGY8GUObVen52bPbHIKbTnDUF/GLFsj/4kD9OTxLwhbintxNMVo1SpxMs s3d2RW0K6DTZWwPcf8LVYf6mBHZ1ddmKOtHqwP6ypMqSDgZKcntvIDnAFQSiohpjyESCLwjQr3PW eFvm8Xw1eVZRwQRbhsFpeUe0I/Ea1rpQmHJartq8a8ltelLM3vXZOvmEwEfF3v6TxaeCX7SpYvcg PEzkGVFf0YbENFxKqd+qZvujU++x6sRvD0TGsN+qPC/ZmW0Jm+SxQIdMuGq2vHa2rAthVYLw+e+0 RosH+mbXplRUK13DrE3tW7ITQsgDWDW/JPR+Kyg5F3qa8FT1MiSMYzDIwCiHEBqwgokzuLsjc2zn tF7s2bBnLbZIc0MurM4qzYmJMqHBxSv+QvJzOtr2nvmrCvSNevevy/d9G4cxgfqkOVDz6VK6oYAP O7SjZmQSs2hQqBn+/zpTKUlwG4xMrm949/TFIkULKldipUYU9tLaw6zKvZ92VKLEFhYuSK7txhQt GHcVRe7hS10rC0OQbD4LLGOHg6HOUa0gIt4dokG5YXwZNUfs8/2hyFBLt2debTLnwaXdQLSwj8kb KHdwrjOAKMnuGB2c84rC+ngW+Ct9YsUrDGc4If+zo/L5zbAG66HwKU4nA0KxoiL6gonH+cv+HPtr x1/JCu8unFyW92xCO7zQVlfSorOLeRHB2adxq3Mal3RNhlnaas30CxBk4+3HQeSP9fwfkdzh/JFM Vae13LNTTF1ZksvmT4wAQ/ZM+u+4ABLbNooPoT0J6kJ7tyegcOLVX3oCJ9tkyGdotZkn49U6TCyT QdDhhLwhspWy9zqf9oLh2uN1OjA4iTTjyzGO0PA18mWEeRxanNbop3rYwKcbUufoGjZ4ssv4ALMV D0iwS9gWr6IReeIQWBWLwo9AF4A76ykcy+jeR7P0NaY0xZnIg67GCIeStE0Gi2ATz4+zqNPY4x6g HZ49vivMS2DNmVrd5FoofgjkPmf+27tlh2PvkDqFJO1QcERw93qePB71gMV086usTDpeTjsSQpw7 oKUR2Ews+R3hYE20/RoJDwKNjia4chURdeibA0FeLrAV4e2kiY7Xy9i3y+OjgdIVfDwtmNbJjIF/ oEYI9nwiNpCiOCYrOtMwII8UDjM+qyurOvDAVEhliA3i3UvxpBxZ5dcEwusGGKceAnZ+i4ckYqWO 25rC8jLEhgNfasL2+OZJv989Dy7Jh4TcLfk3kSFm6ij9pcpB5g3TBYKo/7Gt7S8CCpqJQc1bS7yl LQbNDsOWGkeg/iLsLyucJkeGdzB97h9DFRzlwmBPrxjDLendHR0kBleaZnXlVDqVzRATGixh0AbU CCYFclQsx/kSxwklIdtyfAd6ax+g5S5Gz8iUufb77uFSILjfcRbzkLwd7fB0Bon2NCH0ylB2LDHk RiUdvSPz0lNj6Df+EVRFa4uoiRzrp0l/BogXJuii6LoErcS6OZVRDg+yw8KnXvxDZGEMZ5QaYezl jcmMUFzw9cO1IX0UEorwNCMDAARIk82XWUzo7P65E7iCiRmsdKpc22ngFB2Ujw7oTkwOuWYU9Pmy cQy4r/eNZEA1Ei2AG/c5Dsz5cTiP3ExAmIO9lyi3Tf8X2kp8Bh3t9fifkUT5C7QKw2T9iCA+OYgl GbN/6wtxkXhTEIIbM67H7hetz8oMQxw3PWIU6/1sKCPtlfB+4qfw+wD1wADYlocE0lYbDkXbdz5s gfSQeoWiGioq1E8EOxsHNBjSi2CmcpIG2NoJ/RDl2ZIBz4k+CPKpPzqYnNe1nDcq6HAiR00K6OAf A7EGCS8Nz0G08eOc22pD6Samo9gLAdIdn/xtelFUjOHfd9bB5RewXhVemkrTVXq0w3yI28oqtJzQ xFo4gEIWPoVwrK9DgKEYIC1E+D0EaYcuRNOjfCDIKZsegEWC2M1oR/9L+TU7OpXy57FGUmFMcF0w ijOuILu6cad5t8ld40ztJL/uHBlkruZxITMv2y5VbewHgxjzwMWYv4de94vlLeQlE2iOzn5Omo22 W6eyqovvHVOxeFd4kAhxEjqvwedJgBXLCJasu01bAKqEw2tYqfY+J+vDOC/8LkFmWB7RGQAa4Zof 3iMKx0ByOljS8IH7Vl3b8qH6cu9C6yWR81Kkixih2O0KLGrwfdgW+JmD1IDvDJfYkDCLNRfxl9ZR 236AAgyVj6XqkiP5/XaFOZ4ei5rkrBFYK6B5AXky7oJKumiQqTZDJ7bh74B52PxuuAopdNVS/UiS yKL73CwxXDw45eDvwIPTMZNMQ2987UGc/78AqDEC/YjD/W57LqIqZgTeZwr8Ixa5mc6GIcXW7fur CRadcsQk/33BR2ntpgq1E/BDWx5MBmmYQIqtHI7XYyvaigG75YJBJ1BVV3iOLOtwvyrW9PkyyvnG EPSvwpLCAWiuQ2udymt7fAi+VPvTkyhQRn4Rk5B8ol7/pS5HnT/S1umRqDHs4t3SjdUB8cHu3nuo xJfB8scysFp6P2K4A8tYaTqubPJeJgVe42aqgn/ZxQhTwAx/ACCZXZ0V8lnCZQOIRlj4WqY8TvSF 0Ne+rTOiYvXLDoUMi2xmDiskMQaPPeloOOJ7tNCa0uLgcv3XuNA1Zgn+2lq7GC7yfPHJJa0VKDYM MnHtwq1fBK4iF8afuLaFFOp1uHs3PA3NDfgLL2jrMLS1tdpACbORtR66YS0VEldv7uN12J8XWAhv YgY+EgT1TJzunDdFMqhLWQI7szBFpcnZerQyO0pgjgQCbCmA7RQEoYo8mnJ4xTAKe5UvJF2fl8Ps PYjdfkn+5AlAOCwvvF/aQmi8guE44w1k3wciGZFFMBcN9+q0X8S8DrBffnCJeDhvi2C2rTKHTN1t 2+5FAF1u9oEQGx2dlO2U/FXvsXsACoJIZpM0TdCMheU0FoPl+5r1kHlrVav7LnQ5lqSH3bhVXJZZ d96n0OCP2OTpCo3iynDqt18CFFw5PcAwUvH2DPh4IsYJD0ftifsL7NxcJO6QNZ2Qx5Ev/XaHz+qt /PCPvlYRKuymNWBxeyuPHFnT8PWEeTpz206sE1D9vB7NpKpWz7mJpNWqsFOETKy/OZ+/Ad1bXY6D OI1J4sph0r3OHKqhvynk+zFew+xMlHvW2HBatzSXsqx/+MjLxSft5/jvIWMjCIghAKOEZEoBqBI/ 11o7c9LlpCxBFlFxnOCuEk19qkxaLqmvO4PFWzTPWar84h2b9Y5SqGdI4eKqh/OF8xnYp8xzvr+T hVXgBfHx1aNZ8bAB5sGnNjYSvdW/ac0MYN1a3a5MQOMezo1maKGv+dtHIvQsFWvSaC29f6ZxuEA8 iGhwvBwXMM1uKEGOWg4XzA3nUTR0nKm4bpHgcFfkqd2tcUEBTJPlDU5ZU5E6yUgINC1mFxxra2/U C7Uoi1chZJhRLURiIeIrlx5d2cZk0m927oi44I290/TJ3g2Hb3Rhw14vdhRMS6Hov/003O+YhxCM kPli6YY771sGzqVRyXgq4E8fqu90KP+y3a89Qf5gYE3b9TU8chFsDgb5e8PUyD5xl8JVxjYfmJlJ bLODmoTehAuVKS7GDahdmzsVHTw1DTzzH9zUFSCOuJT9Ug/PpUyem79kcB5NMSgiVFsz9O5UGpqV sCpCb7GVtT77z/KBbYcqzNZaYOPF6WGO1zd6EuGDsZw6AdV1lPaVINhwNVP3dRfQil1a1fEY1iUR Q4sgJSEfPmkQhvl1RzLayFKChV6VxWQheCNIuBFmdoXpdcxBEBLAq81MyBpkNVIXsNClpsOvHoEP Ex7+Eox9pY3QJ42ysxC95djYeR6YJnOMw7KE798eKH1lD9m676ZUDJp+XFFjfxSyLHr1svlr7RQW nfZsqspKgCJD9xKFaLRNZi3JO6DUtBQvZmpfJPTeQsTsj+vb5dW4K108HR4n3Cp+NoEz9EstTIUO ec7ijn/8llweF8EOxnZB8jX/PCMVZyOy2WedLfNZvi8MHxQOdoZ+HsXoxfVFjPL7me+hticfd/Xa gjPKs1elQpo1YGe4rEu7bP4YRzi2N0zOLegLxF6O1/Q5GD9RXMQfeUIErwUkyOOugDX+BbNzegdb PI18Z3BWlKfE8xwKdMfuRtnk19fmJ7Mrwr8eBotX10b0RVC8KGGBs8ubvTYaHDSJ9q0knzap4M7S 3e62AlAcqRLP0FgC5hY9EcfeMGwT/XNz6vnNN6mkizVojprGtX/MCuBa5Zdm7/OKdQx9rclikm98 KkW63+WW/9Z09yauS1zXa0nxLOtOqfwhyD54zcktFbss5vU5aFft82CFKv21FLK3xpaWodyVCPPK wrl1Ih0bW5DrQ5KKYiUUu2aytUx5yox2a1fVF2ihP3nbVnHcVHjLnr/M2FxChn/5XJUwPQ8AR7D8 D3TJEG+6X4ChWMOVgk63aaMnRwzonCSjjTQnmc3aHoINFIsAoc9GxjF6GwCIYN/hix+AaJqJd1KK oAWHyW5UI4AabMMC8RmfXEJdd2wtHWvjT03TkF+02lKhhz+FW/bgVQdAeUaaJr9eNqaFwWnL8HyT 5ds2mm/rl7edcN+ZOVftgKsI1khuFBdo6G+3skT/u5nSl0uk/J/lJVisKgNwtW0IqiJ0wVkHIfVo Ox7Ep1RdZPpada1Y/Jea6MpBgaOUXRDOmOniScToyvMMreLEB+z8qkzUleMQuwBw6JdPxrKSnaUA aUqBl8YPXCsFJ5OOX/7NjLQmMrlsCVWp6Ea62uR8sp9Ius0wUyYXcdU3lC7mHd/HOL2XzVvMh1Jf aTg6NYw6WscoGhiviRLM/kfg7EJJq05nwk+Ofqb+uEmNakhuQklacUMJ3eZsi77KzDrT0aYYJrZP c5+voCo1sCY+BnaNRf4ijA5aDQwMZt9mKevRIEFAUSgKHQ0cXfxJPKMXxfwVwUjDmwIrRW8yIuqD q7ZBavxcmQlIaolIZZc+4UNTy3l5Kw5ZQsJHgklf6zT9Dylmx041vFwFWK3Vp3JUkm74NopLTkL5 01+Sw1SMspKUkEjd2H/84ZwG+JW86CwP2ahql/AX0God6RiehoxTD+Cjbsh5LuMpp4vOqqPiDagg qhpU+GaR+NlMN4HOWO0LJRi2Dy52aemRtsgOtn1774JdxwMlkGb2rmralFWbr2u0UnMi1iuOkbTX y1ey8nPWdOtFrKPpw/Amp5lFIZk3soGHMAJRhffRJvo5W1Hlrj9ZBM6q6RtZy9scp+G7nvvf2TsH hpPuSrMgIiEn5DU6DzaWw4mOfCdCHZw8GFGwIBmEqBwTSDhM0gPdWAUdT53F6mWm/gZ59Dhq5/BC 9CmT9NVoSLcZA87Ix8ipk4BnPwHGhbocgfPAal0yRIOO/TTP8mBJ9xWzr4EAc9wPKHJUoWu8dJbf aCwxbATY/aMlxKCSaUb0fRwQmRcL5uvF4Jt584ix813gXBRjNak7K7h0nDnV13PivqOKSnddk6EA 8J9kjuhGSJTwNRsu8nMAFm2eZz5bJxYyWDkzAPmWMD4704V8uoMer8lXamg+GdIpSCFeDlxTwQX9 8O6LFnvQAGzq4cm+xbHBl29ect470AbJoD2lPPrchf9ngBz5HqYFPi+j+9UcPUUlyk6SR7SSUhDK So5Sej5svxJdawupGeuHVaBwn5ISLAe+Aef4F3ZAePx1Fijg4x/wXQqaafJvcKfEvCgZdH1xZ2gA f6tQoHa/ZjN4yUZ37UMDn0oikkxg7QFyEBOFY9xG5mzs2E7Zy1SNPd9tkeZm2hNsU5qqxTJ/GLMQ 8FtdyC6aFiHRE4ZNsf80bHm2BI6B6dXlP9Ydt/1PW5dgVp7uydRYMrJvpBqdGTGBotWEqEnuV6PT wF/W7KBSEcUCNhyPbQ7tyjUrhdk3OGDe5rItwGw6NfUZUJS8jPJykcvP0mPVjUTDXQbzr+nfmyTU AEndqcjbSwm/83bTn6p8X4oAlct+9F89JFhUpkpOPO6J7u4ib57iMf8J8GO0cTGsManRHCX9in9X MvtCrq3EzBBB8VAcvKHJcv3h4ps6msqAH8nqB196X207BVg1H+A9V3+CKjBK3WcGiQKPP1xsOa+K KXIm2/kkY26GstoLZTTm+Xnc2xiJ2B5IYEkE2yUWRsq5M5RgiDcYoRHrvxhgweVIeRHYy48OCtdE uKcJ7MFnMpjlRImzva4TxYyktMCL2z5YGDQBd64wBpXb8Mp1jRob92Iazzf1Wwz/35UeG8fyA8p0 9IMe0bAGW1+bKrIiEHAttyQoTG06UW9Ct/fuzV1YagPzxWsZG+VhzlgW2Gb8nM7+dGApTMdWv9ww Ov5a8XIDSVvcLmn3fX37wNjA0qvssKwGU6MFHsK8gMxDcCStJawIgo0NlqIuoE9KiFhsFAYe13GQ KgeHK3tSyRormJEI/hzno7AhujcgvNqJDOdFBrNdfuBk6URwbf6CK3bAR1IanobquAuWf508oYyA YXjgKLQjilrnlcqq6MQg4rgiS8fl2ib9Peh4dM+65JbjtAktTrkC3BWqTDmR1JFkBig2p4bMSzo8 020+RV71MEYJLbrgnCF9A13C6xzQFtTy4+PUaxcK6qamqLOfBySRB/5TM9rOoG36BDP86lPRsBvC Ha3WMsWbvpgAk4oq9TtjYCFTbw9moMwSCxH13ZgJdNiG8PxSHmQi7IEZIhS4nPOjc8PxbpISenNQ AadQu18EvQi/TkDpxrpOYegKYq0HPFBeFZfXpRjGyMr1+M6HAaUXCbZKkgFVhpFtfrdXJf2Cg+v9 qHrPOHfK/xzYjphYRzWebi2ZctTCdOTLHEVfB5VkPEyNbAExRL8dvIC2mYiV2Cr2BKtigSGQDsfl ZiZ4MEL2ve+JylNUDBnylzzd2Pi5hmw/cX9Fj3RtAsl8MpS0ijewVvFk9V+5ehF6FafUQ/egXVzZ tif6iMKR5qDYIj9ZpGV5YI0j62ZwF/M9SzjilrQmXjqfFfKqnxfOhDi1oOu3fShWFIGtimNmWNTy 2o6PcJZ71sudbN9CvzVNV0IZlJOGc/4+yzByyOV2ddAz580LAC6FAHqOTC9ycxUKTJb8EA2DdfMf 49lUwk7GrKhqZ1+NX3fhg/1L0sUDFtkY94fNY11x1QLrV8uLZjajnNOxKz8x39q7KG0El+fLuvSZ J+G4CdNVZnR8cip1Js/xdjtTrQRM3kggNFgbfRTRUf2MFSwoK+bi9exHI05KHWCkkgkC7xExLwAm EPxYXYxK25So63+ScFN+z+yWFwNyyLlI5riI4qBSFzGYlGn23K3pmwVTNVFAZqSQ90hHZUsCE/B4 2BZgKnEIwsAk+lMCor6JotiNWOcrVK4SBa2TomjjCw5vR5inj2VxUGJ7CpIuM1Ncx8X7v2GA3hYM w5x5VPT5Lu8I3NFoG7PuM1Nbg3l+nl0H3wgt+s6VFrpmJX3L/53FtGsHzjBbkcaXliVPkbDm+MYs 3j4wo8aNjjy5Z++YD8yu6BlErSNoBbnofDNDukOn+0//Y0PiHNyEntQaH1NcKIEy5+BYclEau9j5 5E/M1vKW7cVUA+nPMhdO7lkfaZaJEa0508URsOuNITaqRb2UYBJjbNk2WECQ1ya7totVbVHgMQ6H wYpVPHAVyiXHYHrKLc6pCatT3+b4oQxJi1f+blBTjNY6qDcJ8MZiT8O2brgr7xXy84KXLccuoVd1 gPCXcd9FhO2iF42wmNm78xpGBdL8MwO5sJ9spXujVxsYwFRgBV8qke3UTZDd0SoX6q+D2dmX0VDs e/FViHXLrqSkgTtZkbHVBRDLtEzqoWqwsCuHuSTYtBdy3wLKlqudmAxfvJGNaF3UrIuOXR7J7GOg P9varSfEZOQbuTAuuNU0RRStGuxxZaf1VFmcUX6ERoZ7ybW4rOo+4xRnKuTEr8IbyovXbFSYm7aj cIbZky4y8WW9/iiGccqaSNeEjCKKybl3mCQAyoSnhb3y3lJONzy6b9AacVEvMUzUACBj5RJqtGyY 27osh4Vg6B05FRt3vT+myZfuokrBHelcAuZTlXnRQFfxIrjOcs/0VNAE+OpFIc6+HSto0P9Hno9r V2APSc6m8O/Qs45M7VcrOkJuuEBQgN1Iq+b8RvmWbm3iiOzOEqrKfS7mYn5lmNmDeUZcLRRpENA+ v/jOaSFSIynM1vkJc95opy/khwciDNvprrHWAYurc0Bkv3IGIeY5wBGtQjuI+2LOC85iUHOWXVuZ 1AdZPBslQF49EJkuWtALyd3bXYvkYz18v6aV7YuFR28DaNhcf6US+ZsulZ2F65E/Pr9eNfG8wwP1 NpgvDHDBDXmMAQca/Xbn/9VzRtqlsNtW9JpQn+pqxoemGaw0nPOBeL4xTbPuTRWOPOMc7DU2ojn1 /R8d20oQHKDQoXFZ9iVkTCChNZ9udsOwlIYulDBX9TihJiRwvR6eV5vyR8XKPi1XTGLyxNe+5hnl afjubl9c2Ycrup0eRWK/tzKg2jtQFBkIdRFKHyAg7Ylrog4XOCAPIZEgMZFK4kyECSU8zFxP/KMO lAM7nkgmWkEuadgwnPFcUZ42FeVd0nblUKBUQly6tyOl2S5vNjzVe3J3o6YSJIrTUqT2sbxahVDf 5GaVbuJmrpo0P1qweQGDzCx0McAZD4iXA5I4HITL+fdkbzhcq512QJxMgCCwtlQVu/SjqeVwa/Ub zew+J+v1v3lUyc+8mLoVFx7VoQDvfSDTuUN6glEDrt0oXF7DyDUMlK2lFgPBA919Yl71oIl6XgNA tobCS/kuKyXYy6DpD6wBb4feA+YdSYgfxjR0JJ43qZLa3OVtvlFvhggBqSqsT22QDUNJJ15oil82 zhgXtXE/U+mQVWQCMCzm7IgYRuU/0Td1qOmKLFFhBud+Dvb6cDrWvTHNupyM+HnkHEBMffau3CD3 qNJoFzWlgv+2eHAXSPp7v+qLOrqBulW7qgK4EJkjSGNehAiDlbLjw3yJs814GQL82SaCt+CmrBfX qAkMbCX7XnZqmljYnyLwqbYREVRoG2OxaaEOJ2jdDKvvATSkRc2GYNYgr/zitkWIDblfPmasMoHb a9SzCO25XPz0lYqm6OpEUecv4D9JS2gUdMe9NEeQGFK3MZ2+qeuRHAOsRz06BU4Q0MbHaXlGYFeO h5hUu3shJElE6qDTfk2fpFSl6PtxhbZHLKTuE6DFOS8DGZpz8JCTrGTBwjuZVzbnv41JxMaRvyp6 eRhNP4IKOXtrXTmugsRF8gFjIoEGPQLY1m4vFiFfuVTN4Uw8ThKmIJXh+Lh8Cphi72b46NOVtmFc kd6Hf+JlyBvBNK7+60AMPiEi5+iNw0swQlZrecYTSHOUXHYCT+wBPoJw33ASOzYHezf5jdqpQb+1 rnqyI4xlZLpRXyMemtqFA2FNtEAof9aAwZBBEB55czJ+1xByxot5BZLshXPD+iPooGUtA85hESIN oNu3NlD0zwVHuAoZCQKcpFfd079QDL95SOAOj6YcB9TvaQWnrK3GYNDEeFJRzB+J8YEEHbx0KJqL EgL6sWe9o6WJSk6rWy15SyLLtjoixKsyoGWz34AW590Mpcwr/0wiCkW3MpitCpXpmk3P2vxRHMjz Pko1/ngw/cjEEb2ApDukDf75OPWBryQs59m3K+Z/2aaxtV/yyMoy6fVuFqtr6TrWxXXGK5kn24C9 RQrbodm/VU6cCqPkTgjTgzeyv22OF8QvuQnPX+4BzThpTNkuaNbP75WGaMWTwaNZGVbUIE151p0H PddTG7wQ7lW8g0GeivokyEPkndRA8Uv5H9SN+okihBqDl3qz+UyJ7I72IiUCKQv96FElXWwUe2vK hKVVy6v9eP49zfUIwzYowmQIt8dYq+aEaHb8IHmeCim3L6LFZUirGhejg6gQ47ySDXmi1g4VNfGK GAsZ68KIoEAWOzW8YgdNJ9801n1xnQQZzzU7sEtOzWVsu961xI/HlHhyp6wi6+pvrwcaOeryxNvy OdJJ8LUo+5StMDymAWDunFmlhhrJcYb959Nr5OzBebCEEDcmfwLbOzFwnQ8K0aYhoDl12tf0O0DU ewPf70ee8/R5OEDFrirw/Sv534kra2DBDVd5c6lC0HktMxzRueZ7Xlk7xzCQa0NTvWDuD0F8YeWO KL3ID4gE+tDGTqEWs0oC7OyZaobZmpHg85A1LCHJkq79QFK2iUpeszfywpNAWiaKxbvCFeMXbwkb EfssB5iuB2lJsh2L7315BBTbRUX7BdpWQ2hDyjZmb1MeTyWkhOZB5ljnnmulS3tjhH//C1TNNBjF 8skK1y6Qv/oEBd37WbtansyU7CoPebN89q5Rh9hSPR53CXfQm1PWYhidrCJSkauQ5yN0szoq4Xf/ z9msaa47E0KVgOyuwRbupecl5tKONDxrpz4E7BUHi6VgxiT3HXY7fkHYr3hW0JNI67Jl8wbn1mVU aTrtECNVy1yI6npk5QOSSpk3NwYmbgYGzBXVZPZUfD0Rrhxk67SYLTyiQ9cMyXp+CclUtl00hgOo sMhLCekazlDMkDMHChF+9qPoCN3cxpfsYF+1XAUd+nSv5g6hRXuETZSrFWyhq3gASDD6mG0hZSVm cUUHBQoTtq+f7zJI4DARiR9IaEOdHl2WyfjeDhpMdzXqk9R4aT5g62xPlHYQK+n+Eo8irsGU4LCo 4ht1qTY6ZSV5I6syKjJrt3HD+WWQ7KLir0jWT17NsUhVzWtC/Z5R6FKT2DRtezwBO0LfKpxcoEc8 q4xNA8xbZQyOq50rXEcABIhStuLLW9SafOzbedJlSg8kbYbTx86L9O4D+q5yA3QfLV0/XQ1DJRdH 18mleqSz2jjgGteyf75mj7a3liZxbwbG+ac75nSc+4oKkM4kESs414wbZ+ZBTaUQ42ZH70UGAJVe R+m3oHJHVIOMwrLQSXMb8hJ0oLknKHK0e/Is5eBJ9L6RytfnVuwg/kRdZuNGst2+L5uTk8t29Q8c npiiupa017w2Nm3y7jvcON7IwLHYFAgABw/o0zc1u7CDZTMmJ07vjcdvnS+pRlLrPy41bkepxdBk OPXIW1GjOIQ+KKhELbhDN4YOlOca3Xx55o32y6LlUEv+kC2xqbxWiiR07hpWsGka3gjZjxKAXs9K gA6NT/LLosNK/H0yuimNLuj8IRfO7ClzpDNN5Dc37w8CZa4c4sy+qKklKldOQMtkOmUSY3Pjttsl ULUI2EBWkU/bAbp3sl9scuRPFEArinvgZ377Kw8ERl9zjcO0tv4C1GVLsBVcI0JMspHnsjkZCyx3 HD2/00ZOWerHx/olnVAjt5J0A33NTSFTyTnNJLMQYljfipOdZ4HDJYca1EnYeCj8kzZ69tcYjRGP Ka2CcrcTWN5Va2aOUWEM7nbNNhZhh0RZod0/XcBwDGzPqnNinRSqCaouKY2Bd4d7Mh64GTF6+XTf LNP1c3lmRVUMAVNDUOME4WqRrFxtyKimAoJqnTIsPBgnpN3gdHNIFuAp11hM+GfSSJtJuAppXkvY VMXFBVAHrB0Qpk0+tj1qA/iJI3gQFdQ9VPQKOg69cuCo0+vTDnBfMLOq8zjq2rHKcmY5mfym/+6D 8+nQHk0ds8KiRAQp/VrtZ2EabPGcxGsaEbbI3GziN9ZJhelONEKXUuJ3djzlajzspQ1aj2pwxC4U gC2VaHUTl3/GIPEMqb7kA3y2BFcfWNw0YqizczqFSR2kHnuuSQFJ0q7HHmGXSbz057O16v8jnIIa 2FGqqLS+nW6EBlESSpTezujhW1GVY2LzEE3htrAFmFYyAHWSL79zo2XRfhloBZ5EkmhtErlsQ6f9 viE5BRLPkyHkN9fWsAl265wpK+N1tzHwzWpqL/W+FCaezfqKAxRm6VZz/SRpVibs8DJunX229hMY t+BfdjLP3roDn4b/xvEyKJikfYuUkTy8CJfl3TmD1W6VFIY4CYlLt1euBCz3NCTeDiK4UPCXzkwn OrqoTZLscOW7KgbFR6ROiRCQvWOLHJIXKfS8WZr1M9SbRiCVBy1FQXyFz86mFMSxc4WXdq2As4T4 PJ2h1XjMWam8AppLo+ROW+L+pokb55VJ2iA8umJbnTZ99C97qE9u6NQZ+JI5rvG/4JEmtK1i1fiv OT9hUhN8vYhnaof6PIpt9BKRiAV5brwFZt2vjvt/1yIuVlXOxNKL1NI/yT7odgUp1odFLaULLD2J N4msX0MRNK5sVrr2etmpXPXuKoA1VRV1a4G3yGKxSpht2+o4/QaGBet+fzTX241DbAwexeFRg6Hm fQseYHQ0es0yCwpmJwAuqxi811JzvlmLu5ZSGMSD3oskkpnZuB2viIpl9c2j0doNYIIJzWdhzTx+ ksHwpUgwCua0xO0h37v3GLcFfqNfKCUk4QXIxPu4JZypsInUBxH0FiteOJGEM8+Gx7emUC4lSuNH 8nS6B4JfjK4L54a7zqhxVQ8Fwh8vFlVplgtiv5C4SjHubWknTc3qHbRGhykymETkigtuNRQkFdY5 rYOQWSA751aRbXmgWjqDbiUM9/WW4wHUe4rsNeJNcdcYndQk7KvpCJqBzodx5TGApbySHGZC1XZ7 QYdzRd+zJv3yhaLiDxXT7zpy3O2xEGJcYDrjTSIQyzGy+PnsDCKolz/ZRi3NOSMXvdBI9Nx7W54N SAMJmj5+gEYxANvMwZg8jxktfzm6XpSYKMi2a61vAmKm0o8sMZgf8IILmO1SDldMakaUeEX3n+08 EUB/Sd4sG6emzw+2te8h8NytcOeovYVMBTkmNIa7gv+Pnib7e+OKu5FELXvNtzxGzXKHxLknmXjW oyd3NkUHgiH8lO9WQnI8mkJQJrhURJbd+jejxDKmAZUbe6Mf/FxQxjfW0e7k4KDKMyGx2zOOayw+ LKd9aEtuT39M+IbopaTc36pmugESSQwTuKTc9yI335t8L2jJqJ/GU3VkRyptk9bKPowqmtPoQ8aL L7jKSPEvSjfoGITPk/DAnif7mKxBGvZpzZxgL6lKFI8/cOo+1pS3vZD6l8H7jtHPRFeWkRGI8KOw l5wrVC4ekK/fVDJTVzcf5nHDLGzaVQJHslktqsiD0oWw8iQPaoUC510v8cAXZGufOs1JnpM+1Olm LtaEJLOhOo6AJ2sHcW1cSUDesqS2aFeQuhMmnEhHAbkrGes7RQsH0r8BtKSG/A0Dczq5I/DkCnhC aG0O3T0niy0nAtlMRMJCekx2pCc5eqHFsziaEZQKGNTCfd04qZ5F5fVIrgPTOhJnYW/Ppm/RF28S ofyZp8ozso9KM0NwSSyw8Ep4Mn0q2YF5fI1/j0shOQL5Tzf0yPkhQHQWEX60kVKOE+m89J/9qo/4 /6Ve0VHfzeNYBe4FTtGc0aY8G/XIEBpPTZDMe3aMGeco+cUy9Xap9uYCJ29D9mH8oX3SdgOqq55y vgC9mhop8/h8avtVtEv/0XoAt2pVWWQHE3l1drfynPekg7P8rrBCswkBuvaDPw7gtggg50jrntgT 69u7Mn4dYJNIZrhk0Gd4LgOuje48c/0e37PO8k+EkW+C6GcnbLfhGgb6QV20ehZhPChutPff8ohn FA0zQKV6s0jYsI0qrLY70CHUO2KMBySWZcjegmOFcMxW3pMfiAPCnGVUzcE/p5KvCWj3MPfhPtyh dWQX1xZhObZmvuYmGNFSE8nfkomD3N5iDNAnc0x1QjPZWaaGuOcV7TQYA2NyBMuPmhglV1aCDpDF gbd2S+BPsXmDxQroGC0yCeFW4rsXmk0vK5j3I0ju3uxqD51Ulz/4ISMGE1mfq52H0h0nPRnbUf/d fnVRxg9XlRiAU9l7uDuW2VhRp5cDhaF8mbXi++rr05OBejhSt4+cV0Qgi7g/jCMMsNYo+zC148n3 8rhTwG1tObpgTN619glthyFYs8bkXxXYPFkb3BKP2g3eq0goBDtcCDPFGAnBlCvCjd7sRsl4mnLl y9YQdaNxOKbFbIEmN4shb7GwWosFA23Kz78rwk6KRrqvMxCN1N/gBGbL+/3AS87pGtBs02xYQCWd eKhaEY4oH7uIrmfS1PqHzTty0yiHYaAZFWSxTno9iJjHm/g0D3hvCIF3e+un3Bj3r4TA2rKDow0K 4Zk1IU71fyeZzjXQpQV05mL0WitpmuATR2cizXQUgcFy9VSaXzbc97rtIZvFXB2u8bGDLc715Lxh wCNCTTBgRCTuiS4CuKjCqiHgEY1R17zI1eV5aVPhJhus3HmCzFfCu/Ptzei3fP6Ri/aZK1E7eTVQ oYWOXYoyNAZdAqE3VEFEXW7mjoOvNMrxcZNoJ+lmVolfQXaEMts3ToSvApc2WlA5rNGFFUdKiXyZ k0jDpcLpv+myYep5r5Fjn7F2wbmdyvTdY2Jz6XdtQTtN/7wnNbLO79aLyzUcdRmRG8NU0Zgr9KGU RTRNcChoknGL9SEW0VC7S24pWcRFeKgyaksiT9h7JGMw5CzrbKwXY46xVisOgAAgp4zXx0lapXXV 2KK7ncvZ6G/UzLOGgc1yBTT0KAtzgcRBiUUU1WpI7g55W6Vy5AR21Ww0Ab4PwErEHskYGd2dCJbo +5mjXkZgNVn/nzRWiu3EyrkUaw8tWQrI+x6pI4LE7Xd6LjL91I7BWtROfWRrK1Q+KczpJpUOzpzs i4IWYoAku4zskfAYn4biLkWXMo9ttrnZcTaVrKZvwlVQtIs2x9sCa5MNt8IYjz+GDTRgDDFi+506 jwpo8XyTV5MdeVdeYNSI7GarvTiktlBkkVW6V7uzXi/nCf98f6IXjjB3tY5eOx9ZKJqpvdsNZgW1 dCzMffZ0/lweLYbyMf/Y5b0LvALYKXv4N9ZMp06NEtbEx8GaYZXJ0CeQw7SapExelr5eUIRt/rhZ J+CH/GB0QLum2w5zm6UouY3AF6t5JmGQYhAkb0Si3+MQig4DQq9oWzUgZq6/WuXtxlI9eKOI6e23 +dNUHUrLX1JeTjgDdBi7hJJVqz9RHglERmXR0i1lAJOi5Y3wXYJ5t89qeXwOSqsXSGb0/2qlvMUx fpNRnPzXM7V2AJo5ItXaCi2oRqndHd2PMLgFuM86is5pVM0aSdOj3auPRJhuYuGonTkPQOeOtBeg 6QCwb2ssXyfFg7en/zCjlqF0DH5uEI+RZrEO/hziZ6gCUhH4Gzzi6nLChimNPz1OgRgtqa9Fpr+0 0LoGdqR96cctEfgOMW6ib6NfI31hu5BMisq38YSQUwdqlHAlpBxKksubxpc02cQSljQy7bVqwxkV 7hlEGYZdzdO0u1Kg9SSiDyrYSyomdBWI5D7njt3PWGH9L239v9NFZUxuWxKqfRkWHdYuVcDAl+ef lpkFKnO3hl0G4zQtHfVzLneOgTGXDbc8sFx3kScAxHQ0fB5unx4m19LZdE+YfM+TFBJ0ZvyHzJwU IgwyhIpik2n0qwTsitSwxlCMtc63rFRotTyAvhF0GZXF4JvyTOPbzb1ttJcgeAmhsTi0X2i/UCkd bmIiVaCv4ZLw61qEju9aBEKWjiKt1pQMTb9Gd9nKbfXUFONjQXTc8daOzvZF5Sk/fUYmE+ocI3ge uw9J/krTTpHB0c2cFCpl19sLE2UB8jS2b6WPiamnTcQ18sanrDnZDZWaqV0S8LowfpEPHgURwtNM tW6jkbAfuQbXy9BxYnTmi9cTGageCKgsgX/hR3Kwa24Z8QTe0LPMW/NQMG1wzwKa/V8uwshc25nH ZoI+1RrVhw+3C0xqwloRNtWN5WEJmKcM79BLGwdOwFRooK9CofbNNSH+0/S5zBUh2DHXvppGNRez YCv2cnW53bDRCT1lpo5wvDeAWb8zhUpR9Dmr5Ezfjte4yUdLDHU6Aprsgw8yvlHHbLkBAi/JuT/0 eFrlt/G0J095X1YXwCH3LOImtxs8otl+zvlQzIli7vhb1ohx2Fy0YcxxcT8y2wxm8BZD6+AFG8xQ zRgixOxKRpFFn75YS1+Pc83W9A74Dubnyvl11N9BGUPjXkinr5k13I9OyEV85tyGrzzE2vustfsJ M0pjsMP/cQp9xtEaczaFcsjJJBtPNVq207NG/VrynZG1gkXkSv3XVufHwqBTb89GRKTiI3b5qxYt 3ZPoYotz2cr5ldamEqzhVPulPFMKFIqBs6GnLh1JgOuRpOOX+t4xo8bg+qkXzLNikZkGj6GB6Dam bRzPTTxFTYRGj72u6ToXPn+wsPdd6EZGazUaQPju4pPe19p3qaZRM9O//eDbASIm6ekCpPy2Efzr MzO6YY91uZRc5FV3JqHqJwRu7SIJt520CfCikt1f+aBITXoQWs7rz2KzS+KaJduObDE3D3PZbY9i ybljdx1m9qjj2EsNf5PPBwMRpngPRLXWC4BdYjxXyE4HXDoh8M+EcqIJsUhE3UPDfAskF2YOrIdc 3fbCpUAUqFwngwD+rLV2u73OlHysxXSVGStB0rT4Tucn+C+2wvDmfpOrPECX3vOrpsJaQC4YeCSd UUDbxUs5QOHWz9W4V5NzcW+RB+UcrcfzFrwW5ULoxNJ4N0aoWwt1dzDbbJ8e1EDT/9DqhUtP1Nu9 Jdf4q+xxuXdbJaGDrlyTRUk5ayLJVz/V1+z89gGPO5ar8Ts2orfS/YvWmX19/ls1SVhPfhU1v7i8 HS5oegej6caIFr7Z/W1Om1SvjdIsvnZeJHpU6TA6D9ENkxBxuftxGxosFp1uJPArkCWvqmWJFGD5 BExPGkllWEdNp0EQQTpGe8ceeeSVF1eC6Vu9WwSvvUh9WAbLa3IKaFuvjpWERI66HzPPYddU89xI 3J7cmB7p8IV6FvXurl5q0VS5XPF4EWJ//8+PzN9DnNhj/ClxiKFvhRNzW2OA4j48opLvKLea7IDs mnb+7m+E0VhyyYNIQZtsnW2xlu1ujM3pPgfyvJVeQNTlzu4rzNQGL7GD/dhPQQxrV98/dWhNvkzH 5Cwg/Xn6Q+KFnfoQxC2loupNV+qc16KKP0xaCyY7hMtBMbGlUdGB6FyQHJffqQ5BpQPr630FoygU RM+Ru1Nr6fdR2KumVrVQUmk6qkKvf9PAvoPyGULGisTytwhhHwzV/B9vfMoYE9ybaLxEZRiAavII 9seBPfJTcqWSASU04fyz85hJPXK3E40BZdJlZwkbkekqHLO1jBbpwWGEsnsyUUnVcZceJUAgf9ku BdPytze+OeZEyEBsiOtQQzoazyd9GgvIAcjFIwEMM45ptPhagDntFmj3Ek4JI7DdrjIF5vP5yOsI 2BF2K+X47GkRk3rycqQtmcznQbEGkeCGokcecb1yGsPOPeSQ9dHN5XJociysHf9IJGwmc5MHRnDd u1Y5QImo/fLo0vyvR0fTBDDzvBEUYyh0rP9RXdkCh6x/qTPrlg+ztwJIXrWOioPB2xgLN5jTq754 tUOCF8a5gXHQ8lUJxDl8dMTDq9ojLRq6dKY3Auz7oIoFeiTVfMYuaD+IWxOLM/hfCqA+6aPdfESg W3SxXj27Sv9NozJ6kVWIrPyVBudpIZRvA8HLXxHv5qxUE/B0+VQ/RONDluVng5NbhlIuhc2MRAf6 MPDcbNO7Za3gV0Ea4cbXe6fCnfkZdU0kPb6DQfPUgDTQCiEsFsLtiD0kDhxUNLJW209SFDIk1hDZ 8Bc97yfktWZRd0ecym/ekv2EOJfT1UA+7K6Q85xxxu8TTJ7zYX/36wqi/9fUDti/H947z9FuYwWF mq/iUmu9J7AoFIga9MWESa0ZIXJ2uINEOrydxQ1zLwUgsNYYKW/0yhMtZ9O4Xb+sJSwAhSOVDpJB hCnHdCKpI+V6JYAeb/CgYm5X6vLTb++fCqbG10aEgxr7dPb08XYPuohmg9Luq1CsN0gsNVeFohnD D6wB2KGjTE0S5hxwL53HH655rPe+t506XcEL/LJuJVHcwMpjykGhx/GIQRKymj+dnPBvHqTA6dud toZQ0auHFJ/s/EI+xUWjwSBioHrewRD71tSU86ZN7NdfmAfN8wsofRS5qlSq88E4a8/70RDpAZjO VBwbVU7h8tAbdv25tYuLya7C/mD9X8Qr4iLG/3nek2wZ4pi1swQWsbht6aGC3ptKZ53m5QvSh3jJ q/r0F19OmwLE8gw3+DwWYQCSjpeQYogDqYoz6iaA9XiGsq2zN3MeAGmvWWTUjtDidjuVg2yN4/uC gspekFv9wWIX9bl/+aA1xep95Xzo+Kfxw16gFZ8QBXlN4Z6tvDdDMO1/VJ1G7udJ3U9xavp3dqtr FyxbfhOcqeChLnI4InOKuIwpCqF7vSNEt8J/2sIeCQmrDW6mYBy2Vr5vehZJOrVzVuHr+GM0SWxa EfAu1YoQB48Cg5L1dEHMXqaf/7+3mz6DUdLbutTYC4xeVrcNNVaUMAozm2B+Dox3b4DU6ZfSALA4 vIbcuiybApnFiN6aBjejV1Scrl1nY9lEIXkN6axj8eIHLv7Q5nra7FjbWhqnq0a9hndInrW4vEAW A50IZQauSYhk/7ciz8LM5uUCk234Q6IgCjdTNm9AecdHtFSC1x41zaZguy43imMnnvFVq3Uh6uF3 u1TVKGzBZZ+nfSbAX6pY+4zf9FqswaHUIqmXA75yDLwk5XaHEFdU36HhE0Xm7rw17+uTzKk+hcq+ +b5GaiH8s74A8vLb+GK9gcpdHDqxfkcTdrXiTZ3sWXh30YSG9cPJJsTH20ksDXarFczXrQE0/NCN DzUsimCVlxysYNBXQSZjiuig8oyu1ImkxYUxg/YvR9TbnT1ai8ctMQ3farTJfGXKrXZY/F4xvHPp RrTK2bYInU9kZ6m1jcOU6xOwaR9tiTjF0sW32cFhw3fLjBcZ216q5qXMtS1ZCkz2hxpSrO7D+ycd LHHa6B7lTu5xIpYb+k44QJ/ALx3raBVVZHdCdFepvlpKbWRzVit8z63/8D4EUPMy+dCKatVTw4aB U9O0TFEIaN7XDt4LIH2dD9aYdja1pelw2BzTDDsk8TZnVk1lCP8a/bTN36TRXcihj8H4Lyvrn7vz N0mg1DPUQdWmV5IXYA6JJaaQSofbaxHJQgAVi4ZQ54oOozNynyvXvoOgUsicWAAP9wqGQOb+duNZ DxlDXI2NA/Yo4ZF4OHfRpHLaSqd7FwcRc1cUAhx0ZZk2sULrY592dT1K9qAQPftqHkIjS1vJ1tEU xxXnXMz2BxOqX5lk5hXBtriqbkZaM8nwpca+cHnAIFD3MCE1WClKQfXHIb2PgGEKO8/ki5hXgbl9 qfXTOj9r91dxUqwLxwE4oSx0NujvYAHXoi04dlMXUtdDU1Pjm4exj0tXUWzX3WIsuLyoK5WYEEA4 tYnQFdGqsiXPiWoHxQuoQHdPxZ1/xqv9OC9jN/Cko3Kkxu7B/8RSYcNJiqjMwACzo1SbUOUXnyod QHP54k1VSKFXAxl13nxOPrxwOaMix6KxuhWqnqbJ2DAY+FGeQ27yDsyWi4n8VWbLHqs2awb7Bdmd V4P/bqqQgiB5r9Zmb81JIeK1j6uO7UZJteWQSg8ft6WyV1YKovrlV1M6yZJTHbRb33pXUnxZ/Ebx 5tTDF3JrKHSp1wWGRYFxlIjlvpuy9XiaLWDjc1BRywPkr4zLLsOc6qLxRASp09VZrF7vkI9ckWTp 16T4uFlDz15uLoQ0JVIo6DvDCHocBxQgGgdm2HcASA5+iw0FGMPTc9ZS7r2NxjzRJ6P0PGyl5oOB D/c7sqw9La1jOaNkwzMOo4XTWo6cVqY8clqcF2uODZDvAYmOSJm/Nv12O7K3+cct/VPpl0eQ75zQ ohfrnyEiHA1PaOtOKr0PB4pd0Vfcb2FdHVx4nNSXVfwIgrYBVQZ67qKI+zAX5Hpt/60xL4hvTWfd 6WHXJuUz3FvSx8WU6va8HgObhzlRUlteIVFYm0QZ03FRPCRT/eHn49p1U1HNRxoNBw931GOMSZDl odZZhheC+QKjMoTfQB/M+AZzUVl8zeduyjImZeG+twrgVbBPnf0t3z1ZIfm2xE0OkE3O9cT2KoM7 Dqo203wgs21tVIkIYMV5j1jb1RdOVKKJSVpQt+b6E/pm7MlPYpJR22rS7N+mk4bMOH1m5rl5qmCW OAibaBxRdmhdblKxXO1Dj9jJdDDzmrfKMClt+3EIDJJeUbCt+T88l3hQc5D31alSkYR6HoDfW/7b DCEp/KhOVrqdtyUlVBLwoUhuj78US5A6qfz3Pv4MndiZxmWF8C9T1qIajlY9zXxBUFmsyBbffjI7 /dmK+pOiPjPzXMY6rxilQa0jgZ+0DNcZ/eCkTQnbZWSRtEb5wN+spo0Awz88znaH02hh/ipGCkaU wGJrBqN3H/8/ajMhXQAfY8gyrv1oJLJk0rzm0+9EAxB82IWxGKq569CIRK8mdAHiTQKO4bowRTtS 14Fi8jZEXNzauxQsB7OisNayxe5uNelYxrEjVqMhMaU0p/ReEUdcY1L8yjssT3KhTHmrQu8K/tde RR36t507TxrM9+3xXERUR7Nv2LkcmnuT7Aa0oklNUsE6BR//7XF+qDlv8dREerhoxbZtLoZQgz9t cWsk+7HaXFrvhDtO3zu8lwxwcWniAsJRF4m0YWr6s6buty/LN8z3bpjiRXEkik380diN1QJFNu5U 3zA4LQe3JzJg8yDqRmJbmm6mY0alpr7gxv5Y8X2dM4VOtpBa+O/i7ku8gbWLyWKdJujLswUGnRmz WMfI92ThrVV12U2uISUpc/VSJ+QxZJpxQvwbQsxQ4XCcxsNcibXNrVJd4j7lv3ssxqcRNafrfQbP fv4DJkUZ7MAdfmeiofgJi1Eq+5fkRZZTMnmC4Tv8Cp7WDjxXS0qPdX7qc5DMm1ymaHV/nO/iYLQS Z2xHITq9x0BKt/JAww4mBMvp7ALUfv2SKfNhsYjU7UkPuAoZ7JOJbQ14N1FhzKrBuOAveFObS/BO GlO0B5I7p3zDZT1yOylwOn7vHQwtu6jDkVh41cy+UddPso/tQyyTKTYJagMfWsgmo/JJPeQVOGMm gYdT0W3rlECF8TnR9Vf3rbQ3YLqcW3Q2CTNjozSvew94XETbw7XtECIaAqKh0R1LsBP5izuaejkj 9PDLwfps0i/5Dach7LB/fskTYs+5gVaOAmOBPSdR4UfuArrmv9+x53kakUTC9yL2t/pUqm6NMcEQ 22i1rx92yiAUwm3W0wNVvkNITaNpEGs2kiBN3W6az2sHNO3oxOoW2QOPpnsyiJQLOrQ3U0aEfeqA lWsJ9M7GOuR3K2qz32OeeCQeOE61WMU4lV9ZFIcjzI6kameT8FDx7OUyu9BI7wKFSRAnsIjD89gi hUuRWcFiS070c45QuJi6DEeDP9m/hg4pK2JY2brUaFrKRJhVtLxI5jk9U+ZdzPYTmpyjiGjSROa6 gF4zepnXHDvzMrzjnnadT4Zxd/C3HucVZkFiocg1e6MAH8QvMn017Tli7vHqm6dwue1H5tNpCm5s 9o047CGomP+7ksKuYDoW2mE74ryjza9kuHZePQjOVcCctKhS29UoeMpi7RY8MKhLT19zo88Xrh/i QGDWqO6xFrqj9Dxjrx1XwWGuRnHoZCXQw0MzvIEf3m6H0Dz5NIj5MP7+iH46FD9T4bASIXJZxRRx XxI6GiyQnlH63Vn0P+oAsi+Y6fLda1j1xxH9VaIeqDuwZc2KxQVkqkAiqv2V9fy8dMD5PBcZBU+j AUEPKc5py6V+/Ldkf37PaZnMh7NLvyWrvL170avhNfNkruOfMK2Z5cpr0syhmWx3ewnZzRJInkvs m8pv9qsjCB2S8ZBjNP3NWIQgGyIJkPiBcjaZiCdYT9ugBYujfl5aLAMuP/YSYrJalHwceTwSA//U LRorki4LPhNkhOt3i6t26Aslcv3H/yVFpqCI5TylDERMnUH9HjE4OP8JFQNqjcEDnAIm/nBPC/+l TcBQhexosc9NgRmO3MgqUuBx67iCIDLR8X1DLr55RjOIqtm1tEenv8pHLO/QFXeHe7tih5ZstoaP eTg+/SbdR0yQ59kiPe+X16auT25LUMHB4dn/5p57wnkS+5xBYZ0XJjAM64M6yKNxdAtd8xoKDcL7 1o/l0ruHGccOo4XYCpADS2Ara3fA8T8f/M+2TO9MW92alI4j2WD3BkTHZrPUBbRDIL5DDVY5dgb9 w26zyLUh7jn8Hi79UJFi7FeSh3bG7XBHTlx7NwCUB+945x2293+K01yFXvMUm3nyx1z1uFCQjYqP AOhoxePt6WNmpA01UNWy6bE2hC92v/kvV2E4PAPijeFiFSLTHbDX6WHs1HyjtF/KeLwrXv6whhaV LyfMaL3Tu2PbeWAW76j+tLEFXFZVfO5aAhX6eRKwrFHQKr3JhIvQKkZZ52tIDbSDTYHKtAZ/Vg0v A/vDEKPYMvcq01afzLviU66917Lp9VlMsCAfHsWwgBE0AiUN9PCmcyboDOYXejZb6HFrem7pPPhG chJk5J92d0blO4yIkNJ6Ncay5s8GWjD27cS2A9zkPcGeE3+WUhFepaF2+G0QLCnVS4k31Y558huF JlOalYoOfUMtNioUU+jthdHajaKcJQNK3SbZLKRe9FRFMiqE32ZorMuTfqhN+Ud+MFJHOoAIl7HW hsMxAh1VXfq/l8PcH1oLMsjnC1VzLfET/uAD8FBNWwxCF96LztZKj0ZLpapipYBsZDHJknraQHBE 1Y8bWmfNnXYFmGeCi5MYxAbQZbN2UDObHB2VWyyveewktT4Q9HTaLCvR1I0aelpZ/u+zUtv1/e4s ET2tVVw3L/Z770STiEBcsGt2lE0O9SbziwNqnj1nNwepkhhmSrvQB4JwFzMhujJhj23W99uYwH6g H1sKu1qIP/nBkpZZVDArW4P/2DKx6Y713BCBUhOwnrs2sPF76IK0kOT2UArVKIdwhw1O2XhjkKqQ KhQ181VKgyYCxriL+j9oK9cDbl+NknzCgpgum4+78/tfjsfXkxq3Egt5pCcJnjG0NakxinKFl8lm D8U6xNd/8e9y8yWuTzSt7u5geZmSN/AD7lBNh0t0wwaV4L5ci733ezY5pn+GDOWJMkemHDiJkXKX gpZ3c9axjHrJuID2QBP8p/ZXDh6ox94sW9XKuzq313Y5ABOpDuhx1OMte/OFQbFyg0PiQKIVar6i 8yS4YhnuTG15jEyoPgswV5RrlQfIW8NZP+j2xHpPJsl1Wged/FTP+wk5u/MSTOZ82/7CUoIEegS6 L/14dXuA9eUctH6HW8AkMMeTDYb+9gAz7c+b/PssEeIut1Z2VFfOND+lQeR1M2zKf6DLupgSQtXw mfv3lnoj9pIO8BqWtWUAjR+wfmIfJgSW+BTwlZ9mlIsqXHkl6yTy0Atd9mW6BzK7yCaPhmfVMvpv r/av7SPhx37kixtKhmwQEjUp9ShzTrjw009tyteDF9dC2lp6Yj07R0JVSU8KBw633F026dSKSgfD w1+FEv0I0WwaB/A8RAAU6tc3IofLM76qi3FOw5xoUkOOFsIBo6BxWy9UK8iXO4Kc0LrlzRYudq0Y ZmYmKC/vMr10jgOrepWtTBzQPr/lbPzsHR/+shvAL7+DfSHdXJ1xtZlHmvFUER3zL/j5omAnTYs4 AEQnwX+6YpD9VsoHe5p1OwfTWq07iuYovqIdCiinZ/87Zy8H3HWrk1Jl1U1jhOVE3utAeXuz37Sm jqd7HsRt3Q/Rc6LP86Fm0/SskShvexlemZqFph2q4XLAsQtWRUYtPl+w3OBt/jQJ6XatdjjYjTtK MvjK8n9JfVOeTUctMk46SmQ3sNcc7nuGxZLqEVb4KQ8rj/Xu0QUOCdYBjEIP+VpaEgs5RgEDjZ/I Dlr4NXpWwuLdeFbghwdL/3kcW7JyARcbSzXnqkmJRQbP1g+WRkpCSOrOwbDSfBns9+KOLBs4qTF/ OjXJvoOoqk8gu0K8Yii2Cq7E05wgM6Lkw/jQ0HqTZAEB7rkg+med4ZHV4of63lVWWt8MveGPR9oV z9lrwolxZ0p77NvpaEEkXtgaILs/jqy/e9JtiGMnSLLtj5kNt7Otuy+pap3y7jh9+zf/3OG/8u/M YK/RokDd9O0yVha0JDK9zi79S2rODJjCYAwys6YTNpCDPHlghFo+c6dWBVJOI0/aSKFlRNIuU7Rz 13wlieiCMRvds+BqX9kfAr1sVaYo7TjJRtASq6wiagPQI4MdGbHR+02NOqu1UOcDZDTdqF1fxpqj xYPhaYy8ca8KVNoKLEUflZr5d2ZlD5Fc1RHjQhFRon5AYlI8fI1IswpVoJWVOU9u/NRQIDXyGU1D SAR3fM9jhXhDpVmoJNc/5mhwLMvRA07Pj1F4sp4SyczG1cIjBGOFf2/lLQRIVmYjmgZpdWXvAwz1 OnMyc0W1akNQmZxVN2nHyaBvLQ8TWfos4K4NclXCfF1q+bK227xX2YSRZGfieXw9HpvBhFzkhus4 kjwctPlYD+KAiu1u8tkbdQ9nCMm5lZ7cpdHFP46s4Ks+/a9YxII1ZlH+CP1IYKJxBkhDGg8JQt5d WbPC8QInAA4VwXsvsdhIMO9Z2y4Dgcx+z2zBKwnQ5aaSK6G3fiwgn9AJdYE7BqVIiWC3ZmAeo9QM FnsUHjd160TFrmefF1M/0teTMMH53dpwh3qUGQmfo0Hb+Xxo62Y4TdDa65GE8B3cMwsfxAFpLv5f ipSLY2A8c2ySWAoQBo188EkS4dNUQoU/6f5DuhkSwPMhJW4VJiKD3xZzdUR32IcfkmtXGtx9FqMj bXIuWYQ+/bPF0tyqDQdDOyifmJkC49v1DpgPfybxriQA6OBivoVA/iIwUag3iTtPCCukV3/zQGf1 RzG0k6FKN5RrA3L1E+iZaQuqEu40lZXPvNlCJHZCoS1eE35C72IxTtNynbsasDYBHS3XNHA5wv/1 u/JONbKm9eEICB7tnyDKu5O74CmNUCogKFS3/D8WQr73nyAM6h+8UIAAqeMN3A3lJl53r7n7usb0 QgYmPAyAaBQ43SaodppcPZ3BWghP5QIXaD/NY8GEmstSnfXhgnTYk5y+gi84A5TCyzSjk6kC0JU7 6h0lKsizgYt3MNPyXbpMvuA067ODgyZdoxWvYFKs63Hr5C2yIugcw/CuXLktpI/upF5qbnjaY3PT xwpGCFwHddOUQzMi3LYY7wZsPnqH+kWM93deFf/2QtUeWr+iKV5fzBqNRVSuvUwmPYLaSzjC6qnh vZWulbdnjt1th6yrsh4zt/XTvXi1hD46jzT2QMMVszYmf/1xrpeLN6UIZj09bH+prE3rxEjeqQzR qPPWNfRj0kPRO/kGIrL2wPNkwMaGoFcn4QgaQSCxPBmbl0ESOrdDbKX7gTWKtx9oM8N3GuDWMqCT LXkh2LvDck9dPWhnFaXSwZMKayJErPm6hI4oz4gkRF1fq1DkfduHWFZWGMqOrRuNXXcVGaMeYfgP Xqo5zju5jVXUHA4hvkibXObRUJlZYm5bVa/YrjDynj5CCdzwAdXYyxhObTu0n14ceZMBc7q94jv2 twv9WqtfaoMgcXyI0HIcKyfkrePXWdxA2KevbhU9SB2OBCiBCr4GmHIM7u5vwL5GzTMcindkkf/f KkDhrcPSjgyIz9QxJzROj/wnxyj/He0yC/xNd26zoF7yqTsAa52oaOBl2vrGOsFOylTi5/QDorTh KyHNDUboZ0sZnsSysO+VPfutKeNqqke5K9aWig5pvThmRgoaycPf++pGE79X6tK66LSjCa4NJuDT ZhyRnxnxsvBqb3XuEpzlVZnGwsXpCxAG563yVc5dp8IYLovXvK8T2pk/evuzS6SCXM0UaQQO3TDO gXvGSJPUiyAJYk7BZk5OpcI2H0ffmQVj8VJBFNpPkwF9mEC9cOz9gkEWQiU/0jvzVgANL7eAMJxa x2IH+ShmVn1608JBbNls2uurhfkk4PjeUJ1TbKv2lHTIlWIGfLB18QgsSIxCGr/HnQygf74iTuGe yGn1Idc32HhoAD0frixuJvyHZ+NyrnqseN1vZSeHC+1nz8F9a+EDTY8NK/2rMY6qI5o0fFKrxo8R jLtYHRN2D/wA1III+N5lFjS/SvEyxBxmcp61u/ORM88uF7/+kCNLvfDBBGWphbJqSqU76802VEtG IxwS6njcJ0xlCS0N9P4OeQS8p5c5SPyLLqjBLNW4/QUzWr8+DfoZ4nCC9Ge3h+r0zXh/VngNuMGk cOiWcsA6COpZpGUQONj4/jeAFf4b5XaPAveG/Gns4+0MeHkwVqD1rn4C5y5OvRPoW7oqOcGGC3TV NKy7dIrjDF9n8RqX6z1VWsGiIu6jkrY6/goSlgOJZTE9NWhQaP99ddPS/GzIJWboHu64RZZ9eTke tOysKVy/wNt6A+f0Rf4n0loeiFpYb1z6TIYyIHLBcYkS+Oas975DcXq3JQmtouqvEtFR2gcDcBD0 EUC/PaFLZD3JPxuIu+BA2jVNeJapTQkVe/bL6GqH2rq2oJgeh3JJWKsTuFSYkZa1cHNif5A7K6CM cUFCD628TbRDar+1k1/4kH9GMbw3Mw9s6LaQHCCKD+Z9y/rEoImk3Q0dcWhKqoKaBa36Paxq0CLw jMUS4uHpcGx8XKDzE4vFfzDCRUwb4y/nVal8Q0660QkzxATiZ/bdZq3FZct9ARYqbOUH6SIdikjw jRsa9lUXLID+X5RD742LWqonfSIFTQrOCKOBPmunyRZGr0fKNeUfryJl1fmTZENNTVWnyE9V/Qca /iNZQomR2nMmTe0wQ4KKYyfjUDC9BfAiJyzaNVs+lqzscy+kQUkQ1dgicaGoBJwEbsw3/nu9VYcw JyjdQigUFDyH0yqsgTEUnehdHm/TPTNqI7ow/R/TstcaavF7AMZeZGv9/0F+pIatk/PbwKdJHl9B 92/Hgw9gxF28+PMDiBTIRhYhASeZvZC571qhP4EQ9UPxJI9vj5AURnGAEQbmwPoao+heAoJYY3Z2 1Fq7ydScGGz4FYFenNgTzErfx/sNWC2Ql3ClZko7RtPlFFiMCjXE9J2z/g6JfAzOdfjQGCUb/41S y/3J3AnarU32k/DAOUGPf1vGVnKwCSasj6l/8KSutt0HQQkpb4p8Vk8r4wa7tI0uWOkys7qsaUlB 8LGqe5XSHskyjmDeojvP+xPYae4jMuRatQ0mzfXrNPbPrdGtgyx0JZyk8zyyYrNy8G1PORTUDalA mN/ldo05tG2rabMBMYPr2k6iKXFeHsB1mpwPWg9wCUlxVvJRG5rC/ETC0zbW25fT/AvXd5IDsl5j yLjONp42Yv8EOcYvcJOEVK3X80bQJ4RdzKZo89dm2I4e0ETeBlE6a3XbWtoS6SKYD9BSLJem4xBx SttNameAb67NWkrX2m0121ebueYKwd/vlVmWD2pJw6b/UCLqutmlactMCV/ptZN7B0W/X/qsSPom glm2dGIhdPoMNtGpJqHks2T00e6hHpPDlu7nHOReynkNyxBM0SgXlLqdbz8F8o5gp0GQDIWO+0zr yDpQFUC6cRUPZfsmrN6UDhlj7sxtIor5pa+04VNrGHFLTcNiSDNO3bNJuR/PishkDau5MPdcdSUx JgMz5evDFeO0UlzV/dc70/WW3ILe6Gf4goaXRWhHGuI3APq2CFvAbPMKvopVZVALdh4uxcm8gy1F L74J7ZukLGdGvfxofqGTdO1swr9zBPzeMigc6JpcuaSrQLFXsuXEbWhsI0D4tgMv7cn+ZHY1r1Xo JRJi2Jqxe1qk+RZ0CkoYZnT8q0TrVkDro9VQxjSrhY6y+bTnhViGlLAP4qvQl6wBFzW0TFtlhHve HbETM/iAmJ6g+adTwaAB0ZexkAQYDj1DC7Wi7ljjLGrgriCCf/ap2EODX7Ddf83TtuAgUCzJhs0S xP6eCALhcRqcZK4UtDynm83SwVBhjn7ejR1c5Cyv/QecqakNdE82Hkm4WMwmSi1tQ6bV5t44CxGH d4GS1/8Ahcm/m/+mbOj13pxLbxtQNTdYAczbu+c3wvvjXFQ3HKcLaCNqIqurfbA4dJIki0STy/5z yNeA3Rq1s+EboTWkEIIBI74CNB2d6mtOYOGArKxvyEY7YKxToGF2D/sDfnGOTJQev4AtG12Agv5V Lzj+nYoAph24/8fAZX4OOh72WHNgnsOT3LWfYYa8560RUqr3xjyw3VkWvRW2EPdIdwJ71ApyjCBg LKewGbmMzZdJlQyP1L3SgjHo0XaIkFQuLQRn60neARH2a0DT5XRc9onXi8ZDmtQTvdadujh8te96 kLcoVpehXfb4X5iiq8LFVHHYww1JXcr57zB5FfjzRmZ45vXCfB41T1KpdX8sezsUwuQ4JuK3hpoh VK6hW5B15ITzE1pDxwicCjlAUTARVIOCs5KKzjQjU2jFn3UKUQl3TKhRO2XQSrnREMp4al4ocotC 4T8i4JSuCrGrvJYu4+2doSnprf4hAhf3y/ehZlWhGRHCFHYcC/eaC6pZOQOyUt+xeTWTPDaLBsrs nWmGNcJAM+fiX/HGb/m/QX+LCvWe5kZJxOplFw63/SR7zKCvn6ihqUSVMzNg+lnhmvI9oZiDhL7B 68XFfpfRph0TWQYSMGZx/+CDrnSHBwkQRyNQ/5SROSa/MYvE9o1QehLP8Kf1cgjbc+uOIWkOxW8V ehTVBNScP30b6oRf8GB9uS3R/nutZ2FGP2o0KRXmAGwj5AWRAg5Xi/tL0VLbm/SQTp3yJ1GHXSsi pYdXq2oOd8TpnUbjekOxJsNayJkuUFoktBCh3pMX5XLx6eWOXzknfcPwafHJcyxO7pYTnagx/28x 6gL2zSDD/Te5M7n4YCFzDY/7lpS8672D0ZI3aqYgsPon+8bihaIY5Y5m2Hrhwb0sneunToyxen05 JH5F2YE6xz4tnja0cyhZB9ZG/BpPPzg8XKvGD7+424CewJ+fcU1X4tHqScrVI2AUNdm6U4JxUR1i mNGqZ/ACNWeKliNu63KL7XfPPigsN3hUhjQSyVs+dfukh7ZlozCyDiCNPIbExMmkrKMV0Z75grPw 1Emxpm4th30qxQA5PgaVWWLfGkymzfLKUSny2rpMxiwtDwQOf4VXljzoroEa7CBDA8uV1eQrMrNU PWoCiEbAJDalRK7LJ1wWnV2faDrQr49fgs/DV+nJ9LUSDltobWZ3o3jJhrDiZUI/nn17ijI66/qx /BJkRtsCYvz4QXEXATy02nwL4re+q2EcL1yRWbdBdCsVU1yLP15XHUwQOYZqzwUTXHj9N2jzeInU Ei7YjUqC2A5Hj+k7BMNQypU8bGqF9a+LucI9PnrlbZ/fIwR9Nd+lzU15X9D1nK6yjQ/r+D6+dp6C +xGpYfSQgCHcHCMRq5hDDgeO5d/VKkpaUn3hMwXwqkgG6e7KNWqPMrdEgt3asDIy/I+s5IxGhPhm pJHg3Ku9+eE8c0uaPPpBiH4zURyvduweFT1W4BnKYsfn1w5cLGw9lbEXXPlpfRYNhPBH7bKSdrd5 jvrDe4nLClcG3TlmWBvOR/uLUTwF+ETIiI6tPIw2niASNE5YUiGKfLL8f47tv2dhXfczZKhsIu68 h4E1fU59I2dGhKhx37auLh5bLDWqHHj8nnCMdo2D0nQqrC+0IvRz0xC7+s8S0KlKKy/dVG2CKbD9 JmOn5XiGUFnkGJs1Zc3NvEFstJCmZlj15izwICWxPRe6U4NIh9WZ3rxKhc0t3z72ovlm2asGlysP 97fy9lK7mIPSQvwQm6VohjhJv0QZAMEXG8UEGGc1PZlRtoD1CspgKbC5GWXohCqwfed4KguVjvlE CxDrYdRqUUQA+Gh5365Tl0Gu0gZyxFbuzj/0cJBYtaGwGeE/hLJ0mcoEG/tDhzsHE2cAY9THiDct 3Fg/U2kyiLwb8CdEaEa3HB+qOIl+U2DI4MxMajy7bONiuP5XqH0kyUGrD1hB6VJJtgDPHlLdyph9 ULxyi3lRJCmyxvUQwInazcUUwVlG/V7PLVg3acXST8lGLAY0pzi83rF1lreRN8rKLKC7gpoUAr36 L7tM2/Wynyuq3iJvpGd4ydSvaPIrl4kX+MnA7bzLP7N6JUgZ0uwApxpXZVQxtUuzjW34zJdH7lCP j4NyV3+JWKM8tRGzRDtgeCORma0pbWHQT2pJ+hZKtAZXnGdfOj+y0YakI2YLZGVMnn8t1oZdZjLf mkPJjyHDpUH3TIVvAz6qu9ueM2RPPviHWxv+2h8GJsfrutTnDu0fgrjvc1O3iFYlRhjcdztyYQob zB6NcnC9q/RG8nQf8NPUbKYcGxG2JnCRIZpTiSapPrE7ivW5D/Ge9wpga5miNQQbQIZHwX7/t1kY 19tlB0Zp98xm0G7dvaLi0eYRn6UGtxc8ptE5M96NduthOcVgFZJiZXTdAvyK1yiWVICuHqZqN81H 6rrmUd3XG93BQeNcPt1wqpcFCpi0lu/CggA9QU1i4Wuwxtd7UixBaFCUEtwmxRBxW+N+yzoQy7y3 PlXooOTkOhK2ddAf0RVRmw0uhVeV/WqTJytTo52LP1Ww/9dECQL8CyhQiVEtIqpi1IbotcJOhYCb fuAlZsvFNuHmUPvVrByZ1KQjtiWoRG4aKtZikTmhr7Fwk2ctdtOLgvJNDCE+2u1eYAowNOMRMCto aQdlduzBU0nUyKF4M42mIKs6kCZJMNN/Dq6RVSL8Qc19/ZgyVgt0ETdrb8oPUpH5UsGHvSClJ0F3 QvE1wDxDepDl6AXd0oFkoM0SutBPEWIAT/6WwAszCJ5wU9eNelsEae6xe5IQd9Fr9uYC/DoyqX74 2A+pGPN01zR7sMH/IphXwfDXGF6kxbzltriUo68/Pgy1QMo5EcAL+L/WsrDcAJW9lTnoOGJyaQBU AkK+0xAV1IaavOwEXpd9szkHPgSDFnn7XsDTN5EVH0pb5CNpLh6F7LgQx7/vMt+IKaEteJS9AHiG qMK73Ybex2FLmpXXEZUHEvUvhCplq1xnWrSlAaiCH0Liio1o1cEG/iDMymEoqFrYOqPhbJam9gSG yoJ8KZjJ6uFDyExGMKxeoQgAwFOVm4akEmhhTI2J9AWEyZwsQErmDu0WHuwDvG0WSSuAdIR5dGEA Rb39g7sY6Hmbtlimxp+XdaKblYvpWcSbvFqXwT0R4KjP5Nl7w8tKK0AGnIuEGLlBZhSn1UrnWDQL FhzXzBQiOijGybpD0R9TV9nrSiywS963LXC5j0QoUnSKPoI0e0wljab/Qrx9C2hlVdj49TEc0Ufd bTWSGW1xfNzO6aDX/Cznl1YSfGX+iXdIs61a3AILK7sSnPPzK3IukGO68nz2GFF01c8hPEDUOhmB 0Kg/7Rr863VHFzAlRTOXzARU5BkreWD/hG6NWVI46R+xO2XkipYDWwuwbt2wD9bM86kpfNsrPtkV vqyHOrCNOc7a+ncvEDQ9O5GvfGiV1wJAaSEENdJP7o2a5b/WYgnEyL44mRpssG2lWmgjadG4w4bB z/iLDlv6AyMUW51GaslCdnEow+6/OiBXgJ+n0aW5H+JZ+i91b3aNVvFLub8MqQUFDdI/kNDYNfWA cKijB8cVZy7QNK4VmZap+nxCRsjJkTGj8Gj80zrootwFGtuVqZk+oyz0Whr++6/1I2E7jCt3TZcL 3ThAr6kU0Sb1GmqoLwh4WaFEpSxj882n/WcurIxSa2xl2voazQ0jCfvNwIzcla/JQYZE5gTlg26Z S6PHZ7cMN58SwIXL1fHZkZZnSYP4vtOAaxvfccVwhZHtu2g5DixSxmbwXFG2oOjV529J+DZVzCMz tXI2+/u/NfgPUbxNakaWWJNKKPlyDfpt2sTcXO+3pYszNHwMDwwKoOH32H7Fkup/g714fG+qx3gY e5ixaSUKxuImLmMfhrPOGHddfQcMsb5+wslKeCIEWiCacw6gC+w6PAvzQZRrIGTZLaPTNX2m6EhM Hsj/wxX5yWtMij0To632myZZwQx9pN5XBX6iBg4/jNugPRAJWi6tmv++bWZQwCn5bHRhhJH6mk4P dItst+UwlK8wFiJVCUMaUkYQR4TV48eRC0z4PTTCeR2MP6M8G1AjvaKBamAJDmBgaUBEFZEl8Cw6 Xd9zjiqG3nylyOdUEbmw03mc8htkFXYnQtDUbPD30qBPwuViZZJfCRhteMFyg7tskMuXMUHhHXxd N8atIwporoN8rkGXFWmbceSIJsq/zSew23m4Sx02iiScxKUcacJacYQKY4x58Pb1SauSASrpPeWD RLGswkhAMDhwpOICChJ9p+F0xhr+F1xaFuFVZL069NLfrbPic+bqyo0A/OHN3uMoFvFpvaH3UAdg PaKPkRD963QUiMJYeeS9E8YMl7ZlH35gwLvyWfQ4GKnR2VOyhaYaPzw3Kf5m9jE5AuK9VHBsRHfV 8Vm4NGo7bUmMj7cc2X7VDqex7uI6bKOmWEmC+Is/stQ8m36ja35rgS4hJ3eVvWj+fTNgZP5Kqiqk zH+qUWH0udzSQ30Ix3KI6zjClrOkcPHIxdE14g70qNBK3kOD172I6blTmdVu8pDiw8Ls30zU45Q6 uNR05rco/FKRuNmY/s6I9cWvlL1EXUDOXYwWfP497UBt0qU9Pa7T+W0OYjU76qRElmdpA9H5FbmV aQKUe2GnMoI4eVYrJm4NCWJQQJZvB8boj5gop9DikKmdYg+iN0fDZFT/aNYuMQw4/eg+JcEBOnkT cwuTnynBbL/HK/8x5PxaAeoIcFDvgbV1lWvxi6PflZiq4Ghb79FLFAHK/iRixPPcLkzNew9tANTJ KDv4fAPpw7S5M8GZzxw1G3gznS3SZ2NqVrL/lCezNUHfeeuv7itL30vqiQ/HX0uLdFXtqJx97IMj 33bZv26BgDer15ydbYIHy22v+5JwefG80WsTyCv7CU2r8D4C+9eZNL48ZSUyZijsMT85fg0yQep2 1/brDPOgn2mC8XUfKnXlJ+3/FL4+Ok0k6gcdvCxvhGJkGv/Du7ByoImgDp3FaUrCOP4G9xY3xZ7d zAS0ia5I/2iIW/sS4Sds0GSoyJkTE/vnV1cJwR+eR1TRrfjBEaRA7eXyGh8Ww+2FvfsyX40W0w5H 0A9jA/1F9f3ESH1DkbhLm3ps/qQUh19qRJZ1+tv5LE2tTjNcO56OO3FbL8QQ/Dlee7Fu33uZC/Va yw8xKvmVcT518prXav9Ucco9aGUDcb0JFhrEueqzrowsx0QvrcNoCK4CVrximIMk8EmmQZa1jENc N3pPdc9h696GzypLr0+GVeeVBbb4hroh0KOPioMxAEO8dhRVyArlK3bWik9nStPbO8/608M8bkU7 5T6WPlifAw4njEcHtzNDMYtoU0dlvEesJtyMs5QG5KhfFC3XWFNrEWy/wiaZT/NT5w5V/UovDoMj IlV79wYpNDXjywPqKUGOSXTH5ng0zlWxyyaVl2okoedn1WpTpnoeSRGBkp31RA+CWUZHgFxik8RZ SswwgrLZM0T6pW9wCQc0YXTC4vLaM3+DWxFG4TPGXmLZYbi6Thyr8Lu/rkWBytPC/0EHb4KKBbkX hjSz2h22GWar2lrNe0TQJC30Ef6SQmk3EQlcg+iiIzchZM5EfPvZyWHP7wsAN+Ggwcw7NiwTJzfp bkOi5JZogLdB701MDAkArbnbVCy7knDUE5jnsrt3XBjU/ul6vu4iIE4Paihf6F4QpDiZIWqBbtWq sUAuIitqXW0at71H8gUhb3cUQfxsSBO+athWulA2yRdQy8gqQpXmR9ze2xpnfYuB4u8K3/Kczqk/ 2YaSiONQdRWAgCPV3Fc7NY4AA4Wi773Oiw7ExVqszKvngWwEDxeEz4F7bBI3PduToYty/r3JH2wj Z6f6eEBCeUzk8Ngz3K9id2ZnU+LAqOMD7z+mt29iZOGhEpfG6X20D9M7HwQC/Jv9RQCa7j+bGyw+ kIAc0xOpM+SOruHdzbO6hoNrtU9wMmxeE5ooq7o0rN8uktbHgK0Rt+ru5RyVcqfb/fzpzvLrk1mI N4APekNv/mOEmqyduEIU54Cl9miiUShITYiX2lz/B7cpiCGHhM1kUGX6B67uX2aPi9oTWL4PGkOO lcwRpqJdOp9+KTv6hv3TvLModtg+n8d+b9NmsiX/+pyySrWDxNowN+XBgeW0K75TlrTTQhAyJbAO 9SWJo8xDQ6U23N1sDDAXTL/jykeo+zGnPOg4oLPFEsnP8PglmIyAGGrMgUQBmbH03wUYZLgGiM3W 2X2eHEFdO1A0DxjMW5kMcvN42NmhAkJ5oPdRjapGickDQ6LfJxMIH4NxRMe2gAvscDYRvXXVDXgA BbDD39F1x5rRf3mZT//uqZ2qWnINrSfNs4cLxriTkBuVvOdEArenY6gnFitewk1ELdgSN+2KZ4ya f/SUef0H12awDgTfoTWpr3n/4c1ZyI8ts/BPmbLV2LtsBB95mqweIPQHJL20rOjhdmoLk+bPyIZR bTKBQoT51jJ1LRvNsGC/2mJ4wnMO2pwI6+6r1i2k5MJ0PLQxz698+amehW6IO0hbdEet0Bm0JLTT memohLEqkdbA+bm4H1Osy45U8GdWCCjz6C919/bRo1PswrKBrRp7ePCCRzhJTQ2l8pY7EtDeClIH I77R8inLFFNyN7/tnHRO8PNYRZYk5RZpH5N9Q6J/ttlmrhrDiSfBzY8XqjjB3PB2Dn/ypsjTJDbp /8/T0J9xGHtk07PEeF1RIs3tRn3ElFI5nfUWl7ZWSGIdaItU90ugpHEYwi5+Ll5E960viM466GpJ iixcqvSAPilF0DUlQsxHXnDdcAXDwBMAjJtu3LUYc0Kd1B+STWHN1Xt6qbr4VoP9MiVTkaAYRMfv rOiJfhepH+ZlgwOTwUvoUY/faJBLKEHeP1uNrUHC8Vynt/yzOQKrkLp0/ZcGW4rRXRMFq7mkFGCh TcvoQ+Gxp8upxcMImItiC8sCWL7Yr5uDG/JUy0/ObKEUjqSaTnfCBLUjJ+AEZEHAc4oMJiy2td20 2UB3zAmRDWJTHfNIW4FJDo2B8ajOLkb1SjDlHNPsic25fjTnajeq96vZSww6cx9Thai37W3nCbmR 8eiJn27PiFwdMYRSyIh2C2pGJCvwOEXmBaRKFktD3R+EMPVgXcElmGmYXJms8m7fb2+FM4FCkSeT 8IL42MPhbXV06iqLEA9KrcGPkiu/1t2cBIrHdmNNnBCaRP35GWhGRnQOz75Tiz0vT+I8i6BZwsvH pqd5osqsplVUfl/DHdjwhIu1leipahGGK3bpeHt+YVKVDUA7BnZ7hdDBojyuxXTtT6TjLppDVl6z RH6nHJC5SVH2cME63ep57O/nfQGxobOGJ43m018AJnJ927aEGqLnh7nzyeZFkZN6t810/TkmqI8a tKN6vqgJZOYX0hfJG8wgGkDu2ft6mBdH1ydquI3tcYZnWWSpgQzNJOyvkOEzve9W7nm2lpqU8bqX OFKbNTPa9vLwTDJVj0PmgGGhxeh+MTiDUV6BWMnaVWeuEXSxoUdULp3SZd1Kjh9BZMMppWpLUp8x U7T8xyA0UPkO+MVZverN63sH4x5F3d+M44L6FtU7OPmNsuhbiqh4GCe12o/79Ll+/wcEVuLaJ9yA E5vJykRmnELgvu9w5DKKEK8uWDHw/SJhObaUo5fq9OTnhyjVuyA1y7Ln3LlNM3CgW/RecO95PJQx 4E5F388SibhOPQm0eV+gp1/dQfahu767ss35bun1AH2VXptOaqxIKRzkuwxKcwHVlFGb3NwIR+do oRpG4nAUz3Nd4V461R2hY7f5YG1WoMx16nK8q672sAVIU84aCYcs32dCG2CqBB7U5rOynbQx0DKC 9Dc8SSsUSG0HsUC4m/VNWHuEjO/n80s6PlJYimmSye38dDO1PVjcBEMSc7qeNVFtkPN+CraNVR9u bviyDTgamwVL72Ltto9dyYwRPHzTRzTpl79MUFYKgM22j9AdbigZZHlLWu0WeUH7W3iYWmp0Vy+Q vLA6qKVPr5qUgIcQTpRspCxpBadZhApJwHgI5lqra/2QdKKe+VY2muQQt+ld4oW24I46rspRW2cZ Bj8RKA33WlRzAzxtfh3LvLLjWN7YkosistdkPN7nw4AwDr2x24bSpi1pXu56IuYPlY/RlVwTpsk1 q669Nx3J8gkeO+OVQcGZh/fRdpzQI2W/mtccPtA3HQF8etwg/NTDXOYXKvxWxML8t9ay3fe2qeTH UFK/wARvHgzw6z5wgw/yDmKgEK2vffva1Kmie++dIYSGX4mgcpxJThx3a1H3vrIhuh8dCCN+dqOY dyd4Sg8VKOniv4Cjjkpgy3flek5deZpTggwNKDZdASf5Kvz5qCFR79fylyWA+/3yh43k5BjPyBRP gXPAA6ewte5kN4mxTGPmzgPy9u4zRo8Ue58ofOBHIEklf3WXG8sWq6JdnQzMTKHWijkYr0Uf8pLo 8mnXHHdZZ2eliH51xQXVpJJihVikuERO95dfuJukJU0RosWsKRv/v63YFMHfW6P64vJkq0SUwYql snUDPizvuBEdh9PHpxHa62h6S+zmHcoQns6QxRUDaTU2zmdYZR6nRI4E1hrTmFStaBx+1+M7ED7x VtiW72Iir5G7JfDDWmV7pY6PckOZlO+9PMCJSJNu7WRxR8mtO8zUG9D1utTC2wf0XA3RNSD4crS3 6hU/fdYAWRRAZgiJ9gYE0BEHOZZpIK7j4eg2y+IIpKzVR7KOAYap9waRbmTXdPkfXEuBG9adoubr yuumK3fOsaTIr7sebfDk9verbamwJjmDU+CWhZ7iAiYkwvNzwmNAkSccPJKXuQJzsI9Vd8t8cbXD Rg+AC/mq2jYL/c6FTTtfg+mGFmp2TOyI1G5b11hkke346kYxSCst/vN+9M8S1tipF7zITDm/B5db cuWCEik7Q/midOOgBd4qj3eumd/cJ+IiBnDFwO4rKwQsN1qyJMrQcf366fm6HbXZ/H5AKbldvuV8 MShU6Axp8KPJF1xd/T/Ccd67oUwGOymMN334SyHr1GdVp6lQKvr8T2aJIlKZvSKIllFp45RYxxQB JkoCt16weis5No3c0oNePSDUxd1iv6QjJxoImqFK+J+2HWikqh/L+TFfmNqC1xCwdxiSwIvp9XYg 7jaUThKggcksemI3Yi9rio2IbdmWdvhdUyqiBs6HzWTjSD4dMjywgE/BjwafLmRhndjOF3DGD2TZ v9MkaVt7gJkxeG2PvObkAwAOBCXTNAXWyes5V4V+sPSUj5w2BsFv0K5AI1En3LkR+dxHozcE18wj sLRgypPAyx56sEi0wuHnA1SGdvT8y3VS/uunuXg1m8/oqsdoRKTeQUDZDFE5ReALJN5AAXy3GPdn goy3hz3Y3CIbMWtB15ggOqFI3J9RA8g8Y00YNpihXfUb8z5UIyfXInapXN7mrKb7YH4L+/6GZBIA dydL/WCHdBUX/cebCgu+qcEnINS/SeDB9Jj/gSYp7Ew8L8ZyoNO50VdVXsWWEhdX/lEbsPnT1+tP RCsAA3MOUyYFliZYeVhi7wWe6dBrOAiYgVV9jGgi4LFCPdqKDSM6Pvo0jrdAQcAanCc/0R8KN31Y ovantbVrj/JyhV1fbycR6mqwP5txPB5BjF8MqO1QSj6LyYMpreAOVGYoAK3cIjh+y0eXUk+9Zoea so8eXA5lY1qutF8AgrmINogOltvGGNbZJr6uAWb8RdLQSYjPIPdOCJy/PgJFRQNmOJT4N2O+ZKWx hTV/GCNsEx93imJ+ANDby1upHX1XvUVE7BOttF3CVrSZYBgEBiqe4RT0icX2LMvSI8U1fV3Qgo7w kfaA11SUPnWTETtD4PKSBsiLb1IxvnCcwNuYfYiDB9L3V+elGkjETVJa2d+KG8CGyiI7AF90iu4z 7i2e+x2W86PXcs5QFH4JrDUeDw8PWQov2jpb1Jq/GgoBE7RgC0RyMp+ykOKyoXNcirFP/w8c6ZgS Td2gYvFL9JsFWPKMRZj6NsbqjewkrodhNqDbwMvFSy7oJLBEgw1CoJDzmpSa+EjcqKxvGQanzB2u cqEo/nBlYFamodKlEtJsXfjAWoE22+uukHbfxhoI1DXWCsSuoIR/lIVD5Xq4WUy9MxB9TcTD6LAq iyswZK4/D1e3C96Q8QTCCXp9ou8AwYrpjC50//BfGkI7YtoclxR0aN1Bs0V40g9TkwEkDtoZhLTL SEhmLv14/KIfNhG9hkxxhHkTEPvhenCZyf7RX7JSQ7e4a5zZ3qXRkX/+6fGBRdFn7Dx/tmyu1/MK CqB2Ofu6xkRbrQ9hxyHgRC0W8B9sDT8G0F/yJsE65iWBLl3NKcF9tvXFn0HJtX6Eztmvt/ylg26r DfKCZUoY7qLX/LDNhZD6pu1H8p6gI24fj0sfNY3vavi4GRU2XzbXjaZj+Py4QRH4a2J1kSdQfCaA 8RBz2taKZMHqRGEnbYNzveVu6F50QQE0QgWmPCuzb1V1k58OvFNMmCPEXf/CuSPZ6Qqfdp9/LbOT nbEMJmAdXEuOvoYy/MxRZ3RTjd6Wc7KWxB8JtyV0Ar/+fJkngysouXbJPxEY34nR1XxyceyPuoeh iyROT67ZiO8uIEhlCSkBGTHZjEFV/IHaCN5/+wPLWGSlph+a+jKKCTtBS0UyNMDOLW5wuC/N9LHt RAi23hkTCUcLIcuoPhoHRI5zoFNq8gN9IaLctpIk05S6BopeUl4W+j8lXsyuv1kZKj1pIUgx+HHq DO9genG5bx2oFOvlqdkOXn9melrb7PzkYTiEWl2XL7wjhFwe0vG3EnhiTEWaWl0mmkgASth1s8Dh 7QyXlxG9Bcpbugzt45ezjIbUBGzRiGz3oZSNxjX3YZgOJcECj4yt+7kQz+GCSIdiwJyK1wK3j2R0 H4c23gbImNZxDEEXmHGZmABjK3ANDMbqJR/EoUWwSlmGMjIT1H124jttLte8IGc6qzYCuZojiRFD z1o66uReL4FrH8l/AOSFOnXqjZYbykUdOlBaBrdUdUBmrLhOHWSTIaLQJhqP0ixQS/IsYZHju454 5sS5iUqKl+j1C1dzA1fihlL2UnwHVGodHuXniQFTdrLFLYlc5+9pTPyOrnebblsv/GO4AwLXqtCn Ejhdm7vLeOKKzt9OiWAbRtJz3k+6Xlw4NyyHoWWwf/OUrcWqtj5TMFG6Mg33AjOnVemV6v9PlSk8 /NFdYqbz0iJ+KyAeOFBhmSWCS3++Gnk0eWXi6pAwxwDFswyblema2lIEJdzCz7aQzyqW03nvUtFR 7kpmbo7ITj9+DwCfXkrDxKY/Jz7ksgfcGDMxrrg8ozqalA+sTz6VWglnTpj+yYMh/+LPHQUd43wN YCriFVwBQFtdM+aZ43NyNVGj4pJRTii1lZP4NbIevqbzLKEVC2baQI/iMxrzbRaaFe4Ibd3oe6PP G9PiTevlAb3TA2OVh4SrdvmdKlsOtdud93M7vzWxuCcFwhCsiVbe8IQHPC8f4AP5rXEP2bEHVycQ Kq7KJKl7lTMj5DLP6vh+mfGqMtRuya5drubbhxffLwk99iBCqCChpfrqrk3bfbVnOwg8lTBigrPf PFuLEMEmgplKR2PhX31//Q+dAkk96tq5Md+vRh5NVp66Sy/U6Zjxy6D9+KsR4Z2GPQ70d7jx1fLY WwKOK2w7f6g7KLn7PrU1NfV1jcEby+ydoBmgAkNzXg9DMjtJ4zS9ujNRjL/FZOo0vK7/KUnbtIMd C1pJV4pOG9EZCg9WPdWNlyV3GR1vpIFJmI4I/Z132dIoSEJVxIyQT+MvY2xKMAZpckftSOPQchcN T0Ts9/birCc+8FHnTqIpcz39ncjtmj2+mwcBp+kXo+GbKaSXCi435vXHSuceE7mfRDL9WbwAgKL2 lqhR7WU4oxklBLvkgCqwOzo7T8itO6Pbji5cc0oWF4PYm/cRlDY7UUV2w3XkxTAB+A5kyXzawWeR eM7XNKtDGzJmKaltfE4QFX7Kk+mhc52c8ytz4Ucr2tL4bPmlGjJsJ4Yl2h2FJU955jfBUivBOWps lnfZeaTq5YDTFqWL1q1fEljpkjgk5quGbV6CQ0f6KhoOeiFwrnU3K7+ALvIbzkBV8q22u4CzVWoM 6PX9sZVgP9g0v/74OslUf4o4jg6q8yMqTz5XqQEV/Gz+pdXIYOkifzAlNMK2Sq5UYM196fMR8Rgf 1U1M9x31BlG2+Vek1+APhd0bYvlNbz8FJ9bSQ0OkIk7l+2UDzsrbBlZQpiaRhvt/6l/H1Td1OJfD TGqfjR34OY2l7tqLEckSlegKFw4h2f5fs4DKcjraRABqAqGpy5VVJ8/Rty7Y/Asa9pn7Ex5IZFwe jfENYWxiWyXz6MdPiwOY7P5azJa5dqDXJSK9OfU8EP2x3CWcnrbKluH5DSfxR2LQV7mjgZ4U/rv2 vUVKi+CzQ+Y8GJBsUNiYAOKFEhNv45yy7EzgowbRxoe63jnu98DoLU0Wnjj4op68xV59UKrF26Uo 2j4CqyQhfA5eYK8HiMBiIp6yMRhxeHBGh1hG4K3xrGz7Znm1d5Oh7FbTTxZrS/53V4CYNMjeO72K arIUTG0eWAKqS/eYF2W/EqZ4OpEJmjh8VGFA8yhWvcpjEHxT51kkGp0M/fseMokdHuBEBYZdCG77 qvXIQlHy6pEKrQ5pdZjeqo1B1iVLdJJuVOaX7GYUy9gsq7n/j1kV9jY8iqB1mxADgn2mIamPEr31 WoVywMrlZWghBxERM6kPKIONMrJ6aL3enJPP7SqOk83xALEzfffBVWbstoRH+DsOVCn+Dzs+aJxF nh12iHpQNLkmdqJNGl/0Juo/FP9GA+69bHbPY3z/XGuHk/9zGpQa0GfQMx/xUbi+PbnZsfaBjsk/ UrgTTeiasUEpNQyKPCgaC0N0Ti7WE6tscauTbd8sYbWO1NzbvSR6MEIN70TZHNXg+x9xs/96CTHX Txkv24MsX3BlsN5pBy6BSDaKvzlATmc1aosgZ+oFYkYdaPNZMC9QtmqxadDfCaQOU/EfhIs1XAOw cSf4ewQW8f32jnbFXiFHrtg/twUpUulokgMsAn10mBjWXEQKvszrlMSPdyybLPGDn2N5cbtB5Hd+ fQXTqjkS1UWPR5q2ulRvaU/22nB6hQDWXQAHiHurqU5RG/R0XgHq9OfNSIb9oHG+JQ9zOyd3uZN1 eaLLxHzQY+Xk+Wus+P/XtdIc2766eoqW49aLEY/0c0Hlsxx5+ECdVNp3C3I3GdnviwjzNiNlxzoL jVwqSWS+axWngMOaGOYt+6aJpqdlKgBzEd24Hk8wN6SjrvTBqTHTmo1H7kS4mN0QNNglti4z+I0c vHn/xQppQdhd6gAEVP2gKMKEkpNW9dXB+mi+yyfuDpanY8REg9y1NIjcQ1lmGW9glUshMTQ2tJhY TRLmFr2R0zw8ZCju83/1Y7rshATqOiTCvsPDllLQnqlQX95CYMavmmfSl0Rb2Y4zN1Js5TmT+wOL JWizMz0WvBlN8r0GR7O4afQJj+/DtlGZ/Iski5lQXDftxs0aeKXF9s62PiR/Tc4ZDlMKIYtk0Tz4 z48C9dx7Kuv/OX7j7Qi3zicFj9QwUh19ZE7SNRj9Aj+3ZMFxb9nOODrNeIPeppHwX1GwqUT/Gt8J VYJVaUf3vwTPHHVDrP2cWKHUvOgAaOjYXQ69J3ZzF/rVAwjwkKkTQHQIXRjv+OvhZU8nGAnYCnnR D+aqxBB1fnpCQU86smXNkaQ59dNyjLRgiQXwe5Pxqc4e4E6djfuNpuE1eLXn+Cv/DrWi6EFYjKyJ AqOY4GWhDaBGuFTpCEQDj90/2Q9X5gCT77dav9fv4HWxepwKxK51U1uQpny7cIczl1ldJO0mxALC 5G2DX9telQCPpQmkdDZnmEapBwcfH/RyCJbLlu2UwjPqeplP+kVwzJUVh2YOApEB/SFKtXVmqALV K3SruB2cdm/dv8BsCbp/7nC+vPVuFUv3OnnUvrt2ONPlYlcCOr3SIjxLmNn1R81AzZeof2ZJZj+A AXi4ugszJNwXS7v70i7hLvFacbD/Cj7FGQ8AMfCH+zMOvtPg4uL/YTmKXFV6TDKGfw2/IBb+BAVp yJRfFtcp28V2dOxwuUayiRqkRIV0B0JdlA3KNQR9LrRKSgOnlm48pIA5MTSQ459/+pYvDrr5Cfs8 blOENIGe9n+kOzjYNjWeu3YfKVKNCa2nhfsXX4SbdzC/+9IxwKNgEsLljsBcUNEtCpqkBwPsrnYw nigbUOuhao8a0ahVOsceK43Evg36G3N/z6cQDEVkel4QjYot0YC2WZqQznfHZOQNVDfm6y3HXP9q etf0B2aLlRW+vkIT5tcYlWpqk5biZFFhTPSN8iVxOW7Ihb+/phRrsaC6Cbc/X7CEJTfX8t2ziMWz 4vThxLM5+6cgDpXzbZqeHO1XEFQ6k5O65lK3LgkiVj9dpvUTU8zhK0iwjCQhT8BClcE6IXNKmBxZ f9HHEwjI03Za8ouLc8wDh9GYw8VgqVBAMhm3tvdb2WVv3KzwODQHEzViyhxk9R5kT6goqjj71Six W6XfPyOWsiWXiIcyy+Q9jN/aKF0EpabJxOkB70aw7ge2w8IfaPRU+tlYXjUN2LwIl33fK+Am+GWj /NFvJxqQ+NPaU/TfhmPu2FexlRDF6JcvqBn+fOIkhZsS6YHxDACH9L1ePm/Qi+QWUicVVwm3GAlQ 45eh3SNjgsv8L/9O7jHfNJeGOvZhWG2qtrWRSRs9NRLx9WalbUn3qzD556YqBdS7eyqox2AZlM6g i5hNvv8hyJLOVNcjRUBMWkUjrFTJQg5YcievjA5d4/MkwBqhWa/MiLBabDcgewHOvSA6nhxNrtRT s5mURZfsjezSrwT2hyLeL38bDDDFiRIN1Fep4eMjgLbVvw4A4PLwYOjYOizbkXYJK8xmAWI02XCk hfKPTmC0Y0g1p4i5F+4fvAzZQ1QhaSB5p2M9xVNZ+gsR47cszIRPUxbdkfoqWjVj8zqi3KnrsHcS fJpsb3fQSfKoV5Ds1lX9l5hx4d/89nycE/zUko6kiN0bBEHfhLng4KnmaV2IrDI5bz3rnf8OcbQc 5N+2iPuUaeh8Q4elyGaYE529NGBLAVH/eO/wBf3bK2XXr3IgZ87cUOLSVD1tT9qDm+znn/3+GqGz Zo9jhisCV9mW4pG/Xs288NC8Ssv0cTYbg6yhTkSt3J/6dw7RrcUqcMiqaGtH+Hlgl/Tmt5bztxx0 JdnDHLpwnr8w/KdAhasFJaCUCIZVEVS4dcouOOmsVM+qQUfufB9PwzfgOhrDVE1bdviA2ASGDEK6 NysCyTOfX8anaNvB3g7X+umvRKUW++j1cBVERlqNCb88SPxX+5PSqMtetvVRs0VWXQ5Uq3M8YxHb h1uze8M2sElrHNoduhdWThjCdOOzzc4nycfQMddXzRD2dN1FOz1APWeg12Cwici1DfYApkQNamyY 5krSaJOBqeOmYbokPRPIQWj720L6WUEn0UJLpsaYSTrvnEK++MiLSy8gd0sIdL/07ulW+GwVY0k+ rB5RtVyd6n0pLabvYoSRNDdvkDKMOXzfb9Excc+K+NrOBxN6W9fLcBOooMGuhRnJgGs2lJkPI+Z+ ethhcmwLtvysqQVv5+/OIhNfiWXB7tnCC9GfLmefiIoBiJS1YeX0sYbBPLMCyHoq4BkmFFd0HkO/ ivFNuR2Aavh+gb/4HwyUp1bALhV15erujwYp0TAE7o4Oc9RER41uSov6tDzJ1h/q67x4N8L9HROE huS4xBK08PbHXuH+EaP6oiwO0KzVL9tDogrXsfz5+U/D561A+lTLUU7z3K90X0A2BzJPzgSv34VK tA1Eu10AkofkAD7tRwTWqU0W7zxyL90UMvaHB2Ddbn/Nhn2wF+8e+oVcIDLIQOXB7e26cOvFUnPM rbQs2FfzUXNVsDsUzn36xXVaACtMhJx3rF9XZXvl4gZmRy3PjdK8EsoFmtmdjNaLuIONawQmUP/L 9ZIyw2xtf6Fp8BtvERZFzkFjgCtAg0NrPqRINXGWELwMARX2p1zLWdM7IRRoWiZ2UUVJFRYmdLDN GdtXFNtO2eGpo9Atj2cihLf3BhI1CCYaqHklXdfJJeJPYEah3yHlqIVEOSJNXTK4zMMRKb13GXbD /ZLnkWLhWYO3ZxLaoaDFgdEM+uZDRHJvsr/V8X2/iuoOddA7T/RWjNkVLtJQSC8MbS9G4NV9osoL oArK1DADqj4AZFieH4bRjSNhnCdXLVw8emfb92aSnl3fPgMO1iE4OJOHriYMgWOI+Wx/wLHNbaTd ytdyj4skQBSv3aoqpirIynEqaJiAVkPPwjT9w/KpQbdUvXaYhu/93436UZfOgOU8sUKXeVVJFoa2 kN6cD7xJCh3vuX6ZR+HGT1KXqQsvL1MDiXwBXrHasGaPBdrJyLQlHaOCVjBnq2oSIEEjCWjf9kxK vDkZe4dvnJElVipCXZ2YGfZU8XQRysR83fPfcnQFoU33OrwZdliaHVHw+UBcIaCMmFfoW8TQ4dA3 zBkJXbsJSn2QQU8B4dBzvWkHeisW/OKch8ftrz2D8F0/b5lR5R7Kqa8SidHm040tQ0xldAi0VntE H1gyYtMiGQVWVKdjGTvl9XoTO5wTxAwYkcjFFhu5W1FTzwTnvFCfEExtKETbOxKc6kHECXeVzqIr XJzV/d6zVNrNKpMBBI3ZPv1HXPDM9PyN/RGYrggNus2ShowdR2qKYReHc2pVrNDdtsz6CerxVcDz dsWmZhi6h/X/nYDUR0wXJs7yLoR5bGxISzEQSyRBcVaMc/ETzEjMbjwOyP/bvBBRT7eBbu1Jdp8E 5x9D+Q0V8NuNP8IW7Zcqq9PU/groQwJPPbDDFtjeDmi9RKBvnLp2eQnvK27e2wc4H3o2RhEu3S5T o837WJC3Fc8uXme3iK6hwFGLdfm8Vh2zUzSJa2+tUtDT5hXmDuYKl+ls43UVJWww/ZrDWCez2yE/ D75HqmwZ/guwSrHxNt7um3ppgpuvU0IG8krBL7ulEkFYZf3kYqASFsJ92Q1yToluaCYhh/+/DsZ/ sO4LyCoYHeR2itMkZP/Oyz82b4++4spRPfdWuJxJ5QckJhI/DLnENWmJxvNRbG1mhS51zGpVN/e0 HIulWWqTLpwhST9MZm72wR4uF9PRXV4A2kAB2q2vED265FHATdpaeAfznrw/x8AsikLGNUTjSCNH K++v6bpxL4RCgHf9x+OgTGPTqleAqGF3lN3xHt1kGTDGKLzg/gj0doSZOfH7LhDy9H7879hb6lmP zA4coDmiPEXmm90QGKzbui9RuX5g8djLoDLYtgURR+Qc+gN3WjEcvgb9V1/PLSn1UidFgsrgMVGM t4y7NaQeT3fhDoj2W2fvvcuQ2MC50jrFQLbrCEbJjvkyvg8JTu99Svoj4YfcQtT1/QafmNB1cOol KpdGfEccwUAvuL457sP0xSEmP76F+ZtlsOmf3d7dUNKJsx53pqKkUxnJZZITj4FH9nCtZ+2POT5f I5+na5UoN24M1TBM/g5fK57pCeRAVF+Loi/60tDp9sEPIKCTd0WJTDz59sq6mdFCqBhWYjneJKgE NeTsFt/VnzE+REU6GSuY2cV6/koU92Tl1a5fOZgqg06J+YYEOsqAV9ljqH9ovjM7GuvRjPWbJ0lJ UIBQuqPYlMRgPz6XTAovhv7ZPYtYlFo2yUAE4EyB02pMrupgr6mN5Dx6adFwIW12Sp82EbJcvHNN 8daRwbM13qV1ZmFxIxhr/M0zdvRCA28TjCAkyftmGqOTGfLJwzgn5as1qqti6i/9qaHO5FYfvUz4 e0Ihaeb9orsrINxPzBmSYxv67mjs/xEI1JTJMyY8wlm9pxVFlcXYUPS92Tw5S5BwDa1pzU9X8FZY zvorOqo5wVq5N0TjPM0o0vynkwc7RNawVq2zsVP0EV/VBLeIkq22NutD+iN+JV6SP8HPk9RhZGGK /7wqj6irhAM92fIQvfEy+frLDzYM8x0Bp/zwupZIDc+poX2OXYSBB7rpvtlLJDPv8gWebEMQOBDZ y7pkcRetwr5y7CUHNqxgHTxR66sChbog/JSa6+AgTY4N8ly6/m9ZiHyVak77+IyP7JuJ2dWDAOZ9 O6DGiPn7523mKuC4u3qVxKnigfoQfRsGGCZaRFaLzHJwNA2OvpU6H9vOuAsm+ZM+pNBHAVxktrKH 2LdelGRaYMgQMCuMCCKfZFhbn1yrIBxZXJAkcmFUIX2R6/Fg0TbbE/4C1VN3lQk6KjGTLU4HsJuP SzchI9A2svoKDMF6Y0GSXNDa1Zlo9OVDZuJBn2JPHA1iAc0yvuqb1qDIEXl2FHbIw5jVVkxbEbnN dtql2rM4m0K5+MYzrJcwvk4nqWZpK4U5ETJubVz8E/daO8WwhsleoanQBbqg8J9sRXpsq2n0sMkX Gp8iN5TFmzJGqilUZFgr7VAw3uhaFqscSiYFfE51ZRux5mD3thSyERMb6qdoLO7kBW/WXXJLCWhW cT4/7Axzr+MZv+zrlqeQQ+ccGbR3uXSqg82REBgDwqt5SnwubGuA1jyJTAHcDTZ2DK0ZhHRNndLe 0yS2fo4zjxFAzmpZ4xtofPObqsqpryVEOE73hangm9VKmcdslFn+Ufdvj54fuqplDDegHVY5FxDk 3AdfxDXAMGBPR5Cs6OsbIsf++zjQvcEuTZ+caUHcoEhELrJj5bEIgW4Mog8i1zq3ZyL2AhC93ekf W9/3r7iL55S5g2ebiEKk91D8VGuzGoYy349y7B0jo8Xpv+kzuwAjM9l79DTzfDaJLyZyq+h9nYJ1 sGNIkQ1rG3Xct6lXd2SKSK94PF/V4xvr9uMchgJ4j7EqFaqXH1tUNt9Zz+T91exmkRyTmrE1Ud92 UpAn3bRgcqhgd3LEgJflh3ssFTqi6YJ9ZPM2FCuK1c5n38arX6kxXU8or3xjvx2woEdzyVvnfpyp jjWqF9Ua5WLMIz8DoYfjKpqQUxL0bOHb+mftthqhGE2a5EVWzyV50AcTezWdLnRHpDeo65Hj3507 5s61S+TvMLTQMb6U8AgiBI8bSHlhA0tkv0SrYIedosBIwbk/r9+juz+BHAthijab1liwa9/vuOsn +r+QRmfmezBFZB2cExkwA6ilakh2hWmT8MZcbdQ4WmItSnE3/IX1KlJtVoXzXomkd1V6BCwHyG1d 8j23IpJUNbjD7BFWU+mMHKG0S0InY6jIy5wZtByTVAX6jlC2PweB7dQc+Uju/rubZBMSzya60YKU 43Je0xa/qzS2Gn0bAPAMXTxYXVpljmcjM5+XrUgsgGQtgZ0nX4MB5CCQvGBZ34lyMmpYOZBymblB CBRk497vYllpUQVwfpk61OqPhef7v5mxUtl8KRl+e+xASu55BQ8qF8bCHMfLU0FsSnYlfr5p9ZNx xMo1nk9nrT81ZoDiCzFlAeYANnj1tiXV//f42EDd3hm1zLrw89LdT/bzAQQPNHt/jsvoWRjXaMbB R5xQ7Mm9DjkoxDDR/HvnWM1RS10QMcQHKDJ7R4PDs6klQ9lV6cgl5KTSDDAEGNAlyqnbMnCDrXi/ 98Ep4BQr5XoqYp8hvMQIbAMXuoy6PtMDlzcHPLg3JmgHxGPvZen+0leDOn7p3zn82ANLBIs0d7nx ZxJB8iLLgMp29vWY73xIx0MjyDs7iKet9zpzIDMRFFRoTEyxwohzeRC5C8vJVsW2WFtqk4c7stHX PR7t6jLNARRtaotbtKeVhBG2ElWSf1h7tb9izPl7lruxqz7xxm6LE+TXkwa9v5v8I4K7vL/G26ry qiUDzqeuWTUQGS9wudlPIzv5xPLSwPCrJF0gizFtKDxN01A+i1eQkZy3yFoi51Ykl09DX1wSH3nL mTL4MFXROlreGRCUzKZriTkvWChI3dBn29WJgfOqekEUrcA8xZXvrIHwhREy94YGa9gluz/BrOMS FTc6IeHmxCu85B+MOado7oz+Uk4T/EAOJ+3/+GcnYMc8Uu37mT65lGniJOa7h/Y5NaRFrqx7Sc7d j/7k8piQZScyXHjnsxkBxte29yFPFy5bbJWpW6b4LfVma2WWo8K3Waf4xOKEWt1aoBnrna67qi0l 5X7Y1L48oNXKFOwSXDHQu/FovN0mvsV2O957i8lgCx/9gyEEvAjdUvGQV3sRINsDopd0A5BuQJ9/ nS43BFlaB1aqSSsTbJXyAIAb8wukQthlYWID86m4RRd01ZMc7f0v4GVVXeHSnz7ACsUxM7D+Af8q 7H19BjcKsgImZf05vDlKKxnUYDsONL+rDuaQ0jlWCbZnPEAQs6n0JhL8NN+tghiSojizPbjD6CLp 1K2QvR3i4kSI8aFlZN0YHDWegTR30CMnEaesjzCrA1SBa9nRlpA6iYlg3/RrPbqoJ7eYllMEVYqA 8bEJcE9F1Hut8CaKW6iSdKt30dLi0tJ3eqQoZJzbkrlaoVZUvl59tCLpKkqlW+MRoG/BdLkBjEUp ymhnuJbaJRdUlP0z1VxTjCuszhGi7gNVhege+UQToChkNtqPuJX7qLlQo9ycXI9BMRLZwM8T3iob /4oD0Sxnt4gBhAG/3naCszjD29UVE5WPeUeIVlsktNKMvFOfEfLF0qYBuxbTQn3deyKRvnWwVQcq RLqRp+vYXOoJJFMVKn6Ii88hK21X1/tNbhVTlDhBdeA7+TvKESNzy+W1tPYaTpieDNfFW1Z/GmFi /9+Q1SVEz9ril75vpN2BFZetMsX8huov38WUEWPflL6ZWUi38JKsqLVawH0jrLT3lono8ZisuzEe 6d3jydopmqyE83bPhyR2uT4dBhCKbdov5M7sg1QjnIcUBmY4jX0k4siSd16hB0X+NzXbsUlKENvJ ycabyVfDYI1K/ha2Qzu/pyhCqOY2V7WIE+FYz9guoZUk1ge38quWmkzXSPBS/NSEwFS5b6IIg4Wu 6pdUYW8MH30b+QTvXe1MpyaO8JqG+u1SLc9c2N/CYF+5YbtCpqpNcBo12ugHQXx4WjJoPDddY+E9 FUY1LlWT+vM9yFVtSNLpaVteS7rCa5Ia25ax5iDHodDQz6pbMAP/O8kmhbQMTVifVJKdBU/xPzUT Oi4pw6Tx24bShHG3/Qy+6kSH12I9qiYHDi6Sj/sts3Xiba+aIta0doEiP0pdKqcCXPPKfA0au9FC jgNjBj33mMKGAYoxw3B3gx25TndCbX4G4lLTL1KTS8SCurETbS50Gk9jA2ctaiaTuVk4rIoWJN16 fojC2yzXzwcXRTRrXFgKHA1b4H+PMUx4/fPqzdzkVmE940mOASZdn1+U1xnt8mhODxOessGmCb1U EPSNoDjSiR+TZIHaRtxSd7mU/88vmzsFsFoP2L+ZbYe5kGZqA/mIGuq8GHIuMIILtHsE6N8bOhBU KNnh8PA40lwMcX8Zm2DeYynPbjyqwhcok5R3ElgvBLYOtZwl9OWKSAUkeuXonaIv+zg6O6Ca7zRI 45HBMg5+Cm7FAZka8x/aTsB4BMcezgWJ5uKpm5T/bQRR0MvJvlgSIMKJBKT4Epkaax9smupI199f Wlw9WV6JqksoejWfRk9L7FBWsNvUjrHwiL1c2UHChp1LxVHRKi/YrG6Eg3sKv/RqQeCrpKG1uLLT 5NmdC3YHFrW/Yq/9bPkJxRu8VfHRxprxSsUk+2hZvS7yWgyKs1K6mTsq/ePfPmXURJn3zhyeeRmz YY04dA4xYGAdpRTMmZyHoLtoTOcKeoGNZBGrE1WSdqYgF0ouN7PSMFRFGv6VabMmvVKsVFFNTjp6 B+9BwKBNIdsq3tQgB/4aR6J38n8NOHTNrmnf8cn4l723aE67+ykatElTA3uiEhRIwgmyG1Bakn9X blA3LRwbgx75buXLOCyeMQnTo6OqSpWRXoQSeJ8+V4Aokb3NLNtZozfZvPACbknF/kdX7N9xjL0C d9dFAf8FXj7PQbV++aryEhktr2X8IcdB+avzoNcERS48QHvUJlGFZxv8oJr5U3o3wj7ZhuYgpu8z 2oBZHO4193bH5596kNCRbrl9mbbuT+s+zjjh68VuZpvKL0TrJMd+1vpj6KxhQaDKxwCAzc/tGWOO 2ucXKnPM7dQ2Q5pPpwxY+oiohxWi8eUN8ml5lSHroAcCiFukdg+9AWTTZrF0NYbFnHy2I+Njq6SR hjeqPwkJ/L0mjGx3dRElvU+LsES/WU27vbClDPcdIARwplwVLFicbsdoeUeFlFgLVl39cV6tEYmo csL82ClSvSg27RyxTm6mdtJzJZTf6Q4dhapxwbgB0FUkg4fHvruwSl8OWrbQq9QNbSegHEsgZY0u beG188LmtiAdlNKIAkhXvjpnxcT6cC72EZodv4A6UNqC5Z/zM4+IuZAmlkbp0+aFJ2rGXFDIrTU/ fw/MjS5DA0V49UYhhIShYZrJ7nQYyhgVTqmtXxGBOsX9ne2Yp/nll9o9z5CDFRHEQZ0YWEle4tvT Oeedofu1wfAmrmfNpOCP+ZsepBTsoGjcsYFy50P/D3MDPKiehixQiDD19Jd2AEuRpgJPFe7mBq9H 8CSXEQa8CcuBP+2O+FHZqdLnEJAgLo6ZJdne9qUy7vlLGB0GS1P70zl+DJVhuoH7LQlLgbj/90d4 mSrT9ne+9yFFi4QmGUvYe8TM7pimL8D48Y6yR787nxPQ01omNwO0NcV+kWIZmBCpoEDcGi/yL0uI cAsdmNMdj/n+BOkFCt4ogJvKgOZU3/W8MUlPDcIdrNz1GPltpIAq1fckjTbec/1pmaAo2VLLc6TO vrrajnt/qOcwQfDSMmWrQZEnDfWKl8n/yxlZkjhQ8rM7Ydv0pE5HTHNxFcPUjIInC9M+3ompsJ6i 7OQnzrn1URWx63kZpmcQU6vXuFlT8gvnu7laPt17CQUnDdSaBcE3LWvqs5s1MtDiuUNUBICcqxzk vVKEdp8voRF1o288XLzpY28O5sVEg2cTvR+EhyGQHWmQ/AMrrJLosU441NuPCGMbehDkMafuvE8Y FMGEwHaSC0JMUWiTHc+hn7OdiAHNTSgdqWpce//WM3whEZbB5R1+fpFqc6pITC2kDJ5guz2Ce86h gQD3Nd6USp4xYBVWuJrhyUSGpI9OcAqYeQjY8nEPnYzmOZLL/Dp3wuwTzd9u8H8eINVJLM30V2jb laHhw0/NZRROltTX4asnJXA2XU648CKs/g8pLAGLynRVfpd++Pu3F8xR/8jlIl6d4eBsghd2WViH EROtuo6YaiH5LJfTSMEfqe0RGCQ/I+UQfW9gvHPVBysSR1nh/S7giuhM0zYMxiL6X44uA+XwJpX5 RcdVcrLLJInWDfJRClrJdMJOU+BcTwf3s3fnji1VXk3ioaYwsPiW7ixnoBEh0nO/ijQSJF9Gf8fn cutpYRpw2Opaz1BsgAfRW4Bz3m+3D6Xk5LphDfqdRUfPHz7/gZu6x+9xGGGpMAfPk9LMLKk/8zn3 l1OqqBDA6YS0HI7LNZ43fZ0cd5lgAFsGa+aqCUBNuNmH8+HxNGKOCloYNKYa2x58yPa2FtitBuzk ef7+kP5EoPpoS9D7BxivtZWqgd8azPDCWRiaqb/zWN+5HK/D3omzCA6llzOc8ZShaRl7Q0+b04z6 8dpcaBG+51sF7qJuHPQdbezbBs9ZimoO7aJgrR7BFiBHhjqHCToeCBkkbu8x1Qv4/C+qsjvCbfBU yaYJj8bstUmHsO2hmpFj0YIUJGfWgDg6Q8CcdOIwY57/GPaEcOlqRd25jSmUAMBeBJnNpwFczNXJ TDjasrBMd/a/15K0G7ieRo9VxAAJ71sMaUtu5OyKXoKwM6Qm/4sg3h7tx99vnjHD2wLzNwK3QfZ8 +27MaV9TWkajTaD4e+6ZVJuG5SfUnBcIR4Li9UY0VE9QZkmZwtIqFAhA0eAOjmz3Kd1hErSPZXsm n6tInmuGvvmerYPc62+nC/fHu4oKlV+jF+pLfwUgfl0UvJwkuKub8W45iFbCQNJwRlVTA9oYBU0X egv3ytwStd9ECBirwvODUNsNgrKdV9LwZYGJB+OQSoqWjZg/fgjAImROKJHUqkzdyp+8vW3FUW0k lUggsBXgw9cr0n56uPSZUMk/+pn1sRAzoAjrRIsBfahueFvX8GIi/DabBB+d1silEBqzF1SgoRAv BlgaR+Rx0GwgSdhYfdDTV40tMqWBe8FvOdD9RlF68Izx3QYZS3VP7AwDmUe+8ReBQE7D7gOL2DnL NMgV26Ijz4PhbBPEp3W6wD+bG4ahrlpK4qJmFnuDUsoCGNrgAQIeDr7Rsr79TZlfFKQ7+avTQewI BqTKR2RWfkSatk1v9BB7GuFWkoB6dke0hAqZP9heHxZnVt0KgFFjqv0/6P2qx3GiYKmB9LqTAq9v g6dkE45BbfpYo1hKIb/zacPvf9ggXJGCIL55wKIxcCp96bbh4ddE20PSkmh8a8zS+nBe45KgpX8M dNAJzPI/KAJGzwP/dlLp0H7zefWMOZ4tYwLofzG8jJE3J5nRGKAbEvU+80bYATBqoIBQNEtrkscp sdDfznWvvRHVqubLL9tWOI7xgtS27j/1Z4svKnOKPKBGxm+C3Z51Vj4Nq5Nj9vXvY1vgRZm8eYDc sY8EcuZE1X5TzdFPRfyX5ycW3ZevORTn3tuUoiZfgaLFMqYT/7SBZDvtBlNZ1BR//TjJI/7Yy5K4 P+VwOSeYRZvgTQrL8J/8xknKZJbm76xJq3ynP3+lcmRe4U0Lp8KJiN1QmSQahpC5o1pI0R+i2U1h sUGnnfvmhLwLQuQkwht+CW84A4sMYMlCp7iovYePGsHtLUErH/UNkzS1vAdPYD7J07yz0MDcSCI0 BQEnKTBfgAsGX/9on0RzZ7s6TdaSTVEOuAFPgWqCd3x9krSKdzMi37wG0LAaydjMPJnQTHILlhN/ mcUpkx79OCiNfK2mmBiwsqDWRvwipY+BzcaNLVBWxpTeRO/ZcqStdKJQxs99uZAvUUB2adWfOFzV +WAq5jJA6h3CyFP2mIiSknsZIcm8KnfNzRBzvXeQgjpZSrkWJq+Etbwk/HjpqT8Ujy6ssfYfyXjC JKpiETShbzxZnYld65mEtis83J9vGDqyHkISHBV61HNn49HCPNLK586lJsPcX5IZFWFgyOFQyQb2 rowF4ZBipFhNcPOcAHObHKHTWyw1lmC0emCO/X/Iziu7SDU30nLn3hrJCqOnctHwjN3mL4NgW/r+ MsjGnq2D8rw4loP9xNkt1NdoSE/VTUKtK2TixOmfURvcq3ttkDWQ20/7gOHle59KuduhVNfb9mSI Kmi89sLMwZ22HY7TgcusdW9Zm4Zebpw1nbWdiEBPOhBQgefbb57MyFK6FtViJrFXS9SMPgoLQb+Z q0PAJ1AlzSWQMHiViWu+dpYOIMR/sHRPsC4GaU90xo7fjPLsMYFrc2gOPctpeldT8J6lieYGi+IW xkySmNDGXxINln5cZNXsp2sN7UOi0oyppsLqHWoT7BAoqjA6aHKZYVEMbn3z7yCj8exO7dvnhbgN kj+75ognLBlQwyZc26bTg/+OzqPEQ6dWLbV0Te0Ov4cyM1uCGL0eEcoi9jyYAWXHt0ht4oEyne+z lDhxyc4Yn+Xpvdd5uNLIQQ5OIS5LGxiU3UC0zFC9f3+sEGruXyQggriCsS5fg7wD4h9CwlK7povR FJKlMVH9bIQWNvto/+zZDIcZIuufHvjJPyYiioiBeFkKcoTOSLYaMbIIvn6CBnSdbo+nUdqw5U7x xfje+GVxnJUx4kvYFktQsTqkZECB69UUKFrdfPccyz5Cs2ufGmIZ2G+IFBCN/rkrsdWDydOv15a/ eqP2SRiPURCED5Stngsua6Ty4wh7ya92ez3e0e/ZZ5mEjaD03kQ3Hf8ueHVw6LmxHO+fpnZT7YhP W084obW/EBEPmpuXyVtqMMhF7enBnFYDF+ufafC2ccaGf/3kGkQd93NUoDc5OuIyY4NTGAa7TSmw AEgBQHxr7+TrYQh0gfCpUrpiMcpbvrOm6xTjUuGNjs4IVU2wNUMCylIZW/G12qR1nMIi0MRf2VAz h2fc6Bo8nX1INYuFKqXz2A3KT2NOgftMXTxd5TlmSH1Y/8WWHOqqYRrZ6rB4xBipzpni/iexj0se JXdq3T3JSFAljxs6Nx3hCUKuvDfi0MBgV6PHzArYnA8ieHacFNcYkgVO6SL/IItc+2d5jFvmbOFg X1ptbORGk7nL/bf8jwrTDRMxKqHXIQVq/EPHh9jQUa+5SYcJX1ymrl5z6JzHkkRAoYy7VLpR+UPz cW6IMSeIcx0yi+GLqoeD79sYupVhioNSnrX28q9dDm83CzB7XZlST8RlQOnVtmf7G5/9WmhEu6uv xDvehhtTWFOtsuDiuar611SYpml0skUYmuR0Q6SWXqFVuKxjggLSqFHfBbw1Ua1znQWA2fOPim6r lNwU1g9/1ye+n/xN/5EX+gFVqabOXcl95kfl6FaxBbwDBduR5LTS9PDypm5CoorDhdD/8nERqxfO KxFqxJKuOXCL4T0D1CDVhvpnA89q0FHlffhv8ikDkgJD83o6QaTM20YpF4LMhPk6j9tyErJYoUpg Ut0KwMiFp7o8HbbrXiWTrED+iiq5Lu8ojzgV8g0GW032PINbctYBXfBtF6KN2IDFazoTuB+U5vSc lSi2xWEe7RRlFX6/zAMvkycV5YFoadFoWXcCXJptwdLRGhn90nXEwjgfnY0A6COEjFkvj8I70xwy gQjCmFy0EG+MvXCvXyBAWE3X+vazKodsza84t5pXG9H2vRp0aY+KfN9DsgVNWuTqa9ldx0AB2HiI mh5Tr3wp+quxCF66C5BEzT3AEUSijK7gkc+5IloZoerxSfTCCXG9S8RTA41xNKWeEztsLPT+rZJ+ xGk1NCaan505EL78Z1ULieHJ9nO+3539Vi8O7V6YAkBCtlKoVJMuS8zSswa0oCkymSXyLbUBzT9f /AWig6OUG6oGKxK60IMdRWcgfL09nhvwoVgRYRWxh2X30gsSvKPKZdeKT7rQ4GANIDfp9vzhN3qy /MCW7Gj8636uJDCBrYTHfA9Nx+BjMKnyQ+HwUetk1HI9kFL+HXyelJyCU+YKuk9gSjvCyYqOdMpD u9eb58UWTYpHUiaGGoxJyOQuU0gPRtlr6zfu2jp0vNuCS8MNKbR0atix2NdnqRtqR9FQIKLbyU3M DmlfTbuQRKqDSLv6SUhp4784nP2t+idp9RQpu7GSQdo345tnt0++N2/04wRot1Ez8/dEr1UGOaNa inAjwqQ2yf9pxJv2glZqVpua03Vrvqh4xO4IPOsRBqF5Oas5BgWSChwNmPGGf2mIm3QkQGN/g4aF bfX8kM+B9JMkcOPpQUBTl7b+NKYKh/HldMyE/wQpFFkMEG27XVPlCYt8WQeRchnpPmNKBp/2j52L 2aI4HhZ8V7XCsR0kyuDXFhCqi0nIUzDWMLb48WA6KgMUaeb/0QJNCpv3YPb1+7iYaMG6aX3u5akY k1j3AagWOggpPfXXVQkDWMlTbvKL1/u1AQ9hMix2fLnFb4VXP8Z1RLnEjcThM/Gc6/lUvfP8TsqN DKXfZD9BA4kd8Lf7ez6RLcdRCIzs+ABfSspdCiT43neukfDRriMVj91VmIuMNMVSQ5rhPxgHhL45 +4ZC2GKXD6iy1OF608vmey3+/2+iWP7FAlkSQU1wBqO+YAtW1qLb5vZOOXCpYiVVURbYeVxRdnjQ jiPErsyL6EEDbNoXaJVoP9qLi5g3bR5F3u5sUso7Gooz86Y/DzMmjqEJvyPKAPi5//iWbdfJkHUP GM6vPuy3zDoR0Jf7mm6KcBF/yoBrbZkqhRs1At9/uGqIubJeMTOMjhHQTh3EoIZwCP7J5ZHZRmKk 67j6PuN8ZdBgvwSksYG0Re0L3FoLhi2oghHZjVTQTcV2jHf2ECHRyfp7A1O9uxGO5HoX44G6mzCh 6bS1hN+OSTiVBEU9GWxhJhxBSMC3YrXBF3IuktAGmsB6Sfr5yEg/UV+fcIdebHvxNEZ9IvDQe4UC 8TprBV1BKw6zIegrXR5QjUjm2H9KL5TCmgBVOKXmVrhXRV+6FdLxXTPslAadRurorAgK6tOeoAT/ Li1/i04rYbl5oK4MxNTZwYhkNBDB3Y5ZAIjUTbjdwRU+eg8PRXToN4rwtm2nQpcVoAqpqMQ9P7xf XgaOy3D92pSsrwRBOI/MRoXLjFMNJDzRg0ZxKAbwMOPxdhGbJ0sjmT8gd8vw4x4KepDf81FOmZwe WCv9mgIyVZ6bOIHYYJf+HS2J/6VPggBosG4XLGpVBcRHVC5A3lWb6QB9n2OacxHn56xblld0bL0q 13mB25dSbrNrJmMW/n1iubWzoZ3Tr0ATOKK8yG0F8c6j8eOmneZwlQjLUohlzoXYbacx+fvo0V1O JwNHcDdF2D6OR7dd2S/sPfYqwMvAi3RN+jSz6Msa9gEwj3yfPc9Px65cMkjKeF0mvt9fG2vtoM1M AppV5r6TVoQoJs4Az/Q/Rkj1EDet2dpBJMmye6ze5bu0Ny5KJB7ReMypyujZ7usmiDvwhZvB7gvu gzXkNvuYbm1br1KVaxs4tUAkoezItIb8tNmyeHIFJpGYKTnRjPpiH9R6EQc+ISvtr2Mv/D1YhaU6 CWFMIV5gqvFKB4E9gnhL0dvjLghEztdtEgYClZ/iHamu/XmARetSEw9rifnPt+OP5/TqTLCgncHX 1m9B/IyFeJbpyZSgTKxzcDavEl6bsJ2iVFIXzWLVgIFP2plucfFoKN1G0s1oBGG+YlifsiEU5Npn bqk0sOc15N7KS4Gu7ZgicxwVPV1jrkmsN/nwM0JahMY+QrtCb3Jyksc1ffXmldAx/rJMtPZYiuoz mlNqs1sSMjWIeu0FzvaJ3m0zGh7BwI8D6Mxi5q5N7kIocqovnW3FwtSY6gWbhJPnK2X0fxappOxV afARD4cHZBCwq5SDljR2C4NVASW1IkXh1MSbeiXwe4u+4zeYzW78/4UFPglsg71FP0xZCb+KKhSO 8/F6zIoL1aHFs2GSu8ktjR44J6TuE7wfE9FGMUUr4zXuowPJ7o336sBjBG65FYatNsSBEGqw4+QA 7iMZ2uky0b213V/bS/+G1Mz4VCHVg86Mz34DywXTNpc4NAmLug1qOPYA2BEfkDz64LiDoue5+sxo ZjuW+iAPAK9sqZ/DmFKgGI6+iiEEjtZOdSHhPi1ss9lCSJAbZ1af5uCL3lkqHya6rXCNNjKeCOIT 5/Yed4KGbB6yAVitmOIrXKmtOsL1M8Gi4MBXCozf9z/uvlw5kahgvhkxq1/PkvK5NSYtDedzvxin LzWitxwCJa8o53UxK/6Yh4/GKS7oMsVBsDcYfLtZQ4fMHigqpv+aI9y1lUB2VHEtKi2jrM790bAN w03cOKGSuJP9X5nwwPszmxI/7U3gooBRZyCMk2skwHkPGgfTsCinSh5Q1vQKlqmJBMB++py5z2jr IkbP6qzL5rhspVX5lhnt60uvwanq0bZKoRj2tiIm8QewnQMDyMJOr5D8teLbUGXVq/HZSO3dhFVc XL1RKd1rcejavFvey9U1/cq/jH9SYVLU0SmX2jnSJsMi864vJOXZd12x3+hTuxc/LOQZBuALpYar /HscVfD/YnJlufvPGTj4Q939ZhD/KSAMAug/cWmTGVThbSz5pmVxa4mHb8AbwxxQFc1CwWJQ7L5/ Ea4/J8Xfi+wWC1MEEgSlnpxU0MPTWF5ybziaJdCagWUBs6WMnVUQ1gdlIi9GoJGPKRdqObvUYAxb 0QwqnwDR3LyH6e+BwDUwrmyDFtfGLx1X9mGMalujWe+fBwyfx82NsZl280l7SeAuUaExRdsSOMDQ ME4c96eAt3klHAVtXXAfLg04zWfq/g8FkbshBP+mUcLdkYoYPCllc6J+5PepFXMhVimx+2RfZLPP 1W8JLnJOAMA0acdo79STV7nrB6Ddx7AaluxYidK1CEDEwhZZvS6MZzlk6WI71WyOYwnHAXc2yQ3P jKkvxDGiEetGf5u123D73yI5D4tzkksJGlegYTTTo5WdotZLSqizEyqReAbfkTg58Jf/IiREra9A J1Lr6M9KUrHd3EFBTjkmkD9064rUAXNnKrHTsBcd+MK1tNbAeMbNJLSEBCB1j1I/+QJP6xYYmtpG YKHL4PSwfAk+LL9VyGJ7HC5mYRREGxndrkCC+AMuJqSPOFEaQdvgHShaHgsTh5ZBuwTLtnrZf4OI L+ekbULT/l0hxXn5qOw/MN7Occaf+zOuJuiMbPUKHPxiSqgvdV0f/Z8qQvmRyH3rkV14vV58hdrL VUUD270EdPqkx6tQ/05SYUcdLuHpqrgY/ldq7sUzAiGF/0JCBzcvlWvWUJhvLz/DREE/9p4nQakq 6AsqFAa5Qm2cj3QPDRVRwcDEfT7+n/UsLAbVoeAXKhfIGl5LwEzRVNkIEQ/mnmuCPcdysJnjkGrn w12mH+pOBG2lh4RM7ewkcGNWjZLT68LwdvrsJSze3dnJVSUiMs54JU965/0yW+L+zSCtZRVbWja1 rwg1EsGxTO8ZnCd85OAYnyZIASUg+z28YfEJ77Z/gpIrPzPpcXHhbsDVgGzSyuKc30pbfEBAz3BL eIB2Em6NabeuyTTtHv4oQ3bXrwcWyfuNEbCxlCLK14hkq6T066aEJtFlHSCxIm7iYM17EQpwKHti +BEUBlYhjo7XUJffLbYDSPzYqEvUip4odvzjKxC3b+cZgHyR8T34lNJtDMSkCWkP3D+NpCGaryC1 YhE9TmNQm98owWzZwImIwvP27HVdBnVoDHtcN5JXao3RBTqn4zzMJzzAFD1G4fpXHcK5loq/ZJ7d uLoyUY4sz2sRcYV7mEbRa8WmHUMGyy9Mb/BLq/IsaNUY2/y6/oWczedLctVrmMWV0OGUWL0oLENz qDlpA1YRIeKa+I9TrmB9VdGBdSZYwXT9xrJgDyqDeo3EBHGX1uo3cNbMBYKmnSE1DA0itFNTJ4Rz nzxKxP8O5d1lfIDtIpZl3rd60b2UY54se3kSBpZd0u0y7Crc/KnghpFmHWDhx3xSUkQZhHFYrJMi E+TN/GcVVP2LOZ4O8r7I4r3Jl5AbHRDsN1woqHatc38q70rx8GkvskL/mM69AN4lb1Yrkw2CF6QU KIK+dokuVT2svxZdwJNFOTmtuBcHhmVebR8nQ9weJ8jh5GSfg84Mh146JOObQiOfPmmd4MThAcaC TdGHRCvfxT9zXUMO4P+lLkamBF4ynvJc0Md9wnMd4oBs+/7ilZYlk9ntc/8xruf8NYZj1rGfWEs+ sNhM93tmhXk4lQN6NNECJGqvD/9N2CNF4eX3JJCRC2ih8AkCxI1yXCBkcYJcK8MlwWUDJWk5AcAz dPVkezn/QccL1R1bFfr5+QMrtfhk1PCKNkDLIAoKo6r28yiXmcKQxEqKG7jru6w3zQNJ/LWDiRMg 1A7oMk3THC2XwkMajulHscNCm3o2EegW63+KlRbiJrz6nygUrS2Pm7apIE3JoCfvoSdbvbiouUJa zretuEt6qr48tldYkG2K8+qvCZLuYYN9xCswVcjQIiNekBzEaETlBc11zBDq0qOoZ03Df4OcIkN2 mPpJbvxfs6fLi96dZpN8XF+OJlNYcFHVnjzQTYnSAuV70hsU4FtP1380Ec7v9nZPXpBR7N1P6orM iNY7ICyx3tH8hokGhk2AdiTCTNhKFTtnyEn2OqIrmNiRm6VOPXknobonoCRVwW0NGbGjbXCBBQIK hc/y3KFR+fMrLeaPMQDZrvolKeKp+TrjFTRDdsDUwLylmC2RLx9YgDk5j2tue3mwCEQpWZawCJN8 jgABeLGO55nEfn5nEp3i4RFCe4RvWinPTGTq3JYVhT59f4G6y+JgoKMuzEpsNJMamSN5so0hFGG9 t6Gz9h/JaCicfJMLn+lUCfDMbBHJ0ZTIAeZYZw9OCCIhICCi+/38V+8HbJeAlrXYXQsw6hec5AYL 2twIhvEvhs2uQq3JUforZBuDnK2MZZ8KC6C1eBfS+PleKhVzN+8ztxw+4v6mOMcSylokQLf86v+P lcnxAVczBNqV9uWQnYRVlcx1pfzEvXAFqMrLPxUHMG1eWGHdBw9gAKgdAnRbhUB5AHy8VnuzTadc m9YZbsMHCIqJ1wZFph+kwuRn1q67SRRi4Bhaw5ZFFSQusMkuQUyFFKXB1Ezp8+hQI5WkVJs2b/95 2TEvDSEWP0YKu/AHjoBKFVLYrVjIAUa+h7MWa1H3cNlWT6/yoIqP12q/LLQCtQR828S8wAt6A0tD whFLL7K+mSJ3U3mwV9XHaSoffc6ybi2A+kw3PCa++qBlrnSPQHf79AH1wD0CSuq20t22mvZvAKYB Rc7tANPEa7kLh6nfWqKXBjUA3miR+xwOZnPhuGYLHeVRI4vvtSyszDAdA2H6KhO1Eo+eCWcDlaZN bHPRJo+FIx9eN9OSiTSFicXeNnEEOVP30C8kFP/CjzQlBs+M0G5kkBvUwqpREj7JeJ/u2KEL1AYZ hftFPPBhJT9NzPTEAV6zeUIZQyVHbx3EoIjlsu/MmOO0jPo5eGwCJLJrATobRPzJyluuxoc+m6KX cJ1al6hFW2dcDS9pSGrM4x3vxrRgL9+P3dPPkJIcgx0X67OInS15YdrQU/9o7JcCJIqR9AaEdLYW 4JNvcQRegDx9KE9QROkC6Lo/T69DmL1lFbQnKli7XHIweciFbikBceIhqOguxsbeztjHUpVIfsru Zay+Hu/lIGAcphXI3u3EhFamgs/CNnMQNIhDLBQnG6BzBB08U835Tob8QfR2Pb/Uc3P+pHK1CFqO 1OMYSkU41Y5m42Fub1oIFCdy6mDziw4yHaLO3KSFBS+z0fsXqZKkpRwRFMxM5z97Sjn4qubUZgr4 e7z2X+UpTakh4/YqVsnLH4vsYEBM2hZTsbwcIcQo8L2cdps4J33ZEwK7FuveQE8gwfmxZ3CtZw75 5LPVDZd9sRuf2EZgryIrQcDwN7ckw5jsqMUDSHcsYklYeShVuYACXtauPYVmlwAj1GqZSsushtSa InsbCYtDXz477ZOdi7NQ6iBOwbRJ+eS5rdUiIVk0A2Y0ZgAvSO+kktFvaBcGXQS6w0vork8Qwapk PvlcspyUfZnTF97pczkQO5uRmoMJlLWznddTKVOqr0CZk83YRhMOT2mEHoDwKynmGCY3pmyHjjr9 OGXbzP7dxxWqRx+zt5bU0eYh8qQ0UV8Ki+qGKr4URPnPo/dQmPGFWAxAir1TshyWQ9l2RjhtosId r1mCvxP2ZOJJLKZUeu7FdC5Qd1icVVIPpg/cdNICMT7+1r6n72fdVQ12UaQfED/9mEV/HoSLczUZ MbhvhV5vC6xL8ud5M70kGs0yDO++0s/7J0rjtEV3/GCLyaTnO9QBO+vZjGLK9nFYMjH4qh01UEro 7P7U84RFbf89zfJBqUMlHnBTAzpPB+o3/6MiD94hMirRYNYPRvq8kGIj/Xho+0bVyeXD6HN14SJc RFYbVN6KfSsI6UYBdngsfTnyiAd/SeDqGxHFFBAdivpsGLhp7+fFUbHvbUSupl0tT7VI2KriGPx7 T89JCb5E6lDBfbH108xPWBovKT5IdW33C3u37iawqV5YRvrWiPpLX5VUtRd0wKimaRk9zNehdiv4 MWFAqXNZ6nutcN/GWgshthib/ulusdr2Y69Fw5riocse2h89xkWo8UboFrWp4F1P+zlUy7CEta+i oqhqY7TMw7x+xBQlKwvvLdpa7WXzV39Vyo3IzeWWZszqVmzy+sf/6eKqrPIHU3X4dqmV9URYAFCv Un0FMkaofpLULH5Q4XhonbvkfkHSRurvJvdQGU4uY2/B93TnIXoy+6Xc7zpZbw2g7xKKrYpN+Rty k3QxNKyMkpwF+cgIw0nlEL/Pq5n0+oIt5m5zzVafpZlYl/I1onDfOaZFYKVMDMtntNY/tjEj1VH8 QFz0d8It3q+Y4Ru3sTwhi3k6DHw6hpvO89y57IUIgsK1ypIj+IMsYKoHWF1+Yxsc81L8puSkkJb3 3JzW1VeanLi3ZynQUGcsHGnjG8/eCxQPNk/HBrGgRUFE8BpFYeH+OD8U208hwnRgCq5e/a1ydd/1 TwlrtasyZAnAuG4DuhPRPpkUcFeG6RBGolaPbbNSAHEpLZE0KYsJmvZuRrsMe67lcrbHjd8wNodc ibx2rHeC+gjUShTfil/tPGVhT5mAm4Dc68wVsv8wb/+FlvK5EsTyu6mlIYRX68ggGiiivjALWBcm OzBM/boq5D/nvNe74TUzKShpZm+ZS8owYbZRIPu6kKpBx/x3/auAR4NIYECICFxiXtkkdB8d9zuO 9b+l16adQ9354hdwzseOuTR9HJqF7tz6fozG96ilmRwcGndI/rLsNtruj/jjL5q+OUEkviZtkhT0 eGDr5cecMWeQPHG2INN0iF2BIXVZuwZ5ct3g2k4d8w/yOttJedCf0ie26wKxSisiCHI1++EGWiUh oj/RQohbsknelcuyJubFZlKWn38OU77M3auKp6iwrX4dTGDB1SGwd0bvfzvA7KhrNLCYOlF9ZPX/ Vo/785d01cEf1iHFs/NZ0kj2xJjz6kAtgeHq2CjdXIJkjkUFylMCm3AJBXxD1NJ3q9/xZkPRK7R6 ACRgGtgkXETQDiJYF/qeGEUnkOsUxa4IefXu4uFZ59mP7q3kSDC2TWVcs85oPzS8G7gKKV8z8XtA iU3YEixtCLHUkaeoWUwbD0nwCRPrxHuQxVX3x2Ugfwcti45NmlWzy838523vsi+s1nrSpWSKRODD ceIqWqispJJXWCU9jOPBNNTejeV8x2ft4Hf7Ww5j8aWAXYako2rVG1uNEQD6mUdHTaShPJsvFN/f N+HF3Y9cerFr9Fw3MnlT9Uuglz94LqFkGsRQI6INch8iDgWe1rfnZ5WzvlMyyaxqJ1TSbhk48q88 fv60E8XkyVZo/0Y446cwQ9Phqewr0m0I819QbaOhvd0RMu2INiGtrvCSIIC1+C+DvYwg9Hi2mByZ o6yZq7ZbqFD1vo9b6FlRODu82UAGWQNiVTnRQo4kZxiaJpWXXMSeFxhpEPxA59kB/LWoZGgs7UYk Ev1cxhorO7x0KuhB41LmBDYkMai08+Nhghl00v3RHmzYYdNlmim6EAxEYdIKL5jnU3ydDT2zrqyv GHoySKDRT8FS/5nNWu8nHJqKz8px59aohtQ4NTETL5UUWsWGH5uhY7zdnp1MN38Mma9AHY94enJC rZXzSB+9S92tCIzMWY/ySEW8fKCf5CuH4WFeZrvU4VBsrRJ3Jv2tMLjvNzsdez4HfO0mTHvKoIdd J6/8ZsgHWI4/kWbIccQRmRrjnSjA3dL36O1BZujvuSmWhzQGVwaV1GgRZYGbgS+udJLubOj18YtY gm2QUCN229Hz1VZPSLwfSRa6Lh72dxURgC+xNuVgcAK+Id9m9O4dmuBD0bwUnb3rDIknErExBGkw 5lbQgxytNgP/pyq1/Pr/uFdb+h/Y6lq85vpGYNVydxOvS3XtET1MqH2j0TTQQky5Fwcgs+nixvTK I1AKbZruV/u2Hu7gU00AEYkmaj+tgLxT7t0Pr8ot3yyxh3qz3Fo4En5qI/HgBRhD2/+RJ8CRg4Xv Bc6K1nSQWD0dbBjcoqrML84sytvZwPNZeRrxZNcMbDQGUlXpAgHv+rJaQeGObiCcuhrPjPpzgoEV +OYwkZm9jwib+c5+oSpRBcktCjleDCYe8o+EIVWiBRWB7tsv2x2Y2kWd6RMqCCc13h+bgMtag2D+ PBLqpMOPd993TPz+MVcXxgJYtIL4wEWf7QsAia11KmBZFgsvzA49EIpqsKx/gCa/Jfg82RbDTFB8 DNly/LtrmsXFLCj4T8RjlOucQXG6l0hAkJDmrLUXUUG2Z3C4xoak3XrpwrB7u2Zj8AIQrjIgRmUE lznLj23L33+YWdXFnVqcC3Zyf8EyVcaJR6H9P3Yrbv2sU/sIFcfvCeMnph/AIkilLa4c3ZnmMGvE STkfpMQZs/rn748WlUR/TpRUQxmXWWwyjwm7I5vf7FLjuuNA3IbxCUkvXOcBspEM15tEO5ZdMdgP 77ipbvO7Sh72HAa/Rh1wQvsl6nkA3RVIeskCFgGNgd8TjtqutqzMjTzvCmi8+6GDN8YxJUVd3GJ/ jBWAnS/ZywibBDm9VfaSiaw3Zjyoh31E3FT3TGxyHzPUQVpIqGxPPw9FyF9yGdb5gtFHd0GBxCIZ 1/VS9tFJMpOCPQtyZWv5q/qzg7/66LPZ5Mv/Uk9rr4f8Wz0Wsilxt3KLG3f1vjMxT6a//gEdUfQ8 mlLkKGgB1W37cBm8e66p6qq5efopRLK4xBLp+wAz0BwDkoDRh9r1HO7DUwrHdaqtLGnqAUu7IqIB cvv373zLSFWSKuuBt8kp3wGEuisX/jmEo1w288g3PCD9bW1SGvfpoatriYGNf2S6jiHfd1X0snYc JCIZgVZnH0oRv4Kg7eT7jZ/0DLRZ+k5fbEDhbeRLwEPd0wwK6fUwFhTABahXp9DpOsBu0TH3Yra0 kxrC9BKppxA0tl3vCkeDqx7vybTrQ2BhVrM0+4CTTi8L47ORwPF4RbwYgToyKvU3p5EtNePiUYp8 m5lPMz/e4cOgb3u6WtPOGsO0Yn9Apr2oAohu4jDIgNcFk+4Gf8ERT7oF8rK6HUrcsX/hVQ9pBpqO bMu0/5sDsz+tD33vxGVWrD7N5ge7R+b2NQEb+8maJwZumE8wXHyo7FENaqOa5gOfOtzZhg6F0j+w OvognnITrMTY/Im8Rv7dpZ0F4joNLKOn8WrxeUywzUQU+RMZA5dAh9BnkEOSM3zSATTbqScEQ+Wl dOERXovwHqECzYwVGVT2XJTx8pIuTW3axiziYCsqovixSKlfSJE7+LiHDtCVeN6LeirubVtxKs1A g30yhJg07rvDJodJaiGHCwRdfTg5//Nu9ImTlluz+70GEsTlK/8lkgX+Di+5XfvYCU3VCozflEMp eiS7bH3uG3gQTjHUtoIrPbVIsEtg7HGeOQiS+owX13I9VS9KMzsWj9ydsYyCx2GOPyrNfURFQFiZ 36Ku5tj4MNonCPTmeV2M9wSRPiZvAp3FVTd1gjPsoWa8x72AV3/yMZLTS8r3kqGoGsFblpz6SDCO xuEzMu1mBa6jl0nnD79tcidrgn1THnFCA1WN1oSDOEEeMZQuPtkwQfpE8Soi2M5LD0//xGIbUK3s phjWvZjN8EXvVWHaqH1xM0+JUPCjomEEtVrr6H4ouVUQI1TSt/GET8uoM9LS0nrkGKb7TgptFeOz S5pjV9mKduU6SLRrGXtGm1EfSY6XBPQy12aD4YBgvqAsa8E5dq7pHwAdPGTKLHZRGy1BhzK5XSot JDW7nY+6Q1+ZAw2McisrbzET/hw6jYAfcoJIvFvHwNuCWWtNtzP22dFSs/2/MQmI0J2fkX7qa4ej sKp2SHmg/B/n8tepsLOpn5QMnDOnKO1Le4g9W2gSjq/baWFc1aru4PokgwAGm70hsspVx1jQx34H XcXFdeW0h1Vgsgcp5cijIoSK+bghUum72aSsUudnQBO+Rwl37gUN3ukQkOiTGr8nsJ0WtRCqMHj7 5WH2O2WQTyF6nGgUIPrSR32yFA/IZaiDogm8+in4SQLtlHLbdC5wbIan8ds5ndaiEA3IDkaPlJ5o tW9piarU3NasB8ZGVfKY4e9zBRq+FHWzQ/bHjcJRuvNfqD8tfJ+gRwmkYsMPHW552GfN9nSeIrns DjH85ycO1ziJyG3ebCv17qDxlsX5pSBwcQC3notR/eOSJxpjOnZmQ8NPkc6yV7n16KWHU+/q2cp/ /izOFEpVq5wIWf/K4X5d+uDKpktOvxTn9bqMJWE17TvAMfDONEf8FCU/A+uMXMd98/V/iDheQk9G LAzt3ZM4wNALNSki0hRFvnF/ls6GEJQeSMW5ZKsQ0jw2T4pHlA3SJ6wZGWrXrpkp7HvTJjRRq+G/ NuUelmywssmEz0gjNjwpA+Snk/u0LPn2yRgp2GvAK8kk/jF9b0kxrxJ+JE2TH0CSzb2ZXHFu6FgY OzpbTalC77+uKzjhW0W7d5a+qwfn20X6qs0fnkiCV+MW355vgS+zb9kcyUrRtHG7NUwzeXA1bmYw 8FDh1XJnYoL9lRUtdZzQ6JuY3FlhRSZ68Rl7hegUo13zrxKQ+E0zpSDfh9IvwAjRfhtmqAkOq2cL 1vSZBdmngy3V4vKzMYtVFQcpub6laFrk9fmU385y8cvvOx7ie+aXltbJpS8Uq5t+Nsi14kMgPQXM xxh5qwjO0tQNvTdA/d29b0PzWcS3q0212u8fD6EYs1qU28LOi9Zf8WCvcb/G0F6FvSzJam9fn+Oc Lye+/APJjujA2Y/RZn/UWrrH7xRq84QbXKN39jcpGmWnYiGwwY5qWgL+dEicZYRBNL5BBEe9DtnZ ChklJzF4mikmTPOpndLVujkF1ytBrjJiSc5OXppRWQRQeZcYUe2p5ZozhRdi4K4eyriaFhTorbSR FrHV3hZAXAjAdVr7PCs7qyBPPUuLYIhKbX4zCWaFTJRW2BGGmRAhIeWu7ZX8hRpgi7MjK2ivZnlZ 0mtUbcBxSUQpKgg9pBhJSevFO0yfV9OmigvZsI+FWlgBgLIu4v6s4EG4IbpPm4ersXYvI0pFK3y1 pDIIDrAGpXbL1Lqgu5N1tLi9e7vv73f5Hl7cm8b9sxC79bhESpuImGLBb35GrQx7f8jULCxgsyqu HdhfXYo4diGL9QI0D0Ma1nFcbgCyeRGzSqlcFSSO9YjyDn73wd2dCrpg6sA8XqmQA6np5lAtB3wD ffCHdeaz4jVSoG2C8B7O597/fmGYYbyIPumLONZ6LhHyO3eUX0Wn9ks3J72J1AX8HkUU8qxL+3i+ lUV1SPRfk9fWPMhuizGhRMTzf+GxyfOu7QgbnV82Le5/kAxv98O/89TRt3h6JYEyiRpxsBETodon blBQpnQ5dN1tpSIv67qdP3j2hoGYzcj7sKedvFtYwqYRhwf9K67n+AEG/M34FTlUj5r1Qr+eNqT6 PE6y5Wr5ePelN3mqcmsWwb60IQBud21sYvFpgdXpQUIeH9xyRg+XSOPaBld1PDjnxedHMeqWAcIn OgMZcu86nEyP4jJe5YV4ydo+wwf3FjBD9q3QWFbIb9/DFi3xyNTXm/DR0mxzBGp1D+jGklaD+D84 mjkhG+sZgzYvaN+O7boeBsR81rMqLz1LVFHJtiPzBO2Otxv0WM8Rzx5F+B2WIwLpEMDtKTsHPAu3 7GhZ6/pw7PG4Y2T8F+d67UAe6cnZoXmvXyEwoALI7xOVXdO6stPSsr61zxk7k5ZMgW3qTqNc38OU 9Mdd2hGzrhper09WEa4wR9nlF1Qo+9NpN8fm5zYrTrQj2ETyJ5SDWhTzYZ1RgtwOzau8Rkig3Y+f U9Jf2nm04+rlr3Rnh1wd8Ey96u+8CzjzK+0KRS2X26mRkhFIwUtCI7V4teFeCyx+K0hdEhRIAUnH vpPgIL0fZMZ62vTS3AO80+9Z6c0PX0yfZkJf7cd2+MK9vPngd64v+N9KJ3d/8lZhOnSVFVEccimk l5QaDFXnEdJuPgkvVZhpqlcnvzvDG8rC4l/LGm6q5e2T9EUmJKyayb4rMoD95ZgmpcCNGju1b/wT SFuTanGKHPzrduQsgUX73vuYFFzCsYfpvyJ5cvsfqpIyJ7x39j8d1AI4207gVA3u8TVyfz1iH5P7 8+UDq2Z/ZzV5VDS3ksBA818tnY/kzLA/FAvoSlLk4EWFw5hTnh599M3vUPTvBeVOQNXVPzBKipXo 5EYCuajTpR04BQrgH3u0TZRu5WvQE7WAd4rARscUID44toIOBVlkzxiESOt9nDE12HofqQUVfuuH +McocsuOI6U1MOgAWC5HORV2Ir4R/Y9Fzm9vQx2KQ0oaqCxYGpmqo2P1mpQ2QmrluIJ5Vyd7T0Pp WVm7l6B9CToJ8sc+ezqPkLC/94zTi20T67NBMZcJjDq8ZZ0FwbIOlC+vbnNrO93omdvz9Roxfi26 ws/6+Y2xvDs0Ac9VZa/fG7/wlOg1O6eJ4aakt6IxUMWUgiE0As0VC0CoR2gZRRfVLhKvB0SD9F/6 t6yrI/2jPuMvfaAPBNjip0XnjF8HYu6utYjJXLPGx3oa31rkST34EE3kmBifCKuLM7iy9Z6ZpJlW 9WJQUcj4oeM+ElPcy79ceM5VzIu+MlZbUjYqKGfF8rGHhJRiTzKO9VGhTS/roWQo/gvQHJypGYhn pBceePaH63cgrjJAugTigDJzYr2sdYxN+dMhNBKDDiCpi2KGD9aC1wMpcr8VlKw8B1Su2r6SPXYl qUjnHZ3P3ZNlnlULlejCXZ0rjrOUTejlzsdHcP+x326tXO9K8ewy2H8D24m5p5UCJSgRnyI2Uhh7 Zc7GmAoDqiOnJOBGTADnCnOmUSoS2EVBFAxBbkbizWDR0oAqqC8n0ZFIxjFKh4eD3BLTDNHZ4gqe G0kDGqVqviAwCndLSpNiZ2vLFyIVmbBgpJXKSDmQbMr/Ddqqi9ADBivOp33mnzTT/gZnU9nlpoNk w7Wvgtwa3bJHnDpfHzFu69VDiVDcbJTnPWTkCuzNXAic876gfp+1dg/Ot5bgmioncvu+mFNnUT1z CSyDmQQCfp74CjRoTjVZP5+Ys00uDyRWcwlPOBID5oez9+s9e+SVVFvnC7/x0nnyn8p+SyRivEwO JSf9xlRHHLxRqJbymtbOHyokWJY1/XCxx2uXoACaPrOdbc26nEyFmccgraEj0D2dHIsMRsw/2SIl FXWIargNpRwGXo0yoy2L0jzark4gmSDYVVlpiqZfd7Z4ggdlYw0plSV58h4c27+GNHIDzD0rhHw5 Gckus9f/h8n8NPeVTAzkoeMqnSj/jDgBBSczRjImtCGW1p3jdJUF3Xb1kmydiEPP+Qcd3d2BwjBh gy+IJv1qzjWGm2di3LD2grILbSGEjQT2NhCaKalDumIYiOlOv2v1cvDIktpwIziEyKuEKdS/TU7D 8qm5Y/j9nB389Cjdb3Bw/vo24NJB96HKw1NoXy4I2akAeY9h+PHxJk1T/hHHy2BPVV1ubNRUq6aR fASnxe187LiNS9j407VioJTMjjU4D1WfAAjNRkfmz7BeTdKJRsLGopRYZ57I6v8y5oVmF9g7da9i BeLLNskTh1hdlTEq2R6y2rIvaogwsBdmJYYpp//Rqx9fDMZ+biGO2qw1jWxr5+5ct19yksHGSvCd IFUGn1fnma4G+r8Lk27x/mm6e/ZmHCimdNYlRb9BGq7/bEL60jR0Gwa2cRexLgWkfqojXT4zPFzV iRIyyNGmPnGIpgWPdWJjGt3BJmAqkc8J+6N7Cd4DFNMb7iIGSJAo34UTN8ot/WeP2tH0ejNhxZlL sj4sqDisIJPwgPB6LUDacgvpqVmffPC9vbSUN3iUuBwASX38tColWHG4aaS1dRa1bBgY3uTZGPoi wR70+wlHVgsCFRXFjLBDkzqVX9OhZmY2F7czhB13CErqY8AV/Z/5q+28X6iSpPoefQZ2udjviUNN IFPEP7y1x0KPVmMe9Ts3brGMPivpGNS8RPqdE1NBItlC/yGuh/P4MwqeqSYtUPkc6h9eVVn8/0cB fOzHb+pK8prMZbFPqkmyLjn8MbP6SNcXP1pBixnji9dUXNtiekz1Q3+ZpprVnQLFWniS9B2rQlXr 5gZQXc1DnRIWcGO3fOO+LMaXl9eAERRSnum8RDdrV0iNUm6GUg/grIsYbLm41/XKBbGOdvV4AYOl 2xyma79W1Se4NF4y8ij8XdzijlPHm85Uv81OuPul3hJGg2jviEOyjgU2MOLMFfwn+YqVzqWKIvrv pt5SFiF12+AfurE78oEyylLvdNLDjMKTFu9HY4Lfs1UY/jWkZFAlc8zhGnZ8Li6Un0oFh70G+qqf yZP0p/YasR84xs4PV1IgFqap28xYNU1ZJqWH0t9H1/0GUhX5Sjnzv7cOPzxyMO+SzUtzhREMfQu2 CQLMKw+wbu3KDMsbXMiu+VlgPjuinDq/I6QmSqiE/v8qOVis6rtgbCDSZWckDfxeSslxt4c16Ff8 9Km9ZR8+T/DIiSCuB16j1ARKJuk8OkMFB3a58O1oouh3p6ZQMbCfELTtvDvkL32Em7eJo34yaQIS rdY4Ycbq6P+2nnCKJiAPudwDzLGpuqE43CIr/wNi8IpD+59XkXPWMfCkT6mwPhHfWJpGGKlxG7fn X4/UEtcJfrahJHb1qOIDkRrT2Z3Y1QTNNuQ2KMRjIIwuwoX/E2c5kGWLfLNYdowIbDS3uGcoJEkx Pz8N+8PatdHUIRMH0uCT0W1Sb4oqiE5ifoV64l/eHsSl53p148vMrZfcH+Pypq6zZfQGJlIeUYuz oOdNj7uxPxuvz+fWHvNWT7UxHQJxZQU+dLP0S7aoKdcrs7IEc57eVO1FPu1lPMfjVWCArVwS2Occ YjM6sD5+ITJGRtvF/KYU4Xr3pUjGC+AkE0DRvk0D0gwcSlau5eIB1LGfCVi17pyOtlNbo4+SDV5M 548RJRwCDzsnkixDERBxyTlgzU5+IeeE0rCLrwbsQ8R6gGXkNFlJdu3c5EtGbEKayoQYz1WvexxM CEpb9i4tq4Nc/yEVAPHCQTFODLO+/UNklY8uEwufScAC3F1poQ40SWwmHP3yMty5rhTvS4vWqeKG NyC2iU+fJf3BpqeP8YUNRbSDg3tjNJvB6p1p/GBF+Fmr1Rmydr7GIhaQxGbHZCPRHAd3UpE5cPB7 Ht6WjJ0KepWGWuurq9Q7mEm/vqsUtc70R1c3zhZ4bt31rnjRPYSJgpHFqRc5eI5hmy6bkx06URh7 zWqd95YCJ8RfHw5rP6/wnyxx4EWaezQ/1c4/MF+U5nIIEzDNCV9OLoWviHR+kvk+bgCtQwvSUQvN nN1/Dt+fn8xlY39Vb6gjY5MAyasTXU23rWClwV8DZZlRScDdeJsyH/CxzUilKt8l8dTGRg/r6bW9 pe37M+/WzvNlffya/5F3Ny3Tx35RuocvdhkgmUyd5ph9a0KzYLTdh0TaFaYrSCgpsz6sZZssuUJq ieO0AGx9wEa7PrcjZdFO/Scz1/EThEn3CcbGAtE4DxIYPMeTBw0ug7tTwtY0ld+Aue+RIQXYiuSR Icu1FOsddYlvo9TpztLw4TPNXAWstehunxFe+HzK2VBry0AF2kNt3KazpuMO6F/JlmVd/Ch2pNx/ jHSRCDMmvveZP0PHDtdD/hu+bBkhJX7mj9dhu/CVJAO23S69AteJ1Kfdu2cC1z46sxC0JUB6Pg5K 4OX3ShGuV4TiZCd/6JlNK6q8zGeVTYOMUIk3rl3KpO/SyNjfMo1ozx1eDhJQjQUjYv8aypOsQcTj 4GENfXpESnBc5oaWFf7m2uMstfigK1QNxptYJTBKOLoMwGBuzUFDPnXb+sxNVGb0kwQJI5HOJI5N BAxud2EKW2oY1+ZTc3rzoqAvkw3cXhnsZualb0n4MAfXVZA+vkhFfcDV6is84cn7kGUg9mwVrxHB bnfWoAbQ4GuEvofQp8zqvoBAteJ7d2T83rMszqaQfuZx8NjGIfi+XgbSSMROPqoX4/PSBEZnsk5C ctuq0lkQUSodkE52AyrpUduc0uaZKn0m40yXdtbj6UbqeJkbQYWdTYzyqHNyHrxcq/F9a2XOElqk VRp7K1WkKS9QiIRZFg+Aka91CYG6JZJgy9DZoZ76KNBe8Fkh9rxgxldNjXPoXfXmClNbA84IpI2f FrDb+3yVrt8UjKk1XbAkajuHV+AH1tGkNu0HcjDJyp89QvdQuuue3sw2Ob+6aXFNdZmq/ZdwZjZs lLWWBktupKZz/xco4seGXd18jcFn7ozsB6imht5xGGZJ6i0s42B+IHQzX56PANo1Lo7g7WZGhKHc 2yJ6+tg1tKCASfDmfzN8MXszEcmfaCapQ3S/QNXX5MoN4sYxb0qQIS/9O08inmwQ4END9IdIS0Uu uQeEywECairU1DjQbLaoAdxL0HMldGp/UN61dppCaydBzOFfbawpoxJo6EUOC13vtv9+vZYnlicP FfiVnWRLucS6/OE/A5NXjoXQT/sR/EPP28tKIsx8YUirw+Ldh1ouUWMLzQEz4twknwaYdmxRdEvz Jco0qhGkApCCGK3EeD3E6T38WqJUf6G188s7g7eXx0COHW8rMnkaP9/8bNh5qW0SZ78k4RGEkfHJ MIvzRLAl/2rbydV+vxL+qFtkbzz8wPSl5YBzbE3+LnX01kT2eKO58pUF5c57NmhHbiP601PReHxq 5Fduadpybjol2cKJPbjfioROcnkkCDCxMMiFwL2XjsJpkdmnjNc7CizLeQS6dD9YXcxnZNeH6Ach lvblvSdkpXmkrYQNLdFWluQKU7s6bMYYzuwQj5a3Ofw0WJi+jZcAnQF0NY/R5oR8A02pkQ4oszwm ifc3mwtmGoqUiYP8m8Y5g1UeytdKx8R28Dje+20QdaFLquiy04au7xcx5OfexVCMfOme3JslILmv ItFdql72xrRjpj61V7RoCgJAFQWTTJpJIBICJwuyFAZsRVIRzuvC/FpSjWrKmNCg/BZfiDJx8/Ax VvLo1ZfKDwzOu4Lh0TKXGOm9rTsYJXNJA7Qm7PguIoQNhH1Gm5/Z04jTbU8rQMjOmRs7v0CijVfA 9Vr90CwInsyE1o3S6I7kTQOY2Vtsx1f0U9yGAMO5Ly0pQmkieDOGiNrzsoq7P4HfeS68e8kbRuvs 43377TJv6rD2dlNYA9obRs3kr16wdF6+I3qIdZHTWCZHeOlg89Xub//y8GypurAo4K5jBopxM5TT G4EBGd8vXp7xUPcUPhbGJfiKi3XLBLnQjm/2CUcijRnDk6JP1jDs8XYbvsQilDB54HsNwdFEd4zZ zol0lFqPmOV2Aex/wW9WQ09hF1dHugu9oQcGreMdU0ykN2LDEOK69+I5qVy229rI/SmGPzb9cYSN PEPqhaqXCM9JBejlJPM9a4uQPzuHlOz+ZZfuFHg29g7xOpEDgErtYHoVCBmDWsPtqkjy+4aJX3Jo ZVU438kG0qoS5liKMB02Wf49P/t65afArhN8gU1tWxVyRYw36tkP8WAzXvjmHtCIKVilXm+jUlZr 9ZNYu6ypd3ATPTPLXHW6rtavd1/Uat8TvzpwOEztU+KGvZZAeSGz052PpWzPNnagTIxlfosxoeTP EKyd+FiGjoNxpfb/6CrMRI6s4uwIrdIT8C/4TKJNtNFW+DESSmWsaC1hGn3uxGkN4geRruiOmkPM 0QlnIHAsPL+9jSPx7949xNeb+3UI0Cr6cfJDYUOVxCRoqcLKtiKWnclWdyaW81L9w34+Yl+DwAMi 7Botj5VwEF3GjSH58w1f5aadXGOv2j1OuoXOZGCQlrw7E++9xwWcnDi2/BTOSbZLZbB+J7aCC126 zv5Xqpd5yi8zDnYbcqM2ZUeui0S2KfVy84aNpyjpArE8/BgPgOviZL8OZ6N9dsxpJjPtVKwpGbqH vH8KIAvXDCCjOgWmQBafuWBcz2t5Li43Op99Is3Z+UMEUCGdihTdjye+Q04TwJSyi+XnmJUkxOPQ Bi4N5k6ckPCPqoSIEPjeRipZv8OmuH+TDfxJjNx2pkBk2PPgFedYN7FOXj/MhiHL41//QlHn5t8V /LUJCY4IEALRIP7LrR8GjA5XR35RkxQ4AbG5CHr1TH1/krfH8HqXtjPVfkh69pVHjoZ1+sQNS8Cn Zg2JArZ/tnHkRLlT0gQnluFHNr8ext3yjRWvc7mmdoF0eLpu1vHFlzmto+SiVp8W2WkQtpNW3Rb+ HGgk6YZa1hktYpIWlT1CqQw2n4eIhgkFN4w7JkBxOajAhJc9Isx+uTeZn8gEM6M58Df2wJ6/hfJg YHcUHGDsx9MQ8HAxHXPKGnamkP/TO0TsX+tixBtmmKbmf3wgBEB2+xfveIB8ZW212TfWqYdB3EFM 3Mp5bLUORXY5az/zH9ZsfvflGXdj4/NtkuXdX2yj9blMcRV2foXTnTAxQUUXa01GkXHvj2kDUN4n +HIUihEqyzL75tUYM0wlz7FzHK6SfndZRrMTg/Ka7/z2GyTsY79ZjeN79LWod7adFY9uz5ZbhM4j WRxlVo3E6vAYGiZi9hsut+qnz9WUhOxcBk5CqA3bWugQ32xEX1FiHrqNP+tKUYQWxCwL4HZhWqPB ek1k0/+kXhYIC00WmdpQE16Lhw2l2H848zqEEaT1f8UmGHnlWdf1tJH3301L+g8RVoZ+ZiqYqvzE WkLRAtD76nQaFm+ZAXnyrSdRMD7AsowhGBZO9SPAR/3NQCjHbMpTivNa/F2AmhCfKwnlnEFgLlNA hfp/O8lsoFJGeSTr4y5a0rD7iVuFfzc4cHE47UQgrVvbqd9aK6OtmAVtuB2BKtakDwqbrnp07Lr7 lahYa8/X8Pt0n971AUKe0DXa628ozCwYZyWuYBA+WYZZuwUBEcKOY19y1TLC2nPjunczvzT27zj/ iUzS5+E3CE2C3NTVGPEcwIYcgzzzl74OAt6b2sni5BU5CEmYGHyhD7VMuQALJWoiPdGwsYVVxclr AxzoOcL5bUA6cXlXhOGZ1pFzuK9PMQpbW2qbkWXq2IfIiPvhijsh7JOtmOwAYaA8lwC+GzIDF3Kt zt98yW1vXR720A4TonZDtSInkizYdyPlpBe9KDyVWli/bisKZZeP2n9MK4maEUjBRXmIkzdM6LQ1 AlYEP8M9Yuilw3Vzy9Qwrbkizy42LbfKGoRwI1h0Q2DFzgdKPrurXeK61vBj0XaO16G0TyGNeVTx jV6bZlJla6/gtUyWj9KmQwm8qzYqfsPcYd84yasecdWVsAUAH0JwW8luY9myekAmCiNqBJHZtnUg +G0O9aGOZlMcIHX8nRg4VUNm5aZdkvBh5r66zgorE9stuCS9M1wOI1mwOFcvyS2Et3lf1QclxTSE hbbcfzx9oLcxKg6AEln5UzDkOsJaFTHdyClW7A/5kdGO68OI0fvFTdUw5TbWR8Gov5sux7OvVo2S Fv6nDpBTkBFQ2V2FXDOGA1AThX1dpv2YcNKZroCeAO5Tgvb/sJBqBQ8thSGNX+g0S+XDVIuAEaGs FLVjMcvFT8MNQzSspH0w5z5Vr94QKzouNsnKSu/fyfm/D3dRyxjmO1tx6tPf0owZWBY2uRg9h+KO ElOuCXbCTwUS0Nw6uuynDvPjF4Ty2LuD9zPMOKro0jywaA+CHESP3M/ZbZS/FQMFeBOeYIG4XMBQ tmGJaaRi8n3FjpB4c4ldYAxFhKLS34dtnwkQaS0ZFZT3Rlxg0NqHCoxIoMlV75dhpCSY4XJ7ba5E pz9gFMwQ8B9rjji9GRtqmSUteRxHUcwnJlsRL0mviJbdA/fM0rqek4QWRLL1nIcm6PAWVYRDwsBy SAeCgWBY+rhFm749L9y2wQ6QRczYtpoAwmHfknCHFaT/D/6qmFrf9xd5e2ZhLn5KlSMcmyHdl/tR ++FANSnMSOAHpQOX9KLPd7Qdtj92LpvLHm1JtUW7J8Htm35QS+8zOeD7KfJtRi4/wsRS/h8RphH1 /4JCVpGEMmK+BaH11BaAygITdVL5TVJmhrCZyjNN85dCuj4aaNs4bxtpxcKKuF93ds0ijAQcpbQz U4L/V9cp57Zl/FGL81UQ+4w9B7Jk6XuQX9IZeHUcBglKKnfQec7FSIwgWiZ4SqRGF2vIFRGHBuJa B9dmtG2a9ScjtPBojX09J/qtfxRMjb2nfHsylqO8VSMRb4O16VIy6NEfpTk9AJFeW7onnLbuyWy2 Am0WJNfz3gl3z9SGjLk8n+gFaZSkpFQ0xYgzgkQyVkJtGvk4IyniGBjOKf/LQt4TWdFGsMmj6ba3 kS7w9pdnvvgHPz7W26rIJWBmlmaZhGUIcl0CODt5ss0YYGQjqfsgrEz03pTwi5s7jJdxjFVm/BXP iihU4KSeWZKjlLndG5OYMlm7eZwg5NvaeAGWdFR9frUKo5BVVz7EnIDddk0bPw0Zb/LttfwcKh+v o58ldk310rbzJR7jtEYJ0f8vCeowe1+jmIakZXkR3IUlC7AuyQbTL7p+ZAi90QIVpKDTI4r7ELro wdWWuq6tXzD752xkkrqeK6lejztoTbrqgfblzxDdSlD38jV9xlDkrH8I45GIjnMo6cNLvj6nixWx gygmUxoJatefrBo6wfdZuYWGpjHhyZPXj6Z3XAUFeAjilg7VPHTulo6w0wpZZNXKuaBVBtylFAoi AiFwPlLkRoX4FHquR2qnUDyUtLsQrrl+A44UJi7YG+dUOn4OnFNjU7/TZ+be6nXqCRk1YifZG7LK M9Pmkccy3gAJI9AIeKNeXwC0ka4zq/JUu18ospw8kFJ6+PL/KYV3Nj2d+EopSuPDWUz3oFerQarE UXdL5Wdz6Xb7d5zivvlOeKMN1kYzL6nt0UqlMa5Dry8FkOfJPWc8DaR7z8KVLn1Zb5lvadIE1paf S1flY5pnZ+WjoM2GLEspARJY96fr3Xdr7dS2iGWBrpjZRhjpKQXiGv11NDLuF3ai6E0S7HbD7RGw O9f4LM97f5CL+Buqg70fklsV+ZSypU/Idbo9AWOS5erpXh9CJJp7qm7UI4PpXeapMWFho0S6DXoH p1TEYibYXDK5Z5aOxUjAZO5NRtxawvq4+bNOw8HnNBSea0XLe0VM6GrYYp5LNqpAEVsJ7onVztKf U+tjq3mUHIM8GaDYwEt1x5RFnT6K7rq6i2SxDc/9KOwvGjVUyUqFcHfzr8l6h3tlhLqeijtmHjCH a6Tu7wqf98MD3xvKsxKbrorUyTy12/dwpYWvoluRw8StRbYSV2PjwMFehHsVGuOE4vgixvTt7SyD xFDgZ+tBCs8tWw7i7Dg+eTmS/YIh1k2rKepHd8cjAYa8CgWiAni+MVVQbthuzhnk4gOUxttBFGVU CXpAoaCfUk+yIU9AuWJD31PbRocHMIVhoCPw6j+CjluI6VDi8WsvOI7SyxeDfQamKBS/QZn30bIh ZJuYualXRYFjPP7tUAlILLdzxyaoO09WT1HLBjWpLmJ8n2tIvzctT9WJuvTy388e8ZLR92sxd1OQ g/KsIWk8rKDtS3zlwtppQIaIl82sNNNWROi0mHroeGPlzqAYWHoPG3lnZEJky79Syt4JU3XFWDKD a1LcMLhAGE1tyPiHLHzYuSDUL9dplAUBwxuGD9LA4mUmDL94/6bzzpR9Kmp/yFYRl83eKEr+UU7t Dw9onguDd8cUkueE5L95ztPcgLEj8nFQXS3pztR2ylNDt4uxQCAtwf2D5+0W9CPtDfon6KujE6BJ 0v/QLITUGVv2NIRw6RpP0lan3Lx2mHc7m37hA3N1YpADt/gHNryeQiDw9SpxU1MopRJRIa/C0e3U m9VVokJAwioYIyWlKk+G0WTIT/woi7YgrIM0yh7AyFA1HPat2WrsQMKRQ1QS8b8UGv3Lu4/5CU9F +3RoCTlba4DIuhcL4wYKp8v+bb4fw5e/RVoOCApbcm9nQ8syo/yV+gCTAWYGeUPlHFsw19wXIsjm 3QNR2YwY6Wzo1hluLV2XwLM18/qKMZY/RdWE6mfmrJv0EXz68t/QXqLMkC1ZPBLYM22ozB8ub4sK DRBLf+T3SgLsAjQ3k5yHGzqRvuDyDPPeC2vHJmT09cDJKJ5BKuZJT7tIBVrd88sw0Hy81M0+JiiZ eWAuoTrfXT/vkL9Ad70egWhltMrx1TexJd5n41RhP6vqQDFsZpo6eCayMPduwxmNhqJw1W+PdiRo EqID40uzYFjepcaiyLoHx2bT5osX9b6OSVIdtRLMo8OhKIs4MCjZrkyvAk2VIdT1Ze9bOd31dOz0 iJbqhAupSC1uK0ZKXF2Q6lUkkgZEd7Nnrn+2kt25iY9Ji3kX0HlWIJ7v5rdg8KWacur3v/65wR/H LNXN3EPviP5YgWZr+3waUNjFGCKh2s0geNiKqtcxvekkY29fyGduZRJeQmhaZxDI1hhmYy4ZqFL4 jWpWt1XlV+0ZkDe0icgykf5rN5/cMv7vJS8ujM0//KsdtXmDOtC9C7pZNANVkI4L08+CJslwRqZF 3j8mf2bpkp0Ol8Kqyp5PL8pw3fM6zjmbBfuLcPgBOoyV6AcwJi/jsQ2ObUor52mzQGRIYiM+HRxY y2ACUCetcyOAscF+400tYyRob3MALHtpfGWg007vObNLUnzazD+O61wMWVjlkLpvs+3P8L57s0Bs nrQZPUQBr55VLcwc9YT4/+APsaolSQoTj88m2hakBlxJCCFuPmNVYPMgyYrNZRoIg3OetYmEBSfh 8jhTg3Wl7ya3OvN4brfSDNQlgW0ZLf3WKWb00ROGvieTQN6MPaiGpNF1GZXoVaFl58p9Sh8IxmZN tL4FOOvY/vrsXd0pgUYXueZQ2SqXoD/KSZRMOLWTupvqquP/I6vtxIxONu548HanOBY6zMf9s0o5 1P/9Wsej0yKoa7xN5SrinFKI6sraG7n9nnm9YunEE2ghXoZmVJojr/NRchxjBZfo24PhtgkSnMJs iD1Kq29vhSLkQa+LR/+6VhVKv0T6dBaE8NwpDt5v+Ciy41YRjE4Aw0y48Kk6yo4UvhcI2gaXwKlD gcWAJL6Cksj4+hp+E6ezVwg5p0nXT2jNH0cg91t0csmKCLki2LwRQbxw7nwcHhexthBRcUgaAeIw VB3xbMVosU64PJ+0l+HI+bGkLCIWA09zQl7my/2hdc5GZ77cPqD+RMVP1z6c+seaRtyLRFam14q5 Lx5i6wj8watH9V4g4trYYoQITj3SoD0FS+V7fS1yhPszLkVFlWU/NkcKmOjGOZyw8taE0g2wWWL1 9CU/poPS9nXILCK7aSCI+uHHZ4LDmjTIZYC54yd2fdLlnXvYVC/rcKLmDiVzHnFQN2hr7JeUKWTn 1Xuu+bNngpRC8nS/xmabG+Y8U+uVLMVzCEDi2ylEEI82R5axXoOhe4xvwNv6/bcSfSxoU0EizO+b aocaQsjDCLpVo7YV8Rg4531GfSwaP84l9wcgkD+M+ezH6HpZKVPLYPn0H/YDUpxA+C4NtxXaQBFv dhkalkKVWUuVJVo1TwYisJy1wdGKCS4gC3vbLHmtAGnM0SmRu9AGEMsOrwGBAv9yGqOnUuWPcJ8t Wzt6Ii+TQR9+ZR9BiY0KEBx9WZJsQfsfE53ZRphLKcr0vcgI2HNa3YeQoIk8zNI3BvTvSrDuyrfM mfz3qJArELbY40G4J2Z/cLI/92GxowMHHmVF0EKWDCGtuM/XxyZSKVDxivvcE9XUWI+uifsePizb HKL/ctTBCTv9EpEzGMcuDnSCbAH224bypFzuaHg/bR9OqV3S+fG+G6KwEriWnYO++ALF+jaOmLeh RwnA8qYX8+RP/ws6ue0iE6+Y/GHtplCL1zSuY2na3y6SID0VayLxF/Icnma/wvZOrkq5pUOEtJ8P vdO6sbOMnByqHS4FKoxB30WoqKv6/YHklHI8qj6+xMjUNh0e+Se0UqHDdiTVlbhdnQVurbj0zSkZ UKLqO/reNgv8xHozVXBgf4DSQy3YmGvp6KjrkpsRvtDTigDHg7Drwpiu7tKgcxgamAlKeelLeV1B /XxsiftNhW9cIlNPQ6h5/OZbhTTyJfAssA1yEcJGsmJawRw2YRcJQQs0EdauNhWFjoshPbizVlS+ iplvDBYSuPkIiQe6y6DCwSt3XxZGmusUI3fV6Vvs4zIFx9dOJfuAPmWMYsinTHSWCCnnpoKXSPxg U3QF6pzTYCHlhWsaJO7BVBDB0d9ylK3jSrzcffJjj6sbef6mYLPcyd6fb4TDfl+2jvEMGTwM1Vcb Ea1Jz7wNc6IIIEj2+93YxZcVksQ7i2JtHPuEac0yb5GP+pmwxX7pDseaq2KlcWBjNbNNWuFpZm1i rOEzxz1I2GG2A97dw9tqsXhcsi+s5ye8ZZMrZDyMvje9RSj00J91WACnq4Gw8Gm2YdnthgUQBa58 5VrvgMt3SsKQbLIFeRMCVLpw1XZ9D1wn1YAOtUp/3ZaZ6e9B6SYN7GEd4II9QsHZFET6VaJmOcvU HfJrPq4tWoPJ19pyOv9wrexJOEb7odwZxpJTn9/HphXei1w3gYKx4R9hKfCKh6rWgiQ4yppdCapl 4Gtv2vy6lTXmxXO0UFo7JuantXvArKfnu8nzmIxYNntRn6A3Ds5Kx3k5i1EpvyeYiNxqM43pz/JW qZqBOrRzs6syYkErcPZMjcwhIV9ZHTo71882EOq97q2np4ykSbpLFAznjsL7Y8iNUfxxuzAxzd0O 9zBJhaI+GHsdo4xBIhIrmThdfYek8j2CpSKWtk2UhVjeFdV+9WjIhZaplmLo2/1TiovR2ogthc+5 nlXBE50YHp9DLk4P3aq9YOtEph7VZ2fX6In7TbvSH0XBW/8/WiE7W/ThrEpiGyBKy4RBvCKUJDIZ yM7DtCDy1MOwBJAa3NEv/tjTK1Kb8jr9o1I48AG4ZzdOw3+pYR5xx0X8mNI3iFU8JR8v6pOYjz8Q h9XRPWlDgtrTiX+dPqVQGy17/E1cptWlNkz22jUKti376ygnqWVmAltVOAEG8Ddxe5tLUdqEc2Vc Qa7E8daAy5O+T2GpqjxeHv/vYtLM/Q/MOyHYWl5LtRtPMdkYUtkIZHpMz19ym/afbkoVxq4kfcr5 NVuY5dH4TNPavziJG6MhRx4aZtMIiQyn6upcQOyQiT6iX1vvVenL2HOzWrIR5CJL9VRAwHQvyt9J UiPXJiZq+I39CkPUQUKiXDgP9VFMMCFlHMWMKqT7tCEu1h4qKsG8pgMt6eOWYx63bgJwAnqEZSml Kq6wuboYILgZqO7dSr3Uatw4MfQS3GzVNzNM/sTGZlITwmYEjyFqqCr+8TUL4EzNpD/3NKaVJcTP Y9/BrWHShGpGppZ06qq7VseBGW13PjZZpFkaL7HVFoibnDrBm6L3Jjoe2NfedR3Uz+LPnRDJb3I7 LtuZ7NdlL78HA4nqmPcEh+xElTLzHtgBbRC4qvgjZuG7NdxrQ4m106DK6RQTyvvG4RPmZbr2gJSK fkhYxjOclHpT8DMFvaE4c1mGn0ABfpJZGpvQ87mKDoJFGpS6FfaVhWX6BytBt78Nr4YDsdqpxbAF Rfxrq+hLZuy1QoN2Gmu84qI4Z6sIaX3LtLhqI+nho2n2x4TcBZSZSzzebYixZ4M+g2wr05ssFNuA P1lQ+8GCS+6DRTWKcS5OYKMQ7vr4aw2+pWuOANzNd8TiP9FEddYb16mXoCiCZg/9iqfVSp4tkA8P 34V/k4DMD9nXb6UdHC7WYloOTqivTx/hZNxr2BPZyojJ/RIhjb7GMRc7Yd2AviAoqkm23VOE14pB TkI9pUyXjtj1tEVv7HmKj2pqPQMJFmOzjuZkTtb2h03NEBLKiMWO0+YP7PRxK49sLHmreXPlWQ0Y e1O2vYVXHU3nnYz+fO2WZjDxToyEj97gRm/X75UOTMtywEC8qF+efenfc1/VamRUuKWcLo4FQ7GD 0CzDtFkQaqO7tidB4MkF0mCvoCiQRxDAwmF8TCcn2EQd7SwUP0I1Oa5gZ3Dk0p3RZv3IAENyyMhV dql2wWmVrXfkYVxfHvD3BLxZy/4M35V/RMFtSRiYMC2CVbAaJPs5nDgN3qWbtjBTuzg9+0dqiY3a f7s3Nn9GQaVtbpVsviO+T97fCeVgNngM8YDBQi+Vp8IVrNoxzvxbDGO+EBzGC/gc1frXajwGvJg3 fc2ocxBuIgJ1GVV8AUKR6FgK0P0p+mh4hAFwmZ3ClbkrNQ3QEnWx9VdzOQdNtKbpirPwEZtbSIa1 Zq5ooTH9DCb+QGTcPAQGFVA9cTn/5vQ1knoi8ZoKrHF3j6dMFVyzXW2nwztdEsQHSC4YvvLkkZs6 9BlJ//fezxyZ/a6xnmrWgq83l+Yh3NsPpRJb+Iq5tIbsdrXAExMUibY1AsYeiipMR342MFlfwN3P vg3iJTxXLqYRjhagFjm/dMLPgPJkoCA7V7lNrwIPpH/3S/F7JpARVTkNifZGuVefWuItuie78gqh 08KL5uCA3enTHdSb6jqu3ahU3CgS3P+3XFMJhb5FESsWk19RE0aDw6lXwaiuillSRPVZt0aLUgiJ VzBAphhBeE6a83IH9USDJkLH5Iwt2YwTWDjzv+iyhYRvVbc1fH8dzjios9Mi73V6QdCL7UehLKKj 4KneWPy2J2x2ubzNofF7z9vtc3YR4WFw521E4FGjTTt67LJfJiSaQdgNp4smg4z3CjD/q6BNwGOj ywKg2k9UY5BlwR6cccW/ehdEq/ouYGcFFX05+zN/g4/+sNk+BGB2Wto5rcyTiHPufxVYbA+0ByVq /xfwIdlPiDNczmRf05Jaaztp/2Gbf6E0QZbh1vEZgpPteqjIXLYxNg1sW28+PXlDbgKnM43daloq CHt8UPTzJJlY5Ec9ERAN78gqqpPPE+e+b8SzMY2I2834YurI2cYPJZEGnT/R5em81dymTBWIy7e/ xgowy2k2rRYvfaebWN50VgWe9XDYHqxwIiFIkcb89UtvCIM8bfXzqIbrab+0s01GKixZSI+P+/9+ 0PauvhUptVflJ3L0tJYlxRw0uWVsj6jRpRGX5WJCxdHWLpDT6Oa8ilvOcbuX4cpOD4tbkAn6luHO WTgO279NJafjIYEOlatl0ggYUu9SKzrOqEannwsxTL0UiFDrcPhrgY3+3ouWsP0qG+TB6xw2fiP4 jdhAwXSb4VLzxYa00SiwkCa7faV3FbPpIBTXfti/vNYY+m/d9Kh0cgHTnc0oOrl43x9OCJTQWMnB Eba+TL7KT2RC5L/NtZdKvaqTOFf7wWfHbY1v8t7eoaudNNpwv3b46BA3kEMtEuglpnuCVq67pDt0 pmiVObRTzOxfBcomGg2KtrBHBbYeYDPWGq6TfQBaaWuGHaNw3Bt5ULiR04e0ufAuxa/d1FNcMmBh ugPnC9ijMRiqGikpIw1o5AIn6QLbjX4bDLgg4L8CE5AGlIoLarWtaIlJRIQVGvIM0GfQo6EkLIZh PIHYtkMEJWFXV+IRFVD9xr+uTNDbeaWz0UYortye6/BB/Lgfl0xOcNULjKGumm+8k5OuhDVv1Oek jvbOIys/S/SQIrQkWKfoq59ojKxQ2s/rcdEOIsDvEIow2ggsSGj+s9fr9MsjjFQi1SK7OGr9hdNy dA2kwoAA2KiIx7Z6mTidCvaF0Ai9y4fI0m53AKep5EzpxCbc+zzt2nT6LCxY+oIwnmqXTS02W8ih /uHJC3YIGO72SYBQ2dJSlLa2K9fCZw08rAu08YAxqORkqBZgcw5jWAgDJ1Wk882abu/hhC1n7fBP PeJQyCb5G6QdpLosP0RRSTZCrf4ZKwTyU2dHVScm9qPTDzuSxXonIs0jEoIuCE9DwWQVesd96a/2 fKeYa6NSqWCAE/w1hNdz27fW5xGdMX2alk2VWUJXGpLsmco+B6q448Fi08+9LdxXtVWeeA77iAVL WauvxXgji6VRZhkqej/x54qbWCjBfbiAXPG0vbqGjnjhbi9BnO5YkL1MfdLxS6gGI62cUxgvpR2M u/D2Emblzjb2uRvzTpCmWJmQTTwIZKETZquklM41R5Mj6R4vF+YUvvztXqtJS10v/dLVT/x7ai1B HR9u/Kd4qf2KIX0eDjJDFlkcMwKCA4KlIfF0xNsmoMshH7w7EMXydJZN+XCEtm+eREL9twCX3Qdi baAWVCq9SehPj0iEYSwoixqtZz8SnJ37CboiwL8J/3326njeu+8+lcAGnEVKvnH6oIQy8Z4X5Kwe KWq/70VVTXd495VO4Bnar0GOkHzPy6c7Bo3HnksBIeQAru9C3vWcV4krzQrtnEMTjM/wztkjpMzF ScPMVKl0d6WATDtoZZMrA9SrAIAGSO+jzVnWa6qR6doQlnJtudR+vKWSLfmtR73pqG/lE9RlEiJ8 /KBc2lWIffSRZ2bJpwC+Oe0JXtzaZ/Net7fPG+DWZyCGz/Lc7svEX8kQeQkCyYYwglqNbKHIGZYl 1VYt0xlv2WflarQvWAfA7yagl3KXnvjm5MJZMvMyrekb2EBC4iAWwq6Ur1o0G3UbfdjjEXNk5WDy TK1sAbj7h0xH1WcL19qBEgXQ7tuL5OhoNKm3aXGUf+K/ldY72HedQjVHXUklr8XaROxtWzcuapGY x7r2p6nqdTKs74HPyiJWw+jWLYi6li/8bloiHbPYAoFyCqXzEqXEUH7Ogjt8bhEheu4h7c8kkqtv rS+/9pYkcmo9e5Ip/KdmY8SQbMgcIYXCYuHwhfTiEWANLx9Vt8V2+KlKy551B3HYqiwYdDUT05iS AwZK8rdSdINS3V9990JRkNkbghGMuabM78f4yQI3i/pzDkwMwaJEDlscRkUMusbMbScOZsPUzyDT xXLK8PCHiPPkkiquOLoj9GlkfdVWbKeQnlzvCpr1SuY1p2PxRQ6sFt1AUv0kovQZOklLTBSPSag4 Bz4AdbAi/lLcIobS/MLmxN+OKusoVbgZePQQvr7/ofahaipELc+BmqzuhqpXGvZ6oloqYwsdbd2l Po9/DLExlgnzifirCfc0dTJ7NkgoS6ZqKclSpO9DVCk3fHS4sSmFSJLFYRtnfwhcPQ1sj/9d+EJQ 6hPEtBL3RYA8U7klwZfmktkKm8VUA80ywfW5VK3eMcntvDT2mJZt5JZV9VcTL9soy923q5ELYmEb kTPjDn9OWrlbDwqUO/yqWQOx9qyTehTRxgsCWz/NN6gIC1Pon+flDQd+jkhF4keeDEGH+eSPYYXt AjL1PMjmO69kHgX/QuRSsblx8a64XflKYRLxQ+w2NMhnKcmr6ZuO29ltXB2Ll/yLimtWSFy1Sumk n+IsxtltUsnUTlixgJ43uDBnF9WaCpocP/b1Xxk3HMaOiSeNs+JJw3KMmIGLuVqiaq4fwm1wREZG Is8G41nQNxbQgfQ4O2mXR4P6HUmaF5JDLxF1NzdiRw2c3ts7Kx5iutGGeU+XJ7MN9FI8W6WhETEu AgkbcCuw3+jao8dOlebbbTn4xej4yoKMPGggPbMT3D19xlx2lmT6CMZ4rwbi5POrsd2fzbMv1rzz 2aY3ff5GzzSPY0Z4MLnpSWLcp7wdq9ie8PjDowZLQE1eongDQxcdaI1S8979kCJN2DX9i8mSA0DQ IH5pTBehPf4lvL8dCulmo4ZYngFWxzINzScznJfGWPnI7jrMfJ0Zx7FbmA6xgrAR7WrIjPdGTkZp YcWKwOciT5pHIdAxX7zcFhRrESHo2I2gWqRldSSzSTKSFqqwEGFd4HCCeZE22TRJV55lEv8ijwB3 9BQ1qW4GN9oFGPJ16+kBTQz9LqLb/DoPz0dpHraA9tzBsglNix8vbwlZ1zxQzn3Hcyb4v2aEoRVF fhkgCNwfSdvJzkHO1kEYQMPrPr/na0VcLtyvnj/ZWPj28DfrqtIZS3j4i+aq1Q09UM+plu4a3Caf ehHuRQXZ40h54lzM/TvcaofVehEV97kcV9lwxCFSLj93QKMg+YZYSVGv0LlsqcRPEbAlx93PXunj C0Zt66x5wEqeSkq0vcKBZFmZynO/2ZpjGWLk8jpIyOAq1Wz53yBo3DxD4zPL1KBH/rbAEw4dZ0fx eVZFrM01QvBwjdRU5GSHPsndUVr6s07CKQ2nyopUyiePAumeEFMOZ+84SMDG3bSnJWNkCzX2JGLE IHqFTiG2sHMxKadDStVRfQS08jn1uRNd/pbdn4A8c9Ft/KFPROIjyjlqGc+n8u7D1QRUtOZaKl/C Ns1tGE4Ww4PJaennPeTP9sdziSPNi4SBo5qskSR5wT6ynuOpmBa6MzLFE1PzqcCBAT0iaJIMn+nn pfhZ6s8IM56uq2b3/3OgemCnRNME2D+YTw9GwCTVJwVNw6sDgEn84lssd6Y/KD6Ky4b0dzcXGony 7A8V5J5QIBDtqREdSdRusj6DBKNS3bJ+Pa1uLZpm+8kiW3PQQSUNbjV2BjJzeWlPiJMltRXglr1J 9guMFJgZlrMiD0rqxfU4NEaR6o7ioriYQ35lnk96F7pfWuVXkldJf25BmA0AUHJq8xf22pfXsLYg w2z11ZNpBYmM4AU8dOWNcfaEVumruQ0eDLmeI9uutXxB87qrGCW9lj4TZ1lWFpq0EL+sbD7XFq+9 fJZjRxRqAfev2DBHkPdULHI2ZdzUQXP7RsDXRPgvmDWiWbICmoVWKIVmeah5vUVPsMrjSFCs8UDf BoOArryT0ANCTE9KmnayExi4poBu/TgXZDHZOzpmX10eLZZqcgpsQEVVmIcDJ8hMSnWPwaGJrKsP b9mvNxPb6Y8smj/4777oCEY/GQqmWgTk9fsUv0Q/UgA59xibKXs0W/xWqbYRaKkBuHzm43qwOqbb rg/2giZNF+mKxrhN0Kr+rvFEymA9VDIoYFoiZibVwQVBBluthd+EQ6NA3+uvhOEXlRWUgbcfnP2+ y8vEKaiubpdIKo8HHaaAYA6q67MdKm8BfCKezMeUQfVjwWMO4DhrNEl+FgnIL81h5J+Q7dOJci5o gBGMfCR1cCQI/JRiZGhHKCXn/KiP7FuW0e12nlCxg6gzwVy0QICFk/exvZdyCRhSZn9DEZTYNX6d El68sOCkA5koUVQctD7cGOWFN7MpjztjBsu4WHytB41acYZED3HnuBVsWtG/QpdpJa84TVYS4q1C x2szn+6+EI6KzsX/IGKCQsTSPLdCvq/PaRJtCkC6FNG5feKynTpb9Go+PZMHXR/VUfERmEeSPkZ2 V3TBRuFLeMRc0u6HZvax7/cjlZrjJftBtHpi2fAjnKVfr5D6JE/rV0iBoi2SDOZiZflLWdESIRhz UmRLrH9F4k8UqU3oFr7W461yO2Yefe1UIFzJH0uK/gq9uY+gCw6tU6/cFT3cTFt/AlaNN4uVof9S Knqrg+1b6BDeWNm+5eG6KMTyibAiTSRIA8agBDCh2rM4oE72SJX6xMaKeeTg2Va+UqaoSKP9+zSt lTO9vgnrbpnm63Q3rXBsV8syzOKuTcKTsUrimAMh78X244cdHGPuMXt6YcLaMjrmG1jcXEBXTYyp l5rIFdO+7T5NNINtMI4EMe4nU35rwUWbU5u70KU8AjRxgTOl99KvwGz4+Qt134O3Fw2wgooDnsfy vC0tVnea8pMBTPWCC1HWvHX+R4L7Q0/be0qsK/iWx1lDQFij5UeFkBfHIAsKy8kZzib7ab3rY/4z 6MZsqRivIobSm/MGimRwAQe62JU+oLWHISCNBHc6W2ibmyg8pnFzq14wxMQ231EnwPmbWGCxgG/X Y3erUD8YOASlRhM/6zdiTU9Cx22EQHe1CDHDpwbTlhPPIwinq3nGbB663Cee9CRs3sx2SPazXSnL JL0Q27YCNHJd8vydn0lB2vFWp8Do1TGH/wS1qiG5+ag2RN+3vzJVde3CdRE9gLQgz80SFmj2z+rl R2fvncxdiuJ0EQlQ2ETQijrARogCtfqq818xkCQGcQbXGnF2y2xSMXc5bVcM+VJVF0EKpdP9EAvS IHdTGMbP11RyJd7R+oGESmkejDUvA/Wp1kl923KmZtFbXU2GOqIscwpkvM6QlzKzrEK+p09wcqFp f2u8Vc6jbJuJyLcOvsR96an/tBIAfEj7i1iCDfuOn7fB2Zp4JKDeQYietetC+A6it8o+lATLmOUS FOoJhwP0XyTCuAHKZqKwN0gp7Xv5jVUJAF7rh1j8jOyd2udaPsa+Q27J3C8iM9DgY76xNn9KdWnB DaVtaqYLiIwzeGE+QR1hJLH8JjPiiYweIhIKQm4lic6rIlDFtk8I30K17cDNo/Q4blAXcbZ3VVjF I3D9M947/d9LtGiY6SWGzWI2CJp2+01GXgkwH/FjZarLyEH432CWIu2SV/DkyyEFRmQMDIByKW4x xAS6fXVGLCDHFWbX0EEtzHk9YqxYgm+uDdRmEoWGP85M/VGwKF2jH3ptL7PZXrQXIshowOUC79wX Ym4e3IgVgbDpRPJ7ievmxvme8kEpaeHFnkHMhEQC11E6KYwAJPo3+29fhGEPgBupeJ8XdvbMmIAz ltMgn+w2RMSOQ7PbBH6tNDqF0d4lY754F+saR7L5KtoppGG9FBLY+y1t+1OzJ/zIE6B/bV1EQM/g RZbaARjAADPiiuUq/IIE03YNlj0dT4/0jrO93+jknUKWUAI33nc+htCZpNiMRSwX3PMs9NSgd09Z mXRU70Ql41V6Wz6EEZL64tyKDPQYMLWGzUJZCwWkLqa1c9LE9aE8sFI7qByikBhmjo4+iuidf4Pl ovU/85ip80DkEFmEPb7GA7gizkc5dEmE8BGj/8w1mT5Pg9hHRIuvDHbvO8j3D/kXj1+Vg1K0r7A+ rEzct/JK3HEcvcJfXhXMmv8wVTYQuDDOYfekoNk7CEJe3GrxuPZfKFy9twoFSsVxbcwRiEnW2r62 nItQpbkNo0JcyFNenI96fWXezLGEhQBnTPHSlNYjIqwYHzgLLm0z0md4Z3/14sK0n7nFmsO0SnOK B0ZgrmEjKo1SRKLhQfdmDWJFY7TnwMlYaNNmbKoqecJ0u4XM2fh20Urb137/jitrzNu9R6VPQ6r4 WdG25fT0kGlJhWxDF8N8baLDcOL0NBmZXo4AD5at8h7Ocv5KTy829J2faLPergZm/9FjRPxG8rlH UzeJ9nJiSb24ll6UUitJXOLabBrKN6lRaLC3ytkCIKu+lVT6vYjRy1a3dAUjpOZ+Vv7hauESQRs5 Ar0rUPU/1ItBtuzNHiNSk9v6OVSZE9RU8rpQ1pIlUDrI2T3wzz7tMIY7KtftZ3J/R5o//BTzDuCv tgAXjcEILSf4+5TiYtnjnn4iCiJfTcL8USPGEIw0DxDyLuhkzpautnL7JyZ9mlehI9+f4jQ/MR2f aupTCeZ8VrQghey4xLho02Vk+xPw7ewFm3+IWFoZiIPG2L9dlHahwKqqPy1HE1JmS7kt3rvAmNIX l+UrGoi6Le515MkUfKqpjPGxA0cvhHB5jIyqueCKG4KHs5iGFbvUQ4CCuxcGdBcdQqsrMJuF6tH5 ofnEGY4JFrakTJF+eqvjl/TmuMK5yJzq9hC3ESPTVTnBTkzMF2RDEZ7TGLVRf7L0NzdBmGT6MTdT 344deVMbgdVx1YTCIgvr/MFLvV6Gj4FY6WhoyLVyP+otgCLHJA0MeozfRwQnmKorTGa1rbnLkRYi Re0I3It3bmBdU6SdvN7qhwht9iY8Zfl/xHDNcLTbeuikU2wnqOmUEaewLPfC+N3tWUdrrimGn3n7 3zzRLz4BwcNyEdh4U7ZpPqvml9Sk8WWAs+NLljQbPJvBLarRB6C7lyEfO8/SXs0peDVOw6rEuzJJ CqTtiCBPq4mWgfTINDyaoW252shnEAfQiSh12Y7VoVedWwtiLnQg/9IqmeSb0drWQzmPvYfwputD +sssoOd7tOiay/qdzFDCwhHNXybMAwABpKo9479a3ZPWE8cmCK8k8Xx24FuMAJX17jnt5pg93Cnn yPG7xyj8jyuxA/75Olc0i78B0/GBaqBaUP6f+gpOvvUPfMmy+5RNiF6ms8be052NVPp98vC7kOd+ sapWXEVqlRU7gdYh8YnW8wOjBBxTg4k70wVvDCu02oe4iEbW83Iv9E2fFaE7LixxVLkBEIkcUcu9 AQcLkgwF7qUMKvAeC+wwLLJoJzsA7abE2P9fP9MGEQP6wQrmUE6lzxxOKN4NaMdiECBYUYu096Jl CqB+SRTHmpLWKKasvItMONydpyp9QCQcHsVEGcKUv6Iyc2XcU4VRrFbBAIMOtPOb21Qou7xQzFl6 Bt1eIFSmlhGtbxFAfSqnKz6tdFLsXrArDvIvPEWu/enXITGe/z7vFVaGg2KwFPCfex8R1zxyMzc/ 94T5prMG/KTYajZqlD+YxTT1eHWXPOGlRmUP8zpvmvGTJ69MKbbOdIbjUY4+aATiGKq83HdYUf9/ ppcMVkxrHJC/zv1LriYbz+6tGzU/y5stP/IW0yrwwkFlRnNCA60+M2jNy/2floJ7M2tjxcyjoIPu GPeZvSbx7R5bsUnoZ2C+lY/ZEr1TFCaSgrVRw8n1PYr3NksLUcz1Wv1TLgLg327cyUnkEnfbtQce h9oMaBa5nWaPl/CHQ2Cn2epssHkJY0VyhBa715Toymzk5gKkZUttHqcrltNraDc40PVtqUhHfdb+ Wl27yQaEdI+Zkdqkxy8QyqGqeMWYzZmt4/7YqaXv6+ZKWhhw8TfD+e8LOUR1LerMHeQrkTikEPlY BEAmih8ODnjUBzbJJwyqgRXsUpjdaNXgxr8Wscl38WAt707+mx+cucWbQqdBuS/AkG1B0dH81wss AEFT3SnDpS/KIbN3wcMeE3pnIc7PGV3GvxbXGRLjxAR0Zd/Dq+OOtNUxHVKWyHzrc+Ku+LSx8RLQ Waqy/UDkabHAKAxWGNPeAENwJPj1rrW8WtOYQv7sdY736vTWdJt8OU2viSW02g1wqszA8zur291d Ztinu8c5ucWU9ZS1OvCKJoV8WNE02uSg6nEV87rQCGzrWL1DunBaii8YaiLwAC5PA401l0J5yFvb B8fn/ZQJH576awpL9Nytpfszg9pc8hmyWgCIXKwbFgHhf8H/TrZSVX7ihRD1TZOMuGjDpEziW9Pn rvk+G1C37qkUK7oO15+iSLumCZ9n7GAxARP0GxbZEau+gElbR9nGGhbiFVwyekvSXmBGXmQrsWIH 32Ge+h+M2Oj/Jrah8UF9wnaYELxhYiwqyRRCKzwd5a1tUbngvUtTSve1aOrldoyZjblvhBwsn9N8 k3kaxfupagjIzMIjUlNZgjc/yFQ2ZY/NT6FzF5Jo/zrkNIb9uxbfjACYXRdpHl2pyIZBQqNKjod3 EEt+qm3AuK7cNSoF2k/klMukQu6/EsSKOz5FVsENd4DnpwQEVT06FIscUGq8c647zXCwczLsqD+P TNJpA2ZdEY3/w12Y7h/eQ4Nd1MnIP4GFfTGi6OuysvagdyyjDPA7L1/33BeuU8lXobjlsY8H2oll eM+h59eQ5FQ4UOFv6oFH2sH3p9Dodm/S7t8efKh0oJ+2vgzYccrF0YaSj91ZST1dfPCvaXP01ymk c56Zx14aUvrs6kK054hadxa7y02y5iat0tmrQ9MQsh0BcMcPuW6ciX3zZpNNKBDW+2MzD9HSvZvC x054E9C4pYbYb0YEKNXWpJLa/CxF9DYWM7k7hqFcqbZJEj5vRf5+I2O1FCzxI05TSjlNqTRKUs5q edn+sOGpg7ioIx0TQCWhOKx5Pmzog5Ht2Ak6CD4OxZlCjsO01zmuN51AvmSOJzTqgxQHMYRO2R4K W3MU6tq2Izyl638wX8MtKAaykaRT74ayl1ypy/ao0AQRoSMPECbwone9F8WfVkyJDiPjboJgKDL9 AnptEvMVHJwN/m/b8QDPERDzlIiy4rBMB1/27LZVUnIKfQccrNWyoSIMo47gZPOHZTmDkZ3DqE9z HGU6oW7J7UBZEIoywjRDlX3VEi+riOaW1SFmabhPXgR/BBxM8jYSm78iMkkERMgMg5G7lN7eTSOM 59DX16v+yRUlELN1csVk/LElXOfX80eebKyKfd3YWts33yEvxoBvjCCJXEtjBqhgMV3LooPEF01h LzveILLlNr70nRdd3EiSjjxUkVI43UPyL+WSXZZaJmjOClpBPfjTDZswOWfzoRqePFqIP99qhz9R sxFSEYnwYDwax3hhfDfrMkCASPpJIaxaC7maFWSuh0a1/qCo0Qn7/SVdVzPcGVN7Jnv/itPJXXu0 /BdMOwERBaNyWxZlT3l9pD5yPlo+Vh3JvyActkI1Tilzl/xhvivY1r5AQmKKEBMV90p7jb+sVhUE f+OiWB+hbEzSWMyPf5mP8SBRDQ/5k3C4GM/nW3jjz8D6ox3EiGaV/XPypjNIQ7j9hRb0ViAvcwti Y3HGDJYRcq6SEXLjtoE1hz9Bwqcgk4AX/2BqMP0EJih2XIduDlPWebBuWh3XeLSX0VFe1YIP9E7q HGA8z7ioIK3LI/lkHZQDTjKZWzEfw1lnR9/htP+8BYck7iA4HLFKVNS+vA4gwT63TK1ZQdUq8RyV qGpCfQkEZzNN3Qe4bfeURtBPF50c7gQwTC6zaFEnJceLfYhb9hADu3Aqvv8myVbWU6ugsEnWYzSp +Br9KqLyzG5H/mUaxZzmvwpY3PEqghnJqGCv0OT2y9zDvYigkVg3gN2XkwhPM6btiNNqvIOVLkwZ 7Ch5utTfGhDPTzC/7NqT7Ny2if1mTqIFcPQGmht1eZXO2X+cjY8dATXxwzUFxWDMzcVdRDfD+nNe hPP9wC3UVkK7zcSdQx3wGTvs8clr148UOAN5kqoJC/2qKH8IuRwOPsaDHrRRBFr3Zi8MoAXp9l37 5ROGaLbgMkuCIb5awK23gYGbIR7v33L4BKp/Vq0A5axCKDslHBRX43qn5aln8EfQPojvE7560daW K+k9LJP6LZkPnq5zGyHV4j5W7YIeBXbNNPZIwzt0keSNQH2MCcWb0vEUU6iuw95fptJVvLO6l26y HAE992bjkMV8eQrJZTdf4nTulSQJOXeLem54MRNsEWphN2FYU4Fh1eVcL6imzhOu9RhAjqgQ1sHX nAnkvFPP9L7q/vNRYojb6znSwAH2RACPJkPwbd9nOxc88yN2Rthxx7LTGoh5XYQD6pyHqwHw3gsf YQhIiCPhLWUNa8YjFzTMm4ABGmVnPQakoDR3Wkqim1uDF/f5QaDvI/eDmIPPsCZuTRVNfNy9QpmZ 0UZaSI8zoqIARLFUqQS56LCPrwJyWsXANERS00uhOKFE5r6TsGiJpdz02Rxr5hAjtaiYiEjlUwu2 EcyL0X4ucLMNxPzXkFNxLLMY3/b8jG+XB9xgfeM2Ifyw5Al0LB8MoXLQtiT7eGztmDuwk1WX/9na SobQMXvTsGQWsvQHf+SfZvrk+ktL2DWmbFXpnlq5hAZLsSZzcwARWMyE1Vo9TGwPn0CXuQHGF7Yz AZwaBtuxnhPxjeMWvjs/W2pf/N5jZlCW2PPG6pWCm+dYUln9i8hKwDUrXFI63P8/wBy37Vmw73kP Sza181LTmcCkA8+8sobC5WsetN/8xjYwWgSf0bIQwVvBtHfFhUoR9Kdj48DznJ/P/ErElfXVTMJS Nh1r4c7WWHfcoSwiLY2UkAViFcOdE9OVHp2koo2w3naKGlqlPm6SMbzFmMDXIMTpmmdK6OX8tQJM hqnszfm0Vao9X7UVL/C2T/ZwzlhnJ3kMGxBah+b/rdk5Za2l5wAejJmN3rRUPbEaJE7v6P03BSUn 5hAIcHcGc1wYR5MqKUXVeJEJt0cwYoQzYbtMoakFfpLqWI+ImSv4rIb/j648joZMLKXLevcStvmi X9MSfnLzIaO/xTt54dvkF2Lat5Nw/s8MN6dtqBjcpT2rr2UJBhiUmo9NH8hrZ1kNeolzScdvhLQj MeyXzxK7KwCGKt0fz2TBfJ0kWNQwKMKNGm0KL6DfMxf9Y/KcWomY3F6SEAFPc2TNpuv8qJxcn+Lw eKHmLYmgFZdAx4LuRprDEGCDMm/B+HlNqWt+tVdWlF4wQT8VAyX434oTOx2u8ChYre+boc6s/93S qQyCUL3mItcBziPU3AU49Wmy3fZXG0jFXt3RSFO7JidYhkoX+KD4R9txhPUOYOkpYRHMAsfPw9lu 1pxZNB0Wqck9fW5+DUhwDLzN3kPIjDQKS/OnAmZfkZrFF9dzJIXhdH1g8Ex/akAw1ZbmyoitkN0P +ITPT9VWcUMlJFqBXwlOJB3B8H/Icr9rGQG4iOnKM32TWcO/qmEa7SdVqoXAwhMn00Eh1Ol8UIAo UNionpH1JHGzl8OLD5IpCLCG1DI15px0WfvdaKpbBpCOB1QdLHits3VO0KpBElEn8MCH+xsZR13u jedTYhW+FIAQugcXdvfJoXj9RSyyGBjARBwQdsaWw8nZ6RW6dBvHaNNr2Am5g412iKmPIUwEBlIs dHdMxAOf5JSB2T4WXP6JYyiy7CCRAU10Ud3l+myGiaegWah8m92VxfoHhuhrqSBdIOEI0c0qNP4f xLnCesHyyjfhbAqYdyD+lpsadmtI4rfBt+nHx+KAxEU4MU4j2rMONdk59cyPqBDtbCKoICZdsAFB 5vrM6ICs6Hl4rjAR2q1G1LHg7c3jonMY02B9C1G6ly28krMfIzbL7kCZOkwxCU6tz3FZnprmzbeS 82YJ7gWEue31t8MMUyYIAAuZNIqx548PlhFBRH55JnRstjz31D7mebOkcghmqef3TTo4TenKKWLS nta3kcl6Uh9wbF6gga9M4DX+jSZlvHuLMIKAqsI4xe+/PQ3rIVNL5BOkgWBohxANbaUkCadDF80f EDyb5lVLTLJF6jUoTcainis4BaTylb9zJl3RomTYnsJkPJTU+UnCMJpNLbq9gKH6jr5AN1GUW/ei PMw09wsTzuRq5ZQYGOgt4HRj2Wr9CWT2lwRRsZ6Ybxiv56A9Tp4sFYEFYy4W6sF0L6LfEBYDSrgr KOu7ZJwKCGZj/uDyj+MJBwunsxXOpW/qNO62Otc7D0ao1M5Q8ebnlf1lH1dnrTrPRFtvhHc0p2NI mhVMFuHWqBRy+yFA2qJwL8M2nGAiU+iHT330X6la92d8vFLrRuPeEuqd9N/5SyRqqKv8APxJzd3w DUHUw5HIRw5NH2DaJymRC9zZHQEZfxMe0YUQG+SH262PQmCwx7F3UCGFIJQkOS0VE63UAjQI90nM rcfba3wQR+EnuX4dtuuilTC/xTF/mZEaj/YW+s+KB5q+USM4KTHklySab7RRstdQKrq8nEIV+5Dx ifob0Rj2ULL64lDOVzDl8lIbURolYGrMVeWMNU2oRAz1CAkmALenQTOR2BFYJkKmFnbOqGBlTP39 ZnwThKoCdskPuT2lpsTgqqJcxD2g2CZNTbW1/yhaF1+6lranFphmgcHO2n0UScPK3aayS8u58n+G FLeNsVb6hl9qlayNEKnNkL2C3Nh6vVFFjSB2Wkr4HgQMZv7LbdWnuMT7fqG0EavQ3nkqijXkLgvY 3FqmLPF6xoWELxsGrBswYxTZSaxhz9c03/FR7U1WlT+gMxPEzUDz396rPjDnO3YUuzBlNzgbdIPg ZP5fQvuPT1dyUQHzK9Ix8efFhxl5BwVmxNLaQeoWl8g3+lb6HRRuMXaCE0kfLpmtGagQns3w/jFD Wdcea1np950QiWE5kw5jYHmcHUyOMLmcZOPwQ8cTPUymaP98LHdMxDIeMLHpyStMk2hml0K8TYzU bgOwjTWCUZgVELnFqGfsFQsoyPxDlyY8wuXaDj6/n5m9JVPeZm3WHO26ekaVBpurVR0TGK0s8UvN Fma1v+Ks3AromElK7eOK82xM5XfBHr+YrA/+CaIGfW6tsj56QIOpJf7LjX2Bb0L3tOFgcCagdnph x7bgSovBkZrBhbO5YeVoueSCkUqjug8oGFjyLR2HMSw4Hxt9XhsHXD2g6xAU3a8bCp6clQgp3ZEW a18hwX+u87zok5+Q05bdiMTC73kHhmeOSv6iyqetlmnl5xu1Gu9xuh733VUnaguhkRGvSWGfqlfP VTqHczCdgNO8jSZLNfl3BNi6WLwjcTjT0in4aGyluZ4gewRfyW25lhBoILDRSOR7AQwHt/8XSdvu DPhuVpa0vWXZbOvdkXhgNqfj/rjPn2CdXBIZ0L/d+zLmKKB3OU04RYXd5FtW+1XC36huLvzdRh1j Nydp2TWVn5Mm+Hz3XAph7lKVB74rfeWzUK8+knYKCgHXMU3u5gGUJFSHVU+UK7LOQBOnVVnKPSl/ 53VZvPKSDxp0rB/wwKna2VGu1+c10UPqtBm0YgV95tHXRy5851dVMSnzndk7/SOkUjAlGnVK7UL8 +4Fhw0qGdUn2Rjg3I3Nax4kBfZ0WT0DDRL/cTaGFqjROgKLS4BBtnR77fournHTUKbD+JC017SGM iQVH8goGXLlduxpA/kRjy9xzT0XPMtAKrRwMqZmzp15ubZadBIPxJSKVx/o8aer4te6P90EudJ38 83cqxzthhWGS1VDDIs7irx8emSb+mLAL32jegAZA+pbReVlvbx7YXYwOKh5BNhb+ofgUvtzUtj8M EeOr/dksWD+SQD6q1la7HBOuhINdUWcuoowgpG53SByn7vBq167Tshmp+wdxnMwQtolg6w6psiuI gtVbw3Umq0HjB0RJqSAZUiXbD+sgtmuBXn+mrh1bBudVTeaU9YZ+dP9qJBiLuo95CYfLYuQm3zQ8 jqjLmJn/O8fzb9sFfCkJ7aUb0ge/0e7o6nM6k18sB9mBd6566RiCCZt5NrsCR70+1Vdo25cUmQPZ tAiA1MueQkAuymZEJ/OJY8kQMseRc+MaE7w7DwIZRIe1cgSPEVWyinG6W1Jejovfrq1EHbLks6qm BA1Fr3ZcwVyGJ+95GmsLGeQOFFTf8oL4UR8FPIjk5POqnEsNhRgNOEwTUple8g6+WZm1bbh2wng3 ITodR0HY85BPDLSRTlKT55ITDiQcRZGD2KeygLSZTvZ1ahOkjVFtEy4GTYcujmOWapsz7kAWln3n jpc/7bgUHElAy8csbWbDSB2gWh1qXxMw1m3s8zalCV/7HeYZJ0zYOEdgM70ipz144SNfI5L6nWZk hCdZu+1RJG6HL78Tj0cUYdfK0QNjh4FyJrStrPFbc6SRJ2gwHp2XQxGRRlZDUjlRUwFs5T08LAys PWdCxmTF2TDWGN1cb9Lz9736NlhAihTFlnM3u1ES3kkyhWWseQlcTl7bM1WgRamP2yXb6C1/krFb 5OnJkk2w2shTcKNLLXQKnsZUnxcWh7NrkSwMEX8QTQstrAat6aaJdoURh/x+v5y7nHwWilq+iKgu os/xi5lR6utj7FHi7rw/ebnEcvCH9u2H5r6aoJ0VW45WCnwkb8hX5qpDxZy9/8ytglruGhaQh9Ns 9bNe78/0ItuBWVYFC+GGdcAbRyOyZ8K3Fc6SiWE3kGLkLjJV6KV6WoSbAki61Eh5UxKEW8obNJSF 73BocJ9/I+pjdTfFTPvZwYWUesB2Wn0ea8A+b5uxyerqDxiy+ZYp02pCQBPaMhWuzawkhbq6n19w UvbMLvRbtpM09zLGWub/2XhZ7fU52rqepARSq6KRbeON7UqrIzoWaRxmaakvDFZdX/mfUdyP2PFb 6TzSCAq5fO8ujXbNh5m8qzhKJ7gzsWOVjX3GBpq4mbfS6Vbf4CzcfWO/yqX+ftiw1B6VFf5DIz9o YyUY4v66OVtdaU6YrvJ/m0QdYGpicde/SmPZoIxkk0jvJg0g81hIGgXDHgXn9Rg1LbdC44zmuM74 1mcs/lUf0DpQqPFarkGqPGQHzfpGxlGopBzX4p17nGLreRRIvuhDtkQtQ6t53lbuNaX4d4uA04U1 /za66tvQWgTtT2Un6yRAKP3TmRzTvSCnYyyxzxK9jA51OQM/QicuzMlpLWxh6rl+OCHI0fnUspw/ QLV08OrA2KK5XSN8I0jvzsrjLDsobjGOGZ4qfe1ten4ixBqRDZJ3US0vb96P2JBFb1O8RLCn0FwT Opm4drJU2tRdLVutfAyLtU4Y9eHZOs8ZuBAL7gEH0MnfSHBJv9uwaOFkO9Tq1zLO1+SN022893K2 TZDluYZH04PR4MnSvPmcizjvPX/qGGtstjzYWh4Ltc3obNs4wcXfR5qNFRUJ9OdyDQpo8pPQEoN8 ZYRinEWOeDdBte88PeZ3BCn70QBhOx5oF1Z8roS48h8bL4MR60wuwG/qwuJR31bnp3vDNsF+kBdi D0l3fn3DZPZNSG67t9yc+3EWr2JE26q93UYIjbbDhWPV1KNukcLgg5AJXhtDaNJhq8JkUrDVoFFb PmEv2IYHNhI7/BQMONnYYN/SQLzGRSWxxX8fQdcEvuashiDoCffqNeQX3zwsuiTfW184Mn6uKAPh PqSi1TV/B6iN6XlpUAzXw4m874urIVCb/5+ybuYsnlkQKLzRWGpBBuVYQssNpzVuEc3Sg+g6WLt9 cO5OhtF6Y1eG4dOk4DO55SJQ37PZZtCV6vbpC44ODFO9e3EluIOaM4QNKS+CroNxwz7JnKQmpL1v 8H4O9BCo89YcPrRWcz+4Jby5dwV4z0YAzr+BNd2flblhB4AxL3iHZicl9wYryyyXej1RDVvDks5o CG1aMnqic8S+/NzH92nzq6KXH34Tn1RgAgTOngoE4otW1zpLIey0fEpxg9CcVL6fQq/GYbLRCPmn radT6eW4ESom4yM6qtlE490Xm1rntMvPpXFBCMQ+cnTcV/ckkpHXzkLfq95fAAUtEYfLXhs5Dzc1 krhMZQOICRhtMEuvEGgRiRTt2iJsWYfeNYuWh0MMaoIXVR3CaHCjiocdOSoJfkiuZzqL8XMDCI9O H2u/qIAZedVLKYUpZ8WVaDG/WcRTCj7nixkxUvyqGh2z/gNesP7XvGMbAwLh6ODGDw+RZpp0SxiT SKe5xb2CxC6j5iMJR9oeoZ6DHMb+lJ6pStMORDSpvlM8/ICuRdihSe2vKjDH3K4ObajDjs94IBRV 7CZJ47HcOrk1q5IeplvhTwWxTYBWCHVS2phpYRNgDuOnYDKMGt7qze49gDUwZtkcCSERDuG+fve8 +ZCAwC30FGn1E19yqZ82BF4ou2nnnbDz8ELO7x7z/3O2UdR+WHvjfSm7SwSDpVboT+8VTXrPIhof GjFyvdTpjEIyv1T69ZM9dAHRlD2W+X+3668prYrr2X49uHX07AWuNLmVsCD9owAsmpg9L1R2Ky8O EKrAgWW5PeT0UoIFcW8IEkQfJPE8uKsWXoRWMakfX7gP9omNw66IAtvhiFs0X6drQ+X4O+50oKtm zFfpg1rWcWzuDbTUK4M3TyeddfOUjSmtk2ThXFtSA5R7OStF7WFwyf76v0/iXRPwXfYhepeIKfXW ak5i+jweFRBnjo18tPN0lC2hQjdRF4VyAyml1oFPAW+ElNkabqOockHtnVq+tFTveRDsgz8/3WzY qzmmZ4/tosNM+nrxyNaWUVIZc9k8zEjLSTvY5HfV3tvAIsA59ickQ5/d+eG+cx/dpuD3rocOj1ek 5cE+dYCmkuieqp/Dg/vSpFIzPIhwrzEVEB4mEI8QaZBYMdfJXvgbUmAnDoyUhjnBOD8j4SDko1H3 PMkF/MNSzsnYZNGn2u+ls8ZdjE2KMvs2G+W+x+vMCJ0FQdGKmLxTDSjaP3W9+jgbr/OZlkiOU0g0 M9Nledq+SXkVhNTgFDoM362Ynhv+DYCsW9xfZ7wp0Tw4TwZW24/lEUBSEkBJ78jWk5N0NVoN1pQC 40AA6CI7X+lMVqYp4xhU4rtrgMWXixplSvuG3iWfsNJEwtEF3BOdtwTCoAgn3ffgJo+21Hfj8XdA dbdWrPDY2E0BXI2eSzXQ+KZjsdjUEDjZusC1WDmRLVnFlS742WdFBQYryWnb5l0e26B9iDb9aDO7 GxjtX9x7mweCZJ1zFqzJq/pHES3Fi+HDKeX5STZS5pXeHStszY7UOPCT1uPX7C8awRL6fGbfnKo8 BG3T8GOHDAw026xxNYjI6spxfC3cLem+i6jlNGiVhxeCxAInJ1QtJYsCAk/vRhq0L4MsFABrOtTv TTcN0efXyoYTDxFlwWbhqsXrt2vyJIJhlM8Z+5jwlpsXriShy1QH6YCuH3p7wjwU8e+area5fsP1 an/nMDtEytBsFdp3h4ROkNXsYd/CPE0YWKeU4qKhhNgYtQvRPQFbkfy6g9ZudU92dttAHpT6Ky/3 h5ZgN6Jf4eZXhzaX+aIzIEZMSqYN+76sNMcZtrPMg4QAVGTtbjGpS4YJQC562vAoQ9M7PgNhAuAP nJwQ3u0wTfAqhUbcf7HjJEQql+RdYieQD0FeEYKTWKXBdyxQfCjgGIVL7YWfpA2eQhwjXUEkxn7w OJJWJPmMz2+ya9ha+EHZjPfY06X4HyhB28Uvy9UiwhTFa5PYX9rQsGQtUruPmjuJ90GqOh+vVB7s nIUY5Upj2fePEZkQTKoEgo4FxW7gsps8SI03052SsIMOutXi7XoVrDJRoXT6oXOWtr3adS1nVYbN HTy3ksH0h9cH5JclHJK/NEur+iGj7vU28PHrx2SEKkRDXXy7b0yFPi4Ke5cL/xgP4m2BUfhW1epe +rPiVWpukwfoqQekrw0PntCKYleOEffYwQ7MO+oZOYzZst18y2XWzSSr9E/7AAkeQuu+XCebbFr2 x2FrZ466LrviWuCflhYlClZf1LLLLCQdlOZF+t8lrqMQ5zZaLlofxikOpJrXPD+XYEXPfebNg8Ol jthk7jzTaH8p1+qUv5464X5JwqLV3rN9JG+GF7vV0yOgT/fKl2GbWniUw2ojKo2L10EezNuHGxsS hdiCzCW/sI0ID19E2eh2OPl692OU8cLXSYRz5Z3LTeMHnhimEnmS0J1qD8nfk6GN5clFl+/2ASrZ i6J83Bu6rqtgoeppFb5wmsQb2tvU/4QCKtEHhYb9aGH+GKEhkFa+HTxJK14vHe1LIxk/3p4XAr02 Cfs/IsOWC5VLzPnpMMrh5wXSUBKgvjjctCF/5NFwXcjBSc0ypIBUE/k7NdKNOMVvzB/ZqblP5e/T qrAm7vzC6yDohUTdN0ILOTEPgnG3fxxiea0WUKUBWFNgaJk7/hG9h5Mzo6TRt+pnuquyJRQucCex R0h5JdHYGLr6VmnwzqkKIrS8S3wsvUj8Aen6HesnpsTWOyaJEaGTvunSBNZTeDGy91M8BprZd9bV AYi9XmESE2OxN4c4/UC3DGWsIsIsrrRnFLa5hGWTyhvC68Q9fZR5tMFDjMGUytmDfgLanliYc9A6 xvUPmnQJQ3Vmko+9JqJhvRzXdFvVwV+V9nwkjP4QFsp1pGpo/JP1cvmSS525OSTtF8gikzJccGNi 3R2+3pYJ6f7EAa4BOQsKhDal/AO/2luostHgwpjw81baIOf5/sW6KhJNGjATjk5u4VmhWzK1zyr9 5emqg4fukG2EsppMJE8LecIey7JoG4TPF6GEjcJIxsWFyNzcY32XsUf40WkXxgA6vtuZ4Cd+fFvw EVmBpchjLlivwiLQ8Lt2nNmEvGn+HLAbArJ4H6sDOvpjytHm2cTMfWcgvdpzraVxfRpjj76jF2qa dxy2h6Lv/xXysEH9gpiL6MCFzXa2xbEEugOBUyhOl4HNwd00Qcnsy4K3c3rN+vWY1bVxVwdlp5Ed P63z8mWf4yX+jn4cfRbsRyzln6in6YbJUWTsP+P78Hj7IbPcTTI67jehjzdo4bD7dvXv+dBJRK+d U3kxNqFeD6zXPQohqZyRJyTE8VGQ7uzbUmLo+7K+OQ/VEVEDUNIz2aWpeBhcjmYWLogyO3eSaHXA 1qZbHl1XNVCE1zfBasUcwYoVa9UjweY4bOtw13Ean60hNaipN3VtSaKS85FRVrHleJtKNeoK4njt N980xv5baLPYpiHC5blu55ujhT6YPV7xR9qmjNEqGDF5sAgh4+o+cd3JytuQVkULWsYH+4nlUvLC vVx/okdjqXSh4JZdep1nfolist9MtS/mS0uIC9wq3bwSH/v2G+S1hozof+Y8hs0infZbJphZM5+E smNu0Sv7pAlDvsgmXrBtI3ATl9y8HexM7wNQQVCHNYllJQJSlKsPsylEVOTNTLJ4hsHa0kIVfpcm POBUIbkMlkKNYh+TddrY1ZQPbAwesVyfL159SbsEGK3U9fd72g5s5aS7CwKGmc7QPcjK+n8oyuTU Qmy4370gJrWAOI+CppcbvNNvXEdNF/+fuHqS880n4WYVCb/B0Ta8IwyC8Eg8oPz4SF4dfR24otBN 8IsBmCXMgRTCQ7qGDh8qIrBAwNWL+qvrUbWcT+tCim0I3KivKC2caZLqQzw2e0b3PuCBdjzen4DZ prdrL9h/SNfn3kvWovRNaX24BaHmKJjOS8VwRcsQUwEmS2Iq+WptBXaO6vGH+VTfxBQK6qe4GYmq SL69i0+lmmUD840GcE3v98iEbu7J507Bi2AqcqBM5pJCwHjVzNqLfzQVpGwmrkgI4MxX5zSTGiiX 0s9FvbmNj9uL++8t9L9TWsamlFv8Zl0EBsWkbfdH/fyc4VOoEIMSh39+fxmpmhYiUwhQWryM/xpn 076o+eEbDuQVFZBN0Bvi3IDSSSvjZcJl/JozjaU/pGc2ziLsexbz4c2bEISzS/KwohgBRW78K4mI ywWQX5Zu/tZwu1KXAuw6T6NQzRlUuL6MQR7P1wbFgAtA9Be9ukMNVjrAIuH39GBs3T0zaNcp0cG/ 1fqTBb+31JxqE6LoKwIS8PyQTzej5BKkIumK7UTv25JtI9ArUlZlq+0m+dc7oIWdK4dGbW8OxKwO lnOxPU5ZsqLBP0VGMcPs4cs35ccO41lWEPkfiphyhCAreLeNym1hKk+UbtvLIGEqwL9/wWsGiI2c FXim70AtwD9X/vx+xz6GHs++SSidCFYk4Ja39BA9iqRPo9KRYN+oHDtGWG51HTivHw4pZvndZ8Me C5OzG+IX6Z6I8bHK2aEM1IJdlxA0QNtVYDtVxwSqcWQ8vAwRNpoFRJYnqVY6MY2V41n5dPhLAEj5 ssAYfIBjrDxC/e4BhCrLi+zJPYxvJEGbvFDwlB0jdhORoukdmhZwzdIgI952FfuzLDL4GEYYosOW b6KRLKaRnt1Ly7KslWFiJskQaP2RA8RSTe83+KRhVfl6TW9yYINJztEa2m5oATjz5QndgEycdfoZ +stDdH1KMwSzZ4EbopJmNCqsb7PIBAvgElSBTzm7RBfvGKIKD+nU8/LxfMpfq8wve/I1L15WljER BSYJLNKu6aI76lgshGUAhv6FQIMbGQ8xUNbwTLvpjgkYuVt6vEuokK0Jr6J5XY8LOpv6HD7MrR/H wiN9MjiYRQIrMRvQGmqwhY8qfv82PSfVdoqWxCwmkQb+1EofjWmCX/9HJ56G9eC/uYEYrydp6cqq DRUUtbFgItQ87HsiIB6YZbAE8AicokjO7nfLgbf9NTRHPYeXc+ZSDqTsKYtr065QhkL/P+ahS6nL pLcxTIQgk58gc+3lyytjiNLfa++CJCbY07ThUf1nnFxHafiXs6zoOef8HNKYFUh3rzYXEVznQIhw Gnsq0rR43xRo/qcbEPDk+Lnetrj1F1+CUkq02yyYSmRHFVZrgoI3mb1otgZnywAzQaV1/GO+jAgt pKzQ7GsiO0LTQgKf9BQX+JMsF2A6m7D8Cp6KsHGvI9AWTy13+YQJtuM8rBFIjvuzJwxquy9AUcjf a6CStFhwlrcx1ZT/neS7XF1RA/DuamZTqxCuKRIKfifzu4IYC5uKC7+57Kad4aTZ2YEFpUy4KgOX 1x9qwsqvRieSDa2xDNnscQ2Euheh+96ptMcf7NnNOs2vjkMXaFlJQU2y4b56X7sWCFT1EMO9M28m MXA0goiQv7a7sz+erIIOG/O4ApnZxSfnRpXOobQjnUpmZ0dndz+PYCbmWlYhg8BUODVOxwPsL1pg ekiSZnp+NeE4CME6fNK9bxvXo5k/qscOtIxas0sMEWnL/sYvPxdTVPBwUCc0N+ur4w7eBW5SNUx7 m0HUDa/ijaaQWlKDz/V4CRnR/kHmcLYoOdTKE0J4B4HHZkots0zxXHwoliBE0KkOHp9D97u482+W 3T7b84RVQzYZ5sZ22kZmMYjGuJHcTalbUdoBSSfVDHwutCKKHZZx3QWEdKcZVf9JVcVB26VU+tf+ Ixe7+4v5I8NBiTEiD8EBRLJ69npJDAt3XgjpKBaXe90Mq3RJSb9BwKHdyaMHiyOlFEXPfGqw44gy HLCabBaObCdBrNvtxT0YuRkhZB26e79aDBpA8xrrXpIS8tGmjmploxEzLh1qfcKUgat3xNWV/hoe fbe28x7s+ZdVnh9mfpJ3hmkyWKaDsn4Bayz8lXVIDbiG8f9owKJHAH9jn6Um2bEJpX58oEMQekRG O1yghNgchkKLBSZI0G3iIuQpudhnxSH5SU7TBov6f8I8ccsYOKtVAEpbyB5Xz8dC3Sd/rFImfaKh 43tdp87hkbiz1hdrjR41/H/8rx4BAsB4SLtRIToxGbtdCi6veCY05tce2IlYIDqrgZN0yrYkWVo3 ew6SuI4pS6uVAxpgDB14obiSqxjoPCB3AZvvSqFu9rB8WrsEhiuAjugxqFNGVV5gZBYpWKXYd5fb 5hiIwthwejgnjzA94iiboB/H6Kd8+oetyp04+IoG/CnuyoyWU7ni0+8SuTCBh1IDIVM554BHQray ZClWW7C5B2K2ufMuYXhxFOkOJUDwz6eaDtdeHJGQKxKQfvJTDH06MTUuT2q1im8+ynVHGWb+Dh2G V27koAcHJtm53R0alutr0VIEplM/T7iQUViSAaMXIS3OSnzaiHRrU1ZOHkTMmOpEHDI9CIV7WFW5 dmyyzGX6dYtJpc0pDvcZ6XWYuGEYAqPC/NG7VOO8UfIKfG6YsZA1ePMVkCqZVyN6MS5yP57Nj4x6 Ndy1f1iSRA+EZTn2AEhx4/XUYeMGQ+rzVJ9iWPCOEBHvKXgzxHqTCcLcMLhJQY6He7iiWJRs8DMI QRwJ+xu54IrkwJTUPb0tpTcadJeKKFRbsA6w04qumF2qZg5Zjg3zI7mif3mBK6GcovMapXA4SpAT iBKRIUs14m7nSlx5g7ZGIUMinSxhjsQ7gDRO0JXxIlMtYpglJ92ePuz3pUec/v6oJBW/jhKNBygV jA5evzyA2ejN1axTl3diE3jMA2MaYjBrfrXDdMBUkDjvm22TTRcm5aBoNDHUd1UxJn8Gpm+UUbmu np49T5cLQ/p4U6oFMIDEGso5QdobsAJHQZC7Iillug29T0Fa/G0vpW+crrbvk8Ix1jtPX4qQ0SkX 9XI0PnLdO3FrGlHf56wk+cYkl0Ts7JS9VfOIPXmVLor5ANF3cEifaU40e4EQZW+V9wHmAX/Byi8y zjEa/6j1HcYVuUHhImpn6iDeUijEpjlqgHRIpETyKF8HW1lus91Oc2N8UvEQHFNjk51MBEpFkLCK vx9ig7+2Sg68rBuU3sUJD9p9hLs4D87oW1l+i9XmSKXTziPRc1k0Y589oZPy+Ug0X00/dNKvnoAd X4HVe4mqT4WjlwarLzekxLsnx33e85+UL+RyklvFoixIqBzXNMNVnyrwSXEcy2Yvds2xLL8/+Sbw pPN6JKGeSK8VTx1P1JSjcCYOhr+LVSSv2JZ8G3rNFnSYbpyVsvE7k5wgH/Ov9zRVeaD/+iroeJfU fCtKPChfbhsWCsU0D4UxXNeRFaK9X9BoY4h6sxR2ua8NYsFHUzBToApwYXPgyM4EWB8c8bk/GnjO y9/pgypMbj+I1pvkHj9tFpcZKBuKHBcS7OeG2oneycTP/lizHGJIuLjhYbYddaA9TTwUSaepB2yW LC1UJbM1hp8yXEhXQRvJyXedZZPUhRXhrNzsV4BebsVyaZsrZsa7PWLjLd7XJUOxifmw0s7LAEO4 QOWeOO5kGpGL/sIijvoF5K+yj2sNGI+E9GJ9GKy7PPgMJ8FXR1IVwQl/lZpXWzsEjdMIgYB0oxme C5jSqZZiweY8Sl5jYzA6kmSjuzqmtPxPCcHMD1OXF/5ZLZsC0+iap3Lq/q66Y7zv+TMXUQclPQ7N vWVH1Oxm3nVJzxPJyGrAmI9lW+At/oJtd2BH2W1BomEavkJ9OfVTWZWVjXQSHQaPIdWEdcxJcycV J9nTgk0RHaHWy+vjo51CaQvI2yH/8lNP5eEuJtW2pQUKMswUx3hSgtH+Mv+P4juLo8AxzG28YMyK fU/LKYYhxNfiJ1nRordNPQZChnQe101oAb8DyBcVyY2snK7kziqO4/W0y6SH1JLARXdCOBIxqodb 1tTHPXI/U1ituCo+TvYylQYrGbMUd3p65YjnywZ/UHG2var2HKpOU5D76/UUWUbDkqI1hh4xf5J0 VL4TfHSxR2/u4Tet8Cym9F6it51WsWso+wGlP5bh+RJkQk6r0Ar7bD/BD9MS6kW6oQBT7ws7oHgV rm0gG9sPt4TRBdQG/OBmsadtXrfl6s3iwT0omCgQeGlRWQ3bsY8B+gHN5ks8DPxlCOp57G7AX2nx rSoh4uFI88lEqjgXud2uTSLLosJMzreRp7aPwbD2pErqAns0p1GzEUJVz/09n3KyFexQEv7Uq3zu zSF4x7oesSHe11J4fgbebegkaTfFsHSrWpcuGrlKo46KixabIv+8G9EZ7huROJ+dHrh9Yk3PQaJ5 nFEDQXvjr6u5IePMp5ayuS8mt/1sa6pC76JIYGODUx68X6BqUXAM2/ME5rHJxgsnNzJrZdfN3ldu YrfBKbuWnqkt5V0l0cpZV2jc6c+Zn7My2sQc++w389RUqOjjJN9VaiwBuMQeqvMOzt4AX+MuCesf xSntJvCj/JcSf9fy8z7kMU/rw8e8iwOuoFf3XW25bsIfNj2xgOysH72VxVwavtmjcZn0tgDuilF/ UIOI/djLEcnPlaXq8q2M/RnvPhPxvYXKGA1jbV4zXZeJ8ytDMxn429/k7X+Nn9fzafm9c+8jCM92 nW7FqLVlcdX6IYOrDLrjLHKqmTFqcBfES1JwL5uTOb0uHB4v/XFNhYCySizmpgb2/jTx+EjiSmmo 7UtF3pnr+WJIlBj/urfQwP2/qFMxj0RMd6W29XZ2/l5BxR8gnATKchOR3X6uJ03GEapOBZfBkwK4 deNQc5JZYRT32tfFPlM2Ko0pk1DDMmijHNWLnxS+VhUTL67qIBTjO81zcl+6WEwh8xPYaITCH6eu re8p5d8pzY4wloYuFFQVQRe9JS39nlFI8LzQvmC52gjFqydBG4c4N1HnbHfEa8azsye2dVYr34C8 PDqXYh62zlMTZ5JU1mSWqxCpCyMr8MWcbtkrRPe//GSbshKdPuM9hT5ekxktS8EsCrZ7UeuxCZ91 m7PChPDwQt8JvW5ErQU0/fe0oP3r9rRav8HXZoj1BlRm8R6aqEG9T+Dit6yOukycxcLENXyauq8E g7iuu5AYpf27yJx+OQ5RKnmYYBkCPHifdKwKkFfLC/mg693kdisN+t6xpauA++LUa00Y7wRYhdwu 4/XEo0zU5Yi12A7YFVSmSid5n1SysszXjg7KA+CgyxfTtMNUCQ5+5JRLfdRFKcM1QilBtX0MLV14 34TuHIP1LOLKTKY8/zj9o30fqIibvonQvEcs+oA7D4YASrrNVkwUkipIy9DwU7/YBa36VYYrCnaO HStBrw2a3Qn+p4IdVYObPAnRkWN8sb6uMDb/TTyekEyZKeinqORPYjSLoZofRQU5YAYhuVMSfVip 1mQTCHAWGzsLyf6bgpDc8Rv9di1ts+5VqEIdhOByQN3R9/prJK7+94tVGWPCmfjLRtMDnaany+rb dJF+29lHNAMLGe91GJuYDqgNQzrIqa1RTBjf6YyveAEU3Q5+fjubcBIFBii+Tduc5RxOytybbjrw 5NFvNLckCJjg3/XBW+Ao1ZqfcWJiqWK9M1F2OSNdoLfZ54Ib8c0VjN+LjFNoB60BVLNSAPU7UvAq Z/Nemh6q6ZNq2nRRb5bJJI0xtL3xTSspWr1gmACIyCFXzKAvacWh5qhJ107vl3JwWdJQLsKFz3nL HMLW5DPO/5iQlw2nMCOMlWLtMBo6vJWzA9MtClrhJryNHEMqL6BzRGuFMb0Vqd30KEckeg10IpBk 3ocX35NY8HoTvc2+TBgGYq7GTGJUrYOZUzBB1gfTgvJcL4mSRA7+BzOSBhPRdMyfESZ6eNkdLTbs cUSF4A2o/1dhMspzp2BxY9SkAzwLKTIK1Z9wnegLK3hWt5WQErVcr4LrBqxqZo48r38oLGSDOwub d+ijq3x3ZiulNvYCX3SV+w5iw7GSs/Aye4UPxdtqXr8yAmXHN4q66N2TjmeKi/AwdvF+tuMY4fjI RMH27sxgL5ZqFQSUHYt6hWWET3PZLr1fZ42szGF3c74FEMnsV2Ofu78sMeewfCidtRs+7WWje1pe vYsy9LDZESmq6//bfWRwxnUwjQAJ5bSYtMbsff+Y3X6M1CCddQBFWhmZ/B1ErILMwcOgPGRN/xwJ fw5K0wsP5By8lKhBZS2+aAB4IogenvybQkCYAhRX+mVDe/mbAnRAf70FM2Pbr0wVLunoxzpfrp9P jLsrjgq3QllUVDo1gnw0IJgWjNu+yMfSJ7KaX5+0OJqCPB40qVo4BT9Q/9gEg6D6Rq1G9Y8SV7K3 LBtjseinDkwDn1rKhxORbxGTAQoTJ/2W1inBYxkahAG74WL1whsm6AVxaMCgDzQjXb8Jd9dPW70a n1yldYggPnYhqasaz1fhJFczsV2dpruoPaVhnyvJK1cT2y4mIxP8itRuxo7KJCabtMsRIoCzyW4T brNxPBh9WT0DB7eA18+0g+X+IqPQCtqH3vsO8IVpNfNJBQm7fpkYQWrdtNKo2zWL1jst41G74pnE unWfKd+66s+MHaSD4oidQSsg8ZcpoyaS4eUwVusIfpZdeQVFILxcNKfHSFXGNXVk37PQuC47Wfzm mqO+hA+M3233jvx9tKSs2LV6f7V2zJ/mX6JeUAX8kFXm3SrAW1N37pmaXbXRWl2HSu/2xfz5WYan JFJF/orzr66d8VoT2uiaMGEeXTXwwxlpufttCEGBPms3r12S4knqVOk0M0+zx5KWVmPXLkF7/SQS Jz17cQHnnzwsCwmEezdxDfpfXvZi0gL7mtIMOTZCndc3pYvrPijSA/ZavsVL2GbZSI1M3JDHN7oA DOEk+Luagwgwokva5GHGi1b5tLNjEdSMWO12gzjEuHm1HE0W5kYIB4dh2fEFnWXRCCjICKeQi48b ZEKcc3hhUdLQX1oPT4V6Tc7jDgk2L+K6/14t/VUhdTn6gA3r9kiq2sR5+ChNq6fb13EuyyWrwGri eiFhA7RS5a/Y/mIkr9HHddH16gyX7A/KEwEnr8xXtVyhB4av6A8RmjUenrR6y7vykio68josc8Tf B8AOJ8PHXlYr+h9oweGQAvXuuhHLmY2cmymoR6kGaF5gBNlrQRSNbPZd4k0jTAbvaSu2z7zfg42Y TGLq2tJhXkSU3sABs2M+gAbFq+v41w4AScYS0xE7D7VMzwc++NLVvcSBq+Mj473r0x0kixd7jIfZ /LcQ48Xm/L30bCSKG+obJ2BMshZYjeGsvQdqssbc6DTTTGRLLv4k5sM1S5+41NLACeoAoZGIYaR3 cL2rqq8bpCPHMDDQ1IsNUaz5jvjvMSZY9yHS8WMbVoMPgFw+tXz56aTyfY6M0svfEu0V/yQ5B0Z5 JCTxl4KSkaFt4ainvO5ENr5OznFAHtI72s0I/hYJji3DuVnV+O99GXgfyVWYqlDEbJAwaCw+kSC1 zkbXb754dT+3B4akCOJTmldSt0vHXgWfjF0uv496SchDzcMYQJQ76k0NPHrACV+1EH3a1X8v4KnK 8F/cgVDWUhA5qGZg6roSxpuf+jt6/nejAeIHlrNrH4D4RiSvaMXF5WC/NEXFpfPaPOeMOJfEFa3Z WVOS529KxcinH78OVEjmftrR4jyqrfoA5HNxkXk9v4cSWRmYtju2N8cWZDKfY67xUN4Vb8B+vreh wcyhFYiwQfiA3TZ8PyvlOMTbUywgH0pnW0jBkMDlNJ1lnvavU9FA1qZoYWraw8N3Ni3AtOpMZgwk fAjnfvQILzxQt1VX11gQSqnc0HmSCZ8Sq7ryhibdeecWB36rVttMkUwO/mxXDJiJYctZHaV6vqke mGKTyqvP/55Yh16D83SR3xZ71KRfnRFfPCy6Mez1yBkMrB12wUmvadYZTJp/hLw9pwH64DfaPtt5 ny3iC31YBWUPIBQQ0jteBWtaXW94wou0rb8K0DZ61GSn906HBchpgV0QtY2nIurwz1ep3g+u3rtV YYN5OaoEpX744hKcZosYExOTDacOWLqull5cfcInWJTz3PgMJKzf8IJu3mtlNmfpAh0o6EWj0gxL 5Vp7WTspKeyxCumsddReIC0Dg0m/T26+GcFs7E2vR7IWwcDTKK+tjbgBe3wnfv6BSjLkOdVsVD3S WBxyDZ+v6i3x7VncJ8gN+GD1bHbbu9ktcDb+SBPBVYj/5hbvO8EZ1LOkGy5Pxb18myJ5XRaXV6Rf cwguAn2tmnZTNqz9Opp4KCA3X+sqYCshEr+d+M/e6W4r1C3TZGZjCVT3A7B5e4q/XVYqRZykUnkg GaPEPqxtwe3YrT8xvQbHiwtcS2Gj5AvhXMsSbLR9Fu/361Ve3QhxFciNNGQx0ViV7H5JZdo9RyhK GJdI0IK8813U5WrEFgncusb8tefVqRSNRcnDtunCdD5zYegZ37ai6QfskB2SCoytGCZQd/e6yfa2 iuu/OKHpBo6jVhhNXG5qMR6CQn5tnWasaK62SqrUJMStXy1DCYzSsbT/Kxj0cTHWeV8pk5Zlapwx U2a8eidbaQmnZ9IJAmRbxm475pmYXHJtJgFndJDNI9oUDmiQ+AOp19vxqbgJr8HHajLVTY+QifCv zAoNZDGT9mbR8oxufTADm34XMgTeV8cBHqGAWcWPlien5uG6yik+Tgsxdh4+WRFnm76pfFi17rYK 4ZB1IHi8yxlr8mJ3NHHStsuRVCTJeZAtTOXUBkKR6BqIOD7h1nJ9Z3geREpbR9bKFECC66P6YsbO oFYoDRZYqwcVNC8jDqCMLIfuqWcogylfO1c/IjKIXF76X6wWfVBjnkRYXR+5LVy/L0zPi+EAIQ38 odNK6Mlq6oBWl7MkNeFh6pNB5Ifuk3hrZ0EQaIidByCwvar9BdaVSeTGfF6NbSqnCvR5y1GyITwm kzwIOI2S/UPZ7BIDggF6I0WbpqcUFkQ7nTxG7abHbhlzt5XpDJOLAWxmn1jdn804e1Eg1/+K3wtT /30OV+W608yl6hg+VL/xKcBFBqmW4RE9mZGOGMWChgbIIgVzwYWqAhdAk4dmZuAeiHY0IgCmkCV1 96d0fR9gWTZ3D6wl0dBk7gkUrhe57fiyMss7Bsgeyt3Q2ZWA/fENawmhXesHQAKVbFSPQSW7Lyho 8RtWCihVgS3xqw9P0Unf0h4ZQhKGmFH5VDghQR6dVE+ft59yJt0aE9Se9yCiXxhbbdq3rIxJOBMr lk3Et7w8UYU4OlYXheU8VdKcutbuBnQm4XpRslXwxfocBEwFCoyL3DRHoS27eFxijrRliW/D2RKX EEJem1E85RJ6mOM1xVOB01DU8G1GIBluvHnxX7Hr1oGiszx1KZpvuHJCQ2gLWnFhK67coCRiDhuI 20MBh+MUDZjgRoSoej4E6aE+UgyckClmqhB+glkEkk8awYof4U7z4ixg2y3MmGrv9d+YIGCqS6LO 7TxzMZmZVROk4d5bj2DV9oEYe5cnruUB5YdwXT2gZp6MGTfrV9ad+q4LOxPwpukbtasz5N5GJB4H WPgrrcUlyqBxVzBlZvoiXQ8ay2Krp3lMIjFTnClhJebhAyVmVf1s7bXIhe6mdObijAOF2412iBOd tikzIfV5HLR194A00kSazssV8oTWW+9DuWUl03FqD/A+7VSNv/tW0pwECqp9xW6bj7rvYDE6QeF/ SSkuCRIQBFi0j/7LCwgW5JXMLBRBmCokFpTm6nF1rEZmRfi2KiPxcDf2kdr1YyqnOO64teP2xxjU DKb9VCF0jJM8VBEU3fOfB65qL0sBGdcIIys/T4A3IX9/QhXZleqDdAL3IqZYqn+nu21DYO5Lb3Pv x2xYUrmPfPGCKvuCdABNGRhlj3WHRSVX/U4ZiPWyhFUBC+ZRKHGgZ9odau+K+nR2VVeYEppjVpWM 32EGjHM4gmqSTM2DRbaTysirfoORpBG4rGwMa0znGhucObE1nJoqmoeHHbs2qj/s3w37KIQdOQri wtq3fJagIG+vpmFUJ3fo47YKzXiL030+ExqtO6jxX7xzRHg3u8g7d58Jet57Fxmhb/vO7mn8L+0a KhRSBJS1Ox9FYhIp20hRdS5UEvRTAErcyT+z4InM1oocvMzu9GJxkPfC06avvOMvCIiGSWzUio01 bUEcQn1JqGbtDefspgYarLB88w+SDWVPwSSrWOCS8QwVjh7rM5PwJspGIkeNQmJs3BBwVSPqfANr CL7XWy0vAdFfhXjEP5VXRRzaiRF6fGXrmgSrVkse4YNOn7P5yp+yClWv56RTSq3xPOtnHGqVZFOx OWEn09r9UVtxn6XI44lHeVd2wUsxo7cPzr6bug7xB1b+7uXs6EDJUrgMuE0EGTbN6sVLbFXu8/4i kweXX0af8gxl1ZR3uZBjI7516RX/6j0X5Cpj7gJzPJC+tg0kIFrgRfHCd4sBhODibxJSB3kzHL8U 2gyUcGVZxV7zBLFawWOXP+bIX4xNVA7Wa7EBgjTSWcOU8WQ2YnQJUeNwqPooCgZPV6v48Ymym4OG 7W1YjnOq+EF0pG+j0Dgrmqou4ez2oMGSrjdNdWce7O9o4KuOOPAu0fDZWvnxtkbVZ9/zUrxCt/Pu VTvBowGqUov1VK9qAe+ox7MoVkmL9q0MTVaKzWdOkrVqYAI/NH7DLtBPTAQ+DWTWW2Zn2xWOE7pA qutOiJvKLy0GWiSIdsV+ssdDhnbNzFPUAJQumBio1Uvrp518WgiMOdFpY4tM6xpBF3QNB6FJIVl/ jovhRhYEbcpfi8ob14JFwfewoJ5xsGVTRREQc70pMuk+70LSMyFToDG6EPLgwrJwA6L+TcliQf6q ZNdnWs1qMsE9HCO8yhGjfMXua3bzbNKlHoDFwTiVHuwGIOUcO6quoq/OqH9nLIvU2DAaUqEIl6E5 /uWnopvR3ISo1cEFX9h/50P82A4TmCxzRxFdXYQOsyzdSPNjOYI88mo90cEvm1lWS5vWID62C0Xa iwR6wj1qr/DclRLuCssXYhH6nW2IP2GXsZgRACn6Gjtx48LVmIyrJGiTlJDoadisSZ/rRzF1nM4j MKNWUKz/1/0YUP2W9ocJBMBBqxbPM+PlporCdTsfhVekFogIWFZgV3xqKr8xHUWKfQpUzWcZq0FE LB4qHFKWywyrYfntNpJBwYhvP97P/+dc8nQVpPRtCeXaBNXzjou8qgSIPtW1ENLUCsV5KvVpmKNQ nfeo6/wiz+FLKzW/vku0GTXnAZkVlBAEOdi4tnPjJh3jR0Q5D7xeXVh/pSDu12vCpngx0jP6luaf CVLJ+2+jeDzaeswUQmUDFrrlHkVX7hAFKR6xzUH7oAfrNJS+vX9BJ7br4n5BbZsCd1LdBOEeWKnA cuoG+81a7YCXbh2li5x2doIzZY62gLwdUe2MxEQuDGjyLB+uF6jikPY+v8fnEOPQ5Q0uxuvQf5q0 abW1VsFAKEQT8BoZ4+HWUKZPD77HU2n4KXmhoFEDQBJq9oBcRbghicDLQ9XCxbQmkP2Z9m2q+lzj p3emYHgE8hd1i+ntErgSIAFpp0rcVtl243HiKoUt+dsgDat/AtUEIjG/IXnbtBa2Sth1966ZAA6/ 6Y25fR2wh/zJpwT7P72H7nodHb3GGGR0VBh3Q/ZlM62GxmKfMmfthric4OleMrGuiIbgXMk5rdOP ZCgYFaNDu+Vq8jjxUmXhlpwFKJU2GlH8Cs5HfZMo59GGaqEAgPcwcGLGbPDlovR2PJfBPTAT2zm7 J+StBXKNP+z03sSqpB7jV5SiG09yGujMr0AhMAy0HaHkbeeWorKgw3Sw+LoBPESMqglrYx6Q1Hsf 1hS1pL7fDGsj8SJKlzutwEUX68RU7SW6plNYdHpP5dBa7KdDXq4paJi72V5H6t10eiO0ibqhsKGL ktNEjz+lHCJG00IwKbNMB/ZsCoWNcuwRDNeukRKHPhTFk13DHbZTtC07e9aUUMNzA4UeoSzep16n erjdx/dkXkfAwH/MC8ujy3k5kDIT5BDIVhMI4fX4nKSwedNIbUxwThQwd5DdV9pBesIFxxr91jJU YuRULDIFS3fi+g2sphQIScj6hgJz9NGml1judJ6LthNRJf2zYxeTmZB6zwatJbaXdDDpWUK4GEIO mgbnQ6JxWk4BcalATb3KT4Mbqv+Ns1zQlx7x+yRCSsy69tbMB8mOCTl4blWqCjPwSNl3v9rEw3qw k9TCrQpS8cIfC/SeuizX1Wee13dAKSDROkn4kliSXJzJFMezv3NfSiuy84JIYf1A7YZSVeIdjsuv eZYFo/SLdenwG+mUQyVxWwMZeMQI9ZeBGRHEgNQWY8K1MEGrqmdiQ51B/razX7wlEib7PnN4f5U6 3aEOTUZ8gOny1nqmTeAmg6z8u5xPRCunSV8Pe6bTqPmtIhq3tzOLVRcfTwhJg1MF4xPe3S0a7buk +bFF5qpbojZwo+82ydetlM9A6UBOh0aLxDMMq6Rv2thurJp9NLh2/OP4aSUhT83JoZZVH1OlVKQR iXpV9WDdpvlHNAg5CAVwpIDfI9XzxvZfMeHtxT/QAGs5y7KIE+506V1EfQbEq/K3Uim8a3jS4aMM pqqgBf6nGAWPDjB3Et2dPgGOwAwN/QhTndZvwqpZRWZ7vOisiB4DYig88TlBQTDsd+Tfyv3sdllS VPIIpcDVoM34f59Y3TG8YSukUCYkc/07jC0EVjDrKFEHMCoquqwS+Ig8mOlgAt006epiYUFtF0Wf zrdciF+GHt5dEYpqcAFO/Q87ks4RsueiCbKQ+tnYJg59UhTX87n8LmU4at6DNbU4nHNNH2F4l61A Pn3yg8vB8gvRBqweWlXiOdzHleWfDWw//7l7fmPFxU2X8lTkyFuGaUlmEH87na1qSPjeyEoWM3U6 QJUCpFfXuNLBu9pYWPJZXdavksTUbwDWo6IWQJ6a+Xh5OMASUgImT2Mic0fU1oMx4cBncHDGkLGT 3XQMQeYmn00ePJTon3NWGgVYO2gdpNWADykzl1vSnbJLO6Dd6E0G70hHLiesNOdM+asEfpYkGC/s Sjq025GucgzNItMjDbb2Urtxo8QU3ZK8n7eMTneXHQrlfVZOf3Y0X9I2atBLqzerqkkYw0MlwZ/n RaEGuYdFwqOk36mBYkBkLW6jlsh+r7etWDvio9j1pc3IQHqPKedBq942hI4pNwflcQmGL/hYUAgY 8FIn4qYv8rYTzTWbEWWlUcSnWjWjimSMP07U64u+NzCKZAkTfUXeDUi9aGzn71oX5Kt9hdPzVzwy i2ix8R4xnPLbTzfwN1OtBNzSNHDBVAXW4KEJnXWrYRi+fzCqrF+LEySKRaxJqZAnesuzUTzXcKso fZm1BuSPxQ0bxKJ/R4TSzZKKMrAxEfW93I4KpkvgfdmFbot2lpAu8Jv3LQfauVX3Ne5uElfeFm+u NV4PpDx31fhMaTv64RzLxNPtOGFuNWpNy+nJAQO1/LLlMaHfzJkZ+YjpfBqcUlZOVagWYA+3e3el V4de4OmvF0oy/6c9VKiCc9/kVFUihmgkZ82XJ3tYNVuChyk595l4BlJ/pUAM43ofUVAxDCdjySYl doRyxmQsKem5sAmxJg9CC6xN3LoBs+OwGpzlE+sf4p/AjsINw4lGoNr/opJbJfcVjBYeDOxXV8Zc tx46Z48qf4tR4Dt08D5p4SsVpaM62wL4gU/I0qM/+xMz8J+4bkkHrHirn8+2FGADgB4RAlvviy0p pInjUhdCChGgM1wCWAAALKrqQS7+j4EKYuR1lW23ipo792pihr+Xo59hDn/bDUznwIjQyA+g8lxx tbqw3HJF91KCT1rhPaobAcd2m7XsbwwikT2ceW8E7WFKPWSwptGfTpeUlqjvoFd/1veiAeUs0KkM nUQBIx9EcpGFt1GB+jr6pZeGZbuqISHchZlacicK44s8qhwThueVq4WuH5JI/ZuRhakik8wQ9EtS rExrtQfsSRXSMPqs8tHerQOAD1UOofHdwIOW2YwRT25MmyW3kJeNDuXOE8YJno2o5j9/vDoTOvRC qCRC9dJ9j4iEqY/lj8ck2YwPyD3jCHj0MtrbND/YVLqSs9Dy87CC7B+e0zioLoF74o5pJf4ELayq VrlLCQSXNItYtZzBxbAnpuR2iQIKDdWk0thow5pKklgdPHkG2jcaNlI7A1+qqXjNKGvk4Vf5xsoZ yU66HYdFicM3XPRWu/GjEksbq/BXdFUHunWoP7lWLry5jBTa56NpnRVx0NtySUFYvoKFE1M1VaO6 pImuBQUaP0gWzflqOOQDtsb9MNq6x2K/UshfZ7qMbXwhf0RIw6RHDu5E2sbxl6TwDShp+rgexm4A LOflWdTWDg0S9TKGhKx8+yOnkfSoJyuddhDtsNbs2H9c8Yynjuj5UMcG1IK1FL9/eX5xaM9bPdnU EGitMJhgjcQMcqOxFmVtomRGdICBxZm3z9orHrXRJym5pDowgXsiShXIRhuunaQiifqOB6KtZlHj SVA8fOmL8isUatpqqnHr74OuCXB6iCvDXDRC60Qh/fYQjJpYcObUi9HxA0iGJm8E+6X9pLN5USTM gUIBuZ237LEfJWL1r6w4ZEK56UsQq8HCXvZDhuHsyA+6E3yqj4n7dRL54LPNqFXPfjtPtJB/hyo2 YOyXBeBm8LAgRyE74+D4hknLcf3zav4O+7xsETgotYX/GVEPvMezziqcuMMArV8OkcBnc8u+b4iv eDJk+RseISf83sf4nVswOnnUwUE6B31C5R0zzYjaIQT2PqPkqR9AfiUqGz8pUHShubTlvVQXzqHr DM8zuH+qWgdSWlmvEDUyeJXPgr0pOCEuiSsNFrXEio00RppxZuNScyjHW2iDZC2cq8SrAUSGwKYB Ny2IbKvjGqW83oLblN0qyBoxNbX4a7/2VTicXpOqH4bLCrwwGzJVNtxmCH1nGw3MInL6+vBCswp1 LsU+UZYSAcLXLGE7dF4bp6MiCuQi7BMCklmYltZu2tKajYJOzOzbVI0ba8TxEwiOuViOOW99N3hJ YjcosmJnq0nqQwL3rZoE0sr0fVGWd9/OimYzUJjwNdzBUEMrQst4HUzkV1lRrMYDcm9vyky4MS5N i3nOahhnYvj+33RSNFVClQ8TkSt9oWLcCrhI2wpiCuG/Gu0zCebwTFMzMd6LgIe4gh3/ojeeaJ3t GtW0TcIHoOIASYSY89vQNn3UcalPe3QpKJcy5PXrh/6UIwFz0jR8m3H3wYPK/G74ygK9hqAII71j khxQh2IYgYbs098u98+XnYSHA0BUjb5Fs2qScCI38mqAtQpdsDanit3r/CijKKE6ACGC3kYBoUCe JQk2OlJe7xZtOeT3jh2Y/xTRLM0X0Efwo+1i3vRjD6hFh8kzEPGQ2bWxuWKlYyQp7vqJVBkSldPs SIO4W2FKLiNO1RSTfDHDI66pZyNTvI7EfAeX8+3/i9OvFCOsAnfcOrZH5TRjerAQyMUqtD4M7RcC ZLRw7FlYPhZK/dJlGFcncAwQDypxzMvr2e5z8hBAqf0M70zfb4n2G7+Kd1UWAFaobqU3edaTIgAA QtdxX9xQnxZ2m9SxyKcrMPJQ3EQ2x1yP9MHoEt8nBWRfCW6WPYHF+TWLV7hTfTxcFD172tnNJYKz JvUUi6twLfSlZUp+4WP4zw2B1TmwdExMvy8ze4NW71pSE6+uU9HvvA6gN7LmgQ5hOya8//3PI3uw IBXm/TVRIQ7XfAKe/NcqyXHBtp6ERdkz2pKP+QQ8sRFofrieS70xLPRu710Kc2x1QjpTdeAo5Y3X 3C/aDdqW2okuC8tkWermxw7O3tV7df1G1pCAFnKD0sM42zJ8duG4zk8qxHzGG34jhj7mq996205y drmLd7+SodcR1te6nTNkguHqdtMibZ8r3wZ6xWRV2WuAJ7rMep21DZHiVLJsODF+xzZsUJKeNOEL F3h5adFhSDusEoAn5KvBvmSLKREI2yPkaSDwGlRFcte5zmcVQZDDPTBmT1uUw84ICG+RinpSndG3 Pz/98Js5CxH3Y3iObhyyXbgvGO+/DPeidkME3QJP92XSwD/3opStSxxcK2Ls8BvONHNJZgLTG9Py ZjTtznak7jh03waEUcIBsYfxrs5wj18qnoLO60JVw8GgSRGipbH8eLepMK29P2jXqtH9hw4hfgvc qL7eZ767sLko3IEiZ4y6j5FxfhDfnQ2qEc9xvGw1r7/zlbXVKpaxHPgxgKsMZq1/OIOYIXRE3W+m bhcKkDi38EUMemhtFqrgTpor076ETOGDMTcjdQMEHjxXhlvquNKJ6BlCb3ZZF0tz1i8yZtiZbi6V 0BIt12xq3DHpFGC75rsYM7vFNrU38iEaIrpjoSKzRQvHYa2+WdrcSFSv5b9uKYaW/Z5jikPqv1rB BikMxjs8ZgJYvN2TB4Qxx0emTire9kh0v7Pq6quytGGbCFCZOowA69Th+mgjSW7JLoN71vxhk3M0 x2a76GKLaBdDDs9GaqugqhQFHlFuey22rViQNGt6MbfjASL1hMEHW/a3bnndd769ryTW+ekzPlOX 1K7bkmOjf/gvxl1zjJMPwBJBTxvARW1LfLqn8u0Q9MxOSSVhUHUoXARaHZsK0ueAQswLazjYaYFW 8Hyr2V0+tN9oggXvvyZMNv/e+SUry+Hg1uT22zcmPRnNxvpSPZI/VYiQ7hXuMVsw2ZqdLJTyns79 /ChHA01xJsadRN8PKyg6rg2l+HUXv6kH5PTGauhY8q/XNQQ3T/5ynI5nq1G1z0mDbBQdBqWEhDSD YjgW8cVdctj63p8zBrSjcBZTIETJyeIKDkR9ZS86ys4e+m+k4R+iMTHaaLI7Ho9YZ1/pUaEYJyrM oFJuXL60Zw3G492CWZ+qfkHo7KF1jxa+5YXYk3S2iDctuv6HNuSX8iCz4jV71yCJSY1Fxwb6tsif O+0c0MXLDxFdIIOxRc3xwVCdjpA2srV4UffSE+VJicZYc3YBhb9B7W3nM9yMuYzpMrA6C7C1OWVg W34a4T7VQ+FP2BTGO20vj74BV78ZBzxAWW22KRlsUrvNo+vwhJTN7pkG6CdcJoZupVEFOLw5oiWw rut/0doJoaCsCqnbCJCbpj8NC1XXxlIbvAk7e/DynEvu0P1plhIiAUSNCSFnotLFPbUF3Kn2Pp84 B2mw8xYT9/GIPD5CUodhIuWtyRjpsI5CkJJoa1i1bNA2Gh5CyMB5tTurm3ZHU6gCk33tMpim+Vjv E0Gan2fBWqWBUgsY65HgxMDUfLxXbS4OgDybQHgBDowlxYbIZQuDtHIIPwSFGSnMgt0BQdA1JH5l MXIQo7pWYndi0KqFIG0Yg1ngQcY4s7AwcYFseqbe7varvgnie4Y3hmIIHJbu+0PAN0y9c3x02LrI j/UxkzaQRCU85rBxC/gIoKAZ/n8JgdIUgU7hkFipnWKl7nZM4/9RayTXI2c90bAqfregaP2kHlDw oUeXGs9/980rBcdKdjfiPH7OO+I8t89aKB4SFfEmHpv/K1y/9hymdnWYEw8Y7yrMTqUnc2m0CaLe 9je/u51nio1BTi93DgmBaFxn/RkfTYV8bJsyw7NUGRwHI9rmaAa+1lWKsDUXrZjzZOvPNrRgU8DH pLIovtCEHWNlWoWzM7CiaZIhlJvP/frNGr8UFOxhNTVAj8Ef+1dZO11geJM9BYsG3G2wwvwT0qJ0 SqlUdRSE5SIpnaOAwRJcJnLnn/txOp7kZhv6ZOTEF99O+5meNyR9lqd9UD9dGQVEi1otVGs83RSe qk85AY0pTtMzXQlHn+szXQZisLjy6FW/OIE/Ml4uPFojZTgVLJcfLcU72hrzwpGFrkEDVNB4zQJ1 MQ4YvCFlj5TX4zmJD69+yH1vzzgBU5dBiHQQzCcGvM6DHcPoMjjGGTQwANjKhYpy1O3b6DpYeFnX a7mLyJAKb8mFuOSMO0Wf1Dvt70XWw88Grx2bT+fUN5KtQett+17ra4jRiXBuSzD+5uqNbkZxcztG 6e/PxfC18SrxTOAnxSPOICaPOGpikPzr8Hekz2Yd3PXtxcIPCeaZC1MWQYfUYGCwypeZ0GghGcTF j9aEmreswa1lWx/ncxAYhVJhryJHSFGASD26CFSs93I44RLQf4yagn9YS+kTh3RQBk7NwstQozeW P3yyITny3hP9gaNtbC1wkcr9X2s96aJFKfg+faCUULXO0/RgncS4xKCMY3L3WADLCI4IABP9FycI bhw89ECmbVHlYFlO/XBe83f5i3ai4QQc6s0ux8XdUROgrnKU06do62dt6fHkzm21mD6g8OS6emNq zD865j/ETYlQ6reQ0DuCpqo8rV9+qxMNQvPQQxyseW+kb0VQhKQOJx20oVOxq1V9ZNVgXV1uvDft FyqwqnYcI72C+uMDepyEiDC34NuD6+JX6ObdxjGdIza2TDpehv06LVmxtk9d1uLKPJJx06FZYW7g J4AGl07OCcPpKANY+3RcUeCfodBOpDvxVgKHQDfU6BA3FxYYd8NNfysvrba3OzGNQ1ABM/bw8TMR UJ8JBfRNISox62EHRwOsXr4K54FJGXTHv7RtfrOPCSfN0kNmvaM3tQxwryQS8luaBJzgNA45D61+ vsayUw+HNFxWnO9lbcemCQyM+T4T0yg3bgL0Gpn+5kKKGW3AsK13L7g3CZMSIFXFRSriYowXWzeh MQIuOeWNbKaoZbo/nyM9EX2EmYOCa9qbQ7RAh3o/FDIFCdUmONFxPOtgATcI2ZgBbBZ9xwNV9iUd +IVDtcdgXTNdgkIqAM3ZnHBcw+vKGaAn3SJdgwz5liPbv/srhucShAysPXtrrf5wBwdOx5lvTE3w o1tUN04sPzYdxNxMivETchMV8g7ePLKL2BI2o2LVKuF/y9UFOsW9YbKY5xw7xOD+Z2bulqvcU2eh ASRviO8Jbpkng5mE9NpuXCwRvoFADhjGjCBPg2/xvA6mZso8IzG7I/YAGvQ1q5digsiUrGlCjWdy n7CMcAwKgo5ptBdHurnrM5J9gES/ku958UP0wjSZaqNtAk/xTrkCQ/AsiX72KOBR3imwNksNHJXy bCyFovkYzX18RmnoU985qiLoFEg1BpdkuS2nwbd1yBRxeWEGUJrTud5dCx7Orb9jr4yQ1uSmGkjy 9vLEX3C003WKJWXZJu5/2u4eZllJA2+Stw/qACuXr6cVPI2rO82Yp1oWPmCFjXvZhJn00jvXN3ff yZz0Zn3GCRofrA5BbqCjZ/4aM9Pw4pJsGc7K0E0Jnpkxoxe80Vfgm/aGqGiqVy+Q2JdCQpxZ/UgX ayZWnivB37CNCJGquBWGebnjtMQkitqoPrFjAypUvFl4ExmwPijhiLWizeHK4makderEVq0bcvZd mXblA+ht2m+DvqSQG9WYtaaQeNRSr75cuBMLL0Cv6ANFYuwZVDaz0g8DK3jtrtmRA/yUIFONpuw1 ZIXWkW3TrlyiyXCNyQa+Ik5uKp+5+/9mxLyZERMX9bZXltWQlKvCmS3JLVFqZo+l5q2Oo6FWrZ9G WE2WOWWaHtYzIhoRiGup1YIwedc0htPjf+h7hXqjBJ0wPu6ZcjQgeQJoGCmC67gdpHX+ik/1mUH5 xAU3XaT5Q2cpOH5TfoZ6m34GVNI992KBp2Mn1fQLFbmU2kGJMoe+LZWp8N4sCX5+lAZrL1C3OYWq PmNC7OE0/XOUFdEL6I+RhbghaMqG50tvDhvxjapktiM9VK0zc674VLPEeqdXxuQKINEIgY3O1C3S lwR32uECMg8YuQHUQbHgU/oLmIuyW3ydsa3b62T1K5Vqse2iXOHoC0qm5feDNV7usX99zHx7l4ef hZ8dJ73M8Hi6s6VkW0G4tBLrUtBXd7L92YncCblHK48vmwToQnQ70Ca919eH9cOZoDU3g020DoH5 nrBBosjlG4SkcvFkFWy4+/tpB/yT2N+YMRALzRp1ln472PpbIciFyMOV/N/aN6ICvaqy1lfdZqfK CQpDLynK18vmDWEGtvbKKsM43NDmjpTPbFa8fXN2nHVosSzKQTByfanoiFTQ/dUinXhDOOSUSDJx ANHCFhgVkNyDSgtEJFKZbVS4fk1K4FvCD7fFgHTasr1XkXfEHlRzsQg2KMhYL+5FvgIBkoTcTGDP IXUn2ZlE7X6+/o9GJzPPPQWYxlj+hNaqKlkPzNm5ZXpsNAMak8BFv2LSD2PV2KJ3gC0+wdWt0HGA z2iDJRG8asmqa1V3lGeYSzA35Euf2yRw3LcV6trOV1V6AKIr8rcFrJf0IeIE/l6zE074gBS+I5Ri ri3hVTa3CJp80Ipx+qrYNcNndkivM4Ab7BAdSVq4SuJKg8/d156AXwn1j3N9pjFxKaApAutqPVyc VeFvW6O+hG7I1Ph4n62xiOp9GEZGogYC7ttLUoGhxhONjVMulEl9mkGIMuy/obWfBL9ARog+UG5R Z2ynKBZyWQUXLwW/slP1lvCN9XXsp2ELtMxk7MSoR4rdfzpTf3vRkDI4iohuVIWYULR1RWlR/WNX jmOOKP0zRh8e9zVs2DPJTbLdK8CXeJl2BBHr2WeQoYVbEOHjb0DgJY/BjV7CjZJRG0+xB877aysR Uj3bKHI98+o8XFzgixXegHHzSPWzhLRBS0kw1QGIUWhMCCQwOYcmAAujz+UAxUebsPLOmbyV/JVm 2kL4BOA6kDg3YMeETm/XQoY6skxu0mYrM55y3V/EFEpij8gtl2i5KlVRcPrz7YIDd5KmkGZDKoEJ ZVFlQUFbX5rFCgq66wvFZ4FbW8CCgqaEgT64MT1W5jh4kuUdLFZnLRnknuwjjankWbiGUMyvLZR9 OPyTMYxDca3095DbHyGnqK7YPXuVasibIBS0uTYE2X1y10IdB0oJrofAyRosM7+kPGZSlhfwWNgN 092CiBEIBNcGaGQiZ0il0MykNoQPKnEHBRYa1+V7jM+rVouabyM6ermyw71RmBCe8b7gASwKWXUX CQx2rhocl9a6rhfplKS3QLXq3g8NM418UP2RtEMySnSmVY9KvqrPMBIAaUT8pC3+kcooJjkp/WMh H7oGJmT4Kc7g35DIiCs41ewtJqsqT/gID81FFvwClqffH3aBs7OvOURnu9KyM8Udi8u9LB1HKhDS If55UjbZjSiwGDrvw9W5ZZRS4mPwXFKTtJB/rizoE5yPxPc3JEbYa+VT+zc0nrNq3PhBvS2STV4z RX/YcyZfDEpiwfXk0qCK0p06XY+jd/GM8+6f2W1fN0NZZbB8ATsdIfeNo+3TGG87sT0B93mMMQml 9ZSwtaAWFnff6Uy65FJwsNc5pHf06B8DLl/l4+XvBdFO6iwQMicw8FhcQbo9XzXj625NsFTo2aNq frnAdqEcCBHr5TaioOjXmdR0h6YLXV+qOT5gD1E3fTMNFpgpBhpG1nL1DmcbZsJlOgK6zxxcpTOx fdv9JTDwo4gAG7YrRPhM9lgNhbQb5SBQbocHMdV7t9MFTRuNV6izFXOGWBKK4w6bQcFZHpKlGxky LcXCsoysFTLoJEBQopbdtAxYWKdflntua58X8y50l60Fcv4P3M8wDbA74flwoLvNyq50aGEsPKas jM5tAAJE4Vm/1onQvyKx2BkzNTDbLPb/0DKNbnH976L46R/pv0hJ17K/OCKLhmneEgbPSaSONyIi TNQ3RSn50/uQ1BUBj7H1U1BcQagxtY9chatozvTiUglgqlJwzQN1jBUP2sHv3E/Gn6vMYuXExXBt 8PH0xrRlMEWG4fplh0UMem665xjrlicQIy48EjY40VUfIGNaW7ndPTiB1GpPlEAnh3pEnXDDx5oT jCXAYKvwqhCx6HLiLsM7mGfzKMmCiVY9Sf/Sm1/z+lz+9D/NJoRiNQW1VZv8QxzamTHUh+AuedIa Q5A2gKrRVkqGPo5JUnExSaTxhdKxSdGJP7gRxZIO5xvdrM5twURdgqV6YejG9pBrM/w3RZEDabpD str/srwGpAlrRj5K+DLvrj8ozAO28MXQDqAD2xAzI00M2aGPE2Q04biHpW+9HNtEJD9I7+GrncJJ uixAYcjEwmOzi6ALf4Yd+GnaLLhkFzs+H+qFxSyJp1FnBcnODUvSbTT7KbTOTbrE2EFhThBrOu1F d5TsFGnRjgdE1fPs7rdQC1lSsW9j+GON+Y9s6+KH6sRgGgy34Q/OUNWTaKb0R+mOBS/XTRyE6g5V q6Kg/T47Q1BOiga64FhHhN3feKzjyiKQ8B6Y7M8G2vW21stErdxCLGkVgiQLITTsWHlGUGCbhvpy CqJfI0wz+kgALtNaUbrY92zAvWUK0R5lTX8r1/oBeXDfs6agAAP+Qnl4FHxZmXrXc5uk0668Q8Sq 8WbitcwLEvfWrDeBvJOOwxAKpo8wGFe3+SqyKBS3Q9E39boF7wG2zW7eAaZHbe1Imvh/FOq6sEo+ FmDh9wA57uXMLGHmkltvDAMi+K6y6uZu8tpKZCa50ddDm0/jYx9G2JSqJm1T63GfnQmRXPi6H3Xc 9QQOJtw8J83k9paoFuyOVXjvGoxfl1luwF68gqfV3lktAQw54nE+MyQNJZCz1HWw6uJfnqblaGak 2kODt0VLTZxrrPDYuedWPb6e3prV40+0w6emPF9FC3UnQoldf+B2n5DJx2N7XJZ0eI4O4toEy99Y jC24XY9f2rr5acpttVoydrcQJMV5T4j5cHBgrnmHyvfGLz9JcnvUJb5SpSYUFXMq6EdlZnfLhRmc 6xcYn6qbn7t22IvDlImtGzph2JyoVc/8cZ8y7VGGctOQjzFfoQoeL61ov6ocDo+Zof5HeuAO18yd BMAnRnOu5WzM4safuQjVs7s61DX58aeI6uQRS2xV9Lg5/Id4OVHJwuNEIQjEWrVRtNCJl0tXk1zO M5ZtijSn7qlz6vsjcWgiioXDgY9yurHaaprN6X12e7ozMBmGw6Eo80sxS69xapooP5zpmGpq5kqM Ed40Ar4OOt18/VrJThCykDAlZw9cyAncW4CIIr+ucL7jk+39jgmhSxHXj4k2MNGamNo0ShcY1Tf3 W/wVUkim9H23KPw0WqnfpJ/2QmxcujUV3F9jpBkVGQCTFhNUapKVrY7+q33S4ST5BpGOfRwoIOG/ iN2bdXh60zL+XMH8ptxadvzX+G8sGdhfEP7Ja2NEi8B0YXS7p1WeJDSqe8uReduDZ41DJje93uTc aTy0X0Y9g/MDerPqc+KByU2AnI/w8NXOPRjCRIX2kk0NT8dS74eOkJqeTa6QMXCWJlW+e+eG+w7H OxnGqqfdpCc/z+T0LuIz1PGefRLqjxexaKeVpaK6HFEobei+tvXjQLoVnwVxVZd0jAGXhLWjuenB DZtfpXYXqBQFLiSR4B7XSv6IC546P+qoAZqCtZXroVqldKnoxTPEQ9IYcCgqhVV7gO9eST98sEz0 LQMYfkrm3wLDtrhQhK9PW84br6vpeSLotofKvkKcRfCwZHRYDARDz4PlJqgQdUDlAuYadan6hZYS Tgdim4DyE8nRcA1J3DWTamu4L0D8H4mjNBSOQRaMPuk6ZJX7ua5XhRlNdIBA3PiKBxSRtw/8YdI0 GaNcssz6PrxuIXqQ94p0focv2fa0jNgx2Ki53u7IZo7pffxx2BT241OotYbXSBHCa18f9yWdMteF SKHKq2DNCjdg8piGojfPP87LQHSCKdD2yEiuFi0iYt8xUrMfTZYLcO+uCIQXFHGlLmUv1zjGTweg 94s12JRBZ/8f4INpUgz2Q2eDlW6g+PEzair1wJheBRTWSoc/o60XASEjo40bUWd8T8Rj1ZqjOf3P pFQCTRNojRwHbMIXcF37Pwmt43qnuhQGWveXdfLY+uLifO6tT7crJH1Q05G5HnolAcq+FJ37sRJq NkD57AsilCLlGZ2YFmR6tYmpnZlol2H4RBJbGIfHzdQSUnVjKbLSv07c5XKGLs5d3OTcaMSwxaUV r6ChWovIu6vVXta+CDcb1KyYQuccV2/LlWbVuW59Cj2aVFhgtRWherc++9cQkOnK+fQHgRSioXmC zxUOX2BAFw0tgzYmy1Ths+uRyiQmE7YoSCr/90lCg/zjHJ8Rq7qKjmBE/ySPwNjnXpIRFPfaoY+v Et871eAcJiceJOQtAQnrHKjHDIeLfMpl8kXMQb6RjvPv1owYntrlUugrYyP6H05wPOoQ0gPwsx3V AG3UiXxiRJe+oIee74/BTGjhbFTnfAXRgOpJjzkYK+j9HjSa+WKC9GLW0dO43datdM+qI3lZ/7uS KU0GqJSU74L0WznBQ3oAbvcHsLpc08l780UZwt8ex6mNbOI9zwhjDedrKZWKFP3LitVCdnOOI0Va dEbN9Sly3+LtqOYDYqVs4DuYf7/6FB5pvG5gIrvUzsK8vKKWwiTog3zWCFdGjSDv/R2segnrvNWm v7Wr1maoRhX5BahwY6eFUBRbijMZkvOXyecegxl0ZG1MSIrdqCCGEzqa5UotZlMO7UkFgFBqcLV2 VfsE0epqA4yVpggMggLwaCPETjRlMtG8uhR1K6DRy1waveFHDP8nUgm+/3HShmxAsOtsnBwk2T0g N20rc/Qd8YDOgJK61QxKVoZy9qZQQXz49ljQDqS1lkL3AYtQziN2z10RiCklvWok0AxqqvdFFwkB FIA7BAvcgb9mGqaVdK2iVUndy1n0zPpx7S9LkQ3kLVks0wE39EQmet11yyRtXXWiPOafDzb+v4Z4 KIHevFjhyI9yOwvpE3J6utLAOJKb77B38vHrIdN+H8Jf4hyMBriQo4sV1XsV9O/wqRueAMg6U6yV fVW2RvoV2Cgiy5nW3SI/AIz+zC8To6Qeo/dbQSJxMsW1pRqKYJLOzbtsnLmIJqiPO9f7aemhdCe+ 2vFdM5k+CUPx9qS6ayv9/rmk5zlBCjIxync90Frum9Zf/ra/OTEwxdxrUIguXtlUBL+KQYWwNvgc gBRR2Bc6/Xw54u2ejAKfeRDy9lW/R9RjIeUJCfn9eRnLl3reMfbWnLnx7g/DJicOkfL8Ceo+k1cj qX2zWjCFQ9ZAXgYFOjcABUPWGMEQbvkIbQB0o6dLPMU1gsyPAzIbowDgOdk8bEIrviVdEh1u5IXq 6Y4aToTpoVS3y1Z1YbwMJsf0jAvg6wi1aNYjiussVw39yCcrTmlUeDaN12Sih9xkqyYgaLzBx9mI /RkksBUDclWsIA3v1HZMfC8hxaqo1FCvFHYRpdqFmibXJet/MK430Bfhj8lpq0YjjhLL/rKKsAza cnoxpeVd0SLFJQgi0E0/qTkrCP0SUsrXLNL4Q+zI+cXJEibyFMD0HPdYefNppshWu9BLMVAcYSek PWYQ5eMwSgJEllzEnkU75KTGMtXUBc0qP8AYwD9B/CL7UpIpvN0/m8X8Zb+Nr7xj38bXz0YQ4PXh QtplKowqN9SN0zF+zDqeqjsZ23s6Vl5DRlaRD7c1a/CLwKv6g5WLYr8O6+1cwCcSLo3z8Udwexfp hR5p0RizGh91h9wB4cuk14/B6HynawsfEsQFV3H4Ds039bBshWsdwoO9O9P32SZrbAFNvK51s9FG dH++uO2vtSUzXSzfs4IXq+JDSu54DBMIbtYM22TGIJxfAT4zL7zUANjQxoS/nfqXTg8sgDZFHIOD PwX3djT1vqFXtiyJBQNluo7WFwt6QFdKKx3swUwEaTO5Fy2KAmEbM9kW5fcTIH+Zuq5BD2OpVtgZ hZXx4xVoM58d49ZOqg9ql0CBUzbsyDZVgYY+zIwRrcKqBrC6WfnF1AJath/0GXC/RHVGQyKHb9Gd nSrtv6nRPv9ud/PUottbXoBiUOPSxiBT4pOvsXO/QXDH3qo4Pwp43xDyzh+69VQhBjurkv/+DTpe Upz1UzZbAdP+tLAZaBncOqsTuaFX7zeezNcqMSdiFCEMiO9F/q3ZaDlZkxHEKdEdvlBUfcWnKZmV d2Jh5b2AShT559O3iD034rZR4K+NEs4WDZwznudWvUM2clD/Squt44CTqCFaPDSDmsb1+3qa4AIh t6vkvLiN5gzZn4DhD4fGfHZ9xQTyiN4Rlu+t+GMQOcjv+3y8sxEZlnPhBxXuyHMZE2GmbDT0Sz3k 5NJhxU4aLwhciFdOBziyLxgPqW12j3sQKqGhchN3vggjTuEZRdzoZKPSMhvOhNHiZJd0TuT9/X34 sDB91DJOE4Ts/lCVAVDeGjrdeIfIIJpwm8DletUgydBM7BVIUr1pVCmdJePaRce+N1hKEqmOO+64 th5fwcMAuhby+fJOb2HNjxFncBa7HY7Cf7gxxvzhj5n2q2dzxnOFPKD7QFKqFEoBcKQZTVbloNtg v3GBvkyTz6269i/aXRfQ069o7Zt9BIg2doAk2zTWKuhJ0zZrbFBA74ssFB/yb82xTp1U1LjVGPTa bBK7XL6dbMLCS1HROk6MheQ0hMHlDGArNrLMG51TsPX22yrI+r5MUQwmN8cmtf+fN57g7gLb7PTN e996zHxLSXan8pVdYXEnnIt+qMdPG3QaWvSAYn6UgzQXdYH10c3qDgOdGgQ0oRz3D+aSfzEcFx8i +ZqgY5OKYm/iTMx/ohQWfQDEEXs0hYxaSqb2ixA/DviAnAHL39JA5t1LQ71FeqOvggl5sbETXV/R IsnP1qRzIci7eEF3xZRexcmiUgYf0CG2SL6BUpI80kSu/+p7haEjn5fjR01UEtPlR+srbk1qlVft 6wZYIbUVQ0kYC/IvPy+E41sHXnV10RHWwQ9YXDwSKoxtaOFXN11vUhSYcezjl0JuAKGxFu+lTjYU vMAO9p1Hkau+icvE1EZEN5svt8p2xp6oOW9mqzP52lgZ+lXfGblpJC9PGoCK3RHVVyQ8APNzS6eZ Ymipniw2SH2IjBdOHSHvyqFYhTNV5oq7ELqCUwUWbYRvOL9LdPJ5msVIyIaPBYLHxEEhHoNv5A6w Aq9glnYUm7j+tx2kQRmOicW4PSaI+4Mc2eZvI870nAcykldoteAGSt6WMromvD96yTz38DJCjl65 ro4Y7IJR7CwF2+FrPKrcTo6ElWVaTzZjS+IiP3dIu4zD4g3ecYs7NlcdPGcMO7jESlb2TSJ6hOKz Ch34ejrTxE409BXOTd8/pgW9bbCV3quZweQ6hDYtNT5AZ+YEViU3ZR1enLk3K/5E+IXPjD1aIBY3 fyynEAb47t+2qqQhAIdc8wGGpkIY/IoPmMg50JXsfswbqzeECfuipUK+zPXaURBcP/lpkVQSE0xD 1XBeUd+OOvwTX6hanv77KP52P/WKy3Ph0yXJh7I6zlxvBWGmFpyuGNXMsvfz/sto6Z4o9TrmWq9Z bD1/NzhEY318UY2Ay3tjgaSqSFtWG6FYkDPEI20N2G3bgkaI2S9s8r/D3RJN0l6/LKbU1Qk1zlqJ 5niJI9eoP1QM23apmizUsbEBBAYU2skPvtd8BLNAaAvJUXTlN27GIEzQ6lpRHteel5m/+X1ZlfoL p/CTdZ0FxpqzchHS+gaGZoIbig8NQYp3wmGQf1W63MeQS0ESHQ0Wg1y1+iLxgfApyPWTiC7hfoRf sGM5I96OmAIPhmk+vAINv3rwQy5uKC38QWS9It53eXDQYc7JCAuDD+sK31EH4dDLQSxeHPpX3F7P biu6/A+fIRlm0KO9XUG1cGHOhKW+dIkl6p4rzHCkrmeMNxH+syt8WvMeDvIaNQ8dmobiUUS/fJ2j mEQ1oZMn2qhL2u6xdHOMMulR0UXbntu5ry4V26lN6YHqypwczsdsfE3/vUPA20iZOkLVYq4JlwLe er1YD8iYDW2sK53cTKCshKgy6OwuD/lyfGBPC5ndhdd1usSIXzOFiEO6v5EygBcHcaw2xErViFy+ tnqHvf57i+7yN9/O1FbhpeD4iSkNAPVeAt4tQbh6trhqUp7hWBT69ou/tbl+v3zT/PoNQiRvZCKy pJ79DV8GYPx0soUBY68iarLUR0/sm3/JLxParPpKZ+sgeFXNvevZo7wJRS4if4ztWB7fH5dRf9tY 33bUGijdTMR3mhjqag4JqyfUe7uNDblBKScxOaa/n1Qgs97UvWW5dffSdAPE1mobUAm5mE441wFA JspPqHjLw7pTxKsVIDUrTAN28g2wwbSR4BM0APi94Ezi6o9+HXW7Jl2vMjfNJz38RckeyTXoJ5Ro Kaczp1IkXoN4/+ZwfCQ/vnoe7Xdc3cwlhTeoz4cg46DH03X7UeqiDRUur06fDycOs72Sh8rMYEki /08ulXt56JiYqJbNrm+8mMzXNLDcU90/BSFbszTT7IWex4YJTTBG1BUo7ZZDR4+itTZnpiRSrGef ducA/wVO1TQSfPMcZJ047MkN3pbIC58pQDa1bjOIUdqLUaXlcudKlzsL7ZZHdsNhNmYtVaHM4Pix icX8qgi9/AQ7zVN3CNyRvumsHVcEZ7hBlKh6gXwZDb24shuZi145xkpNoa60g6vaUG7A72tH8JYq zsaogig2NK6cIDW+FHRCp2AgPp6moS0j54et9lxW7iw+lfPlxAKc/Iaj/Hc56NmQ1ZRXhk03G2Ow JRIjFWczG6PHanGXOe23JK8b7/aA30wryeY31HFDpjlyQYJmGycG9N5n0VAbEwyngPByhOElHzQZ wgMm6uvQwDEV42CKGbYmki0LKrcyraF6hJcIvYv6P8p7oRRyEwEYLk9JOfoPZ8Pg2DxZBHPrItkL G9oIj6waE38cJBVxfYQjFsc/luC/mhefQNQ9CamCY8ther6vYTtEanGV9MnGOkohcgxsA7lz2K/u ynx5vADEfvUAUExFYDfleySqzlITrdtHcNUaDKfpaiCUkBzUDXnpPsKaWVEnw/Temzdgos+shwTL 0ZALQBdtxubdEBbVulNJUtj10j4HvhiXujbGbDUvs4B3vO+m+pI2oTCW6WmT22G182/7BI4mQf51 wjQGoSyheCX9/wszfuBxKVSVKm2ZNpcDFu4VN9YMjwl6X/Z7gfhdQtBKRF5iMkWhR4X6z/76gl// lm0+gK1WAq9UP36GYG5T7qQ4B2a/njSo8CkmmpnG/22N3cr9m/3lCKxqnG1Syva/ydVM3Z+smI7P 43F+VxB7UOn27hSYD17FvIGwh3fAUI8Zp6yn0yDE1v69KI73iXJq7KRvxScwc+otiLRDWXbIxLBr 8gthQPdmVUEaoulbvoMMatd6iw/47+KCJLHAPYmzGjhnwAugIZuR+2QpVaGAE0VPjcJ2p9ZVE9Xb 2IJms2Sh7IjOQCbHbJty4LMxwm3cQNJVaUTjeFfpL4ThvxapcmcPBM5ykxX3rl7CQqVRC7jVHr5a K+Z3j/keyQPAWYEG4mMjYryaFsBcfM34KsrCRUZGHMcNwlidH14IZy8Z4w5e4NOTdRGjaLS3DX9i FOwXztzBpAuXeKsME9sgXUvABBTWbqHMQReXT26N/H4eHi0BY/lCjyVCw41H0Xp/LC9AxPJDmVee KkXmsxQ7B0YdsRJXm2uwWjRR8H0LNZInvxt5+H5mQ9wb12gkeMW0odGsX+SExehooVNtdg4GvjOG Ic4QWMPktWPLbdo3VO+SoRVowJcqUsljeq9UBD7R7fe/nyu5rBWbnSTYDclmhs/4UkFZdRD3XGTY 1En8WsJKyxqAHcuDxPi+i0mdOi7llmSWmtaV6iw5sMcWrhABMACgjbj2RhG1V71ZI1Akc4G0IImk 7ULzbLn13s7CkM7Wm6q0pBVsN8haIWP1ZCOnqD7Ch6SNIGRO8fURtBH7v4eH/hh2Y981Xt/fIeuK J0HqarCxALG+C5p/n+QPiQRe07tvEAG6wXc03ZuYfpMkgHXDS+yQAguRPPGq554lmszYjVw0/SBz VMdV03wFpl8zn+eitjCvlftvtTIte5dRUllvDOsT0ek86pLxWN7nrQNILIS+M0k6xTPRuhKp7uqV SZA2465YoW1AyVBOJNji43VfBo742Tvo5qH5lKzDIy74NF5QImWRzYwQHRLQn2WADJrZ9YYgaAWD 6LyDBkg/zxA9fJVD6FO6KmFGpyu388SMimeAATw/9oT6f/FRxS6C/E0wNuaC/L4hOQs+2RmQr6gm vUMcs4KRr520jpThqdcmA6LHyiuc45GkASUA8qAf3yQIkz/aOf8fDNq6IrZx9TpD7EXBNKi1x3su RNczD7vPRb1oajKAEx2+j7yzgZr6boA3aHxGQvgoqB727rlbETKXxG5w+xNJ0FKOH2NvirXKV2x2 Zj2HzJbulwF9Ar+kP2McUyqQTvCiuMKKMKgPrPjAQSvwhc5XVaVG10ry2cxjU5ZwuiFu7+nT2pHK SOZiS0i9WAsP6Y+LCS6+DtocOeB/9Ip3aF2Rsf7WGra0tzSHGSO2J2rF/oEukaNHfBS0IN9CPicZ ahOmXC59uiWKjlV9tsUFWxXfWFsBAueuwJRwdvM3NrKbZrWX+rqOXvnqQ4BlSXjuenyU7of3XW4k PaHh33ZTcMiW07PEnwQrBLLZ0AQW892HrJUcIeIrFpGghD/3hvZ1tLoFsG+4v1FLVKykpOGc2cJc 3N0VHSIBCdumX9lV/0WBLB2DXyNe2/f/eG+r7l0hNVy67OSd/vVEyKzcEiqzRKMLWvqkWn6S4Kmm C144wMYQjuiIpXKz3atTtySBqQzFFscsjv9XG1tlx8D2x7Fl4UAaFV1+08WjZiBUEQznbrGBzytk 4GkwVZsi9MmtipgCZ2rm2byRacj740htsunhADlozezf5ANwuAn71x7Xxw40sdqRoHHkNk4Gl4XO VgZsGqK5Vqv1Of/sGehnEJMM195n+oAQl4LvRP6og24p+9G21PGorhQSs3oGo8aNzeTpfXM4xADS jnJkPxVs7Zp7MU4GkjxisYE5yPAaoKJ81XNu6C7E2zrKtaill5Dz0uFOZJxcXxTNcYZg49v2ErdN 3vUWEIL2OfimABVSkpaA6fTfFseAlEIglslp+sV7XW3YeaZZldIV0VRnD8drFzXR7xv6ht7hRbdi iA40hG2rrsuWOkl/hsFHPOllp58fKIsfiQYp3euIOalIFHXdHv2mYm20KFliV6aWEZB6mUPZFXJa 1BIzMl/3WV/tIZgSE9v+7MhgTUXpl+nBU2yhLqlzy/b+IXiQdqkOz3uUa/9SHOl/Dg9KJs8s1kMn o53PpMGAeL6beW93PD3WksxALLAr509E+x0vMT+FtZrWGj3Co6EFBS5ExFilfaGLyKE68KNJKjHT AQYVdzsmUqpWgWzwTWwXfzYr7XVN7reMzy0fU9fn+gsHUy5GwXj0og/6PUg975l4N0tyep9bfwXD QcJhb+VbNp/xl3CIGmck7rfGPqws8I1wW1AH/zQSJBnFSqGU4qi507fRyLCzUnO4meKm1sJTF6l9 Xcaf3E0NboGnzA71isjEciJckWh86MIcrUrGB5VBVor50WvBqTuiMRIAlUy5dBKMAf66PgRsFysw y1uQvn7uRdtnv6a8OUZJEqevMAD5wJpl+mH9A3hi4TM7LVSLtRSRRHx3tEvYQSlXPQd4HtuDxg68 DX0hFw4wqFzbTG4dRFKMh+R828/gPc23qSD/02THmDCjnyy931VxJxHS4gUfmW8rjU1YeTcK59ZS N1ZaL/ckDCnuz5UVDbfZxvaTDiBNCGMj53hhAr+VcqD6UmN9MK/LMlsIoQvZjy30GC+ug1YuWSjf XRKQW/TK/lyHZ3pCpB3t2MrcJsGpHfOZ3BmF1I52fVPKfgOrfw0AOqPHGP/sI/Rk16nj4F0RHHqo dybRqcjErN7dqNSdQeOm+79u1RuoFJqMDpqeLQP7PDueNLVkjWdcebV9C4UPFsK9KW7QEvyPjQDJ D6K/j2o6HdA7PqUvQzDwKkrKfd13wPkuIWwsGqihkLiJcWT03Vmnpxrw7XeUc2jlkLFP6ijXOPf8 UeHN8a0FabdYEz226J5KWz3feCvw2bSm7XXgjMOaMSQC1Thydwh3H8ESAaZ+tGxNjuOrE0zWW0Lg v0B90Yr/WSjhI7v9+ysuRmCVQuJjgkB3iCnWBD4QBkAtBT+qGDIUslEB8RmbdPYm3G8DGkRn1uhM BvF9BCWUk2dGVCU9bSSKf6V/CewOqKF2XbUxllCaI3aSMU+Hy5T0vDzS8+gzDVddL6l4JJdD0Kcj CB4CKTArQz4qcsydDvIE0J2cKr769VgQetYIG8JMFdTyBiprL/svmZRTVFFqbv4ODTfVGu4T9jdq chOdjpFEIWYCQRgz3w3AZJjqc5kdxPjF+soMLRaEmcqBC/N7a/giYzG0lhqFi1x36DQBjXYAE0JA DLMFJIOIzqPc39S8ijkg3WEuTRi8w8HLHgYBfl+4yq+mbB5Ll/FDtg2cLayxxAT34cbEF6HSIS+Q cgLupz7RUqB1VmAlYtRcNbON4+PK3egsRldSXtpAjLxfdgWZTnjxwYwVnhNJk7VfzFAWyEN2idet dZZI4Yf90nkTuJEaBZDYw57hAILRzyJ3c0UmxZuzkgrOEKbJkn0vlbqJKm9v/QCZFAqfIxbu7D1U ENTab02tTfbgCs28E7mIZ+KL8ulw0lzUH7Bx0soaKm8JeZKRu6XQFRvo/3addyml2n2KJaSuSSpz sotxQbczsqaqr+vmy0wTgJQjOMXWLALAE2aLFzX5rSJxto38zrAOl5AGEynvoH+s/0PXWNQBtc0p y0fOErhtHKA2aqMKruCKWlqr3jRV4sEOLQqjc6bu62v/oYnzZIQsql8HotYapwf+hSl0p9H16a74 t0JQq3q2v5mGMAirp8svdTG9fVxh2Dn5DOcq3Ipz/FRvnXyo2J7GGiv09AfCuRb+MWfDLnwHEjaM bCnsFI3GUdYKlM1q6tDk8LXE5u8BnlrG+4/1VqAHseWXBtwhsOvMCqAHHOgann6hRnOwI/lpksoT 1Tjs0iXBGv0T7U7DNJIqN955zqSq527WiitknA3bgVMFrdRMmLpMV1Wa267cl6NHJ2HgtBBMcZtn 6hjNMEeFr1+qfS1yC1jfMf5qvvcK6w8o3g4fSTJxj/VmgPe4cbTA70atboiPXhWojHXDg/rBwM1p yk/0rdZmArZzOw4kfchk50snPbDKbQIgR5Wbn6YcxxI4b40E81mkvLniEbcIF7F/CGYrghKYXlW5 9ak5oKkReW85P0uP1WMJ1PV0C+Bryj2PYZ+nt0G8/9rQ0E8QDfwjeg4yrzPg5J7JdN+vhJIjc7sT IjpaIpSqn56KeoHqNhGZNNpAUl9Q/m+nBaz6UU3EQRp/dOIRvyzK2dBNOHszfS6YQhBbNqSsWGKN sWTihzz0I73gCFu23uH4CxmoxjBXown/Gx3SShrF3SqyxY4p56majO5XGWFWzXL+kIFZEVTHDvAq 6U0EYVrQ9MKj9XFGiZIunPPY46oBxIDdNjL6c9CEzbHlxvD5vdlMDZh9mGBHpGgszX7/+cAbTdPg zx8geQbgTL05+bRHKku7o/sIBkKhclFLF7ugn3IyPrs9C+BMqehA0MWXynvSEZr9Kbtqv2r+9Uma 99dQs5XC1GDXXIIqTn3MOgH05CRm1t4WM4S8Qfsmq9gPs01heX6gvGnX4x/+ZZuJecvKC7cdeT6V BIHEZh0XjHzuf8LjMf71ZKRI7/8K0At+Rk6K6CO7JnCNxkmZMYdR+vR/gNkVceBmsAgF0B0pI5p1 pOVbM8D5YPh6gCsPFKP+KCv9dx3YpGTSK0bu4VM+shnJHh0naV0vyeCowk4+CeBe1DImgv5m6BMM XgYa8I14wPfcvhQuGE0JIbwFCKfEqdFsHCdGiGKxnlgplbd5ltRHSAR3PjC540ibgjxpJOxXlWgp auNc91+GHhE/f3XPg61ePe/DBcNhJLEyxhi4QiQ6YbexnrHezCh6XVWcCEaF2ta5vzqexS3za+RV hNCxWyETsYI4MHfULJgfUgRtGMCorakGRX3DypyljWiibWsSqkuD7DwcF/Vgj5xfHZBLG9IqDXuy VP5hyWNJmh9TCiEHN4adVjTtGbnVOEXWDVh/LsufNe4rPNVvDQozz7KJzPLYnDWCjm0qxWGjK2NL im8a1Q5aBZ+RJTExjlM2xxhlMYOA+sqBq/6Na5PyvgC+2YAdaGX5ydDsE67FBzIVBpCgMAaRxGe0 rDEjrWAv37ABQQ9empOY86a1CivG8F03u2Qn58E3QGT4lreJ+lT1Zkcw+MwFkfBdr153o+tQZ0uv 8f+mSxMbP0fsDI4fuaOaKrwL0J6PY6rNaWszJaZcjrNfWui4M7yomKC9ErKjUMQHuP/3FCoarGHs /6NPHnTjtnka74E0kkTQyPTCmeMTVC3M4WVQ2lDttS2wp3eTxln9RvJ0KM9VtJeeBVdpheXp2xyr 6doSCskBFqvDnbXbBDnUz43HFYftbKzkBpdEoob0k+ScDTyfsWa40sSk+OwcydA9kk4XWEZ5CKuT cfsejibzHPlbBZ18npygriYaLrcaVcOellLtUod8ygvfDlwuLr+V+/4ysimHixdiOKqdizNMV3Gj fFgt8Lz4KgnPh3+CXiasZqcLGE7PhVcxOcUPNiclGZs9CbKXI4eEZcMQHGmL4cuV6c/mpCPPBDBo ly/rcw5cddPXiLxgwhmApaGknBD9kFbMsJFczLu1eSmNhn/oGCXvxyee05me3S98DJMLI95A2QG/ MZSBLcqzitvELvAbJnPSQqUp4eULc2S2kNbNyZ2bpUEAR1S0LICt+FwjUNM0lArX+TlXOK0ilJzk l+TL5kyPGuaPQTvzsaNZWE9Ncm06mudImnJf72/kSUkUzqqk6kP+I+IDsJDs6QifpLDPbKJig4Nj CaJG6gsP4TYNutmg4LfiSBAtIfhurML1OXoM2HpVgwuvuJX4FQdPuEkPlK8lStngVyZsdBCzrPx5 dmiybu7p1hV91UiyHEsJHBVKD6M+lO5IH3owjZA6qqUlnmW4lyXK1Opf17j5buAvikoHIKq3IOAr RI43prFAJ5EFPDXxBmC7N2Wf7FILrQxstaUxJWHaCBbY6Y1QeEj0T/I9SzJmn6sZ9KQ2tFIRsxPy jXT/h7Tnm+lSwK+zontiFErQB8ZU4btdcjZ3mn2/K7ev5M/qP0kVRE7adLyVq5HoVS1eP3VPODcp nigRiwVxh1KHtnFdCaFISNPReTziDlznZuhtCzMgvXeGe9M9dhOv+nAqeZsDtPfjhvRpThlR19W7 wFPaq8eD7OZweVRO7/6927h7aNPf9kz4AvakulqAtOveoBI2d8+gdv36x66x6nYLuekHAXr6AwMN ia/v01utMC73YoIUei13LXalKLSGEY+1aaXnABvC+tx5EGmmWu99NQlHlQ+6e1p0XIXjhWpKn6ow a7Yx6X9QXl4Ymun7hlwrV9RBbSeDD5y1RNitV0PNF/oEVQSOfPzQLJK/vetp12SavWEnIE53smSb EFXlaUYvjs1SeMh7tRvNz24+rWSW8EJSPEVy859+7HU+SVBbFQdL+JbHnv/jiY6tZeUFxIuDTeFf GVcLMIejq/lijsTRwMaJYsOlR7/uY4J1dfhMnlj3KCPZ0pNe0MmyRu4lzcs/vEZuJXtw/FIhK0DC SV8so5h6TNKOKLaYaO8B3NMP/o+MW90MP7dWJs0YhpTjW9+ymTwsXPdjDzRcSqIstR8N0IR/UWQL Nbd+Fe01qxcYLSx3RiridDaC9zRFSyJ6Pe35moHLhhkP0C3SAweQTxTyJIbWF0WVUYE2RJe2ntWX mDF8+9gvsv3zANGPa0y9SfTDbXfGbIAc+HO1KXkz0IdYftc5jd4sLU+nQb9uy38EofEWEJumileV ipqn6wVO6lx55yatgu6RvMxa2kePmLnYJ11h01ClzuRY/98S8vUPKIuTgtUcQn9ZlfCQF+B1UOhE a2yzOoBcX3SS9q2jik7ehweo42iSIhfaxF4CUDHWkGR9oOw4xDdGXAjV4J/zfxAW7aLve1LCQI49 UKwg9AK0vYsWwUkZZQYX0G3gEKP5+6AcCxxdLzVH4lUi4wnz2A0QHjSm8uoBmAytbgz/T32itlXZ vtu6U0aDGLDYetUSEPfL7DAjeLNM/Dv5qPe8ikviBPaL0EZTgA+cTQMQznCAMRM1k/W7rmO+aT5A J9X8zb2G4De6ynJAcaBJ0H27MrPOQUYjwz5GRN6mQ87sVJ2b/Jx2iVjio3WIJ+ci2MGvmiJ2yovL Wi5fd1do2ay2VGGSya7N6OkHM9QYZ4zoqFH3T6EKC4MxCSkCYNGdTkn4cVChG/I2RVnGbPVWpCG+ Fq88SyU3EbabmT0dhvqH/Qy3z3Mxr1ZEZJHr7Ft4w5AFwDvcB2GZBY/K70F2F8kdaoHaqubl7FMv DcS5JDb+PL+vAAFThm1a8D6LisvgSu9IK+x4eNCDXxhhNFaUDPoPR0IfwNseAj9ku3pdD5bNuGyq 38kwIrvOLMWhBP3jJMr8R3PlKiDLp56noYYFsoCLWElY94FlcurLRKqoajvd07Vld/Np71l/Rw8P huzJYy+LXjd/TYjlBjLLBwOpLDFOSxLjBeZIN6jNdH2U/VG6i6B1Zb2YOTWg6vQK7pAbWaaZ1lWV Iao3j0P20pleZe8V8Q+Zg4fChmzrJRS8IhvzzY9L6O/Ub9bEpSNhRsiaevpmVb/Znt6/kd4VgIGD 37Jr8tuqgsXhX2Rl0uuJK6qo4BJO7CsevxcBywGIEY+LUU2f9RROt5swIp87psbjin3xdwM5aUpW SlZtjT25r1pAQkWt/XN1jTlBj2ZrlpXt7HnEDleexUVzW+dUIobYNX6LqELNlcvWVAwAj1Q2HK0/ XQg6PD1138OBfcy/ToEIbQZFV6xCzNLdJnNlgikyZ3+w9Zf4AcfdVplhhnmk8xthncyointW2Umm B/37rpWqvZLqP+AAAO4FI7uK5na9poTgYQNv361l14G9QGOWIJB6Cka/IaC8cNad1fXh3BaVG/tl TudIJ8EGhPEtbk9zjmWzDu7nm/lH4u3RrWXif361EOiSKBQxfSOVt0YRkuYgLg+P2wWrOSHBubA2 tO1+VrYxysbMt9ksDDnSI98XnZ+GH9M594URjogoWGfbFykRI9VYrkC3+a63MZYaK/bgVwcwnLBJ sIot4TCqlTcSVKo8Tz4xmjyQHuayFvvymPH12uAggvtG3rvGqiPX117VZtFLLPZJTDLQJ47FMK1A Hdu4JiQre0DxljAF3CQthaAmn2ReX3A6SnbR/Jr1fpp+OXcQdq6S8td+hGA29gH70ZlXskoxdIGn AH3XrSFFALXQ3bQ2pBjyS2Hvr1i6w/ZRo0ghubZT1R9+/Bi6MeC0unYhyf6/SR3vqXaXDjCM25aE vj9gnNRo6tc8rSk2ABn1z5TR/KF0x0/wU6WVGEnaKaAWWLngu6UalIOJbHmDXMaJyNG4RLpB4j9v M7jK3dLFG3UlpaOTWbuVsfln+wFg9zCIEsxDrJFkiO5UA4OEaT4YiTnyzyPMOyWRmecOVjkp47Bu dd+Z8bXlx37vxxuxn6z9zhAaTvWkWLuj0aqj8pZo24JZhXVLRcvDjWjMBoBImEPb+bp4EnFn0tWs +H80j1mfYNCFTaChnHmRhDFn76HsXK7Cth6ITZgqgK2/0c0NG3IwlkGrypJFlY5/tAETnJZJQnlS lTHsPeISQch//ZrGzMwln61dZvxvG1yZsd1Qgf6nmzGab1SRFX0OiUQQVBU0lHJ4y8IbF301eNS3 zl/4XOfZTBSpxDmtOIVzHCdBjZRUJAjShcGOADOxiqCMgln9F1ZGiAJv+DUiQ1Iy7Zh2IgCiomOX XSFgw0CutADd2c1g71hjVc1gx495W0aN3YTC4HwZj65oIHgJd0H6xti4GCB13inzQP1cUakoBrPu xn7su1auyS+e7yJjVyeB4bBuOpVQjeBPotq8PiglLJEqvw1yqiFMYLV3Fp6cBYdQfnYBHgC2KCgp X1+hYoDiGGK/JX5QbaEV/3LxW6OIAsuivFhc5pztYVCXxsjys/MLHjCiG1lOmJoVtXdnchh1Leqk 8RTLgnqrtlyHSUX3nLhVrdE5n/QOgKDuENol8/JMuwwczIaMbVtkiD3U9CWYNH0wUDofNsIChKBu C9YdqwpiAkgIjsf+lUTiNEBeCxHFFeLXdHqplhjHOSGDJklimtC8epjgkihEpC55/Qynq+y4fgci 48N7o+Bq7kvYrvbD8+2e6kcC2m9bAxo6SehY5NTBRnwF/wRVkiJeBU9Q55M2Rp5KFR+v/Cl+w+yY iCLIEKmuzQzjrLHR6GgdE/QtP2kOaHBaSagMaWAkd1S7HBPdHh4ZzrmKC9LNOQoLqRSZYWx2mLlY Mqa/XNyXo881Vj7q8+TmVG7ITC4If7R/2qCBrjbHQvU3GCfz0mrJSthxmCP/lvhTuk2xzIktC+rw cOatYVSOcW0E2WKl10yhduQXnafBeBXQYK/9vG4d9RdWoKdouoHahs0KyJssN+/eXUerp/IkM8Ag jQ4taCu36mDn6Vy6HPknOeXSd7umIpZqIR3YIuNctGdjtgN7DJoQXrJ5mnbd20a/+ka0JLagZO3F O4ZHZyKBxSkavqE2leMfkMiIlf2Jlv7x1sH/ePnkov+Zbj92By36PsJIENzizkDotw3wTIBABCiA qGfz0KmkFEC4gshaEUFYoRUWEnY2n21obQ+LFXn6SWLasdcC9adQ1fY/bChvX4+gZ3S3EKv6ldd5 fsth3eqTNdbr3ymZcsm63a3WEbGVi4XuQFN8Avn81IW1VtTfSSIw/bDvd7qAN6syJ/ueiP1Sv0zj jE93K1YB4N577eoEDhNjdxUMIO8x5+VGqjGZR9lUbMjqhTYPpp/wvBCVUBl5yP32V4RYyh6K75Fn H8yyD/usmWSYXYSqcmUIV/OEFHRx0vryEbIiR0/M7Lhs/sChFtH+wlBbrCfDHtHaZc8SykT5srgC GjCIJz9j5sX0WP8b8KezVnOyeCyEmGcW796l2vLdhm/vmelB7GRIhxFVeQtoiGNZubWWPjxEu63+ wgebf8yu6/RAdx7CrgSb9jRmqeZ+spbHmrfxceqfakWX7QLVyHZ/hhuRvbhZbkExXqZl2/kJt6FK 6K0Y5eYZkL9g4HC0UbDny8x3isQekaKynIODDjWRaX6vGEmrPZMlrFl7LpQN9EoWrHR2AlqAVxhl ExzScB/JcVcEATFGGetS2a3Fue1UtJ4eo4nlYiuEMINHNFOOEG60B1rdpuNfvIcTnZob0VPg7oQd KkOmFxEjj/z/aAM6a3yqE+m0IahaPha/hl914+bpr4BrHkTnJGTPsg0DtA2Iy044MPS0V5nc1vbR rHyNNYZNfClteha9EN7UoNfZNVhIhOwTMOtkv5kIcZHa9znnAoq3YrkNxOti3iis2o6ZmQrUTqjX VQ2e7qTzbH+Ll9q5imdHRLLmYbW+y4UczXu9kjQM/I/4NHMMCbKijr9yxNKN51YDI6SaTDDoJ4sj 8sukXaBcwT5oTvT35D3BoLmlzWgAyIVYvpaIU8NZ+aW1fzgXEoRLuhi2HmNBn1Iw+ksBE+zJGZlf MiCu4KcnwLQcqCBG94r5tIhyc9JTkKoPF12R5CHIQEubpYxOSfWzHyTe4MZAGYJJtaiuWX2trH0q rUsGoi9noCcNC799t1xYgNtfXTEhLc6U4cu+3KCWnDFmI0E2d0uqZNjLy3B/q/xCIPpg4W4VfKIK rr2n+am6QtTOk2OyCqBh9Xq2Hno2/3wAQxDVTfCAm3vgpmlf4THKTO3Cm6RYrM/9tpKbKhanUnB5 7K38ZhqDj/eQDadw5zdzX6LZBw6CFo9yZ/2Y5fctFZidLo3kPbu+cD7lORZfU1UGO0t6iDdcH4oM 4lH6FE9MkRKdhW4MO2Dy/ixSMCVm6SQCidyEs4qj1IBiQbXCq4DPdeV6L/rPeHDLgUqp4EX8rWHy O2LCsFMsbhQvEQHk75TLNcBUXbMW7BdYvzRnmRy4v0mL+2qzIrryr0YhqUtClUsJRe078skXThiW EDADp1OKIIxEUZmNKRZi4a5GIeFzr13NurzHm4g5lZPYHXZixKUVlvwEaF5J8iM7CJAjKsDKrlfB bW+COxbA4Kdgm9wDmESIo7Pzm81tGZTXY0tXEz+y2XKC+cvqjQE99jqr8NkaH1l6wMB+zhCX07xN lBY4k083BoSoqwSvHfazaSoHqt1qz/XUn5q0HgM6OUEHbq8FkMoTnEkaGhpv1UTb4/uu1f3BBuq1 6TQXwoDhiWgrZs4+30tSnO1CBIHDE9OOPt6CPYreEkUJGV/pI7Qlo7KAybZ1kbN9YHwXEMTV7BKP QE04rpI5POl9Ugt5ay+uYxZg7exgNoo4BrBzX5645tg2SSLtyorQvZfQijVrpO4jeRQKXd/i8sLM WMU6PB6i3WrmFnD/WB8+M7w8JVrKNWXaeu//Q50AaVsebQxxq5odOqblR1HmmD/xNHC4079Scda4 0O7bypnh/IFYEwoVqGPzmR0mqhCLbV9BVek0Ini7z21lT7TwcfNBLBGQIePYUYMIcUbfc7WzBMIE rSI81aPz+4nB5E5f4GhKNO5SxeK7haBKJp7SqKpjRvyq/R7UlLGnPVbnuvoJfG7wX6ZdpC/hoa5h knwxQdsRhT6xrd3gtUvWHVDsRQqGQdvJHbnjiIlCBx3+lDs+eLuxNEywJkJ2SQgMU9jBUs5NGEwb Bxlne/AWGIp/b103Jm3CIZWh1iaELMigrjONhYfjjGtLN4mBYdBF2+FQVvx2D6MqLBFbIqr2p1ey KiOv03+g0eRNgZ8qny0dpbHOBxwLED2Cfh6BfaBTkYoz7wWJKvA4pk3FAytP6RsgClJqxBjxCx2e kyY19PhBp7UzTlLaun550seQVUmn0eClS7/EN+tnQGU5Xg26jVTzTbdOi4MLYFOn1OlLXdFzkf5D qJpOWRzx9MUBsAxU5NsJVtvCMLj1NqXOYsXEwCSFCurKGifEB93boEyDnhgq/HXD59XVpTq9lmP2 BlanvAYhBM2SJm58XXQ49pmARtmmVSZyJ6z/CVdMhgQQp/fRojnDGPDSRsTx+K25TlNbQ2p1cH0U 4W0zgyllNvDYRRFBLwFQMoyK2y1L2aZHDUOZtfYmTElNsLyAKrxYwTStJ2WHnwhrJAvGW403W4E2 iIJdHX44YNJpngq26liRci+piIKG/fELjbO/B8+89N7SysF7wA5vXm2vt+L0RCbhaVxe4+Az+EY5 18NoGdFWGugbF3z7cv+/tiB/lEVVy8bx61wod0jgG8k0l5XbR49Poo66DDZ1FVfERMCbScoTUyq9 5DpU6KWPiU8qYsup37wlcuGlR6qQ3xvaunemgj5wAe8zPUEg1PeMej4rtF8IaonVx4nC8mm2Kh0D pdIWVXVGvbRa8UQRJ3lPTDCSm0WiwCjoxGzCr3N8yeyCHhavJWE+kUmSxLnEh+z9wNmdFg9TF4Kz 4mL0T6BFI3IGy+4svPNE79cicwMjDfJ3otj1RabFKiWxXEiQGTqA7JTh5mTNofFcSjhsS9oRY+Lg 4M30IZGFiusoJhKdy5b1S1PdmPY27u219afVL4lH4BvMtlw8A+uiqBqHDxi+a7/5EaNu+/TZkXgK 6s2s0Cmaunu03zLPCetI53LOm0uFW1OKu2QYhzdAHaX31UZv/nWfscVRgXZjXtu40Q7RoZ97dZoe 2LTQqul+01UE3BT/m9j0st6Pzsl8AWd3wnHY+PVs+a1CcJmXomybK2NL/A3iLNIVQe9LqyJySAdB O7cblX4TnMyw1Fu2f3SIlOprH4A3Y8saO/OpRpcMc655NS7JPuIs+zF1Zho0Mwm6hEwtpQzOoLNa AOTnPq3fcr7SR8smBxfheKqeyQgolLQY+rSsZJrD0DSK2OrXuUA8jtV0S94cnfHovjMC2jBFrmtL up/18H3+bwx6dDGIdOyIwXGntAuK/Oc5PR0hlQnEs3aTHpLaoGu4q/2vZU+Gi0OjXncc4Ug797+W sPoWOOFzGhPp185BKl3bO2jpdo9BmDYg/DWMhshvrtZXI+Z6vgWu8BvNxdzB1vBtVR1speoR51S2 15907PF7gMNCSJjralIUiU8qkA0S0eQ3twdglcXEZerAhgfkOaX5uAfbftKzzMUkAaR35Y96hLFS emj3EfyKZUQK2s6U+b5sPbTGXu47dgolW4hyAsnSm/LCMJomfv80zWzKYtq64+tX/ZyEv/QyawQQ O1DJLmthCUkqILMgVG3JPrXzc8Siz87ATgbP3Lchmxafj0LsGPPXSyV4gK11f1oZhCer2N0ci6xy fW5jUh4t1+HXxW8rtPlWmenxCIQEaDm7iBkj2/k64aFTCe51GqwkH1gJvMjsU8yiwSeeOn+r4KWD ijy4FM+xr9da9+pg0Us5wlC2thr5IXuK3UrxAeha7jvEHbe8y2OPBLC8rUNxOwON4L189K0zxeEg 0QWdxRHue/mlAMsLyRmz5wHV03KlLlWQuc2evPRby7H1BKgCWEsjJcmmTGqt0bzWPtCk1sQAR9dO R8I1Tcongw+nbJSlLEwPSTR8tzd0cctoBlfvSv8ZRVJyB5VfQts7YSQt9wZtINnK/mCrrFJbCkJY M+jTqUYVpi+uSEDNOs6RPBYu/4I7vT6IsFqcmvF9+ZYmxUYT+Tmf2gYzmV9BMf0/OfN8wZXzMkke NydgL9XXIkLIRtVeQPwIRI47c+A833JGFma4MboGio0HRFJmXdSlTaKmeIUjUZbW3b+wrgRYwwrq DNyCwHZKePN2nkd8bCLxwccCaw7bIcLwE4xqLLc/Qw9t0RTu9Y2N3O1QbDFsVakO2RBLwBv+emRO iN4i9EpnNK9UdcP5B1d/Expudsx1jjhRF1dyYjMx8e4C4o9gDe6HGY8TbZAlJ/rPuh0uPkuxQIhP mUpxq/CKyUi6XoT4lxb2pCHgyM1jXZclfqk7qRBp0zMaW0neGi2rqxKRVXf1ttGSbloDHpuDDEtn NHECh+vbqnfbNq7pKCMrm4C1Q4E32DOj2bk7dddzpDOOi2KvymYoUDThLFme7TCHSxhls6qlOlrV +KRA8hduM2VF2OFsgzZLz990+oM7CQ5Zz8ED7KY8TDJzEsyma6b+37fu3ZiFNcWzrvzKmUqrOkvI FRzSed5DmZPUqow+BcUrIVcU+0hgO/JOBxqSN0j7n8vQAXPTyJmKBpvDnzYmmxnhOQXBY1vFPpXw UQ2z5L78Eo0blADOglE9mPbQiXTNh1Ba+1SKH59DhDFYOPE/JMwU6O7vE2pdQY4+NqpW5CyhmG/0 4okFu5gjQvORH4jU3IC7xow2k80iNI0ID11GOihk+8uUVKc4C2KcKEQ1wdVBRUibIcCKSXmTKbyL aNqLqzF2J0lWRJS7bmUbFYiodsGWdPFcs61BwesALrJ0OOobwXx/tEwNtERRfBXOkTaYkK5kci3u Y5J1Hsl+x9F7Q1kiwT3UOPOcUg/Dm8LW2It+Mw9lBsAtq4T+W3qwBiRevz31qITE3++nmgvtPHB+ axAdMei8u78rJsaEE63ilsGDLE6/RH02uEZUlOLoGeDi9UrLKLBfX19TXJNzOzCs6euKC8RlBxRc wxHhiDzxUWXIdbrCe3Bv3y/RvmRBWhdcCvIhdyOU8y9uOHfBrRzBbFPHCkw1LvevzISfGzx5W/Xh c+arfXBXnPxkdmHF6/v7sp/rCctYzDAhfEBQ9NBen2CZPXGNIofG+P+TpH3EomOKGJjtO6CfUeYX 59Mb3B4xhsdJfmVXFX2ibtM5TQgSjpfSNVumWZ8+sKDep2fLSrTJGn3UaXbDRpIcsqucH/G70GQz 8Sxjy4XlaOlmeKQIlSDV5CjQsOVcI64sdrGKUV43EPbTcJZVSAaeLpWCWzFh2TTNq3xNpryxRnJF 2mnFeQweyYeVLlUf7BkjbEEGBH6KgnU51ae/bJkxES2llVpYZol/7aWgD7giw7H/4yTkiMyIQ7J7 bMCMR+HmbVbW7MxkHpbJuDcsj2Qvb99qBfDnyGcl73FAUYkxZb2FduZUboSA4+9B/LJbe0A0UWzZ Qc9hvIFAm4q7M6G/ITGFJI7MsEh0YVzx3g22MtDUVEvDRQmQm6W8UfrbKSBaW32iBu83cR3N2B0o uau04x61wxGleGGnTqAvcYZ40oW89W21Jm6YX+YS3rh7K0jftU0rh5J/qtXZaBnyOJhtrx7dsqRZ TTgSbbzwi5SuDBUwjk+6zpJhyo05knfdbiCo498acxV9Dpj89S1TmMwkcSFmOBvl1BpT1n5Q9c09 sgA5JTz5KWreg45He+GEjKJlmzMuVeEUq7Qnw6ucwWYYQNrFjYDg6S4khTqT1qNmGQSkOWi2/TBO nBgSskL1gXhRaq1Z4ybtGH+l98c2/YKZ+CxeMo1kuJGvxl22YRlONDtU2h2NFSe8hwaYzGn1sVP2 06xfAdHyKR9McXj1w/Njrn954bVKGulHdrQfZ7znyDWD2FWabDrLgu/UHbscACNiG5R86B5VdYqe HvwXqyEmQtVEY29GtaX/9jhB5MDU9Vg7iz5jhJvNsny1jqbNuR6rmnPZWMBJVSuNMTlRC+k729CP RDC+PxskE+pUMamkmyz82hdC5h/cvxpT1uga/qKl1+pTRI73HdKrd2Enk/40VJKDTtGgXR43BN9e aARdFpwmk4FdigA7pOvNMfxUjSwzKDd/RJvmn+LY38Co5Tbx9Ks8KPDZV8+dw50qfz9lgpilqZWQ IzcxkIkq6FmIAFco8vXwyz4WuEBYAmIR+WCxNzssLLmm26ME9Uwd083v4HZm2wz/vnK9aNoJLQKH Arm13TJGEhWKG6ORp17391W97XqrnLtJ9BTs5HCEEgSoZEZmrmpb6cL/4R3uyjws1ACAwg07+Vbc AiTbaGqH14xECLLiaKZpMksSdAqqMM1RYeeDzrm9OTaEo0yO4Q0J6eIv5XKUJBy2GsHKCb0DKQia l2cP3dV60sO6Y1lnxfp9ra0pPH0U512pqVrJEtcxn+v7YVtnrOqu+lbf5pjsux+I8ZdXY90NRPzV MItTGhmlJX8PLNm11pzjXc+OUeE0CSD/aLbUPBpDKBrSzALk5Cx8dw/aQC0Ir+WxTKcB6yuMBTOz sXhLSfQVsWsRNNsQlUvN1a/qdcVZ3ZJthjwtybEORNx71NAGUXuegy2wmSmMKYPYKK7rJ1GyheKD BZcZY2iTS6wvGGfNlwfGlPy+x/p4Uk0WLD4mNDZVUQrGWxAdh2kyt0ns2ZP2HEDjt3loiI4p8Niw 5iS7xGaCqWKoPpHVcVuM2HJBWYfXmFzDJEziGqMPTRn48wpEnXSKA2iFgPgKuMG93MKYoVA1yr/a 7fjTK3DMNew9vO7cXwKcAUaXGOpF82kYWC/MXXpvBLvOxIM3HNDXt3nxcNC6BVfG7dbCAjsWjaNW Ao8dhxJ3KfUieaTpaLMy6US5PSbdM5XV/CrPtbnfhGayln6LdCZxDOsDhcK81wR1rsM1D2PMaujE 4DgV4b5ynG2C6xOO9ddcMaKwbpOdSF38/UGb5ZC1NJx61QU9NsOHMym3+Vohyt2DaDEs0szYtfyx f4Gg/RmBE5AhMR8UbNziVoyLI16OS7uZPWAvUxCEUrvgmaghOrDTZaopsrBSrTOWdGRnLdxExvOo LGqq8+4Y1y5uVXu7OFKAYWCRwcYHfiyXDZ0C1MDt5hsAUfxeocoaVMiwC2Lvg+KCgjwHlg/6vxlG j8RUDKW2Z5YspASHDsTxf9gQAtXa4951osQy0cxl3j2al52EbUC97oEZIFw97K/CybmOR/speD7b X9WbRtWJm89k5WoUJsspih41BUPpEhwqPlzR5kgAYbHyw3AdQTcOlOQn9F9dkPzRInc3h18h54vA 0DrvvrDPyGf4azaJRmPlYEO+6phFWjxz3cXTTrOZpiVkso02n/XbSLqQymU2ZZUKhEN7TYq44/uE d9X1YD3i/Q/Zb50wTGygowur4symvsg21kjadv8JPqgTLKbwHg+ZrlyLoKVWImOjTZSuNDHSlAkJ Voa+CkG3FxKy/MosEbwI4/EF2YDdO1XANXb6qGgy1gRMY1eJ6HBQTrp6IzpM1PVt/9xqu20Sxuy4 OVJu5QOtM9fu6E1nd1CqTLgPFnOEsM9I7NTX2/tDoQ1wlmzDwHYcgn0Oj0aa+EFMKJyzsDfJHak4 zRO5zTJaLUA99d7w+v9NVk1lIAn0vf2qzdmWSQxs8KTUlCmgjJuolQcVxBuGVkeledBG5TeeJLde 0LWpOFw9LzhhZ3udtNj8k4kpKl/JHD+HKNslAmHGbtTxtXLhIvOvKiq+hiDCa7YC2gRZ1aGNa7kM LrHIpZR81K9Biq/7P+Xzd5VwfTa7c99lSGvnE3enqt6b12JYOwlvXcGtKpQEE25g9k0cphi9ACkc bePffajs00ZUevk03ypc/UAaeZ6Kwapu8A4zFAnRbtwY/02iEHbqfAQvWuP8fjnFrts+X6l8WRIZ 9Q91vkZz3vPNbbiBDqxBnDpWCTYZ+mSHI/3ikDH3A0ZIsCE07H28k/ld/+dMcQJJm3dkcHikSFzt QtMWcS+kaErB4CrItLriBMjMATDHex+TUFapQG9qfysGxlPh5uA7H35iMRgZwK8zdYVurOLCbCZG VC1BAH88iSq01+vxejLqQY190sdT9c92B10O3jy8MXogAt8FQfx1JCtLjOstpC2sQqUE06ocfwel SGf7Zpkec6t/p+pwSRUM/nvYiYHjsvJOBtqfh4zRzSL/MmQJOOWOamPqCdJZEaFds91iaWwTiLjq 2Z1VSYlo/IxtDRTsmIstRNoFlUFNwANDPzsh1vXhNnnVO7oEiF5Bga9n78jqRWpTF6Orr82rGSfD QZb9bVz1tQIloZFzdD680KC0MnZnuAzVjP3CyD0K/LR0v84TYDR9MmdmgSS/vz7OZ1L9LWouRRds sxh/JoY/oAPgFw1P7ErUjLYhWAAbyj9NE7xFSEJ7GY8fQO8FWA0AkSWzROho5R/68mrAUHXn9kNQ SpmHOPdyXVplSgf5yGJS9+tCnDKoeGHvPLBGkHvP4st/vtXh1uDFSoMuzGebSyGeJq10O5Avcp4v +/8ruWuiOf570roDI8sg1vjpr3/6iHcP4UBvz94UpdvtvxFJ6czBcNwIR/W3Aw+UbNkhpsvnfuHD mCIurNsOcv7ka8z6X/3ktuPUyv0xG7IrHWqG6MrcdJwnh401xIfY1Rxj1Q7yINVsweqr5QEdc5ks tyDQDLnDK+01x9I2NaN0Bh2AHdnKGFMzF6NkGgA5RW7DcvTLcWiP5LrIU6eXD/QlZ+Zbp4MFKi0x 3S/sFZSVPRvqDabbTTFUDTngIUJ4TH1yNyjPBKSECQeCwJejECrUays23CEaf5NYGICKUBQG5r2S WVO7QDlCHl11jygcKFiL6G+LGKWHY7rUYu20f8Ld1lUTuBik0nv7Wk1JpxNxzAunKVLSPiCCblNK M+xmgnwDSry7kF4hMKSjS6CnaSiUh8c6vbWVa5dpWmCKk69pXX1KvBfvRWgleGVKQWNI1Q2iXf5r ayuN5m4i/vfltfyQpMwlKaHVqfmj6bi+Su7LAzXdEqutHfwntPSl+7Z70vG4Jjgjvxpv+qI5k9RH 8QVOKLKk9zfWUXrAKJJkV3mFNSZswppSTTE77b5514HellB9CUc6TdBRGeJYW1P1fOZ/g3rZRU0Y oxsKPU0Vf9gWdaUgtnDX3QY20VsSoD6UUG2y+4Q472Iyxl0eyt5k49EoftM0rHnGwxAJM2x37Izq u9zBNTctwWENUv1IIzdkHYHERcKSkmdonE0qfMnAzTUwcuvJm45BQR1CDEXaaGn8A6xb2gSO9Irg JJ86YMd2NujqkQmTJtHy9+KRZZ4bEhVltrd0wWmR36xt8Nae4mlk5jy/c+RpMT5gnCgkNhOahzRn 6ENDbJBh5zg4dWxFUvzYx2J5yR0cP+cb13xg3iFWRTmiMvHO5dR8wGdXqh7mx/igZ65GMsNau/5u MQi2j+NB0L9fptyeJ0jCThhIF0FOFw21Fio0tlVh1nQsM6n+wWlVsyMvJi6nIAo8+B6uhSCoUyCI odZQ4gHXeRppFmXbTkFonaSMdbUgDfu3KwYTlAGWP1F7ghtsZSFZ88H2aWqfXvChh8IUkXSlD+/G okFGEoU6jpLeCuuWlx6xjtNTj4kBDebRE7t2KXqBdaot8bwR65IfJwwOB2hTnE7QdT3fpQiLdRpo 9OIQNN7MCj6rkPUzbN8c5UJOpaURVL2m+ihGjmUxSZeknZPMndItCoIAk7xfRbTlHz7AHnjs4kbT bhnlhx+q6Jc7Hz96cW8/ki5yN1SQOUn/Bmh/VRnCjYYjUqSgHUHo01mYuZhcsidpRmbQzyOdoapI laOQNTrUy+N5JtDv3mv27vWsb7RYb3RSLVUcjNgTksFXQjqHAhAo/4JUng6Q8QHwMWfKtQy1Nl6W GR8d7Oy4Aqi440BhUnnudu+JNHU/C5+uNWipm2Z6Q8vkXegRqfI2bgUXDYvUJvcR0yB//dp3CgCl aVjAKDyJrL1ets929dHyWh/gz5dwOMAN3FAcCjbEpY1kQfEA/qx7R6Zf2VDLb+xUT2cdFWpHNOdX qECOuCHfVEVus9+nugQKclA6uXxpchikN1lcw+bXFf+Wz7/+WU/uJWkDnZyGrztcCrSk8sGA1/Mo +HyDJ7Z2qLy2cq5nMETXZK9486Rw9iQwPOO04qku2G2doMGTvrP9NcRFWmG8+rkojzgKBBdsUQOQ quySfEa8LYxZW84zojKXiodrEybIq7ovf+Pl3pVg6MSpRVbpW2kzm1RZVLGvyxWRhIPkgqwsVV/f 3sfKp//YD5Fm3a7nj68AhHIqocmbETsx9WHXUq2feIICjzri0ux0epvyhik/+YNVmutFzuiRX1dK 2y/Wx7gUHGTPDx8f75bkshvpWYnRtBGRXi+6mtSH0hHGul+aD8Few5FRlkhEIosq46wCCXUBN1v7 Nz0NonPdGYEQyGGLSPinUij/RIG1IruwwFYg6wHZf/+ugu2Qgy3Gi/NTt9t98p0PAWngJfoB/lXF XkwXIWQ/A+66pMUDXcY/2Ds6X8qgBPml+cpLQL5MCloagBNgbzsDvfq9F/nWF+91uS+3uAa6arU+ U93QvdiFzn7FcDXGTN311felDGOVNc6C1ByhQeKB26w7OPN+/kq/YURmjnhYsapNSVwKn78oOLXJ 7laA5DWTw62lwz4gOodAZhSHcqPjVludZkrkxXTLUe0aR6aEgg8iTYgzS9/v9+3bjwuROuyALQ6i 2g2uXiDp9igNV0xfPwslEkmWD2ECCBtJ2ZvWjocvFd++bQdJLcbB4JWqrxF8DeYzR33iN3kCjstp VeCLf9I6XVWTt8CWpuMkG5kUkP8yOF+b5ThrwOVniOcZQYXHGRsS5UfvDbZbxuX1+Oo03Is0TdA2 D//ITWMzL5oOQKhzKcD91lBsfqOqBvohtnImdVh2oDHe7JL2mxQ+lp5DgQ2WR3Lz6enJsAZRaVTT 3Q/yvhEVPnMUtlVWiFsL4fNx4/eqtCLI6Ch7WECp4VHr+x2jLpzCGdbectEbIpVDWyzasyLNS71A aqsGqDDRIRJNqU5tlrxsOxT2Del0b/ULNTiZcofAbg0vhpJhEK/OLE4nGaE5oGQ/nsf/VXrCIiGt oBUfKpTMZO+xDN7q7KqcBJLjUgckqG0yDOlDUxIL+eMrpvWstFXTVb8IES1SRVh7nbQFZ0VRU/4A kls9YGb23wpzgCuHn+F6PZVrtp3Iu53pbdIccuWdKW1GcZSUxcAuGsZDMJCWjmOE1k5KpHZHCb+L jQ6RaTSpCwwgouKHA5VWX2mQ3yz8Xd9o5uf+Y/vTg71Zri8vnCANhHa7d4ONlJgCgHcK+1AmSFmO u3rKSyE1z1hdPO6+Pd1NuvEQ5PPoQ8enkeoCiwepDM6v5e9CAePGUU2MKnzvTXz/i2d0panfv7G8 g3TKxTDDeAC7litchW8zedQ+BJvPIdm8/iEKuW98QFF0Gl2UgsqGJ01Pnif+GnoTLfRxIFWRDx5A BLXC86UgNQKkxMNyuiUHKv/o9q9rl1/QAOfOiVzjbl/ZWL+cqOxvdtDLL+NRmpfeYLufKwoDiRPy g7btZKoR4NRjvNAZ4HLL8sPqub7wq+Qw1RgqdsPxlNCMORm+ilmZsLXxL/gIFzzs5PEOL8yABE4l XP79Ti4hPBf/NrPoMHCHG44/L2gDV+8HbjjkEfrnhABctqt9A7fYlMzfia2BITQXbrAMTxFeQF+D GOkiewhRlbJVtz9ROo7Z5KTDkhWt7lF0xH4aokK1kyg4fxOFkvIGIfTW6D426ZbRew2zjGHsEghl kY7M4scrxWky2UBhDxOo7OzYguGdaUyllzuXsYaJhDFFgSxU+7GXiHR7B1q4z+UxryJzmqDij9+Q 26XuJdrqmoPCtQPaMYw+gaBI4V3I2VdF8vs4xPy/ZutV92BZFmVLl3o1ZbbxvRgPyrjfD+uz3Uh4 gO+VkXi6Z49tetQ+rpKj8R/06LY8GEahrdqtdK+N2ow08fPfVfNHVxUiPvSO+0XUDSPXuVWdypsz F3QmhWTsgCTexFR1ZaRmR819esMMPCu5In3dPIBpD+1AzqwpqyWgol/X1EwnVvny+lc/D2ba5lTP flbAVeEIceKQjkgipgwu/WusVvFybxq8F8wZO4n+XfHYS7vPT7jPWuijuv8IobpJ+PvVFS8y9GoG gX3GztcIUPsX3IOHY9xMtnYTS0oKkT6B9N2/gb+WfLXGVBLcommZ0fBHhMcqB+euAmfSm0rh+I8A qZutnqGOuncKuq+vytBjeslKRioGbKcoxvAohe4mgSUFOf2RTmmKfnaLLDva3rB0hRRF2hc77jM4 w0mNC0d/r9NGVKRwQeM0muzM6sz3ZvO2KR0NFhujAlslgmwGDFUKILHGRLlQW3bcVOy5E3TaqOPl ELu7V5pJqaSFh6DnFzmZ492Lyb/AjV3+jGDJZAoeKCGKeFlIUS5JB51jHjD5em6i9NFT7rl1EVvf lGoZ/Xt32lY/P4ybRqrzVxhkUA/eWw3hjECfmiYrO2SlPqDTD1q69XDBkU549Dw7BoEkFnKplVDX ymlp3zNAThCjrXuxCRkcO0hg8PE6pNrnLEaSvC2MEUSDRj4w2x96EAB04reXlDR7MVj6AdEl6b40 /7J81lEclALNdZEgrnY48Eo2Ku4jzU9DNKrDn2xARbOCM3ixNg+0twVhZj/OUoWti5QyaqrXwqMe YhUB26AI14aogdnps/Cn/Vi4T3dqYP/AdHctTcULJPolCMtB03hm57Mq5SVsKKxgFL/9rR8yeFPe ttt2Eu9SxFOI7fQZnls9padMSOPl8ZeHhlOrk1eGlEnPpwtkKEZfH0JBThEulTi6Cd6JOwNRoGpG vowXdrmmvG3Hdr7X6MHGy3JX/dz4zNR6LtDCZH7LAJwueH4BUTuzxvH2f6KHU9R2qwRRH/7I4fY8 WGfjbIqTereLaXVzb0QUTaDm+b7YeqMmt30lr9xCvUBy8OA9S8bH1E1jYltEGxetXfsrrjpRitzb Q1UOgJPu4xh6VJtTHeTPN5uD4qV6bmnBct9Hvk63wVRMYRFSgz+/k3vT/VF0uB6T63HxvpEA9V2m 6N2aPLsFfIAWr5GsVJFcvFcRw2SzmPl2TPd/zE74VHzpJf8ibNoqlk6S3gD0vQqCqHuRs3kHAbAk x1g6mWZgn5eDWbSknxequuymXa7h/pyfNxsaZhuDfIMnCO6+CTgw4HRr2TogRuuGMUEtLUFHRKVc Oy6+7OXLZEPvKyVCBAUvBRnVSWfPix3HS0LY9rRUhUAMr1Nsmv5C5tRzNqv9V1PUVharFgCsNyvI Ytkpm+dbGr5F+cSbVtge4nk/85z7vDG0l9hznPVbaGji9/qYCAr9ef8qsPoEmP0s1yJFyCCZ0J4a KKlpHZIGgPoiaVzzaRYlpQF85NHbZZZ7PLgSWmb6CGIF8YIimkBvwSMlh8HyEcbsUZDl9V/0ae4W dIVn8ZXiaXl8UBp9dO2j4d1h4zQbj1iWQi0sbMUzcN7iEp6xk3ru3huyoe0Eh384rf0NSbAflLGh kifD3fxgbv70DRMHceYZLLQqdzh8x5lqcn918JU7YJPHqDGJakIwCO+eiDKzakSn2sza8mNhYOxF AGeNfJlMKryY4flewVoWNhswtlWs0yZ503qvNgHpju5iu1qabV52NHFz3QoBF7cuDuiVUkCzNxpL S4xoG1OcLCEn8daE7tDioYwzVWZeyLHHQ0o82w4lNXeGiK5CHkdMl1p+PAdEDc0M4hyat5Ih7r4O SEwQCzvW7Op/K00BIW1eLx/9D7ZrMJEth7ApC9jXWTyBFGc47XnrmkNldYnr3TV/9ml3CT/hf1DD frEW4H0SLHejf7OUGptDp4HtAZdsspD+4TJSkC1rF5Q498hRC6hnCcwUszGJOvbJkZUCN6eno7cj FzCoa3RTE07BN/8xetG/BTHtm0bg8n56JnlBZ/eusy9Ix+Z62e9IMJMZIUBkGYauNLn06EF8ha0X 1NYJU5vC1rWPDjIFkMjVtYqRJNol8TeSr3l1wy/PagL7hnJ1eUAZf5Hd6vRSAvYppr1mWRD8TUVH wUOC3ZyyOFULPzF/Kv1nRuhvX2JX/8aMwZuW7qTcAAjigPlFKTCJJPAwl3czB0vjLyjP4P2+Fbt4 vr97OodfApAG9Xsrq/vS1WnrTxg6NLrEZQlZOUMiGZhpB1WhGONorTp0ppKAvc6fOjWhY6uypGLA WGK9GA4+HJfsnUeoGjz+SzhG0JRrZbDKSKNYr9xMmN94ytSZvux9BvEBPw+M4LSQxZtU7T3/s/8C KzgGXnZ2KZf94weL0NwCMMyKn/QP/uTsp33FMDCLG6QNtmSLXwEmra7C2KdjNHNd1oMUU7PU15dh 0yDlgfk63Oi2VxXCAAGKs7GWzd/lnsIo2b9WKBQzQDQHgnN+YoULTCoBTzvk2c72hbELauQU3ok+ a4uHl/InYXgxQU5rZ9AeV3y6rCrAH1hntTXxR3x6Gx+XOwx0yRcI1rgEDlJsRMcpt7G768+q70H3 HJfD994mhJhBpoAvbmOZxcXLzutdG0jfqMtI2Eg5YLi6ExtOlr/4LcvPFBdEJyCl/EjdlhpwGmgz MZYwsgdsmV5G5pnNHWCuZ6/upidcJqxZlyhceEBEjdi0jX5Ko142o6bNOI2a0+iBNSQ/ZUSYT0M5 gtuMIgzqBdZO/SHSX/uYfYUnZbTxFjmJQH+MF/H1zvQ61WZmuMIhz+k7HkN7z9ArNfYqc7DfVTYP i1BTIQZr5KOHO663xMc3PL2UpgiOJ7t+G9YcEU4lLIOWo9vEhNy+jtGB4wWz2zmUHq18jbVGJ1ta rd3lMENqqw+oWPZMpssN1beE5JCBzE73tV4xnqtBw3SK25k1wFXNHV/mTSrVKxRpwfLEkR/DHliw VeOq1zGfo0VDr6QD+0+YmOl2JMWs4vBVsVMIdJzQab12QK655lzcetqZgopm96L8RohwjIGgKqLx zHu3HEW8BbVa0hYDjwxLH4+Gkyai/aMrVGdSZdxd5NT0RCK7NwymzPNcMfWac3OMfHBUFhUKSCJL b1lkh6EX5+HvjKuplFSrLfRENIyVHWoPnf9K/V1a1iifUjexnwnz7xSerhknSI9S0WbhVWJJwSQP ++17E7xbc3v/YQrXKkSdf2MJpV93+/PsO6S6bJPB1EjYY3hOuvcmWaaUG5MZqzbDj7FUQH2GhcRI xDNRYrNvpDD7ykGRP+NGlAXDsbcK891Cnnv0VqAi0C5DFERLM+e0TTZjI2JExe8/W2bGqHRNAVju tlaxLYTySngDj8mK2fUPUGfeCqmaswAlVRMSNm6BXXvWj6rr5/Iu7ObCn7W/+isDfJo+gE4gvMI3 R1ISFO6bbfx+djKSODTFH1aZEevk05eSrZctQtt/SG7U7Ao6qUjDdZH+pLV21YldiDZGWFvHDtYn giFbC3Zah2EYfQxdpjzSas4c0Hllk8B8380BvsW2mKYrvIiOaffZivKdvjM/2EAT72F+epBdXMND L44lfhU1Yk5ezhCAdoo7L2sZbgXPmVJXAxxZ51To6jzt69Jlevyp2aR3c/WqOMF3TCwUGkZ3DnPe g+NHJoMOiTmIjXXrtWgYURXzfBjSOK1AoI9ja1dxmY6EOAz/2zblqvbUur3xPjy0HmzYVUL4U0gH esJS9+pKuSnpBLi73X3Ansl9vpX+soWzmJ4LRjVlKunSkt3W+kri0Wc5yHjPmMqwE7SpNm4l0ZUO CQCDz+vqhEqsaMP10/Hd38WaLEy//55qKTdcovZO5hP4m+PI2qXkECQ/XdFCQXe/dZCeU5r16mDF rAE3KNe03kPu1vsEPH2vQwQAl4GV0DI/GfGapsmr19haEpveoJUwW490kWV89qTgF4gPH8V3Beqq jYb2cEnr6AH/kqA0TjP3H43OrvrAomnu5IkBasCJ51j7i+7xuMkznIysXahZJdesRBRg4TIpwih7 7Z/uV8QUM7cn1S6gr5mWS6607yF56jhofzg8/zJbjXwWFFlY91aFNXrRqQFp8wNyz2WyfZV9ZqKb xjtv3I5ySuu/Basjue9s5m2DHlG2PCTuwqI5EZ5zJIq7xoYkrvIh9VB5IFo6IP5xZIVy561QUSSe gkf3C9uY5/Q8VT61ZRsUOfNc8/LXkSo2qD8yIerE1DS4Ay4/UpAbNqnmgzsxKfo01V9nnIWOUKXY uUxSjqyRKEdbNqn58Uci4UUiCm6aJ/XUYcV6RtGueLrN0ajTXnizVWKk1vJOKNR1HeiAc4+513KJ 57Nd7dawMPWuRiPpP/g4IVgdqd7JN6nvIpCpkF96uI75yo+GEJziUunILosGndAIchCgcRIpqqpc gpPBFHrro9UXdmsIzSEIsS5fx6k0qszRn7Hdmh5afoksPneNWHcdG9uL3HTTE4fZYfLZ0sQutAXc 7e1tMapL29mIboFjSajskiDtKMmCrHv2lZE6Dhxe8CTu2Xx8HtpsDn/jL+hYvGHXgY9khOv0YeGr R9TgeZrKxHy5YFSPVrEJIHmYkhpsQc1IHzdgiDkH4JqubUURP5xlVaN3pzxr8k/HUfsp2F7MAIW2 BP6mil0d292GByO/l5wwieqPiJyPTGpf/7IV/1qJzUTba26uDvOXtZnT56UEXhn00xdbJnsWhuTf t9a8dF0hCzsRwhZmOKN12kdc7fbUOnYXgGoAnyTXHyuor7vfWh6fNb8G2AunbUi/0UKw+FZ4emNm cHY5uTDO6sBMduJFYfgozfseEeVTCj6hFDQzxNjEWfi56kOjSRfXXmnleX1OTDTSAMLkRxNpEB0v 7O7gP4Gs0iMuaBVm+zt4wT7mgbHc9f2efnIQkKrmuxvaVd3w4/GPHGh9lbNimJXIcXEv7ymOF3Vp Ckw1JTIsIXPepT5EjT//gEQq7+cNFd9V/G447Zmr2RqpeM7dPGKlZpThlTMBYQUO+SbQW7Xjpg9F 7qoNO6oORszyOOPGuwfQdQ90jpOJchMZOWcIRA1feetvtHEPJyI+BqGAmWdO4TYqU3KrhcMEYXNZ 3GMhcbRjtGb7QUquPGJp69pESf7NPaK+O9fw37KzrROVMYkQzXrf46m3VZYVNb4kuAHls6DQ6U6/ sOe+bBbxXNelr1XNMC8imokDkwL5eXENuANIlZhO4UHkZN4e6jNXIysi8ROeGQkb2JT60N9TmAm+ 0F+Sq8zj0VeVTszsLupglIeedaWqO42Ttry8Lsiy4WiFehICHECfirWCmguQwumD35UN8/Lx+HCj zszXpeHM9XFuaMn658VbYeSrY6x2Je+MrB+XW1Sc+p24T5zz18vhxNDXogZ9rOMIuCFpWf3w4RzL vG+eytBHsfG8uAwKkUZczhspvsrfoPRLfMUB0V//BMD8tiCb0pHi07AYpbwxIMQfTpj1FvmN1S0v AjuhfOVg6UyS3KieHALTjEAKuR4AdgLBO6ImRtEcnTKKryADcY8Kbp1Vrls55Q9w3liLj8RA+kAc f7SPdl5OAVsB5NN+FbPjabXpKtJFn/7OBYuJ9nSwEe49COYfZC0AWBJsj3/MIk++r9CsvY9vrVOr aaiAqGlqPLh71wwoVD4VFtN8kiDF7DyjLxM0N1ezm9HTlp6FR5NQ4tHyrdYK0iJ/8zVTxvLIh9fA KwPijPrjAvzK4wh7SykanvQgWiZDlesG9xAT5KJA+w3RttAOFV6KOf0idh07j03xjnUCTHlVDMNH gYC7EzsGTnrfQg1S45UxmNvQ+4tlx68wAWOq2fOFoiBYh6gv4Syq4rV16teGmMwUMOkIqiS7qcrH 8ijy00X+FSwrSXxgqk5jFmf8a4b3y4yTsNls/LXij/Sr+FH9qD265KCHDpoyjEj+LoSiC8eQ0Sj6 PGjScxp9YJVilca+qeJIcuS90vA/6ld02M8qKUU4m3rFQqmWo5NHq9fB9h/Q0AKwWJZ11V3VComF HmE7tSLOJHZp89H3WYtnHkwtSofJ3iAey/32e6G7ZEPSpRtAurgQaQqacGtj5Q/52/Zy/zbbqUzU wFb453rgQZNaUY2Leu6WOJBGslY3MZ7+FnGo+F2l3vX/HXxwfQEDQO9yIVcLm+LQTQcfvBEOfPLt lgXX82HmaI+6011AFjIggcwqi1cDEAjulFY0TJD6IOojgvJKh/6rpcX3g97iD31P2WF60wt3aGg/ SAP4ezpqw8oAb3VAndvnRQbndHvQSJ38q1xUxLgB7cHbqN3+YL7PFwW7oorhpo7ZNoAI5gp+Z+bG KItR8eEPfv0HBlZAAhBl5rrQpcEbdWWwJ+hsPMQTEqlwIxRyOfUgHkv0Fd2WMUs8KFGb27/ty3BG lvLqW+z/46gSgyqL/e+DVlTbUktN7RGHt/xb2CEceAy4r1QZHw8uycdDYII/jx1CWAXHdP1U3wj7 bRxtNduH7xJDJegcZXMlPXQGGFpCrTFerHS7r9fs6kpIOWr+uQszRqZYQXD7CMeScCPcZUT4qF7c 2900TqYnFeJlMbPttxELRJArrR+xOGpXdU+j69Dj7/tDUvyzfkbFcmOvWQgu2rLwMkN8Fk3WOIh/ vh3ch33kw12BHYHaeH8jSFX1qvtk+Zw4bsyC1+6PnviResLbQNMMhTTRIxJUKIh3Z1pAX9vVe6ja CTgm87eIxJTlzbidFyvted1NYEkP9daImTESpAfflnx2ys57+EOpVJx6M+Ic23VOJeSIiA4nYxjt mrwVxO7bVWdNX6Zhty/rrLp/wLrXD898yN4lZ4tcopkxyta8KgqYsVkwMFxAgbDjQ9OZyrAMRWSi KPOoxd+3WbtE9KutSs5TQs4BNgoIyCSk+ejlqEMvk4Buq9iAwFqfcbGU4+3gMBWT22Jr6VWK51IQ 6iwdaE0zOGoEvihxFuzwytmh04IPMjDEbMTeAImpDkoLIsfGQMIH3/ZvN7OnbEa/yDjRHPVuQ6OP eQR10v+eXPRvr6oqfwPRpkRpaLvLtqQaur35cJVXyFxib/WoTGuB/PDuqqEA/D2/eUjjETNCSJaR H+eHDpExv0G+bqTM2nT5AL5YjrBzeUl7qnuxCjtP31qW291T4txIqCzyQwQP1iDAAJU3cPJ/wfJX bWnwwzrHltcjARCYInEbrwGLwx58m4AyUQ0yYW6g6pdkawe2/gPxu7ilJKI9HKVWjroFMp/UcW4+ mR1E7mhzwe6UNisxzPv2Oz+GNsAJi6jZ8ds0epusFaMdZ8QqP3EHB0cundB0WlvCTUTyDBHC9zbN 16bPvKSA8X8fSavr5VX3swnRz/QZo5sF59fXZdZqEmf2jU2JVoLJDf+WrmmPzELoupnSTIznu5lW LKLXqg/6gO2eQJ22P2xz5oPK8U7KFyFo5SIITwPJ04l/IXqSGRWrsZgPADhsqD7XchCVIo67KdoH 4IUVsHiABJZwC69/x3QCS238lwaOTObk7DSerD6gywyRFipJEps9fiZMmR3KFu5fhuak1ebCyfVW APBdi64c1DyJsG46uEz31nPDHJLjWmLZ67QjUdrawKVQWfDbuqYRllJXZWKAJG7z9we34Ui81KY4 9VoqchMzbPTj/HOmtvc/3AdrjrdWsqF4AaRvRJKQSn3c6CYU3AIuiq325LZJZO3NZLDHFUtxXCUm ICNoJE1RMgT8N9JnkTQ96Adah/sOY74rpPzdS3ckACEoYz3LY3S4UTnjKFqJ8xU2dAfkrvl4Usv0 M8XsxqWyxeYicT4vBxvA1Wz4wz/1Yl/FQ6zvMi+IOPl5Hc1C8q3UPZ/CYX8FItXp1ffAyv29pYCl iOfeypaHf6utpOxJXPP7D0RV2wN4R8jQTf1qixWgujsLFS+LrfxqSyS+Yt+gem48Z2ryUuav/T3V QFwfRvzXLg5aWBOFZjjpKeFdyU5+9+RKhNdsz7ozQwti5JCQP+qV4AB1yz9Bna7NAOxdOq3Hr/fg nFIxT2plRhh/RSCoc/C6I66gliu2Q44QQp/9tp74oGpX687aUcD/ZGjFf5ewB/yt/ZMfn0efHsPP DEkr0dHhrjkePfdqPBsTcYeEn7eJ0qYRHxGEdFpmYAXy9MUWo9pqJGML+JO13EQUV5keA+Fumrdz 1e/NGOoPcHYktHnrmeKxWgaNy9o+Y2I0OvCreOurLBB5m6PtqhiIS1yJ6ABEyBM+Yq43cxCmo8Eh HEYdnpQVWy017H+Wkwh2vshyHof5XytMPrKJs339fA9qcO5LBglduMBMRX3DF51ign2Aqo6685mo xauQBd5Tazr9Gh74bGEV5WVyranzrq2nswfgiLZhZBp5CTxKMxQwgBUYIoXxVP+2iZzD6ojxthow TSr5cuO0CItVFKUAgXaW56g/H7ZpDy1uhQTdhdpnuuNxOCJs0cm47trq31oxhZweCeoyXUar/Czb kCNcj086VM/qUcO4bcfeSBPvw5zajEbsPqNtCXOIg1FxMCgSN47fwNCC3xVIH7sjOVrlaLmxmvxk 5NW6JHVCMkfeyi0+2g6lmtVvOrhyDW/6ZCsKzb1yOnw72iIqX9Hq0xufrjeTgyU5EKjw/vUhOeTn a4ScHlmExE9LwApq8KxDU/BJFr+FCUFJpA7BFwyC0xS5Beq4CE08qERrK2s/TFy+JM8qSZ/TaEBO ux+q/oEk52R2dXWiGVJXIvETrxIF4IojQEaUXLwB2yg4Rt5aGAljDnK2C2NYgoADmhsrJm6PdNJF yt6qJ5H3OZC6GKOiyI2n2KVFNoOnLiuSVkwJ0g6u+I5qT4vdsHUaW/4tf+Lh9z8KwkWzgsa+iFOr Pjm6OoXm70p52N2sB1I+aRZR/JwydIGhnYkalOXEv0w8kzUJq86n2AQV+a4HObT8JgtVc5CK7nwm biRnOooYETg9VE1JOuABMwRZKCyBQlagw5ZM6wCnvXaPEsTdocgzLrqzzrZOhvppQvPNgVScxeQb udOEf96xYx80DfJC/gFLQcudOXgBC3YulaHj1sNbkRAcWn0ZDadH9FbvDgWPJClOEnGrParL1n/q 8CSw5JzxLKkLecHmPreRMfyReLoSbNRGxLfcnMNjhCManV64rpwrckPp4puHGPErnc+HXhFDuAZR ltD/p/ObXaC9clorciWuGZobL8g/VUvWEjBariGKcU6ZIf3KL5Mj8M+mlf+bYuVGG6xuP6YA+UwP vF0dW2YZBr0IgTm2YYiVwV18ZNMP2RwgYuXWt+l8L2Nydvw/TFOlyOu1uLXy8eOxDNI0ZgCogV1y fVr/d4/K3zjOFypBJ1X4q3Hij1JSUkFo9JP5rJonVsMpinF9tJykPOuNptqRc3J7gt2H9XzrCgeq iZmtd5R3dinBjQypni/EbF6Tfl9K2SPgfon/kkPRXwEGQxhcrnGlAfhNCuyxDj/8XhrgjcJJJEni WRyUkpTd6FS1LiJYsHqC9dBhIprIwEJYiUAeH3NiudbUtPvgs+OU0GAmaE8L5XSyAWGEAjbIbCcP +LgbkgqOBcPnSYLNpVQ5fWs5Qa40pp5l/6xOOhBsG/3vURjpYpt+BWuUks9qmT/KQUpdnSQ4MfGG sF5JU6rwvHhkC6UO+rPQvM9ypUBOKkqXmsGILoPTQk3oqjMb6MHVq+P4CmjlD4LC4Hh/v9C5Fhdq tyl88QEi57MWJjHLyEoyySlRdnCzcSw9MY/M3DzZNB0ELUye+EbKkxq8O1qvtJCjGtrSAxjVvNeX Ziwbvwp5fDscdFMy3i2epwxhVsEQa8xayw8JG8t2R8awUzC04mnP93UykcG8F+v6+oFAR/tA74Ny hvClsQEhamKGsgk9h/ERQh0R9fQMrto+3E7+O4BWkfcSr2oJ7wgpxThrlOXeRPZaKlIydx+mT9hc yYbIt5vjcgQCOWCBJEd/t3pU4M8v4jwkqm7rHLI2e3Kd0SEh5+aDtRRORFKzfDZryiyi38dKxOlq 5joePihBDCLSxaHaMkITBV7baXEKi9wtDBheSpGKbV6ZibNQPxaosOP6CzZKCQHZGEX0jMEIjUwh D43bOFN+w6033l7XMq5BryeWQQgu3z5Ai99S9ISBuMCIuuhKzcDVhg5JqxiKky147xF9hdTDjf4E P1y63YRlm8vT52qlckvQUY0pQrgBn2mb6T1GCy6yTrCY3civm0ft3Vm0u5PsUtHBAuHjL6tTp3YV LCCLsBTlnPdfl6pqPMdH6D0LXX1weNaNC3Uyt78P3vz8yfPI7VpsHiZ8XbB2hmCFWA3W8D4+dtWd vlcvL5FCn4uKrqgPA/9ihDMmqAc9ooj9nv/iMGTeebxnY7plJpWbqRD/K0ithB4wh1cKbkkSWX3D C0LPqzHgGMQ42BQnDnU0LPr9xfsPGfyZRLiTmvUywY2VG0tt2RiuXNBY40T9MWA6sXOVAk2FmlNJ fW0vXYA9ByCNn6ontVdyds8WOT+y7YktCSujypPSwZpm+IRL3TSXA6ANCMYUQzxy2ww6symSsvDS HcUOtyKHYGVOBjeplAYWrY9TSILwBZytaJwxri9G+ZNOkxTc9KaMjnDH1lSwuiBoEc6DyDGkCJfF muuQP4UiT889+RlCHwlPrsW5ccks+A+Fl2qg4yrjRr84DGG6jIFsmjbVShNMuh3SOZ3XHMc95hnP 96Nig+PuSey92Qwi8lbmBXK1GWB4jlOCxGL3uCuI0wk60yrRlAVMufpMjQCGSpsqd+qXSuDpQHld 4lYbxj5Hmqya9RwReXef/Ms3nh+CmV7LE6A9K4ECLu0Yh7jT6dXt2Zm9uW4vWLALxF+vqOy2X0oB m6oVoYecQkv099GmybDurQAcuYOJ+DnunJ3ciPL9JZD/bOnVlWAfYfp2PZzERdaBoE91UsmrkmsT tpwTayfrnOxW9RU3Ss5Lv05bWfkT/gxGuTME4qaneDZ1qytCFkSo8lRapDLJUIykrc04/gDRWT2n OJ6+eyBul/Mc3/yIbcl9sI55nNDxg1ByoLI1z8ioWB5hPjNBMPP3eEsSxlUzust2qhByddtZdeVZ BYtTP5GwbDZqVl/kGrQmUvFn9oU5z2Smeft93KNRn7DrjmbMljp4JZYzwbk7Pfv+z6bB7d38WiqH g/0GrdE1MmZYXyQI8SXwJEOZ0lUkBco11QdRZMhz6/VBQ25auITHXrQy8yG4taL5JeEM+EgOiMMP gbDN2LxW3LHUOTLzvdsysBAaYsfyaFi/x1TmlXaQLOcBGjSgM78nPKto6yC8XPZ4Gn9hLWIZqZFs gHkFfF+QD6e2c+QQEEckgRUbAGjDm8UGHgEk+L0ALd8DeGU8e9p3sCW9VGSpkg6pMDJjFgnXFlDc qrfzm34MYgIF2LTBV0NINzjGWWA3T3gi7hHY92s+2yePySrzihLqlzha0I/iC0n5X/1znbbOuxlN qx5HYpfjlGJjcEYk+R4653aRb4/zQ83Zdx3RF4h2+kKrdxTdAridmWnjOQbwdiJti0Yr9jLRuZrk lq5ptC5bhSOgg6xVDMuYUsukxa2louoxkDR6VEGd3u6INx4E+HKdnFpe4q0lOnYwzgmrL8ihuoX6 spnzXKUqxrMLGKA6ze+oZaI56DMUjHN8yBjIvNAhLx3M/naa30P3tNimBIE5iAsBykEpTbJyXyRy E4FQVNCs8y7RllnPb1padDRJpNNLdy50+IJ/VhCQZeHDZ0727qqDbUEXgdhNKLYcg9PHSsK/SpU1 m3nv86d7KqHISz/T3WcwXn2SQCSREpuaaZJ9L+JbeeaThtmU5XNjRWfLkZzJGObbfxIN9NhADmm7 z6vQgQTEgnTcO5Qf1d4ajNZvkH8bWORoPZRlUqTrp5F0BaiolzkzX/DEgiYBT2qhcV/Q+4XRsejP pWM+nrx5VXV9RA2x2jVjxp+3dLlDD3YeyoAvUzEM1DbcM/39gC2Mg0Ai4f6X4Uxu3M9MAh0RJRu9 8cJnNk6bbIfzHAObQPzsRx9cmDcOIlOOswJiX0ojplixR6Uz5jRkyRgG7ZZeIndTmQvzzgh0bepC +8DLxkq/ied6L6QaL2bLg6x9AK3fyWt/D60jfPnvSqsywoPVmzWLmMkrvzvWoO4Hhgt5naAcMJgz Hs95VD2DOjhmFHrfK4GM6Hde20oymMy/T49CTsXEoJg1Qg6CHG9wbH8YNYc3bj5DbiHjVs2Z9lhX xObU0fR5gXjsx5FdKYZ06e48ay/zPnYDLv6UHzsqUj536Vr+oYqPaFcwMqdDWS6+ZgSBSN+WfBoC Z0+GJdckbopajzoreA5Ho0OQbUqU/OMHx2+tYrTTH9YK+90TMDdjeGn2gXdeGv326HLYShxJEDon vFFrg6LznmliAfdNr4am31y/U2IGefiRZJVSeeVbtFpUxj4RqvQ/Rn8zaxz44Hws6fn8KYgr+aPh 894WdD/hp3TZzyd5Z3htIJaIj7CT68Vg0wld37MM65kuSfJSjJsaZRx7KWpCyLK8GtXuTXr5bj4P GeDbpboDvuamu6Z3dxOoseGH2q/jcTJNgLJXl3NUmOnrBA36PtUxKqfLZ/POhnoGmcsRVMVM2MQm RZ5lT3tMW1Zfbclo8ffNMGLcU1QoNWQzHIcyVHyUKyNo4vI6slykyZxAkbKCnL/LcLsWNePFFEgy DViUIGpgbYTiLvZKal04FG/FyhJLApij5YYZhvzlqxYtLScFDU+CegLHtcjr3FCtx9qsgo3rVWhV J2EBL6LwnqgQ+ojQdjEdMTq5g3ABl5uUpOLo1QxoEz5rAa3yWC0P2WWe20UewzNHtcb0B+WQ1uKS XVo1l9k/79kkoGNU4qsVcPZtXhWp7iUYFhu8VMVSzYr+PJTC2fmNYTyqXbcxcrMfjQcd2g1USZ96 IuKkMFHDHsS0DNiQyDnmrVouxGmirQao6tMplcnCjnsZeQ3Lt22FAHkd0jJzovyHprx5k7hInLVy ypqIPKDPCzpJ+CaBMnPavuZs7wGtO0B+7MWoQswZ8CpagEF73GgDf0lfI9zLH67DJnV/yNHjPhlf GAoh88rjCblpknxA2PX+33dOlZQH232mI7loen3b7DCPA19PCY1CmBsJLEiXr+JCLKfZ5I5kc1Mr adWuxo+owoTYvG9Ml7dXj41bt2179ODoHn1dP6YDGMSaTtI0YxN6MZdAum5kUj4JeHRZ4pCeGUS/ HlcICnLxCudarAFn7nslaemK/Ubfjekngizq3MY3TfQO3PzCVKBWBwx2qMCMnUFUe8ISZ/CuenTe CWuq0wNvZmAfgsF9RzYnoehvCp7mMy1gP43hJyuwCkSVaHdu8kEQth1EG8x/gKumYe6iYED1uuPM +57fOVWFkW2e6Qaen7hnvy3GZdLshUzx8u4t3ojM2WtRTG72MMU5mzdPiBnfip3J6rNWUTzk8xoG 3qXZt/77Jb4cd6r77EFlnUyjYad0Cj0OUSODUTljaXHvj0Qabv64oBXYW4lcTPMSKwI0Xs0IsizD qQx/amnsHSPxX6H9wW1q5hBht5i5S9CarkfizrTmMeMzp67au7qgDBh6wSB5PI5TLYTWnz9BLwc9 IynJ7/P/oIMs9uT/wWYtwQOTh2EDYkndkg2JbI+1eRNuNqFACVtGlwNL127f28nwEOK4bJIz6PyU dwbs59lxWUWCVwRM8QNjyrj08VyyFwXkqzox/KIOJV5oyyhv/zSfyvSBy7PYFHZ/DmJ+MhrZAkgx VetT1QqFUPtAG0dS0cwu4qTkhONj6wXu0plBxBeDFfIQwB/8HyDptPsPKL5wNcS5vBHQFngef0jP KhZzeIduQEf+QDFVY2mC1c9HH/y0LgsTGpQC0310lbDfoJipW2F1ykpKvww8dIKymuY4xQpgshm4 PPIk3ERmkjZj1OHyCO9AW4VzpXVWy4+SUOmLbxYMX0av0IpR+T5cIQBvw96UhQ7xkYhwNf56wvaX 5JMoBle0iQIGz1oCFsceR9fphg6L9gnO9kp4F+HdfyHsCFlbSHydMIAKwk1dSNhZ50cLt556BmXW WzHBhdSbu4+afG9vOB83vLU5bRofr7G4Y6llLwFOLH9B0/Ca4etqd2C+oo1ktwooTlJcuAIyaURL 7B7irsM2wvAkQnsi/bXoutN0EtO6jKE80XftC6N7iKEOj56oeIsu8ecI5KFHNL3KL518g6/yTYIh V05XAucxrO04zhjUkGw6WlNGJzP2pKjDUtYf4RKeI1R+r7jaICRGnWh36doNyKNtxMQa18ND/oEm CQ1RMdta6C2Wmf9rezAcE6OAxwR9IFIhiVcQI3mouizOFqZpmi+BgcZHFH2jBiLYX4qsneIn2/KI GNaZYGLs4HAekz6nDy2YY+dq9sSaH6VMfOdbDOS0gWJAjULOeXfPC9gwUOsogpalFH4pKL/QpkVP BsAcR1VZkQNsUhOlyahupdve7/kyGTd+3cU4xsxX3SA1/ekwUGmPiIAa7sR3RqtiyqH04dDvQ70W apWvit2CtpkNSPpfMbqG4EhaSS/O8G0v6pDzS3ZZyXawnOzWEZ/zkRBBiIZ2BFBjItQcEGNWGNRU R8r7xiKk1v75JDUGgCroOxsfgXZ/tstQKlP40W2k4+y+J60nPPtFdoxVjRjk3ZZagTd5CIiC3TD6 Wi8XaPI6JEbc9hLavvkHjrH1Rm+kr9BAb45K4ZrpJlRLvA00f2MUTTzOZ/dc8sZB0RJ8cq5m49VE mHb63+Eq3srVZAzfOqQC5kDTs8P3Hf3j/L1/kXJiyyOiPqr8f1yuNdbtYmU3IqipYd7Nog1Kt/xt pZn5JK0UoUC5sDOel4WuwMA3taO0Q7hgKSXBfXzp0W4Gm7+CU4BKY1Ddpcxwk/tliTtBMy73Qrkn p+0ybSE9s0+HQZ9a3GLj/FAdNIOWFZi0ntPq7pWiIYx3AorRiZ2lwSg8SWloqvioSI5Qr8BINkwd b5Zn3naE0vqy99ogdIPy6RoU1njAtUbIDIvMMsGLMZwLMZja7AxX2Eo57mFmWGN12L1qV1+2f8Kj NgG7IniXZN4MEYsWQy9s12+dmhD2h7lpSZ4zsp+FiSw+zqX7y5TtAYOpQD+chwUD8kWJGrAhbYJ4 E+1ajJpIlEnxXzvHuIzVPMTdIpsyjac21QQUI39jA+e2BiguB/oL/xe0W+3XM+nqEWEyelGpet70 vkaw/SHf+751yUm6gck89gtCT9PJQ/sajaZkmZjRnWDZGyK8MJ2WyNbFDEjzfb2OO94BjpoRJt1w 5QKQnOK+XXEsrI1a0MSEOxx8+DLqp+KqPiWwhPScQYxVAR6Ezur7o3dED2K1dsWDWCRqeiY1qqLb azM65lvebw/AWI5ancnYazY6CUk9iRGoo6NmsLOL0hg3bpKgI+bni6Tybe6JmjSX/GuDgdgWD9AN 7ijSjstRE4qUlW0nIYYJjDUd6He+UImkRz0RucSKPRvLXf1o1woc+dlZo+UOLbQRMudpiRAa/f/N nF1sYxKoT39OTiFYalvmQQRxe3DNcsUFGgHCE4vEJZ6PpjKTy6slNzPKW0MdiIlF5giqHBXulsfL 1hqPWn8OPGUXkfZmaPQxKDHsWCcwJJ/mO+Y+n9Sj9GNTJoAssjRUOhPSWHUkvP7HEy7pmbp0VXp3 ANpjUpZeYk4AtiFy8ncywME7eftsNYhtAU3w+8wOCDaOjEPSWH9zOWFz0fhCT5WCTc7KRARMMvQV zHpfmPWGNB1rvw+aWlY2xCpjTv4YBp0JjSO4z6lPyatl2wVVjkolLqB0wDVmvziIKfGAjUQ0nDPl +u0DkPVmJs10j9BhIF96/pguqAINryReYXDvcPnnWv/Fcw0rdlOVfNYH27mwZ1ROLETz+ur84Pvw SkkVfrNeu7HanxUD1R78mqxk+nOkQ+8tUKEuC+ro20gANoIXX8+UqmF0WOGlBVsILMW2a0piGomB YPU+OROjttiDhZmpbBZnEbK/ukTt7MBQAPdUuX/UwFER4PagyLv7bqxBMdZZVo+wO4dV/UKsszM/ AIC0LQHZ/5BX+ZSBnn0Q9IG8tRDAJwND86YD6cLnPzWQ8kaNqkX67fn+b+QJyQ8y4kR2u4l3y97P Mo8tr2Rqx02Vo6Tr7Pu5+xIz/vqC4Kxun9fCFOi6b5yz3/zV4wrymknXtOQX9yjCR8LCSDqY+7gT +M6Dl9ZobS3N8bKeP16w6fWHFLPZsIKGBQZ59BMyT4ZGon3lcHcySuT2YMp8blaxTnp/YZrlXAzm tJ0bUavw+blCp+aYdJ8H7wkke+PPvrAL8gGaarkaz8dRFzbBniEKPzSmAtmLpv8fEjVd3hOfmLEV awPVG9CYVo1JbEUb6lIB7c0FZO0fTGpsvW2TyNjAz5x2k4sAoCLvPJYLWeeMSckhWoUupuhsUUHG 2ZxXYuuhTIqGg3Ck0J+eK57rpDyRTfzZhSB4++GyqLGW4HaTPRXuK5/zqjUeELhLZmfjN0j0iszs 74o4A80qNln52kwazUWJDIiF5C3UH/KUb4llN2kg/bW2tI7SBfArLKnZJlZHygYjdyBIs1k6/B6q cjgslFbQQ3mDMqED3kzmMY5KRTg/F0zrO/83lbL+VQidSAWDxeOmC33qddpIkW32HdtJEOC6PjBm uEViOLYHRdiEenYDvA96Hy22X5D23DwrXsu9LreohedgeQiWLf4hQgUXl4Rz7iw/PIBIM4XkTHWS eE6ltsKPDhWLlAL8jUoE+i1UaNJ1PFTaSUuSeLU4hKHxmYsodV2CBJxhH63W6Lo8iZXkxIyifATI GVoWj9QoOsOs7zBDjPdzela+PTViiwEhIPDc5F0ea24V2c/C23z7uEdI3r2dHZaKBFNVc0bShqss i1i2tMcqxEgp4drrjjhFJgaS8fbB23NiIeg2oOSxeCfG9+Albx7EUBO8hw40SoinlypAyxO4k/+X ZW96FyTBUOGIDte4Flinm+dnFEnd4tbA7fKm2qL2k228xvpwVr2OwcVPAovihRVufP0PTdjjUqD4 3gPEOl3hFfgXK0e+MQO1Udr3l+i3C3COQQJqnJye4O4tVvTAdgurS783WVIfMfRB3BF1yUWJSYQw dinfBiel3dzQYZycg3bQmU7q4aHxrUuGFsh8Yik0HL2ABwcrRGByvSIowDX+a0sqEt5NUROTUyL/ dVExhueSqbTbr3tr7DuIYFnf4uissOME6VLvhQxFYWmC0kvKZe1RueE5T67e8W6HSOQGcvkHsGo6 s0S2+zm65XO2Q8RybLKjowTAlkmxRBmZN9UL8bmCAM/rGGi+EZKZ/eT096V1yaR1Lgxck/E0i3Cv jWnoRPYNKv//LXG5q54FZOIYgo3nH2yL7v4gtc/ioU65BOms5P5JGo2McKxeS7ayMCka7RbPVedm 1k6DBKVz5g0NKNPJ0fVW6NBDM9cFziPhgSxHs6raWbs4CzqNmtV8OhsJ10Us+poxdglPQt9B6fFc Z/LWl5X0S0Cpl+1VVF2ccgYorZW3+4imxnngzskBLvfh6+JngxPuIkaLhC2CtDfl+fX11JW3zZV8 uINpNK4bo1c11Ve/N/fkObaaAe1wnFfOmcTXHSXr7AWzHUwgBfDgh/ZnEs3jgPO43nNbe7FHeIcC lswnz0Z2VEi0pxbOHfqTpA83oOaf0usFExvuKuiQh6vHNbZsO3/uL7b4sFVeHpIP2cunthSLuAqQ clvRcPm6/eDcTl92q2PFIZlEGoUDUwrVzSCKIgAo6wTu80hj9vnGG16Y5GY7Si6qgSIP9qQOJd9e 0PJvlNptkZeeIhNlLjkZf34QSS0QkuO1KbCf0nrqaspwghlBXkl91N9OPQ5rDCSGW964h/jj7cyP CvIom8OqJSOmNEOjil0QlCHgh1w9IuP/RC/PPbqB8BmhJLDro/nf78Cba45bWw//zsE8XdMzIqdL gaemmZN8fLGv7DwIIOuYRNTXZk6J4YSLEvdxnAAiG/K7ir6uoCLl4FvMNQHRBhiYCfxLv3WLQ0Ih q/4kH+bQYorqM+gNKyKIYPHTO50Tg2/0n9iYrRfe9Fr6shqGLDUpgNQPCgM4gflQwZPhYLXC76LY kft6jDhnn52VkgZgUEQZ9LOhq0MHOUFneJPjG5N51AomOg2FjFRahPXrR0JWxC7wH0iPzuPr3edH 17gpXnbOJg8ovKTu9jvAwzAQuZ1bP1bf4TgfxcoQ9MQGFa2TFzY81Vc2Fre3XWHqAcOx5PFwk/YB HthR0f2pJcAAbuCM6W9AryixD6taNqL1G9WdjEQt+aGUoxp8vLAysJNXJ7YoByTBeZJ7aja5uJUF HvpMF/leUqRf1nOdOTqMEY50X6h3r23jy4FUvW1bcQ5H2jIRiHmuRXUzrkjGOP7GFmAuOv4W6/G7 B5D9Km3qNkrY1fqqMcljxUPW1kSFHjhASWhzE05kEk0gBx3b/uf1ntYUClZROpC00/5IbZKmleIA el2WBLVKQb22KJsThYg9zkzmrTcwBe/lv6mAFVSR9obIc+Ud0sYxBKGug00UdAB5bXAqU6NPrMB+ O37HeRaCDQCnMsvWGKzrLDJ+1dITE/wNHLW5+MG9OtdHr1CjOv+VJdqrO7OighDd8G7dt0svMRv7 AGkJRQaRO7Fl93AGcP6ceDV3HM2rnldAcBAcf5+3haSa8CtXrnBXDJq/64Z4QROzNaoZ0LjsNmbe CT5VAjNXvKcOef7X3A1z3JKJb2+B9Fe5NCzyueBgxSHnsuHe3njt+X9XVF0JpWygEEx/eJNff8CG 3hUYtehFCaPSEI/eOOziuzhoLvFbWYsEH33Y29QuPM55Mr65deoit35OSVQweSuL3eE0WP/Jy6Lq yKN+jNSlZrr8qRCRHZzGOtQDBaf92AJgF3+kPUMu0DdB8cO5gUX15M7NYuyzvkUtETpSByZFPwAq dei+w4bGpc3qI4Do+qc5yC0T5CbpnFYUpPRiZ6PLI/7ICMb+0l4H0mdPfIYJAfS5hT6uIrXlYzl1 dTmgFqvmAQwhjD80bnZrF8zsunz9SirPlsY70UsZ/4EyuErRBAXukQslRBr+8Z9NmWdtJZCGb3vg gieKcyEsuv1dYYqAcYL12ZBoYh2afFxQNpvzQrfcTo44amfBELxEc8DTHsEL1C8R6upQbY1Am3lv y/GAQ0zqytZltTgsWc0M/xws9INQE3aUW8r0zWEfsxtjtPwREPQwtaNlcZibVU0wVAyRVigEPvn2 WoWXGC+8w7J8UKzlmom3otSE9jAZ6MbPO6GJCmZqtRLth1X5LFaKWY14RTI3uxu45YFTVQ4ROftG 2+a15JHIO/Ps06r2o+pxmYbnDVw28jA14/V25wAgNuPQINKzmLWv8UZPrBhxVnj8evuWGmVbbOkX HY3L1H0FFj6fHFEqqLAkV/OwUNf6nnCwxQ1zHYSGB8HR1A4jMOoypF6KvoW+aRIcR8LVFU+lbrRl VYsCpjTQ42+OKer5ebgHnOoCwK9VYV/dq7ZevHZd8SyLK1X25O9e13Gk9EZNERYT/keWQkp0rjy7 bGK7pepoDqq+4BvAU1GQkRvCZ9C78Wt360pXnnxrkjVrLN0nIYQxiCtHGirWDgI5mBXbVqEqrk25 2m0EaP2bTh9fvoBm+hlYEWun10DvEQF0L/yjS3ZO9HjE5ytlILp6X5Rbuizlm9CBe2SJ9LuF3WeJ S8OSANGYk26Pkaq/cl8no99WmWTdsJcXL3PnTUMx9tEDz93E4+uN+NEBh94GiZHD2VMDT7vLP+Zd HnkrPg1vu8Xap1xLpuHMAGm/BJJKChwi+58xyT1ZYjOUcJbm6ZbZqkSmVP7J3GTI3U3/Do553sYF 7sC4Lakn6StT4+htaMJkgdZjAwXy0g6+8AsXGHrXr45E9u/O/PZW5k/EgKA0R6DC/3w+z4/iNcSL ozRXd5ZeCMFnTgT80/n9r6LByWmaDfzKxeN2xtcf2SaJSFWCFGKr8UGjfXSB/LFHq5+OSW3RGwMF 4D0gGipuTS4PJ3Gunju1PFgkiYXKuun2hwgZGiQlBIQ+5ed9tZpGm4uGAGLq5GEzdd/kdL0LsmDl y4bxnfwT48P8wwD0/o+PSoHjhXlEHnLv0y6BtbKXkP6blRFL4Ze2R7Y/8/j4veDRMecvts0qCupH s8VBaxKrfREtUFCaCRl5BzjoA/lYXlUHOVUTGiY7tklILBryCDSes43vBK/EVAMPS+BuXI3tfLWy RVYgdFiPYsxdUUveVLsiv/bs0C26GXMdqBadT+Aia7XM3dvDUcJ0VP7HIKaUC2N2TEI8mwFm2Ii/ JDwW/LnPsbgqetFbv2QG+0+g/4RyIaN+tM82Agl8kLGNStsXku+cxbIvb6zuZ6wBqdJNey1pcxG9 4++i5q+iAf+SXZPoPTRHEJW8U0VEag0gh+P7gAcAMXPWptHKL0W8ko/8YSwM3FFU9ILfGNcKVd8P luW35FVfs2rxozARHrcDSXoEptDqPG7jpAJVdp9FephBkqW+kUbwvRfcznw7mDnXbUsGPRDy7ftY ZXFQfT4trtFUJP3F/PzoBXEV2p25jXztwW5kO1iB+EEFXYRXtmwtZ2bl0+KnxU9NCXddFVIAWOXP LJeYlW6nByYn7WoOi1HPTp1li2aqlnEqbR9atc9DdlyfVov4IZnupBTFd1o5Kqmi4fR1XP2ziJ7N 0kGXkyn8LNPWKoQqg4NdyR1BTO1sMFCNXUHkLn/iMRwS+Uon6vcW4seDJ12+Ah6Kb62ruafqahWx H/9zfFCAKJ/vGa0eH1oN07x5y8kqq3rbBDw6eVT1y4DI6p2uZlk9gmQd4sd/eelPvqc3p3Oj9DJZ aEpsrtb2BTRYibhU2dB3KpE7oZLdtWwa6leD+XTJWVwobE5bEASp5w4wVDlA69qdtPmrN3ct5vDv cId7UIOf7l7aAwC3GuU/nKkjOFt8czR/7hNGihNUmEueQqyIQAdz0NJiwGW6s9tdhTF/B7NKF5SV 80pjLB5bn+ol6YZV6bO9LMCOHziuRRde7Ju0VR2ZP7YpnqEAO7g7EK+3KUbSHWacPfQY+1Oet271 Xl+0sI+YLtDYPsvM+wmG+kTFBwDBkHZ8KGuWcwf3M0wCYBrLIvo8BQNINA6nTJtFomPXt/oGOD/3 FWY5afMQVxocFHAj6Cy7Lb1o3M+ZqMULljELcId/zEqXoS3qbvnCjvfzTQSeNMR3N1N8rABU4NWG 5vfbzHmMjN8f1sHQBLa12zdA+j8mYBNvXnCcfWKyd2lCgWWo9SHrmuJjPcDgxfGpcdm4kWHmJ28e bPeByS3ajSryYpM9E+S0dKx3CM71zWKBvG/3Lsvh5JAn+nhvNlDTTj4rEuzlB1qrEG4VD3B5ApkH Payz22+pWXb5m+X2I9Q8GOr3Kheb/a6leLpMwUHlIkmD9kyE57CUvusSZk0uw4xxO/mYBWu24CVI dTvOL9oUAT8hFJzSZ9x9AA9VPFiApUyu2H7837MnCi4GB+/TDBYlsgM8tBQ/8LSD0lKRKvnZLDuV iNlQy+AtBOR27EwW1mVOA579A6lvc87ZU1pi88m22GJ/J2q8JReLY7NkXrDtdnNH+ps69m1oXE51 lF+R6aobA2tyBwSEf0d7KpJJwGKgGidS230qU7gutHqNIzVMVl3vaOCKq2rBM8YXwgACLtzHEvAI a4Usl3jUXRBq63gI6ek8cJaVlyACAxW2d+OdCqRF8Txn2yyRDXE6TkrY7aJRAMIVd9kWToQfPN5k EYwgmNtW3IdH2GuBmaKy+7dTVMQs4f+5+gCXNUx1hjWFc2nWTg8WAizs9mcQ+bp92jgONWyTGJEw 2kpo0TszJExZ7EK98cwi2gaG8gATEe39TLcx2XRnREZ2Ggj6o4/rWBWyuIqJ47vz4zPCBJFRGRRh bgqkkIuICqBBGYV1oAEYkGi3mVMkHn+7obVjwiFih8ORpFxxfnQaP4VlT2VKhFXEyBuq0W4BBYYy 6THjAb6+CCvp8hUKjgA/BnrTecVWGLhbYSXb7DF8AlIXVgRhrfQti/X+eTF4Ln7jJFkyzztogU3S 76L045w6lW2snhzr2xAAxyrv6Ev1Gpgx41swzEDTLGQzb5Yqzxr8URyJQIQGEXTEwm4lg4zGqqGd TraoQF2DTOGH2yh4Px1U8LDrM6eQH4ssIkevhvKg2+acMEQfQ0dtzFvQhj4iOhMAReJYvVY7Ce1J s4zTQ16nGq+G6+3JBuuoazkfW5u+CTf88SnFQ/3CIDt2C3vFi5J4BM1aq3E8pe+7/Pwbl8jNv7dG glh8cWY5BlHXbxa9iZekZ39IvCBr7bZrUcDqTnlcsuO1UU2idg1ucnTVpd/+I8CphO8BO6JKZ/12 fHZVWW1FdT+JYcLnz38HKxnt3CcXQDOzyAnK9/QCLPkDgkyxU6CNTPnNEJDad0kRaOk6hJJZ8qpx TETz5co9XSKfvyJvKfQjTEAzaSWmE+I8/EItuYosg2JaBXrYDhWx8Whn1ViZ9qJGduGZS1hrPpdF +n/dEVQSfe3SruNN42AXymDOfu5fCOkAxkVyTHOc7W6GUFg+SJBT2zZjDHgILFyuFQ2UHMVi7smc ih30HPvtam9dwJDXERB8/aJ80jS1qGo1cHoRj4dr55+wkDUJPk58+24bl5Yv4feLKTR/biYgrYwe +Tye6EtSQJFn+NQ7aXPbuhsDViqndAfUo0QS03IV/yqM4dqenmzpfe6U8uhxFOAeidnfZl827cjE GdotP4j8w+i7pAsndSuonwq12xr9YVw8G8xRREt42lObfQDqvjZYYeOD84uGRGbnqtk/OfV8+yWT cwKTDg+KHYtohLbH9eL1F5LKXYm2tY8QNEHX/eOqC6mTGaDsdpqNEXYKBRmMEtjhZAScg1H/uNN9 ZeVOwAMiT648ueGzn+TLWFHZ/LVBbl4UoMI002RBYD0MtbwOcQrv3aTu7oF3RUHmvPhfPfhrletz tNYDJt4oKyA2o1cSg7WNAcXoooVH/2y45rIuyEUdsN7Otiikv+4AQ+jY+mT1h8DIvNFDTWtUHwon 2CpkC5xhjLhEaYUbaaHppxZq+FrQqxUZMvSjs8zdjB3BDQkWhVfx8bqfQ6e1ZwtwNNJjqF8dYKdD cEi46O9I9WvdmUHRip88QEhIqwdqEXOydhUnIqXP3yCoa7cOovkkb3YvW3bnDGfL+fj7gkoLSmPY dz83sKhsj2r9uCtkGvCYyxFpAf6aE57XB8djX5FG411Yk3POIDivUomEg7pEAiXeWwbqqRtDKEqF saVzF+NAC+l64JzSmPrPYrXl/tdnI/DC6dP+2Twh6g0Xv53oKAKhx1Pl10ftTs+Kw89H++jmi+WJ 5LWknleVBWfsM+2y7dg1wm0POklHpU6q10nzhlnSMZiaHfVRDw8E6RPPs/fWs0PHL4O9ZOL/BKtV 0Rf5qly6dy7ZgOpsWUDMQOqOyFVvnqQUJ2G8JLotPzJ9dNqElKaKplHKZHSLg980yVMtAFkOSlir AIr7I7ctwmiTOYGILK8VjXOqY5y6MyM6+N2QIkWnT9ZwQQGCG421kpWyDAgwpprbkEhUrNE7bpqU 9EHni2TU7tOpHtBJ6eBVX9B0mgbtbxEiNRt9n6ztmPEHBAVKfzarQzuCYxSwQRcFQjCwnwKGGP7J 3ZrNNHDLuUYSkBmi1kM4H51eIIW9VyF3stcUaw7A6N3Qe4gkzCEAVReHX+iE7uu1nO/neLTEPQSI UN2q6fDtTH9+F224NNnoeZ5Sr1xAqf7f7OfWCxyS3wfT9yL+t997o8g1ynoaE6bM7qQ9uATmLdmf lFM0Q14xorJty+aohwPqam+souzvm1vk0gecSkrobGyi+iGgpX4ocPiImkWmrTwDx4ySnkn0FEeS JJWixlfvLDGHPG8J097wPQO+4FpVxRy4pzkB1ewAn0Bp+2X5Xo5Pnw6HwisrR6uH6T9wIQoc++Gs cF3vKBmEBnOEY3+NB+sni8HSJ5FamIF2KZ4EkP48ZV32VL0dMGIYa2qhG2pTzeMpsjrJkYI0lLaN EPmCLPeMD9X0I0Q+oUs4RjTbflt9rYFvcS7GgF/1ptqNKF8RXBbH+oWMA389Ch/s7dtEM1P93yon it+NppZFFCF/kpbyV2VBLaqt3L34VqLJkIxgr3/yjxJQec3C6ZcFB1La5uRpk03XikbsHerLHy/3 ZMvxCU/RHCvhiE+XjatQ/LMqj7y62O1Z6loCjqvs8Pe2vfmQZ+X9p5L+iaDG7F3KxoIKf+deGKrt txmKDEaI+ieQUtSvUTrI3X77ZFW8dn+PgKnt0O9VQwk5Lf9a5ptydhmY8llOD+WCDMmHkldttonN IZXEG3XLaIaqM8XKawqffkcR0PgKSHY3/IJT9iD2E6JisF+dNVxm+pKZG7C3MkaBgDRqIlgRjHmJ CIckR9zlam/R2ZPs3t7qSFgSVyuF5lnucW2+JwjqJw61pnyeF7N+D/APsdtzkMhjK1T/5MY/nMXm 00tY14uIk5v5CuWiZXILCPkxgDRmgCxh/Tyr9eYJrHnUhk19TNtCJqK275Wlbz6sqV9Z8BJmoXDB i1kJWuf4J4TbV9YTLMHaYtsOzVvo3cr5hG2sPnpO+dQZMUHKRaMgXyLDWAfGKZGLwdo4LbXfEpO8 PYFOEZp662W6lV2uInm36DbCkjF/f6l/CEBm4NGooICGNOn7Lylr/lpXQDAwpqkhoEqYUC8BonYk WyN410to1uoTi0JVt3DKyfiHABivOtNAyOdNlEad1QFnQIVbVHOUzLSoaR9ktyDQT7vMQf+4kDRK YD6VP7Gb5Ibq6eX6XyNmphF/5Bdi8emHZqz9x87ilIWmjL+aR+RL9dtvkcPhqBrBAn28K+5TeJTV 4niLNdHlkpupbMyhlsivcU+i3L5+2OCYPOGR4vjzuuBY4gbM1enpTmUE4OSn2VS36IWzp2LqzUkX nu8U4ZSMMWqSnmDSaaRaJBI/1ounboQFwcGrgp3ST7p0a9smx9QpaEwJ9RCkokDqN3bL9FgTuRUt UH6AnYNagrJKD0yxK54ur5b49EnXu4quehB0An3pEnmclZP5ejtbRNrleVrhhfgyEj/EtACCswT5 A7pViJqKLTPYNao1GKIMHDCM1geEadLiumdN5DFEdTK38m99Nem1TXrEUdBae+6solXaCrNVBcNU KaZW8QLHnMrHTBAM/lwq8oz6r2GkYzDiQtINyC9RHWW4IXIdLtzclKL2iJ1kD9j8hvQ+ml8TTSyG J/FvzTsOegotiDjovciRsdsGzjwCgG6tPZT7eo/zok2iPfZekamMEqgF8qfaw1sZ2fPvaucFC233 L0z8vCfzp8DCfuakxjUIKxg7kOdx/kePuS7LvcnAS9UoUQYeDjnLWLVTYItWdvmtEFzs/USTkPu6 qyWSmM5hiF57WhkJCCjgKU0mbnms/5ogdXGFF5MAyndMKujTZBTyxt74bWUA3nTKrjJqJBwbzI1z diCOViI6LiFyaVvUROJnw3VLopqP/oROrhrKeulXDQv2WFimj4eiLa9zKpbouT15I/RS4ptmvRbM 3NZNitjh6RDj3hc/WIxA67dmkZyfHEUb+HBQBEoNT3mBswNOV+z8CAsIGW7W7L/3aQO4DbBs1clD kaKyd2o0QDRjNRpNCP56pVCJF1nBjrfIdbTUz6GOV3udMTQFktOouad3aiPUbGQoqx+yJMgHC5sC LCF6Mgy8kD8MyH1SMOh1lJNfJEyqy97tExZUnWro0GWDqcHt8MOzgO3ZVb5tq0Odcui48k/lIoEQ 0MClL5BGNGHznpJl1cVdbDUo7xtagiurOVyqDoyhspNy1CIhCLdUGloiiPaZs/eYw9G2Wt8cqv4u v0TlpCfuf6vrxsMEkKhE31iXrm95waKXHpjflHl3zB3VKN8SCt2YfyOIq/5LT1A71C7v0qt8rGMS tkKxkFrHe13FKOfCSHI4XWWnlRP4Wr7lN2cfDbghfL0lZYiWsLY7zoBPBIBGS3SGrt7VSYG2zTTi wAVwhhKjfgso8vLRGAxxqKoOnBF5TtghFN407a6CGc9X/Eo78shrjuaJ1QGMXZrRNDngxPe+a/lq MTz+ddWwICXFxHELggc6Klz5vetF/uxDmws1JTRluk/VSfTBt4TfpRMY00gt4wB0prR0WGRXJ+EN GrMsW4Y/4/INmmWqVaTrywEwMhW9yQu+WDiDy7tl8HWI4nkidM2DcRUsaCrjhvX5wRZlczNwFFKd N6OpQ1SGpvMokCZLP8Kniwm2tsFgKGiAEtaf59OXy3IU1zunUiLorF2RVYr2DeAO36iWh/WTayxg B0gtkguKhyDYxXcPXEgb7wW9t0MqaybPsVXnnom1tWbJF5IdiwIZS1/vflVEY6YGCJjHdJZTCIa5 hkdTIMFpFEHjBx/jwGXRhtI2o5SuMVYsYKvd8ZJEB6O6pINgsDyqoatFEYUywLgknSvIEdYI4U7m tSN/+vhIOCVoUBfXobWh/PYMGyl2h5+t90q/GBIs+SJsGcagNe1FFWqtz6vyvmIiVqFXWSWcm722 Rz+OH01Hfub3kBjffX3u/eZQyh2Oro1zZ7AewIySHauAcA7AgTvtROoDvCPQGF0GtI+FqFjZ+zPI jqQsE3YcqLY/+TgpuIPwXQXxpri8YE98flgCBT8YcveNyMAohamL9ghZs6x/G7O2n8OuSx18feGz pmijHmtVXXL9mjZb+nKZmtW9F/X21ytbG03g6vVUvrvGLkOsZIJWSni6BA2D3TmjFKDxlGlidOQa xMEQ+3tfYMDrdFlsU+FY0k0BPUN+AOdYJ0iG+xkIDgpOjYK/ROpgUmpLzxlc7424uvv8HkYm3wD8 5Kou24yFVWBsQh5EYQX/n2/iUkUjHBC6Bzplg5Gvpe9Xlj/W5cKJWNrlP6kZMeq5Qq2fZl4HPHFa E8/yu7qlh8zpFHx5GMSrgXitQVOO+RrEfjx/0UGEecvCcqQN+CbIImZogleL71vZzvKclu5ZArzO IHlQp/26lNuFPo/rKJLa4tKcVao6x/Q3Ve5KmjXtHqqWPf7sfn5hy2Dg6uCR88CdT+PpoHhlKxPb KhlRHgeBJDdGAnyBAaYb7uKgIk0TtVOu4tucROi+Ir8ZQNrUwUnfVjfrYVrCxz18us6osOQUrKml Q5FDLrf7ADIZgq+JA1On0IMZmnK1C2GQZtBHKoHuLt+QY4IVa8EPNlZpYf1beqfK7qN44ZrtleAi bH96CFPJNFQnj46rMjAaf5diDrm+R3xYgH14VRXPa65u7YCmG5adNdJ0zgM9PmHaAboKEl4Ze24w o3dU70lut9iA3XQ5aUtJcaaV/Jmr31JyvKrEaCz8oU0jOnFd4jtuNLJahCeOUdMdbyI8Fem9vIyT OS3fjtdd1F+KiVsmrsPiCdwz4IFvvD9wQ/GMLDZ9eml1JQIBtwF/qhv1YvDZnnnBrlU+8X853sxF ym3DeJ1y81h7cAU0N8FMTz1JySGjZ6flnmeykXK0OB5fek74Jbexfrrk0Ok+MHtVS7Gq9rnyfi3Y RMoR4AYDSc5+B4RJfOJfpH0yBC++owvVlkT/qVgKJQLP5T1EZrWxa+BR4rDJ6oAznJVlPHjr487u NVPpaEFOBQKp8TW9ywCQAz4e3fYqeEm6omLaAaJO94Xqnlw6l85nLC7d+5zWwIVkS7kbILJrlT/6 EZdlks55fu4zYuA5gKyoekfTv9cwgTOuZPYu3JUf9sJef4EV2gbDypua1DUpS3SW1xu+n5IiGYyj MPtqUhTM9V7vj4KsCd79xdJYfsxepd68zSx9izvmmw/VsYe9jNpxkJhuG2iMH65BZRL+I8+gbDAw xK36PoD66OxgjZaC01vcu1ncKa94SS4IeXhle7VFUTTC+d04l28IPiLkdrkIa3+xwNGffbzJqDFi PZ9ZyVrUcMFbRDO0Dsm59b8GfbrpV4tvCG1vOAQsXsIkBZqLcNOzkdOU5ZPgX5CZEHLueWvlbhbF GStqwzVpaciaGaAowgWPPq9c3jjgRbnHORXLMlcQo+EZ8QSX5OodVvdKawQdrb8BBie4Op8RyHp9 8vYqVgN2FmF7GMIBTd3Yco4D7ZJj1JtOXpIF4vY4w63f7OLFI8clREilzLZj24yrihwld8gM81G6 l58v53DySew6OgCN7Xz2zrqF3h+oj76A0azuVJt4QLGMtiE43rFY2Wp2/VKK9fxsHNjiyKh+yOqZ 3ilAIO+6I0K9reScJ2iMloiiEShPHcB5aN46wuA6Xb9WEJgGoHl+w8ddu7ETTMCDCLs2SahO1URP rzh3uY2/JdO0lu6dPE2CeCdiTYBEdqL72C1AcM7FthcG5JxSps2J0TyMJThK/OQtsOkzQdCZHRCx CZP/TVVRQBM0eOc62pJ8I2ap6ZQ6v4SgqM8Yazxo7Faw12hTekndOAGWfNHRFCj9vbSFZomwYUnl o21m1Yk3cpSxmUaqykmYC/iFi/ZWfT7Xo/67hmWPbfp3KaJJHdKJNvHoc95ySmR2rKDAj5WFqGll 8gwwD5gETSE/Cf8aBLjimH9VQ0ZC0LDR4CanSS2PCxGQBoafns5gmrQcnjtQddDXqEnEd9kAZfaU jU7IrlTw+tV0JAj/r2xk+Vd21NvpkKxK0/4jF3gNEyDpEDkzhGXVB5J05l96wtRmqjUZATffWekH AeJGaaEcLa001jFD728z2Qxu6O1pi2XxhgmTbLJD2cgCbW0v1F0ENGZl4Qo6gThIkc8rmDe/OVxC eIr22NIXROTl3egH6kRMxyqBLBBEgiXK3RrQzx+9WvBJ4DtUIFOiBCh1F5LpFlfHwfObCIqhp31S u4x1ueOHqXDrz4ys9aZe3ZGg5+pUY7+6Y+SLqEKknl0p25o0f2G4JS18fctnsiLAptcTUQ1hXl7X LwuPnSBnsBCMtz5Xl8UB+kWBtuDw9uAtOgAG/wRMl18paV3rMLT774RIw/+Pg4oaK6ccC4Dangel H1bQiaS3DfYJvjlkgb5ueXdlmNvyCABa6ZQ1Jbq3frZEan70Ks/j57l1JjY4sd40txx6i5FXPTPM cJGCTNE/y2p8uZCQpT40dg0YhZZ/rS5uq1MzuOeHB+vNC7QPRg5hsgXCw0ZwdN2DSfYZz6tCbmkh gB34rINnadnUyAra98fv5arXqrxr95bXOfuqrMg0xMFMA4fIPk56hGHeknHE+AKweLGSYt3HQzxQ rlAUEbkl15ORjIbKVXJgkQ4mhmYtMWuZEKR2k5Dnu3Hi/wJM+3+JCh2vns45z7gVuWUKzMYooAHu LgLM+KH//WoXePaPL3WGQ7PCDB711Xu1KSiyDziPr55FYiDS2cbE40opfNPCYzmACNhdawr7M8BF t6QBWmVXD3JilJAqmihVgge1W2jpbN4yCcIsGAd5PpeRlCWmo1RZQmn76P1qFeb4G1Z/pkQv8Hey XFix4PMbF2sjcqd2Z41/XPTbvPAZf3Ubvo5jvExiO12lkHdcceSjoMQ1uQm41DpoAt6d998z3153 6kOqgachFE6YGBwZ9hT9REne1p9YWtaHr3led58wrafyBoC9fv7/mIG5yg286lbe09D709xGV2LI loatfqL6iLvaydxL09pIUY5f0nQzzaOOXHzZOuJXJ4+Xm2qLPvKS2VFQarSyoKVO2EquMDZ+Wly7 D2sCqWuwAIeOrWjgaG/r+7+5URlKi9G4nVuGqrYkBUjhqXYpunVU0eXypz+2EdyigWuu2sMBWkGu QQupN/rZj1H5EA5cENDjMMdpxeeluQjKUV6ZCAU5xMld+8MP5l6g3gzX6y22V4TXmliIKujwX9Dw p7z6DMmCD+PpSzfcjWagsdIdVLE/35Mg0GzXKfTTA4gOaasQkVLqIs9a8D7YSvpT2iPZ+ncXAKvO +t6vRlfkdAnXXTKtlR6RHPzbP94g6hrfzFd2/jb8qFso4Xjd6GOlbKX+PQYgQ4CQXIeN53b1nzFi rp8/VHrNadSlqBNkCXv5o8ZSrHYMLk20+BKvAdb2qw6iJvj9oQRtBByVpz0vY7XRGePmLV9wbdgQ 1AZnwlsUqHdeCuAYqrjjPez+dhD4XRcLwM/UO6rYH/CMu79myuWsglSKNK5K2bB3v5NDFGR+NgtK pEv1E2WxBpRgmZLwpJ4FHcWZ3U3AiCVnnJusAr+OyziWzPPshMnZx60oGsZpMS4X6txUVMwpWW/n EIUmoFmkqqBhCHf0dqXlOaqoOJG+uGpY7lvJzOPqri/xv/o8hPwO8hJjfhkIx2OLJcxalwZFhS+n 4ldHcPEREM4RATeLNxtkRUtS/qAgEMaPXP8mIcC2XK7MUo9v61pm+zYwPjbc4p/PZ57teWkl2R7N 7njTovqnoaWFJyCA3vC665EF/kJgoVEMqsNzMzXiKtK2jfYTgImu1pA/korxYsdAlVs0PbGj/vnX N8+URBjBRwOT3Ah8i/TzzeO71Z66q01lNmrfxSQJ9hQUMsHmYUDOZ5GGE+gMWL4U1y+Hv4BeNmoD UD9KLCJeZXPYcQwO9PfFyxAghOSDo/hEGHqIWAONC8eSxenIv8XTGt39B1z+RygMEAm+8jDAx6uR mnQg3URqPV8QHKvKqZeGGDY4zlrZAQspSvTe42kjyLK+8EKpZsoyZlq2fMCH7a/DnIHjizpo8dCZ VOXvtb1pYhiQslLmuAVgUyyha3U9GqxKD1F5qjbVEXJBd+g/fx/d8aSpPXvhdPpqBpMVfqvlll5G 2jhdGKtjeBkpbi0R3WlzXPCEQK8+fHNRDDFJ9olOJtlJgX54HnL8dFYSwcvlWZUOuXyp4VMoES+O vtr4GtLcNkBdVvB7ZjzQBYrMyW2AmNHIQQm6euPB0AMFvD+FBi3WhzTlbBz8YkEtyJuH1aBpjdsu h8g4eQr8FbBYvcbStlNSsswfUSJEZ2H0asXotu2S7ktoXe6speFnqDu1XN2A96KHa/tL/povuNjD hJD2A34LeGCb32+Yq51i7JmDHPHotHF3oilrioBPju2PUE+AnqWyPbmt7+tOxecgCIHNIEJzETXJ yXMjWE3VG0MYIv/k4m5aC1/Mh6DldfiWARkrxI0RA/THZqqvKn7gTZDMkBuokIVgEtZ6Yeo0FTxj kXn1N90eLMPWR7EXD1LtuJaq07ljM2J/U+0gl/A1sZBy2kT0pFdX7Mnao6GO0gI1dvzeIQqfdgKJ JzcrE6+WbG7TwZTBVWA8krvMT9rjS/ePnC9obkYZT68dM72m6arSVXDXYAzuh/yK52Ob+tKu1cPV NRu+D1K9lhlsjauFNB1yNlDF8gXlwU7gV2R+ZH22T28aMnohh2EaY645TEL9dFb24RiZCOqB+c68 i+R5X+MEu87ERsCoE7Y+fDydwt7HnOI8UBUbAFwMJlYWcwOZa3MeqrloqatghgnixWvvucOQOrfZ 0c0a9Rb6zJHp9+9VrhqV68ZeoZ8kvp7+3mOc3iuj0Tq/bEKrHVsbyevxJSMF99LEQZ7YE14T40du cOia5P/H8r23o+LE87RtXzws6kN1QLsnkYqSDzvj4yp8OX/ajaSP/oT1lyOcgIwaeQ9y3f1Tx79n sEFa3kguhrQEVmHMcUX2jjD07vpZH0cX40Eo+aBJSs6IdmBjo0jP2KCfc0RBLZIncf6ZFaf1H4fk +xZW2l6wG1DjdkVQNNJtitrAUPtIeslr7auVnRDHhcwttUOmQxSGPhvOY5aw4G+xqDWzaLejBdfY vmDpiaXe1LUmqd5sp1Juwe8fjk257pbnSyLyyMSbayAnAtJO2HWEBiSkdBEpYN6AvZH/bCsgOzxV 83X9jJLfRavCEACCiHWrMCZEDi5PampldYj6rxc51FefRlI5RMbaztQ6dant9As+MbvNpYyIYv9l /IUHlqk418+jw/V4DQs2iA2GTKKBQmps/YALK4pLB0nEp2PCII2UP+FVcgt3Wg44IhdVB55Ni+0H NccV6o1g6KyhrQKzf2K6PvMxTayJcWTIzWI+2VFCeUIVB/wZ8nAQ8aI5xShuMgYNpq4pTTetw/1x 4NVJZ5ItYQZFg3CE8lClX08At7GFU1NrDTl31TVPtIsIQTCS0ORlbHnmhjiyk14qwp9WSPQDn7yx jM4NHah5CxdfABO14B20GMLxvcIfrlcB/1WCz3TC/IpfI6WSQ+sc4mbdgP6t2Tc0V9hOnRMRCAkB hONrL4Z1BPoT3b3RQUypTaj6EYwrJlEupA3o33qERD8XJCwg1oflPt4ppf8iqJOczszGOPPQwWOJ 4zczNveV9mKSj7KbEooxB8FwpO6JFNEN3iW+8hbhZjTUSGuZoFj2VxtCZbnq2z4sDmjfdR6W5/Lo 116TYe7xGf2gFzECw4Fdv3rUxC5oIuZDQNV7RpuqQIXWZj8AbmH59APnEwFsite97EldYW04xLxH Hh18zIvtU/QFC/HE0Gm9wQlRu8o11mYrlEL6crkUE0wAj+9n2+gBN+iJ57X7bqiddgUcPlpYOME5 4xmOVVfXXh0WF3nzgLMMtDgNtDcujUevZv29wRHAD6YPn7nWfedkZNn7rEZ0Z0pktMladEswhXTY efor0zm0WiRLAHxHUVpGZGfnw4bgKaH0o2rV/fiFdDF577YB0IS0xNUmPPScCP+u3V1E+bcco1OM 2yNEj6Ni6mRL/S9iPAnEvi2a05THtTEZKb9apCsRGQfrhbX4CZ6w75R9jWKobT6VJhGUPetRxoq0 fYBpmmUo7hcQej418vGHKBqKnCPHxqK2+E2vIQ/BXs5DhZtUsX6IGaAwgB8gd7VRNLqDxHksvxS5 rqxjL4ZsQKDl0aFRzNlviIQyyTIcK7Bfqy6XV6khfQsjA8ngsbfQcgtNMwlK59IhlvEpIZuXfVgt nSm+h9EgafF0hHzhyBI3L7pBA16Qgyxjlnx7ifPc/gYgxWR9wDGo1zBmMMmHdaKS3sE1LLecjJ3o U+ls6T/L3znLbsP3am9TSA+XbOUvHZo/GKTCoXozmOKI5Zeh+KFabcrjEfk/Ay19W/L98fZP9pOy Jz1rr9f7Qhm0gy1qL1xhj7luv/QCk75l22QUTpR6wINYPzFe1GoLyR5z9tR9yo1KSpGIwDS6LIZ6 XvbC1BTU+1YbzyeMJAcJ/t0AhYjIH5x7TB+F4jiwYZz6HpucrV+8Dq2ma+AAxjGT0utCVtL0xddx hnYQAIEUxdjo56/8I9iX3jYoa5Mlxol4uLXQWazhD2rggsLu02ABfgKSA28mm4AOFX9Zfd7Csm6i IM1xgzP2M0HtiJX2/DWHZcJqxj6qUF0d43ePlH98BdLxGhpicGWxPPMpvqQHZ5tlcOM3SsOUhQCv GIcQ2xZSwLN64LKacu0UUp20EdpD5Z1nlC+OFZr0s1IXQpPyKpxArLGFR8EJFEflH1zEzk01o9K2 8LjNNilrHyafzRYwcX5+LqIFnONAkXdXJT69FRMY/4J6IJBHLWnY8vec3AScdYb3NTNE8sQXyZG/ 7NLPnxmYyokf6/F3mIMYM02SRuebtjFvIJzU2DW9pSgk+gXbFtnYX7aqDm91cQ6E6h3yCZhhK4cg 1QlXtaiICkPoMhzFeIE26ivXMn3k4DP/gKed82YUDVOUlr2MnGD7B194JvlpHbzJPn7vZ1w2Vgy4 78qNeGaB4Nuv3qJG7XCXgfjDjzQiqUTwSElMigpVP2CIxn+Cm6aHrE3wIu3zVXU7yaLYDwwNaKui rL3/X6zUtt2hDTOY+Mc4KSxJ6CUeC3E2octS1ea7xN2spx5o6tqUSzIsAyz8btQ3zVKodOg+41YB Hc0G004dyq44OMUpflVdPoMeAjtSHiR/h89b3degeFwHLpL9q7hE+ZGGGXV86zO3sS4wiSEuteBz TjNKILdQQlhLXT3YFjUxZ9e3MRDo2/GxHYqRMOR1IG9E6/BlOPDH3CeiXBFzgBZV8rKcVHFrx8gu yk7CT7p+OtzNiiVLp3zXOyDViXA8j8wfAGenEYimlAfiKAkGOJ67Qrs46CiutP6r3teolNQFCvHP hM7CK+yyyfvPK7SexC1nzJC5ZvgSfrAfYpH1UlF/1VRlHvyJiEiVtI/TbNd9x0ICPeJJlLdqYTil M+M0PspVC5OxI4h3P4LXjUtzVN4oVXVOVQrMZqLXnNs54PSAyU4o90CTvKRsgwSBbKAk5wetZgC7 kAzAgZqc3n4pb4kkNfTwYdDDPSdgJZa9OKGzOJ+NaaiuFHWdrKasV78+CnrQ9pB8igu897rr8kNe 3ugDo3X9IySSSAsbNGm+ZFX5jBrOo4V+cn28WS4EC/RLHfiTZ+51PFPzmDo9L5FzlXCtHinPmbDv LQ6Lyg98nWS/LXASEiInybhsOPO0v59mwVQeND+e71vVS7UV/I0zkMhs/9T97owHeL0U9pmEvPIT wF+QCmxV2LtDpv2BMCtVWI+w8G7tke+Hz5EOl/LfLBd+OsTe8qenMSwk2OlKwPjJ5Dfm3Eu6dsY0 DT0juCijQJHNPAlZ2xWG1x9caQVz6LAFIiV6HSQwlfGpX+yaZO6XTCisioe/qXhxdo1ywy1kvLNe rufGIuQyexWfXb7t2n54qwXEmzfAbPwEVu4frPbUlr8xBPSjQcXSxHiX1KNiwzmbFoWDY8eX0smv kTV/pn4vY4DsvAuyBbmmmsuN39aq7eIGpVbmgaBB8wxUVm3YVtYVX2Wj99x1vCXJ45W3Gk1BwSWw CoEUPuonRXPeBwBA35QpZxyMMjhLVcch89llIhBb4ZY4uaGxJ13f9+R6KSAcF4TX3SogKyYyp05y hzSk1qL+H63p/ARZIhmWgaBNtingWj7d3DU1PBSg71JEGIqKWbKgSWIff6DFINBFNNVOLXtYNezw iweuRTsylSicVFHIbZAAGVYtVqWY6PKI93JllUMejRzGJbcqFR03CgCTcE3CLF9DkXJUJJumsXpn bvViU/S4QWxGFaqbnYj1WH4IbE3NPd5f9Ys7Ok0QSE63qC0aWNpF2udTeYT9dYccUkDnYgxw2Nyl ushBxMEok8YQpo2eUqK9YhwiLkeGUar11r0aAeJ4ul7tJiO84cGj8Z1l/Kp4fJZIGONi2B10rfPp SzAOe5p/XYNJnF83oLSc+V8EvXEA6+L4jz9lWlxZoXPQa77rERsbq0L/iHyAMNMyYSA6aaP3vXiZ 7soCD29OnUC30mUsnZ1Nqqm8kcsm4aYAr0ykKTUUvCTI39a/XvXIFvIWJgejgN07VqNGQIZiXpuo kBf3/CqXYbLgPgppJu6+I7CdUuxTb+OOQhVA5/EhS6U3OwHah1vUaMox1N5I9tMho57MyOgiShg1 kEV8W3Wg56K9f0GQmISpFfITwZoF5qMdcJVRfVQQ3kqjgyJeMFCHnPxyGZuVO+NCbvC6enR4QQMq 7Lc74Iv1Mzcm4n4lfDyYhDHWxrRyt6V2jOi4UlhqB1aHnB3jxNIxfWHv6rlLkzl6irxMQ0HugUQi dBNFSezP3hxiEUKkwK/67IkTschSyvPdwj8av2YuGZU6EWK003oUylw9+6+BM6qKwyTL0Q3U99Bg RIc53H8KLDhsOCUlXOWmSoQO5J3l6ikti9FCh7cp4vPgpiTIp1tdu39lN5DznRZXfUHR0CW+56HV E1VJqxgdoEaWrpPL8XjF0+KmJRHIy84fMd2RBSCYXRNkQiHJVg2jHDPLTeGPePEf+fhS/jCCy2Xp 6XpGSsbifKf6VjKm8CfUcXwTmMsYcSlaZr0jN9ujvh4vGZOQwp/IyLhtgvwZPXrtUwffVVyZO6bA y4xhKxI8aZL5swrgA7hTHFMtarTeJivDkCqOJOxaBcgDqAyMfvr/J5KgLOs9HIAk4i7GRP8akL3E mhsaQ7edlwmLJ1+dErPjGuyB8phFlvjhQ7tzjRQWLEKB67yl1aozf8g8eC9uguGw3VIr+8J6uD2v FGo2N1K4Uuha8K+0vz2r2KryUusOUsDUL/bYM9DHfyg8uVxrG3jGoqPUunxe23kyO/qyQmZ/3rXG o9JBBO8dBDz1WWkhthb2kMBae4qVTjEDcNCr6OraIp/wMMrMehu3YvSexVfF/FEG+0JXFnwhacPq RoUcokUWznuhekYY6cXcvU9reCG4Qup0H2RayGD78UqoMduTLULHki/utlHVxL8jqnwbzOjFt9QF 8APSXv4HagFQYpmXK3FpYDgu1xBFT+msEhcFnGZiQQaPy7nnx61CbPkmJAlRznPrc+b5rhjV7aWN zIc3M8iivIBrpmhDfPqBTaz6fWOzidYWtRP61x9WGaylEvL1WbliJn/yvjY2PVFiTJ5Ti607+Gpf FGgGWt6Jb0nfO7xLoyUyPYikuBY/3y1upx52al6jRoT32CFoouG+Gd4P6fUuhQq/I1utHJbh1Oik fzmbdtlCp0JVw9gMZTvdRymdPkwf+2mY+kvksOO0mpAizhPna9DbLOBt4zqU5h2zhE0BzQaG3kTE L4MOvEPN+zcKr0i6hDhjcgsD/H5JEeouM7k8UWyxkg4Grh0575fCsdHi3OOZ5O2QfJz8vdVKq4Br uErUzizOKhx8AeyTOjTz7p6wtRvqyLbF1ZxdlMVuGcS63SvTixFW8QUezah3VhYF/mDQyMSkhzgd DOJ7Wp8VsIVKbwqOyFAFqS3d0g5fFVItJEwJcIylsC+ph5SwF5xRF6I6tinFg2kWnrM0QnOBrnit uNy3fIeQowsobS0Tghhc/wFissNZuvzsB5ZEBt4ojHOj0H0xsVdvfNS6ec5ZVx5kyxWnnn0s4D8T WPk0VfGlx0x4lc+Kg7SOahNcLCLgOZYMXljDr/tWeY9FJRXxTLtu0H9eAV4guIOMqWOPHgJti4ig UOnoAlDYlI7sV1Dl+FrybgYKFu3k/fkeUxCy737FoFr+1M80Czr4qNedETIuJ1r+q2nxmzO9D01y WEbU0mImgfVZOVrxF94IDq9fYD6C1/Xxvjkr4VKYY/AbfZB7dDQYEp2xDTf36vhQ3CSm7XHEOpwF dbEuZaPrviAq8kcwcRbFkAhAYh0uwsoBdkc1FDGK0Zgx1EWcZqrCGU0u+hb/39Ek8ewp/p8mG5Jb J3z7izHwWzQ1vIObjoqHSuIHvb6sH/wnGciEcD8a9bi3fGghezDERcJmnNXgU5gsnhpjshh5DPvA QA/i9n2hILtb5ikduh7lYp4/mgFEzjZotbY58JnzCjqtfw5uqPRPjxJ87F9cV71cCQjsB3gwvlM4 tbcjbqYXDCZi3uxqVUh5ggn4BSWA7CVXrRp1MynkTUcVjg4Kf0ONvfrhFdzHt+mw9FhKOw+60uVV e/mAdJvYbrHIe9IDlGlsPQYWRtKvpm6PuWpq8sC9PvsjoG3QG5KF/ADFZaIVutr4rSo0oU1pknOV 0s7EIU6G3BBNqWlMRLIjHBPIWn9160882Oe/HfCdMHijge5aWPvTEWraifR1hXTRwqbbFO2QVYsl 9k0eWn6BMdIXZcsJ8YCssd24XahOI90PkcLaT4xtC8O3nPMFeRcfkqMwePK/yQXD/Yb2xNkoWuLp mjHyjuwdtZTGrMe4v92u5WsFAv4JIIvbP1vsc+ht6e4JoaoQfVs/ot9ta6E5RC6Qc0cYamw6uJhB loB5/PddlU+XCDrXzkTGuPP5EMMwM0XL4RsoT0l2wWl6eqiyxI/tHIJcuKD1ZxbTwSt7o0PE75CW wQYdj455oKZZjM84WuJtUsFYhzb1MdWIOdbH0vaOEdb4wXOFxfn8pn26oChBHXVn31Fi97pOM+i2 DjMhQ1RGcGgvmDtLmcdrr1eBatHDKByemIra/C/NAliCzlRawa4kRYyEAnujeDNJjS6KzhCun6Cz dHGNXWESRBYERkeiajFqbxKlej1WutShTnE30YOBfVxmMyyRmOCn0bo1KlZtKJqDNoFCUH9yTIQ3 6JHcob48nUgRE5e1yfP6zP50It+uTKNeux5NEeI3FAmnFsvtv0jOYXf2zFbLRmMdqQa9SrBrAbyU EytYrtZip2sJGNOhQtHKmSJ+pi2dhhCZty1I/+l9QyTZ9vI0ROectPDC/ApUkc9NmWHuVPDCi4Rs Tdr8aSVHIwHx7b2d/sWUUOIJ+0rh1gjarILUR61G/XkTw0/UBF+MPxoYhz9weClLTqxqKvlFrNvY SCDPI7iQSn7oSBr+InVTgW8tFOEiPVYQROc+MDFU3XtxeePWydNaaGVPhD+wDzPjnder5cVzsp5e JjKm94RJe0CFfKWcgd3l+djvonQCOSlRiO2EOi1TvbkKncD85nN2QzTbb/TBUF6aWoOgyVl0plDm r1iSJePCO8I+scTXFEC0Ka+VYcUlGBA7iXAmOu66w0aZg2CqKlTUBe0mXnmge5x7VNxOT9SEat77 xElN4wd77LmJt3ZcgFxontm7Z9NAUw0GpWsQgT73EJzTBp/B9e3K3yJdw5Jqam+H60g8gnS/kVeE HqwhXaYOPWDsM519LgkunOae6Iep/VdD/ve9/q+MuiStNB2oYxUbM6OQN5MmjjpoKzfO2BFhAApe to7p53gb9BuEqN4Gi/Qb8FIbA9mlwn/0TzwLT/qB8ysz8+Od0wbl0rqhL8ibPZHX9r1iMFHkRnRk aqiLNuO79QdR+J9pxc1OZpBG6b4maZlf4I5EvuiUEQpUh7Piz/g1WDEC6unDDq2TRFoB4jm4BZBN rm+JQ8LW+APiEE1yhS+fy+9uTA1K56ZrwQ79hRlgNs5aKJLs3f4cR9P0ufEMmZO0SWc8SNCTop1J gltRg4WwHrSDsWJYq0AabdnvD0v3PVvNnQL1gq6rYCWNtJgYV2139lNOWqCch3AsPUl4rMmZ7Pj/ 8UQTWj+RRpQR+0y0VGbfUp0xbMZJVzUzeB2Yj+i8hv+PMcVA59Ezob98W8cCfB0WfWj0oRs3YJtg YRcb9aRxRsxGhJcKUVuaFCKrnk16hlQCWYpBz5UKlF0o+jEGwsdmfx7y/+NNlHp/Sx8DscPR8j6B iW7vrkUjrqkob46zXysWg4CYE/hAkhkJMupitRrSDgaN5KAi0vsUWL7qR9Il5wY5C7NRuu91ymYU ENdvilPAWF17eCCyj4UocKb0Y7hE9RXbSV3aTZ2fUXG3gSd9wJNCWLm/A0jyVKHYJYwm5O9EOavL uQfweGZRN58t+kON42bhpKI1PxT1HyNyVryi0udu2AqDay5lNkberB0QrQXIZMLRE8uNWFL/RWB9 di33yh3pylo9xVHtjtKYaJkYAgpJNdc8FJC3PZQLeeZzLbc5iDJJVDf2a9AVPgEQKIzMBW45dL0+ Z4BVcVoMckDXs7aLMlV/LlJEaxZKk8a9gaItp2J3oBJYV8VBGp7OoS24RnGGDNKI3JzKqPqWcSWt 2sJHRWBVkwDyRmnVI4uI+CKabveaZWLH+9KQRALCnXwW9Rd5nR/wZq/JZY3PMlfHlIJH4lf1tTEo inoYdTdbZuMzirTjOY626UhSWmV88h0fh+lFvVTL9CbB3A+Mhxt7OeFk3Kis3y2z7ikW+gNMlKsK Ddsyz3dm6TrwZNa0C4MU2afm/FeabCnL60io5V1FoHGY5G7emYcCIeCVSn8CbMDvhxWjKGQrdqdA tS+DfWzBVEUQl0rINBUFHl2vvny5rGjmsEp7Ljp6NiHijtQlHV/x52GCFgQUK8nwt6xctPzBFxE+ pgjEyDBcKU6q/mx8so5mRD8KUqgqc6Ve96G3YP9zP9C2IOa8idJLfnlWxLjsAnjVoIGWp7MVnS/j bbI4gMHvQKGpKnY2FRy0q3qrKae/t1WrwkLqhDMazrmZd9Qheipaa3wihi4W3tGzBwq9Pg/sSz3Y tyS+C/wSorhrTQpri+xD8342FGjpWNXJ0iRzqmav+iMySKMtQm6r/VrhoyRoLqW9hGHkeqGRvJMj H7o2aAjAmgut4Z4qVvYC0amI1pUzpNObHr1zpIws7REBTClPuBjge9FGOXG5wsjEEm/OtyU4iCz0 DQAA8T4J+gGU2YWDRgzC/OQm/YOG4PAFea7JnWYB7GfZ1Py8SxRIJpC45uI3SQY44QpNllL499NB ArCK6I9oKWYfDh4aA+YeBWwnhMi4Do5dDBEZUq+SpbgzCE3Hf4gbhzAZnsDNG/O6bGs/2JSIyU5V EeGRjN4b9VYRyiuAmsTI/hqUbiGhnH0jtta0Sm7UZ5q/Eb+sXjmd15P/rShs5DH3xxWIc2REl/m0 RhLbYFosnXJiXyXYJdOK0qj2wIga/SCenp/3e2+bdsgMOPAEyBifm+M9VCB5QxvSb1v6wV81X9BD 3xO/t3nqc38XcLs9vUFn9Zz86v5fY/P7u4Pnkho78cooqAB6JR4mmL3JejctwN81iBqXvP/QV+B8 I/w9Go4PZNLfDDKmaJOmLcxAOPQAxsk303acUIU+MCBHIlhytGEBqQYrdt+PQgj5Di413p0DTSwA 60mzvSPA22zD8w94y7YJDbZXX2dMuSxwvKVB/X4PjtestFU0pJ1+ent49BP0RHDmu9CJvukuDLCd nGtqM4JNNxZOW3jllBXORuxY18SAIvVgjn48Nj+xS1FQtCGfP4SNmds6AfK5uurcSUUrGlw/ERBZ WRTG/NEPEga3PU9tihmOWGrJkKGDXo6Ph0IlrhlksBZL8nI/EyAqpfcCM9vv5cuTUrbLodmpkl2E o0SqzodZIU+9iVyxAiIHvU6xsJBn8oL6pUs805WQVPl9X8W99tbP+BHT5lWSwemEdRtmOQiV2FWP dVtUcs2irR4sA+3xvsdrkY08A4W8te4mQW9PRtRqM7YLFoENN7t4lBu2b4Z6FV34Pi07EKRIh6Xh eHZQwxQmpaWgoiSpaKFsD021UcFeIUrxGKr8NE9xpNave9h5iXknQIXijUcdDJHAFR8LENspIBSS +j5WiW2volc/G5CoipD/K0zgcj7YtXI/3iuGOn4ENJb5/QHSSyJ+eSc7K+/HXWqDl0bVdP2SYOah fT0f7GWjldx3iVqt3Fow4I/fmu9H3ln2vEhUlXkFjCns3+YjvyhhAIiL7b6ja5lCx5S+3Vs7MNzh pULOEqfqPP1aBadwq4eHcbj//tKyAgn4sV9DiWczevcMNvzcA/s8Ik74dTQE5FdJ5S24dguvQoAZ 5BzMRUZCMve9AtjYRNfAMf3v2v2BJYMrIQAJsWBuTy7s7iMruV/mWsdKIT8222LFlT7N7VN5WAd1 o7HrkZ0buZN5AzO/ozn44Ki1tBnxa/2MbaAfDdx+qq9maww2X7dNQTRKjTZ3OEXDY0tH+rbnbNQ9 ZveeDhxzRVBcTQa8KH+Hts6flGA3yibvU89+qGxm4/rM4rpFpb/oGoM+1txkpvtUtUg4WnMqhNST UkOAF10ri5EX6Vvh2Mz6r/ng6+Cgk6xAOtTobAYGymYc1tBsLyKaJ1JUKgYkQ2dTBCSGhyhKHuWn CZXJlhRWj+xLlrdiKIW89p/GXGipr6yE8n9SIt4U59CPPrWuDCzo1PDqsXLhc7cp7HcOtMbED041 KAxNc/lm0j7UCGko3EPViReJQxcMJ37MXLhGIjlde2t2W7pNb8eVO8fbo+NEdZ0Jn7QsmvXhglGj i7Fkze6Lch2FlakY+Dk3MSvn3QKYKWgm10wZRf6QnJ7L8jGePC2rw5Gcib7VOHvAnQM4Pem90BTS btn0265yGKi6JdfYn6XvGuJoylnuntJSksunNdYH+pZfb2vs73wT+1SlBV61fSoorczN1YxI8oMU cNJoCwooxItw2OiWE80T/QSTGBR+kWXS49h44A1HF1NGxuuFFqeC3i8vg2bd1buYYPqzPglIY0SB Wxm7N/hPwixC7jeDdzfeN5QvhSFMaawMY3Rx2ZGXnKzSqq8mRJaC5aToRH55G5dUReS5WmMOu2Jb nhdY+8loPnxTWWjKHOhI2L9+I3ey9SDoZrfVJWLuV7g3iaDlvUX0AfkEy+h4vJ1OoUqeeI6ti2to 3ic8fKjR+CGwzhmWiYBboYjXz8JcQTD3fcm/5DeeY91UczxEKfw6dzP/aAKkNk7AMijE1w3198/c S5wTClzUvTbsBpV4s/U03AuUliqm9nJ2YcCwH24E7OyPx1yC6hEcCbZm1qNPemZ3mwB7Ebd4Ww9S 5i/BHix8Tl55D5hGBJHJT2mJUtRtN9SX41wec13XAF8G1TxZGEjU5EWw84gMiT2lq0/8XyNZgfJC zw67CCJIUZrBd9WJeRfVgBgEIvkceN5IwO/tvPIiRXMJvqPfOR/3O+RKPNGSTZdAeImjzlBU67Pv prrdtXdJgCnVUMrgGqi0cOp1EdNfmwrUsRhifK9nYYZeH93fs9m5c2WxaAbgXlDPAwUApMJSkflg uCIjaYI9gy+edYtoqCSsmJASOdNf67hPZVLGizIEk1FNOjmWUvOQG0DjoHklq48kCmyP0hNvV8Y4 F/1RpTuTlMz3FGfnAE9fCzoTjCYh59/OFyl/zccEyzKydBkEaPXCsXO08/EvTSqx4vHAtfbmjKbe UONeczpE46S4sleHxLb/qCtBwjVxGV7IzfzJARDCGJ7FRUyxWVAvJp7apxuTOJ4MChuWsGqXn6j4 3+NJrCc4p6ht4jCYALK3OMXu+bYXLjzkLhe3bbfcurjcucSvXpDLrY9Fs/etE+tOXtwzvvTNxodU 7s+sdULmdWbuQ5QyVGz3/+5CsEtxbL0vpo1PCoeAmvWK+teUls8PQ8KqOdNURHXj34hbAyT2E6Jk AkIlg7NWmazaGVcns7GqJoAxnlFuy9dBihVrjmpvTlGw3X3ILc7B4/uEt3s2NjsqPV983Y4dYsT1 hagYNNfpXqWdIqGyuvzwMHN8dzOP1mzofX03kRSU8n0L9CnzSZOVnEvWs92IVZQHO8WGEllmO1N3 2kMkq29qquEPZI+G7b2jIhBEpClZGRgFZLYNQYy9F3aP0K86g1nsJrYpl04oWCLwj9xnhgH599fb yoWUSmSote8xlaQs47Jd976aChH1UvpY+rUmIuk+OvjTgSztgsAxIp/DQXOGrlyaOIy7/Pj+54X9 SLTBs6kC/Q9P1V3bWsFny8wVC+EWv3vtEnYSuFS9pcIwOKJDWyNO8qmcmK1fVXBtEoDIdZFcFUSz VBdjswwxryk7/NQzIgrJ8k5saRoSRuy8/GH8mOi5+yf/IS1Ut0hw3n+V2DpXVRqOX2LUHLlKR9UX Ec6sjYih1gLSnQ0vm/DUhYWvJqeS0+bmvfb5qwCE22uMMJ7oFxZf3sUVouXqqtdzvt8BmyAMP/LI CkXDsC3ZHXASssq9UyJT3pCsx4EPNU4kNu6b8ot+Lk38eoPQAlBTDQ2d4G23Dnpk4p3hjm7ymkaB Kx/ozVJo7eEcXOaDb7kvLnS5q/dmarsmq4uePJ9vp3UJzQMPBTX0iZXBuhzTJ4EbWmyBuNJvexr5 HL6AN1Ijrsf8lvxL/seAgLnPJ7Y7WEp0+IFE/m6qgU7MRzXjFVyWk9Pi8yTPDKTzYQ/8jkjkjb5/ 18VosU4qPbdkruZ1UM15QUrKVqh8hfhpFZa+rMKZoYx482BX5bt5OIiLTNV0Qz07RQhvDFFTqvAO Qhg4paGThBsjnNZY1RNg8xpixrHfhI2iF/nLUHrt37QcnK/MfLheEKtv/EQ3orrsAbYGyI/ADf1B 1JThgnGDRE+oBBtQYTG9NtokyBcl1xHOPueeFzy8uBdAf0s4YJ5atU+f59oYh/h1zMKR/4KeVTkC 71tCKRsGH3tDLJXJzWi8J2Qdp41CoQcVhbDiYUZH2EZs0jPxOa4sG0dD/C/7y4Sp77fQQtUscVdU Nh+a+pHw6RMo+okz0MGyjaBVLmuUnUX4M9VgeBJAZZMBoKRF10FwCC+/cg1L9OMMhd97puDAGgqN 7l3ndoglcmFn7tHQZHJwCI7hJxGMeORWGxFaJpcKY/V2alb6y90bZSk3wh6Qpa/t09l6OSQYvdKd iVd4S0Je7Xx57yUBZfF9YmjGmxt7921gWk5ofEGT8Yig3vrHrkl6Y4R9LsuA6slNo+iLSsxV03yN yuHenotxEfn6OtfHX4pPDOgiRALMNTLZqV/RqI2fv/r2FdFTlAkVvkmrL08K70+f11b9umyYw8E5 R5S+yz0i2xvns95BJWvYF8Ft7F6A6tslfvvEyT7HBbir6w4mptVfhrIfM0mxF5+EzmsoA2BYiGT9 Y97Uro2f2XLvncwMIcXCJvgvztBJ5z3qQRnywx+Y7UX5HqIuyEEY180kKH9+lPIN4LLazooU9wkB mKTTJ9sIEDkTuYd7S52rIMxejvgdtzkDn1gEXXuLX4n5pz0q1UdKF4w/wcWfQMvuFrv9LeDtHgRO C9EOLM5BGtCvOkuDeF4JEweeyOyYOqP5UxlV0eywymimQpK6SwNOIo6UEOus7Y4j5/Sk9tYLPIIe 27QbGErb7R1rfOsst4OVz39aKb32qCG/cKXlZvT7kMHFGWl8snHVce3FUVwpIL+GiTBNDAuAaQ6D h+s6N8IblVuDWIoPczr+j9gxnM1tcWd3lRFCMOfRafl+p6v6aqO4blD7IfmgETijgkh4Eqm5ltWp Ww3yBfYA9uuaeByYMkLNV5HhjsUBcLooEj1+miHw5chr/ECoX/ch59FieSN6pOwJs3b1LX143BWD tXDS67GsrTbrqYnC96cXtgw4L8ylhNfI5dmuBn0qQTjmAWsZ/h4CHnDLv6iCXZ9zevswsac0iwaE qMd74uhIRNv0UxVnPwTfyS05DJ4oaVhN3xZpXGEty/lKOc/hlBfYcDcDSDg0AUzEVYBBw63Z/tqn d/gXh5VqPZRMPtfxqucWAAvmiRGnck0SULFTRrXxj7yrLxtFCf2shJ1PyjuakBcN8zY4OKLcR2Vi U2IGwzX5oMnkqNihp7W6KzWNrHDSSAbfdm+NZD9CDSy+M5EHV6kuZq5UdXwRaUHmyUVeCjQtMr5v cynjL6UWHQLB3QRgUEFpSIWmRl5TdwMeAfk63yaeVnq8+LUw2zS1a8/ruOAqD8sFn3HNklfGhvRC 5noydQui97XHjxax/S8DRNEQ+kmeJWkO2sULtYVXd1/7tfHEoR40npC8B4hWmfj/0gZb22EetCbc 1Wq7RaLEuuIETkt3Vj4zr0KHxKHPm66FzJMOqjcjFyl+VsjYTC67gsm5AEIxZIomDUbVySjPHsGv dPAKaILJCrk2AOEJxo0QBsnDSIYfB3sIXHtr4NVmDr/6sWxFINije9ni9M3oJnPnjeu0OFOUZCEY ZymkwZvDWoh89rzJP1pi2yCwZr0c5dyyNX2l+6iNoPuxls8eCKQsiOGHlwJGSljarDX/sf2OAHW/ 2klqot5MPlRAOcQtLicKCALXDIiLf5n18WYbAaIt2kBJcQlaUwtU1G3Jd8HQzkDFaI9dhpwkharZ kvmxS0VzpEjafMGQHEsW1oaWrswGL5jfpJvWzVGsSMe8fkldXOAyblBBzY3ydLlQLofCiP3cKipQ nkuEu44kw4VMl0mp+PvALEPQMLEEPoIagYhRaZYpymGBhwP+gkaYIGPPYEmFy9+O/oYzk4ZckFjl ndr3/j7Bc60yK/hEN2cV8uxF7XSDRAoDo3EUSi0nYPrIPAu+KNtA8ppsA4Hj9QFxkixteDSbTqV3 2V0d1v50Svzz9uYHo54vBt5+dKyin4q0J8AMsFoykdmEuT0LoOJbvxgXkJjJpqHzn2BPM2nN4oPz 3Vedg1swpEr34sE2L4IJaiMewgQf8reEKLJ1FCjeXvsEXhU3vV4CrN4T5jCoQ1wmqBQ4JHYlJmBt 8ZUf0tT3w0sgOt+k2wSpQCsHCMOKpSW6EQyOPF3orODGJoWVjCPNBajzDmURGPvQ4kWH9bev7GqQ Bh0EbbHpoy1TIaxrLsXnqIcSZUaQ4D/d4IshqSJ70LHVIOw4kwSz39+R3Gvha4baG/42yQrRef81 2tJ6mb/ADHyk4k3wMifkhUaTxc4pk5qe92QVZvSSgufDxodcn4dfHIXIvc1eJRCpy4PabuCqPaIR DUs3Jri3pVeHGk3Es5pNmUFQ72Pzb+hwpT0rEHhd/wNjY3HnWkjRjvycNfeCHd+pB6m1uv1s4/fq Vta69P31+y3SsY612wS4iMSvRseuSAOjjofU7wf5R6NMG8wLi9c+5hiU0R8fqmcbCDNFI89d3VVz kKM7TOAo6r+kQ43juoka8f6aRH+yHFgnC9coINySzykJHKAevCb+yClG1xHMdICqjgeAMbWgzG/l xH2ELNvzKRcJHoKXVeR5831VUR5EOknAP6QgS8DUbAoI8OB/0DkhWfDAD3bJrYJ6pzuW7ag63+vo 9KqNdiqK91aSI7lsVKDJ9j+vrH8gkYhqa4z6GsjnAc+B13a2zsJ42AkNobkF4ajlzYEZs5WZkbUG fEjKV4wh48VyEwYaWV/iAk7C9VdttMvtqNA4WfvRDAhUGAXcHg78iblhcVesjMVEg3Tl15VR2ejR ZkzmY+fhjvyJEa+jxXFfYgR41bUPDvu2R/bDXLOUiOjWMFmIV1JBJWFI53XoEqbGqvR8NXwCwm9F 3n+W198K+eMNrlfhHr1cmev5vtUVX97EfcY7zzOMTDqoyp2ovgPg7gc80O5jM81bG1IYg/jN4pfz IaL8u8snVga4s/h/+3iXWPEezFERhwEV9dS9nC05q43G+9WRQzi40bfTD0GtMmgAkRPmqdh5eEMg DsvgSgUpSMfXf1rOhozzuM/pAjEHl+FauU5sWJLSitxbcZ/QUFYz/LJCx6D3qDYdCeEstOFLylXP TIUNf/SEh4meRBjXHe6r4eNqzQjkCU/eKGT/ZjktecxdZoYVKQWKOi0foRXp5A5JtnF76VC04+62 3K9quWg/ntWUgRnG/yw1u9tiVd0XNTEj9QvN8FYzYwkYK8VoX6buenLYBoey5rRZ6qpHSTVtOM5r biUMhvtjaUUklPEuOJlqJQA+TJk5Mud+v28bK/cb6LkUy9i33yaJkAEB1OTDzOp1FrEi9KcrjDtE sjx1Ljo++vTLCuJuvdooUXrqeEvNS/sN9XoapfsjUR8bEr43clnyseLoBW7m5NvE+rI5oBVgpLXC LfugaZfmDlWfnqVgzfN4xNJw+EUhPTYIFlClY3XTHN0773oIiqGmrBJVwlq9gFVjwKVneIYfIOfX ORWctMKZAruHk7HgYc2NsYh6E6M9SSuSnw9J/oZqTTlGEnfxAqW+ui2a3GJbR1hk+iqm8RgBr3lR uD5RIfHJkwV9YgT86JmBRFbb4ndNXzqsYuadWPSLOVWMgcPiuKjUdq6cLXPKB9X2rKS+m3FwU2Es epuYf6oSGrxm2ML4hOFBOd3rLvYD6+1WeFBfqXXwHppUQ1KzvCR/spgmGM8Iq/RqsiapSlP/7zJY A+wS8LUCSE4IBILDHsvHe+j9uQWRQdNk/XL2vJQ30WFJPQbSat5tBcm4A6dV0dM/qr6gNsBEaAAD jlO4/D/uPt4Qa9XLtOMEvOffHqPqJHRtn570cp31FHjt3iGI7s+pqiHqrrX6krTd/ifWR0psk28o 3ZRtRZEzDxuhqNtVWuDXpBskl+AmjzdaffXFhOKpkKwel2KNeJqeQ10aXKa9ZcmVon0ZhxzzDInv hxUPoCJnjMGS5ItqL3vPIonS6VDxouZLYlX+9owiT/H+h4vJxIuL7FIyeEGh3cITTXxPiPkNmkls mvPZuxBC+PiZZyqkCJNsJ0sLYaqNPFdnRU7b/gTlvAzd9t7EdKo33qIrtT4BUSrHQg3ZFbMbw2zg rJuCbSj+/tFTDviy5qJXbC/sHmGK3wW7M0+D5NXWJbLMmWusypLL3+cJddS/yq9okLZerzN+v1Hb rcl4DEZLwgDjGjgdwbsUSCgfPTAVnnbfWuuuxX6kwtdkVjlB8oc3OufDV1WsXMdh+BkQLeGdJKmI nZD4Mv7gLSfDsYlWLBXPeD+KEUmJ866VqCEgdUbzAILlnGgIVD6bqrmb1GmBT65R6ovhQ7dwWUif /2fy1c/FNrZRMZ1xTY8LEPnC8RFwrr571Q7ygazgH42L223P3r7MDqcyfxF3T4TVbSQyq3FaHsXh vMV2Jt3ym2oX33YyPnbfVKftdvhR9OQOGiSa2dVy9NA7AHXXPIRgihgDNKvA0DsFuof44LSsNY01 3kXHwdvtDTEDRGhgZJIrFCvXr0ENLMjP+dk9yIpf1W3TjYujjVubL6R7kZ8mePEZtupDAolXcJGj LV1LcATmmZEO23QqRav5JYkiSbfQvFwVd5YqWx/QA2aUHHQDMxP80UNN3r2nlb28ZPofoJ4S86Qs 3UZoOtr9oedw23tlqX1nu9ED2MJxZi0GQyVWIh6EK3FEN7KLAMfe4n7M6WBZ0TzBPCl2Vph7uMv2 9y8adPbE5KagtKQWERDSXXiN9MIDMfrcdi2lDzuIHUMhxpoKlzvYSeRQr80NKOE/luIWHh1uJ9lU Q5f54fvd3sp0MAOfCOTsd7F4CIGfq8s5AZUDFBdSqfD1lOnzoixpHF33DbJ6GaAcCAhCe7o8T8QP chMI78XT+R4YO5+0f/cZTeZTUe+lFxZHb9KslJUNrv0KXlqKSJGiqovYFeKGwGxovx6TM2BmLb9m 7WNE1D0xiEwYmOgaIpbdi1YheYCVEFkqdHSu1BQeyXgEkpN0y+glr//ucKHGzVPh6BNf1AqJHuHz vtwd9yhjn2VAh6tE8sE0iq/LF+uZT6ztgD/e3xXzPUK+72IqjzDT0cev2xrAgPjq/9U2ZZ1bP2Mu qLcBi1UQ6X048/cC3QqvW27EaPcwFalIYQeyyqEbp9cM+DgxdCgz0r4v2VfHyMFZs6NLNwYhJ7VU nTqvJsbV6PRA7fJdaOcI2/+FudxWX6zGS8gDN2Wz5ieIISRBguwE/Knjuxd6+/L44pRMC3snkq94 6w0JJ5O7fkPjXdhNzW2q1Om77UCa8mO3wOfjKIrF73117HyEjRAO61p7Oc8aLisOV6hdvAGR7P/L rbiirGHxKTkgfpYSy2G2KB5+7nl8nDVyNBsEsi966KnCRYedWF0UTCaQES25UWDTDIVIMJ7NY3Dt t3JGPM+CR/bKEVebD3tM0OJxkvTdp7gsC+xcEP0XfZI1eywKysx4/TnS1LvVL/vbpijMRzTPIlJB QiVNfD9tpD+QLcypAAKKjgsQn7GqI+PnshfyzPEuhApO/mj4mMMc+6gbcQQg3+Oo7tlY8JJyp6Cx SH10YYTjhNTvIBTp+vr9qyti6zwDN8D7aQxqXvyI0E7PsrafpftmLKEfNA5pcLbuRJgQi5cf8Lof qM5klibUWdO5MlSUpTvxdLdqs2NdjYqFnRyxyGVA5C2KKvEWfitZCbRGkUByQZLZZI8a/XsIskAu XmojvqGpzCWeaXWYRGF6q5ucwdgD5rpFJvxZAHuhSRWbj7Ly/Hgf8c2Rtymb5/IbgG4nD/I5JMHx 4cz/fR+qDtOe+xfG6KezQMhsGHlJ4tlm0GCiTp2vq5CoV2jsSI/j/gMNkCw9Z6aB34TttoJJnXH4 Vc4vi40OdeUoW0ZZkAxgERJAXJObKlxGiOU90xdpKSkhJ8UwDM174Mt4Uo8UQnyCj+NHc0A9DdAj tvQlDq3Xa3K4m6+DwWJaia46J06bcCnZ3LZ7S9xHFDfpwkFbI2y2Zl2KKc07vnDOjFZzF+6CALPV +yH2shGhjEyqkgI/1vUlNsVYzH2hNGKH42quhqIKWJYINZMaEOlk5rs8KHQv68yoDu7XL8uRkmvB iC6B/O4xC4K49eHhsfJx7jzsJ82B9NV6Xs/gTFA3Z/BUynHUq2t+6ggL1fadVhcqHi8e6QIgO8wh 0LWWSlMA3/2a9J4myzqOwKx6NAXrLF79qrJBoTUCM4Dsfawp9AJ+iqx29iGzLuW0Id4bGCMqwc+i WrTqPOZmoDPOQHrybtEd/fIIb/j/esO3vHdx/Lbbs7hyDFonQ5YbOpYdxkznDYLuLPrwBYQVCoPZ oyjXxTC8FN9H7Hkk11AfHMA310OswYLheOhuLW3jDJbWyWIuEb5m1rCkvlaY0enesgSiCSctAEtx ssysr+N6qL4eEEDjm2I30N0NvWYIyzbxsmHrzMNq9KGfRQdXuSRK9ZzPkcfo+nTQXsIGeQUHdKtW 4qSu9tXT3BqG94rj9iRY0YEGESyz01XPKGxvraLmnCExqzEioG11ggqnNGoXMMX5+B1nEJkHYfly zpNFRXDKJIQOFwt1RXII08gYp4wIT4wFsfP5YBEdxwXUS+BFPhnZ0tpPVNZSSuamCPDzOX6JFuRW 5ER/ULHNe7RSMQ4dreIukSTxedXtwiWoHS9bpT5itlAh+rgFR6qPK1keZGfsRBne+nIcqsWxu8H0 6AChZwjSiw61r56OfDK33N0GfNVDNL6TrGgAe2Gu5+w6ET4vr++8vBPQqCOd20Dsq3/8xtlAo0yo QvtkP3/r+A9AvhxF39LvV4pxSae8N1YlJiBNXVjFe7SGZtKJyb+tP7NurjzrmFrQxAaFHCFvPGYa 03HU77KDxZ9dZp5l3mj444xMTJSvuwxKFoUJ3TN0Gfg76qjJGUoc7d/o2T+c3nA3Jlu0Y6iiWy74 eQpGMxE4zSFURl+284eYi/KtDj473RrKUmiKTLY563tA2ovly36irFLrYcVhJCfRwetefjl3be2Z R4XOtukOVf1pmKtIed7qhzlLLVOGtDGGMrDDNBOSeKMtvD+8FaWcS40GQINmEM8ePemEqeRmzSJL yvc0u5Oo8oAI0UMCrPtC2+hp38J+GJNiLkeYybflIc5yiDVlRW1NLCn3vEJO6e+6Oz7o8MJ11yfu PBie0K6lyXu4cLfPtztZvTH6scpSreLpiqo9fLPOyRJZN8l3gV2hKTTvDeQBY+a+4ityuVcV3v9b ooRaNzX/8vfCj/J6lpIriiozRku4avBxq2dSGr59MeV5hUjGeD70fd1pDoT6PYeWlRsMQgVXLdpy v71zm/tpYgtqZEg6iEzgfy1uQyVrLMTLhgGdF7AfIJrVae1Ye3kYfzOw40bZTileK3IaCBGV3Uo6 o+9KExq1yIS0XXonbKMcZJQkEg7sgaIK0K+NjmbevcOK5qhyzzfCRPfsgI9+3yGCzTpnnvrRwJ01 8VlTAmwiS4k245MTecsv9nY1v2Z3x+sa7f3GRiyPYXVcYJLnbbopWn9iMZO/QdhtMV+4nfaWPEEW oAk1MW+P9Bz0JEo8Bdq0UzhfpqkSAcnHmMImdgO2yT34VqwKOnjNlMkmN3WYtCckgk0nk29TqPvZ Gm1DJ9IbInNXSS6uOx2QL0+onVLgGszZyCPeWq3zv5yUST/ngAwEkXPmS1EMsrlGa5Kvf6iick9Z Zz4ulYb4Llr4OKgfzX03oKVQw0gfUdMjzxAwVbtoJZPTnP+GBBZl+C4D8l0sABrSBpzf3xdC+fa2 cWEIkuqc2Bh0jmUf2x4VPwQnkn/PneDaOqdsc2Mb0dLUdvzrJnoLg7ryj2Pi27dSvQnSDZ3WaVcz /VxLhrZY9pkGp3xbyfNAFv6hgBMan7SwCR29p5U25DfXr2inym0JvxS/dv3AlNRTpAC18Zbuv2PB ggA4eHElfsyVTO8DhuyNfJoy50vziRzfccie2NHKC7L1qcWOmvJXljryj/FHuBmSS7UQznV4wsO9 Si5i/TVKqHs90vM7l+BOQGwkSZ80U/clSJIrw62LzZd5dYkqvxujgND4XPR4WCQTA7ElJAE6pLpw uxBKieblWNvjVtVi9LsFS2rfQzqQrrA0V6vimHMiCj8dz0nqdqTXly5ntWGDQ9HBDRfb1MNp+z37 m9u6oLIWr+mDY66gG9OHhC7z9SPF69ni1y0cS+RBSyttt1MMiMwqxM7YlI42no6AVTDXGN3TOZLa 00iyKqhX3WfrkFpXIcSiph2dWzXQYVrImeFqaXJaeukY7TO3SpB7cXcs/9uBPfig+biJBOMij0Gz JvrDmgIR8Df7aJBPBE8ZCe87bQOi+5E8ybkFW5XQWOhXwOy2aCjoaR+0o3efmKvyj5NN63jkSe7w 6liqh0fsr4wFZfROw3SR7v1MqRX8Yu5NyuoO0hqpBsYQBZhwTWBqWpi1k4d0lQNBsfMIXJwh5JCp H46TPSR09ByJizSfeXMz/2PT80PEfWzP7Re/qbpYhV0VC/+RZPucUHCo06zbFE0s79kk8IF52VYb wxqPjz7w5o9ZxSIPGQjC+clkvRnJ/LoHqD835uMi3dHmQjhEfbgAhIA3Qa4ib/EhAnlLz0mE4wDv wYfCK/33agqdOO2lIdw7sb7PetFYpdGZSWdF2k9+MoeNdBgVIsPSWGUQfmtbNyKgoL2Hbcz5xrsM OxWRUp5SrRBukmUaRHEY0nRHnQaTBqHHZxR/V6rFQf/CZbEUP9+dk6s3hYBxVl9t7RLJOID8oyDd TKCviGwV4hQJ1pPJi3xojgKmboW94fGPmqHL7HywCoElfxsvYmee+VjpuefTaPKQNCZWv2+jEpj9 3rb1I1X1QYElO418iPZ417z37xzh0Xw8ms39Cajgx+hGLTrLqt2wPZnjjfvYw8EXL/1ocI/c2VFv gcosV+8MOIJCqj95WaZlfrGNsWqnqReCJ9AWwcRY8SD/qqEoRt3Gk62K//hOmn5NwJq7Y/WngeuG vNqmdmzaNcC1/HuAfF3imMHh7IIiK4xU3RhBrlHcb/aBXLG68azGW+nVYVPfxp0JJNsqgfiwivtx 3KiMN2XboWevUyq4ycDc26rIhea3jK2pHSaTZVzTSqFlG8hQhxRARGSDn46c12QojqLIBbbH8gzF D2G5f0ksxfhxE1Le1Sg2ERMtFBZ45jlP7wM9KYd3LI3ouq0gJ55Fs8m9PMW6MugxBqkErkUUSAhX K6wVibcJVLNS+Rh5xi8EQRt9diFKIyGS/l+2n5zDmFRQ67GoFzfWL5fZ0pWxHobrSa2nKOazR8gU xwjhtx1J2T1Y4bfudk8L/EYoy6lS9wEB1RyhH2vFON9ZXm4M1Nqat6v/kivAftLvyatgwwUt8CHg 2rKPyXKUPqie1R4j2UlsWbknE2Vt3AGKL9QMa3iuHcBVcwMnsYQJBJ97Z0k9WZRdTXD1rXzfVrY1 ko+Cta5EeedT2xKNwhvn3lwuS33+de5s2/HZxBn+aaFCHG03udJQujY9Dhc3psKi4uQfLYiKfQKj 17jyhCoLX75zb7cjatTmtwY9OxFvE7pyCSHShyGqApt8Webrwz4Lx4OH5gBJ/gya+sspYwHxErMh WKjCeQ3ZmK+kbPf1Nf7okfQogTEo6Ks3i3csGDFZMlcRAmfGzkAUonfR6gGr39yaRUXBc2rUz9oi v/ZcgdRSkFvVTqPwLzkaqGr0Xa3y69r4qlgVbkeS2RsYNWb4vW1IJ7YPa7Ac2af2snMdXpdfBLU6 eXbKRPMsnMjSaEnFaJydnvPRsXR0gZ7X2tc3xgZo30jdfrxZ90AJQmdRC46uUdCcXGhamkWun+ww OPP/ZfHzIx0WgdwSHc4f+oSoO7GL7IDyGF9P06Wjhjuif/9aemTZazpoFrXhcLBnQRWW+LaqU4a+ +Fxd3CXgy0MRngVU69W5SDckAM9Q6V4E8VaKb6TtuWyVlqCkpfyxRdeioFCLlxbTYLrN0DLbrYgc NIN5ietpvOHYAYYSvFnWVMdhNLxL2hmD4cIYL1J65npJCeulionfVnL9wJJCa2kYdvivKVTpeQvc f0EJSOEzzH79Iq1J4xf460EmbicyoZEo9rsgTiOjPEYBSdlj90wa43E0+8CAM/Qo5lqS7BwxfIpt eO4UO7FBsaXWiuP9InsXYpoVdS1SuHtjjWKqOtt7exzGc3fJPcXUdlFZvOGhl9LFR1Kt4x2LXlbl Ug32TgBXg/+Lx8KUMY3o43YRtISwMEs+/0nTmmrJu9JdobOssJ6mOZUh8XZ6ku3xOHs0iMgFNrZz b4SVPFPD2TFiccfNlnrfF+nGk4JPGRo17syo6vrr6ixc5sfKyXB5dXfI70zVtyynI+tkDX65cyR4 Le6lIlkKMZb1hRol98qMaq9m1wi5yrgNGRVhmQmemnyN2Mn89s89BjfZ0HTfpKMmRCn4PsPAeSYY vPv9YEz7owmQVRWyO2eCV9tl1YbexmuyF/73K8OhINFCtvTiueiogAGphy+NJQovcZoJxNbuGIF5 4mhDuIet4OTlhW9qlLaqgeZK5mlh6BY7M9wqjqrD1HzcWQ/vVzcOJPkJi5d4HCwgiMr8F+YpT84+ QoWC2hyAWTKLevRWMQjByanHHt1I0sVyKmo3++KqmtaXmdh071NdPsnzr0rYmv371ybr6+XFecmY li5wieu0v8ESEYak93c1u8KthrfBDWPjxb0FxyTflZbiuRUJAZ3vp7yI8X2jSQPExC9QeDnVglQc qS4dIS1vY3sgTWAskOyrNsVX6BmUCa5t56oN8HkJ2AQyQ6LYrzdW8hHHLxWmQnt8gmtzJ9WS98o9 r0h/JvBRVX7Dms+NzJtdd18xAxfFIw/c/ThQtYFLIg15XfF7PN5LCVfCcnB6WZvXZogQGKN5jcXJ IQDMat+seV0sa0xFNMxpGdopORfZo8eawa8HZBSlUEu529gE648U62t5Di3syzA9uHd8NcVQ147V ChcjwduMFp2UdmdekpjnzatcCiTei2xD67yIWj0u7KEW+f/tztNXJfDraDgMg2U62su0MagPWiJD oAqDfJLfXxCsrPgNA/Xk/cLqfvLNBqbvfulkOd2gjfEeSCteL7bjaeXCJZIuYZwyByPgrAUTljb6 /jaLp6hlkV9fnp2mPab1zn7odXqej3dHM2HFR6fSfk6h1SvP0GxE7ciK4/Je4ZnEwScA3lM4k7QM /9uZ3kA2VzsWL0amdFkO8aGNAryz7NPdDcsG2gnUGzEasFA3DKsPzx3pZ4WwbTFm+rYCaABChVe3 dJwhjPOEuJfH3iKrEBFP3gBufx2A/KkgDok2BeF+saOBRJ9c3W8rPhfAQZ04EWnbklLntrFOkhrR oADIvc7XlIw3aeF7I0SBllpiYfO+5n2l+kM+tt7Uwqn/0czFYyFdorz3eGMXKTe26q49cwm18c+u Sn4bSpTirCaDohtrWyyGyK6w5Q16HZ1H4XZoAaHXlV0XJ3KdFu+HUQ5uek7GqMVnLMqKXz8TgMqH xzp4EYPaOHWEjyfnSoohaluTpdLqiIcMUuqzIF23N5BVIwJYQLtpMUYu4rmDZuGYm9pPGntZOk13 Kn6PE1JFMMeejIBvc7BiaNAXs2X1BX/xjU8mEaXAcC4cZMPm0xndgovvWgaUCMPkGuC1XTpzloYV 9LhvaRJuXjUNeYsnaK+6nIOaKndBPyH2HBkSOnj2ipiqSsG5wD9zcqVlgaJMUIi68eutapAJHzUp JmtHHNzu/gQgkpIzReEe7K6w9nGZ8iA8lDqdK8R5EEvmu241HvMbEE6p2aJCk/yBQSIG4b83CG1R 8NA67rII8abZYUmJxkn2RQ0AIgQxFbk8b2Ur2o0JNYNb79BWyQEAze4ej/EthmDeRs/DllLneUDc Iz3q0Awy0iymbE5/a3MIhi15ibScmHWqhVAaaQI0gHLRI4I7aGaoY18a9u/M5rQhvrJvyE+ed1Xv XMjebqFtx9yrvhQiMu7yCWQ56HCYXoVgWAWHmaJdojbg4sTFViSpmMnuhjr8TcqBRjGeWT7Vbm3B mHmmFem5lRGTUl7TeudS2kSUNW/E5TN2dgmOnQtDwSOyGLE+YRi/8HRr7kUuQFqF5YJhNEn1Pfxd l0czWqwt/dfIIT3jKv31vhPOwDh7+czQuxGJJz4vHK+t1bYkqgB1BKRdEFZ5Yd22Veiv41ZSC9vb zccqsHPleCfrLLrbawj8VZAg8WvWRkv0TSWjA7XE51nJuztwfPpXymDok3ri2hRvtmQia/AG9L+P atN53ddkQRJUGj8LvRfeKd2nOQDMnbXyh9Dzxs1dgeEubYpsUj059JIuPhmk4HrL4nCVHqmDKh1C qwkVvZAYuDVWmCY1hHmfBFXvysxNYGtTwbAQgnksjO7WJD6UxKb7LH7Snuj5ESG5Vp+9oYAUZy+Q oGre9AmD8ko8qBYEyW6WM56Ym6rq/AphE53gi3Y17Az8qvkiFnlGaGJFsH8VJHvZFJHygY3Ae+X+ xuAItIEIo61ZxekIyypL5T6xNV92q1vDSSw7FJvk6+vq244E8yLeitWmPB1hMPW9/cf2dNDNo6at UR7Q5OI6+Nlny4EYeVhfaakO+TeUHaMGMpudeTfUGySdmdASWzJIqRxPaxpAqez7K8WQ3QesaySu s+cM34wknc5GOms6kIQFsgxqOsXFoBz9c4uChfrWdtn2gZmEKE0D7wJGbxARNdvaLwveoK0zTExq UN3ZZi76rtU2HaheUyOE+O7BqCK3MRNMqegxbQSn+KkliAVTWDgsdIpZC57BEi+8Ttmld2gk7sAp rPK2yQ/tFsdIcCZXCr0tLA2ZXeHpYkZ1RyLxEVUZ9l+S4ctjlWaCt9/RWcDutRCrxyQxRewqLufl xEGjpzxDnV4ZusxwWn8R7tu/edNTWiqZWbBON1+6Xmw8ogFDXBMxmK/Bb6N3yRhP9fx8VGfO3+Zx 4xoNEVS33vvIe3AMtEGbZVbkizRcJXlHO12m0Nyt4ZQAy17lTgm/4U5KOgU+VabiHOZnB+yGXm3e i8yj7Jd+cA8ygZ3hiyQfeDpn0jME/o+yslA2mcTuqdQ4VEOUEMzWx0bqG5fdTW9/jhs0MX1XwqSX h01FKIArZ9JUnSs2T9zlaUx6tdWVTF3uxJ/SyIMyw0qkVE29SNZDGIRSZJWkug1/gcst1ehLkNVH RJifZ3muu5o6XI35iFFh3ZuNtXQqhoIVuGAQRi4V00EO/z5YOx1pea8LdT5oFppRLVZbPPBmOnGq wvAjBVjtYFsNVtpJUgYKRar/1puWje6MYapEYgp55KNFWRxa2FRPsf/Jp/ieFy7tEIc/wFYkiGR4 Mv4i2LDeGwIbuMSaEUHeBnIrD3mn2KcljdSvc/nOr9CgfsbM174Fux0oBhRc3If3OxCF0A4csyxA rqDuon0ZiX2rAMIJpDtEO+iaQCYo1sCgS9HXxEvfKWfPWPErSIuTg6fXd2uivPfI346QKyfqcoh9 IuNWX+A0KAWaqta89QSYWzBQIZFI7hVyJ15YQfE87d5Jm9aLSbK1kD1UmvLh4KFpzwZ9FGUiBnHg TnTrEpBFY9yFwTNBPRnq8JvjJ+pXpn5KEVHh7E8EcxWR8KL/k0e81ORacWpS68xInTQhd944A2le ON/qrST0cqFD9AckT2UlqY/Nr3hAgCW86sXXzCuUOyDzenhdy4nEDStn0TW+XhCuhyq32xXVXyLa h7yGxw7OpPIQeHHiOiM8fjBD4Iyff9DRErJHztwyZH1ZEOwgwQg2GLQ48W2WILD33XGSl+vXUaFB Cf5bdKEEVDVsmY4XgDlwKoCVdCxHcjfQhCdt7AFpvc3BeRNWATqnYggEJj5ttxP6ze4qxY8hIMXm Zu1k1mIdYPF5OqiKq/ULAbm6Aq36MYl4zKCl7sTIURbY+8UdqjbM+YPVocCWhM726vxE7cslUF5O qHu6Tc9v3mLDtPYjkZYHyGNgIqp6jI/Pt6T2KH950l2BASYquJsHb0mhpuBj0tQStyO8DMh52IDD JgvN76J4kNXRz0Z0FZyup8+snHfsd69ZmY7IplnVbiOETcVActoG5zta9kyWvFwQmiaEZ0GS4nSQ QfyUhO+MwHnWeJ2p5ID2yeAWUDb1fMKuCS5gyS2Tfop8/zAMt08Lo0WILTYlnE7iFrSZ6r8l1xjV Ot9hYnjBtiedea0ksfe85CBKDW2vuI8kA1lWdFOJRrBIJPorkF3uYRUPmEAYMVnoda+jsJunqfz2 KwyKJN6uXG39hRty3RMMsmetVvAlry8Uprhht1X7ud4srsz7/bbYwvOkkpLyX3sXZ5tY1vS7ditm Tm5ylMBThkHM2UteordhWJ3u7OamBEttWBgjBWTWUKKZCVzcteNFRYipEhb8F8B0uUHp4qspMyqy Wk0rp8Mc0vEQLVZJlYtY9HR1PE0+b3QZX1YfPQL7G8YDLSnYmmssDnRIC7BjAoquhBcO8acyM3lC AfOcl5m06Lq7wI1WyPEmarLLhoT7MuEGZHa/ybcCws/tezxdIVrRBkLcRVqAC6rUFovSiyDEZcje ytLsJxW5E/RSNFA0EZPmBlbcJXIaQ5ba7CjFT6C+OT90pLxxjhPyK2K6qBcVxfUIFu8VQOAG+hHb Rw5rfDfOG4NSbGxNvFfaT9+uDByzev7J5n45IV0rMUeFujTwyc+LuF9h0URxLWXS7FlIETDccl+8 3QHbuVB7hC1KTGJRK97Vju/hatIlnbPo+xBA6kZtG1B+0Mycz4wGbXjb2NiDj+xbUxMBNa8Bdunc FWHZlUHqcV8I2smgqpnG741yRyv0a6S2jomOj1VUR0H1hZ8cZYSFHnUZWAhYZ4cn4lj7RkdeV3OU C7jXudxxr560kAl7JuEVkwgnzT5miqX1A9xr0E5h0ncmMjDagVfA8juNpLAaNy1k4XyvaqcL20+I Alt8D7w+9ZzTKaU+Hu8EYmqsDb3MgTGfvEGXvFkghgIvHDbkfJNd3RjGtaSHWyZ3m6WEGzA6X0oP 5Z+A1HPa3rWUPyOYWLJTWuRBbeDIFutrIkYniN5aSxYtXPpFQV4agR78zAThefXwLWdqHPtbodtm xmWyMGz+DysJ/yBSX0XpgCucgLZkrmDYgi5tVXBWslecy0NOvxJK17vtBtzaWzlzPhTlR852+OAy JmOskTnByyrZuYDldBd9e2hPTj3rM0q4fUoJbrrmZh4MUxvYU2eqbd82tPj0fuyqx+EOCrEhRUbA pZDicI28C6vlYL6atAqUmKy3vzpf0Bh+6IC9KECQiH3WkQDyMn54wNHwnrP2rjcmSdvfKIn1KFIa yWZitnFQWgBBTsB5R6FHLSG6jKFtcx7dv742oRX0bDZksztF0wgdoUeidumZnSIR5/xfh7d/3LUT DbsA2lzO/EanwsDwwPPDmU/Z8m8XBNgBzwOsJc1ULrwRZHRh4ZFIAyZbjPX4t+L2auXG+qJAgAr7 9h67QTIGN+WPqOWMg1gfeLW02xpDrWbbQqPxfOR6Sw2VWEr8dc5IIGcQ4+ojaRijKTsEuoXPDLlV Iw3TSOVQYDM6CGr2RcN5dbFqObDiL56G54Y3dbriI+7eh5keyLYIjvLLnqdFrAjnM4yKGjrtwsVx lCCnHQuRbYDY49DTLlnDlVV3MWrBrUPTK3JJXne7AVQyQpRzJXRZtpxH9KZ7lBRs7OQxJBZJtrQH 0tY74dyMOcyL386XZzUqooEA9KIbkMXWhnKIe+rFmkT+ceQl2G+GxcxF0d0nPux8jx/iqxfh4vcM JFxXkqJYN6G2bhy3bwcASbMliaQ5LFTgthXvrS/B4nCsmIL5ljh/+9nRwTQYBxtSua4mBoWfx4GK U8r7lr+wQz+GyWKuoBRsqtaKPcXSt7fsaZ8SN43ptlg/VJGl87U/OkjnYdRVC0bH2aR244US94F9 ZD76YHUIT7cwN7q1ZsN78lju8ZLQ3XQnkuxBJmVExjHQ28KiT4egRlRs+LUAxC4Om+vUouags1uu MiDpu3DWezJRVCw9QTl3d5ZD6ZfpleUn4MZriA1dmWu5aDuuJ3LikI0diG5N6dAs5exuU1Qz+iWT TNTdxFc+CTmUr2kxSDD4jVxo3JeDPpb+G9DgWma7eyAPSxYtpSPE2QTIsK4XFUn1uV1bi33pw0op l8fN2Ut41CV3yXISEivMNJqgVKDFx48WaX0XngscgvVvTUGjIacrf2az9oqy+io+6YOcluQjpcya 4j/pcZDcvCe/lKpR21KOpew/Sjk7Ejuxb5IH0ugTi0FtBY7q5b/abF8AMSrIyOM6fy2CJrv8klZD eDdDvzVeBPBGC+Ns4xGKtNTqeV3RMuPYAcibSWZwa09nJcq3yOfbEiXDP/JPQl91PONdujR6TqPo 2yM2zcMAAWFzdb1Ok6iNyOm73+5uZTyjPxNm4TSUs3JSX4Bw/O9am9kTj3HRa8cAwwxNFe54fky3 g18PMnqSNQ+kuCXZboqR36w41m+IMICFgssh72EP3Kjci8E3vgPOYT0HIa1FrVmpWF2z23SvF46D JOq7E1TlNKf3riP+kHz2sGcnao13UzewLpp6t1lFo5cxZJeQf0iUkZExgAaqkqcpKVacAxEGFudL 7cDF8JwTuq999Dt1AninWCAix9Vsq08ywQIqV38siuaPCc21oCSIdlILj/6y5cgoN99d3DJNwKr+ ++cf9nb69Kj6sIHR+aPbm2L0huLqJEsNjnt2mM1CuKH327EwXR3E0xzU1FgDlBLwqtKDAagCcng7 H14HFoJ8zBKctNDzO9fK34piPHG4Hf10R75VhJrR9eWJfvwSKOj6uFWmluCsi4WNe17jBZirHhJU n/UnBMxYzvMp3F6/rbfl29pgnwAbU7chpR6VZkQSkO4ruCmoXEsdKRj/m8y+fyIn2/yMU7tECBRe tEjhz4KJ6FGv45snrJeYd7a6heIOAKNfjUxsC6lGicmLN80TlEc1QGa9yJqq8617J/CovanKCBiZ 4e3BPWLsXUXOqiP2PSMYOHs3v9oYAtYj7WsQT8hFSjv8GRskXf5rN4JbyaLuM5n/4KHbMow62mwL Hz14mJld+bklhKnQMjzyg/C1LBpbhrIgr0TL6ospINSd5PgSIxki7DkoiVQCJq/FVE+JLExjutvJ J5Z879KWeSIDEkMkc22xWSFMA58SOexJ34z8vErDvxDbpuLzNmnR3aSuIaPuQjlr1EFGtyt/VQ4o axWs0c9IQVc6lh+Pzkc7KJw6iA+KJodjoCfPPXmbj6KsxnGoBSpw+95iQ35Hnj179BdxkkpSneU9 qSB6ZgVdb46fm5/M4FAc3e/fZzzCooBt7jTYEPV85rdjEHaOxuh3kqbQWggx28JwCXfP5Elycaou J+unhEijpWKiDfiMN1IvpEEcsuX1kHN07LiNcr+45cW6I7QJVoHuHKLcmV1T5AlmqwP6FQbVi1l7 ngDbjB1R5Ut9zC5V7wqnpmLHvHtsGJHHd9E/SMimUM3PXgJz+4Ls90DfsjXXEWO0Gj5lsNWtHBYE 1AQHGRygLd0ttXaT785jPwQ8cC++X0vAM0CzsRqNQjKI1uGw5S7o1VVrRnWW+MnHu254Y4kYLNlJ hP3+rHzJPINF3oyCgR9rCKgePoRkDkxAc4MwJ65cwIeKXPv8F2Vbh0wwtTPR2FnnDvC0eyodwiYb puVbaWBU6dyiqdy3JHKmIadyw5jPSZL8kz4BR19lpWJMS9LHgLo/ZAmVhxY6AQVM5oiq7GucwrIT rAeJBvXjtfxyn3pJumDup0egEGkeQw5m/Bh6Mc11c2IDYPk2pzy4njGR+ncw1dra1ZJ4HrTn3Bg+ CoI9znDwyBRUKS8QC1WiB4vmhtK699yCKXDGqW4iNKKuFOaUlhAOwi8pRu3uOndJmgUxSO+ZPnXL WxikMOnMxIKKKp8/rv2thuWPZNlneOBrTNqMo2yRe3qpGbwW4D3avBYJIefFqzZA/IR/W6m1EBhf 7IJtXy/Hwd8tQBHEzbxX8AG4FueQmp+GEOYUAgJ+uOm8D6fVsU1u8qWhtjwgqODEnM0rOiSNvJyd 8PrUxHBtult9Ns5D2BwVhUsqWaXOyBIENAS8rF3df7Bfs5Q+VsMF54MJYrWTHvnuXGt6pu0vRveL nzOz0nWhvnoxdLYDscu7pxvOLzyLTz4UoR77qoi97lQvkrAMHlH0JrrXS9lPeu7Sv+eINsQqnxu5 m4T6EvlJdGDViyZD+gkPzNdKLCidIjXpjOnmLAh937jNmI8fi7aG7nNhwbb8QjcApHHpUDzBHhqb hppndrK3KodydI1MpqmN5zsd6Rgv8ClDHOnUD+OKGl+PofLa2kFQOZoSnVCGpi/i2HYMJ6sIrzjj IQwamRKIOC4fBJQW5ZavT+QUCzNjgvcJTKjsd72QMRlhcsLBZ1s8od7Hd5Gf8JznMAHzVD0IhJs7 UjtKPqRDO3MABrYD71XO8XIMQMpqM5vT9bXhChl4Sz5p8TdY1V+kA6AWYH0ucYBYNGXPXkdjbVrc axveEv9grkO/soAMVec6hee3O/SGb8QJkxQZEoaZ/pmvYtkjC2q/8qXX2Ah13GhpS+eLQNNrjRkp Ipa/a6NVfl1aO+ajk922c0kfj8CJJ0Fm3PJKmRQyfDRk8ETxRtuUOqjXy7ZIHIIwekZMt9HLETNo up6WbNgfFlsVW/QSvPVofEktXLMHON8LjPESodN+c7Gbo5vKFoHgaV2HBT8NbkVhGHnzl97Ipp1/ 39+Rah02kiSL1cqfaKBDOxQFOUqgI1yGCf4sky9aa8yuy21e9hFZ6aH1ultDAdG/6P4fpTdolx3o 7Bh9+aje1Knh7a86eCziB7Jv/33Xa0zBFne/BTMIiP9x9utvxshrOgShphekR5Z1OYAV7bhT/Qt4 XznspGdvY/+IwyX4et71MJzuyE5BoSXMEkr+xDXWBo50V22pdkOUfY993Wcro42nDFVQLfcIoUx6 XTWkOu4LoqW9vO2h8TaVMtuY1qDd8BhoPjiZzjkP1Pmo1gnI8WB3MoWYfI85zTbveCDA5dLd2/s9 O428B3X0BQDmMvcFS4pQMi6b7VkJZJOCnzm+BullPgOd4LA+wLH/F8XNdRRSCchypERi+YWDMOus T2+168g+pdt00DRC7pHO4UHN/v9i6pjQ2WmcmjlIHGkeJ85MRubYe1bYNLyhOjXR3hE5c3GLWaAI fWL7wg2w8aTNhHb9Rs1LJ5nZs4XWbL6tZh3wnk4FJLFuXq8Ev1+eVppLjEmIzkH5EUJrO9rm690n BhRmYz5FyNIkTuc4aNBoMRV7AtklLEL1UsBliarYgGKmdBj2uzSaAKjYsCORyV50vhSz6ueGpy5C NX3bXaJX/gDRHruSQVQ1mRLzg33fN1/cfFrFzTykeuGy8MnMfI05C2SQmlkXNuHIDW1o8mipHBuz fR7mBFAIKPYWyjBtortg9Cv4BqGfxqOAtNd7qbgS23MNvvnrLNPEVatRUanuvK/8myJjT/YrrN8L LsSbFKbn1C1wm/nU10K6i4v0vUfQ5jugcPROcaINHQHgs35JvlADgGDw3+vOemEhNejexKaaKJgR zZGYOjod3pNjHu6FsAPL+JNcUjgQ48m8VT1yvzjw0/zV80W51SHbFR3IgsIooNDgj2phpvxbzmWP Vrm+oLe9nYaqVtIPo9HY70AlI2APQv8lTmxGH2EKVAGBNsf+j+joRfDz4NiniPVsC1xY3PnU12M/ mENdVshnGOAxPJFJT7WL5XLwFiuBGF0YlcAV7+Kww0K3N8ZlpfWSO0F6ccMhBMDdjUPSR7ERYV+m GFhXQuQKLqgrSQhGPeB87cP/GkRjJAh+OZnCDpbaxJquN/CrIYCmPlp4OKyXwpXyB8uh5vwgH08A 6y4ikFdTJKBj8Z8zNaeh6FHV9Y0Zv5SU3MNoirBP54c+6G4rvlk7M0u+565DCeDgj8CSPm1MBVGa 2Hk0uxmGN2q8ApJujNwcu2hf+qgMKJZKv7DqM2plxU/B7JZf+/OaRWntOtQPmmrJm6mvcQkvYvpo ixKLooi9KUUGc6qP6q4ljVPitf6h33s+FLq+SvJb+XISxrWRVWHyFvbc52zpHn4x32gDqzE290Of YDWJH4wIsBJRGZ8g5d9Eq4Qvmjwk4Aavgfxog4xKRJjd1YhxRFL0Qfxu58qqzDitFaT0GPkNqCxo BkU6Gs4gARhOHSaGfHscFsgi4yR9Bg5T+2As7abVh04hs4CBisfMkviuyeETFLC8o/xVzPsEKoZH cRMM38Su6kXdWZ6QaCvtWnMvxfxPrb4yWRRgpGNbNs7jkWdy8O6bYQsZpDVtjL7jEyCW8yZD5VDd 3ZhIIBvY6snhqwTfHbCGwSy5aQqZrG7Y8HO4m1zwFaDquTMNlm8FPDHvyv7k6trmygD78VMVFnBP PSki8aiPoI9lg6OHzKLzal5/rpU6250WaKQEIg9X+1NKXnGn/2Pmti7eLvnOMUZaZ88qxa/iFm+7 89Bl1GUgwbiOVm+a2QD7/nCNN5GdltKsyFVgG2EBPsL2uLowkWBVZx9borwtJAV4/0+/4zChxTYy b7tCwmu0A1u0LaB/S8B/EA9kVUzsOOWyxdDiNMHRM3JtcA+Pbw4pJM7pGLBgJPlAZIqt4uboCO2+ wgVmb5+RTNfFd+FR09h4fmRD+WHiFUQcHwnaUHKQu/7z1wuc9bQmpRurfHMIHtr56plHuVPuq3tc TAhRC9XWad61FbJnbO8Y2WlcZoRYhT2/uB7sGiHzGZRBoaDChyoJHBynO6Agsy85HTQq28St3xRh AVT4twajiGGTV9cDSzlFt4kp+Q0e9w2cJr4Ol6OqqtPLG23/8JG9M7FcNarN4lLDc3WglkAcl9cL kSbplCqN5H3MnvV8nacVlOpupp8/VQTct4bvxY8aAQWdiDZ7CNIGEmsJ4BvoD4gqevV2QWSeUXET 1Q25Ikk/MgATC0DpSxdTSoTp6xXNge+yIu3n+g71un/acWtF9x42juoXjlYDIxhkRT7tNA0Al6Fh 7Q4EkfehQ4bVGYKrOXC6lZGeTi2I9LLU1ynv0pfb/hRHOYJaGrSe9ZszarBsAJoM8KgJgEOCHPT5 w0uavtuM2oBbSy2a917H15YHnEHGp8lFu7sY6IDs4EA9ru/ehEnxY4d1klNoSW75lOqzLXFwg5Gm Vu8SMgB1uSVTxmC3T3zh/F+LPa3QbK5G2OSZmOm1jFuzQBhXPvulD7CP7GYNbfa2l4TmpKrq0ek3 dAH1pbECDOe55Eoc1Hf8A/ZGLcKlJcghQct13Vg7uJj+bnggTrLF4+NH+z/pQjRcZyK1RQGB46lY utCbdK+0IenaGzXz8+omrHhFWz1aoE70l+k4FytCqtkYsbMKDPYh18SlIwPfVjG3DfBD+SRVeIuC yjLKvrHRi+a/0MKV2P1vqVzneoKdwQ1eZhhehyY2gt7ldKPXBNP1p3OoZ9mVWgs/N2ywcR9tsJC2 iLPDzGqD9hbZo9pnPR7yEYKF+6rCb19CZYE+Wl1br7JALtByQYISOHf4ruQwmLKa3OdmND0yLwKF Qm5MkEzQqw4KqyPtQjcm6JP4jIiJnMVX6FT5msH0VEa2i6uboigFI3LxVL4h9wD1S6hyVMJdVHZl 5i+7SNmLgaowTwSZbQYHr894l64DZ/lPcx1g1I2IsggAOnFWu/U5dWg/9NU25O+p0kPu9cXOhtsI wRJMTFV0e5pknTdvLpGuSzj4ej5e+XJ+rqF6VlfyWFMk87BuauZe9Txdo6XUiy/gv3QfWaluli9c oVr6ycXOcLowqYxb+HOuzAsT+EQaaiqLXS5W6Uk+A8F0GmNiv1UH8q5gI72KZuOoTGqe950odVHL UYWlPtsRlbXePEmQ81d0c9lhW9VWUdDr1t6UKizfzyKX+dZghQPoI0aMSD3vgPSAmCjpI8RoNvSL jxN15B5fh+X6QDNNhXOvzJuB/jPDL0j6yV60YXpicutLEYaaX/VpvQ2ARZT/1z2xeP7OM8n8uhjh 6Yd3VKZUXfkTCa7g5GWVhTpGtHQzoiF7q+VRQ99M1f9CA6QyW6dh7quNe+A1MlVB/sTKyLXpEVJ9 xEZjvc5WgBUQR8gAm5ecuo3zOLOGJvvR+w9A0rNxu79mJT7oa9ewzvnQpCwBKXwEbx8LfzycKvBe jotTfxSCxcQN4SIOp3j8SoZLvtqeJxlgjz2ZP63ntKUPFya0YIrWIc4WFbaesfbtdAyY0BjjGzKD eSAXBSgBeaRZDniFKchzx2Dq7kNUhhUXqLfvIc5NLogOSuSTp/yBNa1gMDglb8DWXCGhJAgThbVK 48q1H74KzkazvvYppcKbw06YNRA4+2ejZc92Zk9KPydsV0NalrXxN0u5HnlzgyTvKoWjGNRpZN6R dChocn8D98nuRUIEucBWOQ2S11Ztpos4LBR2MXps2coV7Uy+qslUXe4FkzcjXHGbEI3d3I1oP7f6 YdmDDpOj9WadGVFU/1eEwd0RrZKMsstit+HrjccGz0SMrUiwbXHmUbGj0ro6HTMWwtdQ9gaetC8Q CzDrAwQdLc/+xgLKZtgkCcBOwr64wh/wRkS3eoI391z14PhDamXucPM2LbxYeWn7nNDfwS0svJ6I 6i9J1YiJGBYvhcOCfgsGHMFkpq5ZDq6z+yRGrD0SkUuy2v7zj3VCKnnNpkTLeHg8ibSj2p2FCasF kgTrZr74TiBtvR905RQgtTYEVGcvbzhCHJHjkEKDBvXQZ870uWsk9WdCIh9EKfJzyoM31xfaAIiJ kP2nL5s+Fnm2YLnrh5o1gL9EkcVE4uWWBtcWTUvjV6cY+veYt1AxHNitkQdlRv46AOhJzOGk9z2k C99nGeRMLs+vwFXTVu66HAsaDhv2iw/+WsS00aU85gEYi39aP+LVm2Z2wh+LN1bGxawbxATA8uXO dLDxZSMq6IPHGnsdSHjRiirkvTCuBtsdaR0En3KqFDwKZQhCpESEPBSALuvjluNWBhsMTWmhCwT1 ECQOTIJpWPEpl58N/HG/mEArRzytKfoQV24jbHjyUy/IfOqOv1p8W8vKWxyP5NKKNKfVGCZ0t0B/ K54pBVC8XDTWGZuSek6LkOdy3eP4qtFnsjVoL2X0WXST4PPXBoGHvqLNjxoo9PRK4gKEaHc/3PrG f+dizniJyaS6fv9DLuXB/lOwFz2F35HahnMHAb2dmcoMqnmYrrRoqgYT/uUdsQFVSFA1e69auMXJ WxelvhpZIcpapVo0HTc8slSxzwC1LgzXE4KNjvTnYAHN61QfhbP9THjfnlYVZSiefiXKU3qgVqJ+ OjGGw4XZqGM/Y6vVgSZiC4NKQS5de6fhuneA9u6vlUGcefv88NjvIcS7JEszfJjPcvjwOonzKzaO KDnOe/NdSkNIjVHsD9yHWTRkMB0mdmJ4sHbPnybGkrk5HIXujFUUmiYbSsYyNI1R8f2E4cB6xB5G aqj+97gD75BWVhJu/gQOS24d/B4U7SJlF7WXuVpfpeUerxr2njZ7itMEub7HN/VOEUQv4WjweAeX A38MBG+hsvyHq5UAF8HebL59q0wLiBZMSopMAGG65NJfzFpEngPqLhm4cXXCozJd0Y6qAcIXe/5u hkuBlvhjWeynHiBK5s4GVxv6GvdtY4qd2IcS9YPD0puDojFjS6/yXK8yvb7cuPEdWOK30/Ua1nq0 xLqYQzRsInb4pXWfBvx+bnONvruS8yiGmKzVx/Oa+OGi5wUgXiAxur48x2qPja/WjdP6zAAwh6Pn 6IKS+h9+6ONn0RGNsw8GlsDIy2kEHlYliCKecvu3ydFF6DIURRYhS817miRIF34ZD/2QxNPllfyf ltAah46GMotoXFr4n6B5sJhusXKUR31NJuNK0ebMOce2U5z3/OzlIASwVRg+t6Aj4P/9x1AemtoR Lt2l0X645/MKV3W4Kv5dxl8txWMf7sfJKA17Z1isPr84KGupsXP9VYxc1DfqPZvjbgVd9ShtAONj Jk6jwbtlEeuW+gr3DwsEJ0RRpW7J/wLAN2wwdVrXOP3xmicrgcrtcehAQa8/v76xTtzblLuJIxCt 5BCYwVxhFn2vWuf9QV/r8ouH3iq0a6buXjW+qGSyuoVLU9Nphgf47QFl21kasGovA5UjM6xGqnvl HQdE86ba26ceWKigZs9tTzIt0bIuhERU+LQst30SfSs4KchGHyP0cIiYmRwOAWINOxnkieqAkVJH Zt7kOcNuSfdWWVLW+VLK+BWKN3p0Q8hLlNDYjZTRv2M0k8K8DD4sNlSmmE8cgJZ2bWDD4/W/22EF zJioV2ljnDPxM+AqzgqCtReRnBjvUJBKh7yHZ0LHDtTiR7KYu5Yw9majfDpLndZtvFGWVXhdJ4UW uT5QPe/AVkKiqzG+lO3eyxbC7zEHhJU3DIsKspY2H1uu5MdG8in8fvK/VAOwq5rEOJheCUy/ppEU LITfdF8bkNivTXtYSprCOWdRq0ZYixDMHYN0damL+pllPDl1s4DujfZVdp5amUE8MYPBJRbZTF2L nPHAl8elYiYap0/B5dNEVc/m0oMRL31vc4heYUKOPGsnslsdqPd+sQb+QdFc0L9wr9gxe5FweWol Fp+4scOeW0ui9s8m67an0QbI0dFr/hf9a8IEQ3fYkCpeea0zynwETVhxcGHeUv6KpKVr+Cn4mny+ kXOVI8Tot5GgPdT3OiACFExf6H5N3LwVFgc5uSA3/ER2+nM0Kx/hJa2KG0OZD3Gs+alTcTge9/sT RQZ9xbFx0DVcMtKM34BZkog8X6vvbSXRFYtTQj37jfhO/LjFr2ZlFbetK9djvPGMuHqAwcayZ9V/ GznobLcv7Xhj30DaIOyBdY9PT/DwjGL4Js9x1wTHxxMp8lyHpwSiz5kCD3BbqdlAXrs/GysugJM/ XILDmkYIoKCzbJ9BtuvIEla/Q4+eUDHVE0ghKBtk0KgOcObBTPEOIY+AJKCWUz/uHhjPt1Cmnk4Q dYkL1Fx7h6Osru96cY1P1aVi4oIUkBbxNGUdGfIrs1yi+Uh9PHydGCwiBmOawhfVFwE3uyZfWKh3 LK3RcZs9QUqtjuxbZwA3Rk9OzY2Z73bl9+rSoothYZC/FUN/T7kNeHNZ5rJ8AgZVuYsY4Zf1fiyO MCMlcSyDVvpR3/LSsGuV3P6QXI47Yyv3PRg8vPdW74kSDc62Q6fyCXBb6lRBIl5YxyZ7KigTjEwr R5Q077H7w89En8sN2I7nk9jPs8HMCkvIgpFKBnYmCKAFxj3DLolygr8grTUq36BU113xpPDaEnUK CalqsFhPLLq7NQQ+WtDYTY5XdxzPTvacz9N4cfsA47L5KKRNlmjn3YjphzQPGoJKrMFQdiYN3bBE 6308A7SYtdml1UOOQm5iWboDuusvE1g6KMJ+gCR4maZJHosJYAQfaHAj0pbAhsPP6RHBoP9ynHLo SDKGYxuh8PCztbQUqFolGIJgpAD+Q+6pFZSnO3XQCt2WZsZaSL6VXY4HaEpBMomI7JYFX6tvErOr Li2tOKYtsu6p+y4oJMkVWGBxatgfLC7BBOZLFYF8+imTzaigeVoZ56PSPmwGtytsW9oNWL1lmbQB Vz/i4t0qW2cnIHZvqYGGFdtwYMxCKdzdFYcapIpfkwBGKzBtWlcRGsgFQkWTfi1gtiWObfIj37tM bRoCKfqxcMpMxKhuZP1cjakcp0v8b/LZqqBOMdzPprjKd81EEoY8uXYI/U3EeUqRNN3Qx7aTrjCc Ai0/MRi/6YKMinJbEm4dimYzQKQnfKlWg4GhrQGYUHe3C4AyePK/6qgB2uq+mGQCOJKlHMnccEWy 5oEOhrbSUWd0WPBelIiI5P2WHE1HLB3Z/6rUWwEd2wRi7qKHBPxpkX7NEgAZv6QYcdNrsHBaQhiL ww3Lua3U/YiXiv96A7QjwlQocAMyU8IZdbpUWckIgTA+Qx3e4+Fb042aUd+z0KHa4DvcVR0Yn07Q SjMommMFdGpfipDGLH0HH/gLAUsS8Fo/aV2sb5rYDQ0LqbiPGUAvjz+yNC6pfbFgkzHcYiMIX/IC eyXMYFMrUuFOz4bIPaFazgPtfkNEKhlBYwYJk0vrSCT95h9gvS7BAUHOwVKQANwwWnDKW3NOzIpN FUw2s5He3ATp976tbkjmulw6W2FtYIQ+kJjg6eZm4bp1O/FeeE8BHxmtcycat+v+9HKJhUtJiapn gOazbT/cSC9Mo4gl4ef7G4zVMcuXVQ7qq192OQTBZJErclSXjM7Wt7Vow/kei3Kgtuo8cdaMSMUW k5bPHN01XNQlHcLSG5qzTl09sUP0+k+NvY752oOMRtTgx2u57ogHvLUAr7uOPCVrh7HDa+7o6N4C HcL7RLIj7nuORkIa9ZYW/9hlPoMgn46BSqKYDvqBiHZGernYChe+bZd+Y7VZP1yD3Mc3oVEM5u9g kaxnOQzpety/r58UASAkDb7danO2OWWxOp15UXE1vpz9wcsWHhHjjAxm7X3B0rVblfdEAahwkS3f M41EmgwaIPsNXfyx2ft8PRzR9101aKf3bpcmgraFnt8ru6AtGREAJ0zrG4wwga+MtUHQrp0jA9KO z52cW3KyUqyATL57QM2zdDDrXkyIbfeYrLoTmx2n98mSShTlHzhtZ4kH8hntG5808hx1YJH8o5UZ K+3NrCQ46LHxLqgMHIkL+j1ca25YmhZbmpOTvlIpEDCzYczT2f7avXUi+e5zr2jaESgsLBL8h+/h AHslF+D5XdWcP25OHvOhPjEgTEU/pUlFhw8tZ56kkcJcG+PhkdYL8vxnhrHSC66pMg/RC5Vrf6d9 RT/GVXysOPr7bTi0gfvUuBKK41bdpXRx7uAw+nMYKMbSbLVTOXV1n2xhf7o/JTxt66OqMtZfEvfH XY8L2TvncvxdFQ0ZD4ReIcSsU57NV746HCD0eieSSEWUchMmHQp1vy6t/wT14gDDOtYx4110Ui4k hRZcD9cmQx2UN60I7xfEjx/ojPDgKcOp2b3drjbALtvDlWHdqLGOUGwVwvTdvDZ5hF18H1jbgyxy QCsHd/R7L7TwOwyx10Wtz9pVFQJYn+DS4hIk0VkGMkzmJ4E3pdtDRYQeQj/CrJwS2P/e4c0bknOR w8Us5eLhzzUM3XEY8HRZfEaGzNMoWOyvL6xUqXawi6appY8kcBscue5eYD0QWSMX3xHC18aS+6G2 qHeZCw0aao7GRKH8KwiWwxAAQxeQBFQ1/Wu/JrrJx4PK1Q7Cpv4MRnasZSxouuVrpWSLY0I2YzWm y12890b80BiB1JzSqIqccHEIeWj5+zeYGAHJdPZD1V8O9zFHagoDD6B7wl5JCoDUbs5TQOls1wDy tkshkBMKxIPR+79hGd03aeCKBoUEvWm/ec5oAQtd9YhaiALFPUK8QMLPxUIi6vpn5T1IDo7FGYny fb8Z0SoeVa8wUmnXsA63OG86XXdBX0DGxB6rC//d7jsxtPAKB7fFN2M6pwWC4mr7vgKXoNqdKQzK aR1PLEbbuCPGmmlN+1/pFlnftJsFNk+muLRwpmYBHxjbixt+YB9ur/pfI47sM8SqLVGtCsREIGc3 9b0bnYcM+V5bnRWUqwW3do6dsYG2BuvPhHypnnSxvqJ/K/udQub5fy9E7Uw3e+36m/N4HQLk1lP3 ZsS4rPhsthKF2FCtdsnJyX4aah20d4DT5wGW0T6FxnjUKTo19BUrDJr931jCc6rLjCW/FIE0lkrT JMBt7yMWY0NdsJvObwcYe+pe+007LLHm4pE2Gf4hNaW+kqoPAYynpGzicXYtVIWhv2m207pKYzUm I9eSzmUCFjyQa7yqwVLB353YzNFIDBJYRmhH6LBiQUkoj4viQXB9YW3+EaxqAEli1vwg8JJYtRun jtQVW5OeusdL8WR+1uhI0zQ9SIvjrSSqGNWEd1QRZ21ZnbBY7m1aBFZkFmMzB4yCvzqiLfxU2psG It+bUS/l+jCVT8h6udhIlJNoayVQB0n7vsTIP558EGrQsmFwtSjs/WIs/dinPJwTvhcGbEcKTt10 aigChx8ehLVs88KsKaAOJerAre/3I77hlWLaWUWQLrlbqU5beusR3XjB8iwDcABgqNiFoJrvsN93 9Us8mqbNJM5fZG4BkjrlNO4op6nyMHMgUBOpADf6Sdiv8hOR1O617ZdmYrKuRgjiJjipX9Wds3r2 4LqqHYfsKM2EQEsQpdIIuBp9PYFGcCdVL39ZA+vY4Csu+KxJXcPNe3RoETpOmWqsiMEEJtnhmicI E28T+5afSOOkkIEcaOXY167wV9LNg9u/NS0wYJqMb/E8lakvyy4NswamvVsM2lO9sSZIbPfdq74E C3Co5PO83lGVtiVp0bCKSb1MQXXdkMUPUR7AxKR8E5+d7Jy1xTd0bxwYui+XCxsyh24n1g0cYlUD lij+52DlRfSV1r9IM+eLy+J7/TWjFYLh3eVRZVUByQFUb+O5hYA5wwG7p//lRdsaHqL7+SLRiNgk evxyiTiELv1jEGOAoiIIfmrvEYPP9ZRB3tclnbcLrl8cCL61opO0DuIU8+c5gD6mK77eMLb7RLvh o7jwoQPSYI9nwj/8OBX7dhMcJjcj4XNsKUGnbhbcijKhgaYp9adi9EtPdYup3FEx0oSQa7FMIyRq 3/OYS3WP8yM0WBjEAuim2hzIwPSo0ydT7Q8ecfGbjFBoCs7fNh2bpHaG4PK0uBcyyrZbi0IaEaZa HoWIC3n+B5CPv4Xu5vgDTsD6QEH9Ai23hmb1Xt8G+tIIEsz5toJou6hznhzpJ/oDWv/jZV2ywo17 mYybtgOq3nZ2V/d87fm8/6oJ/rOtSyNcb+dAqJ1JaMKqUlxlVGtmNiuD+M91HzaHbcoG31mJrBrV ifuh9eiK7jM3it5qrvtRFNiLCLnTf56/8rwZ3ekBJhHvnlTvCqeCaUlKm2X8hywNbmKvlx1Pzgxv +jFU0JnPZDUMCvfqGHJr0ENo5qqeRPhERN0aavWxfXId1+2HvEmXTGjrx4f+itB2AVwovA5VebZQ hLmQRjM+iRsaWfO8krsNWShldJkE6WS9TP6hJMR2tLruLasaEl05zjwy93JOfwZSk6ApA64Ifi4g pIFnKSjDu82YFmMKZCUSGyd7hSjnfO3cNo6YMd5MmNRR1VrwQAps4CVz3zF4n/E3AOXR/kjKYwQa faJqLjJV00b1qCVUWixIlhq/03O+NWXDgyAUhMwRO15gPskh0MbqVqJnx8cWvIVTE5jOnMMLyvQd BjkpR2Xu/6O/hWFlcK4hpj/5aYLrqRYrYwxGXlE164yvzw2su881BwCfWvp3HvVRk9a8+Og/OPA6 L8L8zH7Dl2gYd+1QVmW6fHMk0O9HlnoIYSvU8mcf0r/kr+53IE5XHd1ws2oGmcMkmx10rCGQK2Dp yDe39ul+uUJdz7f+W3OVnz9BEA4KkOMz/X/ANwJ0tzy8Dj5utRkBsuXBHh5NSJB/qjEpmTbMMAb3 vtr/oj1QNr62M2Pr9CLq18qEZj3n+ont/E1FIuXLA3KQ+dcrnrNdibqF2izLQTAu5gq1nqLB9A0c J0loJW2/svGruBWj8rb18c4vJXo0kKOlnDFS8ah5/3F/Ej981U4Ykr/2AKtLMznbStNN1qib6+A4 dOTAdLCiGIxm1EGf82uwPyoLmVZR5R+eSU+FNyCSpcn0NY5wP2YgHy+tEIucTUXGZmh1sM5CRneB 2IbGNlWu2Ql3ZvxoVz4oF3/YFCPlsYrUtUn2kQ4PyFpa32Vn0/NZr4a8b8dEDfHuY1kKtUincMip 3TyPNwmeVTcLYMjx206lr6GjW/zFJPkfdCep8UKcOuz0PPqqtuTDY3eOQMEcNjVIBgUf0sBVGGNv ImOgWVI/N5SsJ0/Ao0/TabSBcKDbS1TEY9+W/fZBPJcr44XCrSm4Uedt4lX1e4AIzD8o0rqMarQe P+hYcP/7zXTIJeUwqIlsABu4Tnf8aIANu2hJMM4XgvngWo7PAerUnOpzQFcdMAL9BC/DJ7cUlszU Zq7nd0r/N0/3ziC7ixRlW7kc5ENgb9Eb9raz1MQx+wkuDxhDVzCHW3KTzlSlXA/cfDGwrrHAgOr8 CBIfGCWPLquMqLPiSCrFogcDHmALaW22ym22UkpNMx9GqZRswmnG0WcpoQDx7rw0TCjKmpnZbsb4 1NpFNbQy0juu3l9ng3krZ+64lfsgW4Oparupc/2kBWeUlTejgoqzq1n84wvkU9hx9/SM+NGcX4bv 9/EwK0vnqSZzj3+8Dk4IemV93MhvjsSYbJcfOMHrGbzhRyFtUs+oPTUbrbkTNHA9G76Tfsgl5bZn 74ylaj9kZqIJ8o+c06zRYqWgWDakSfR6F8StjPd4gXGwx/Cud/CjebpU7lLWy2Oxp7ZnL34dHdej T/hvminIjLnGYz6vCmsIJVPyHbMkuBLr/J/hn+5ooA0Dyd6c30AZ/GzCAEk4IL9hzHaroI/fxeVq c2pgzx5WlkN2dnA4N/uMxngnYsVWNe5LtdMYmFeQpVcCSs301422+fSplcSlQ2prpZiCd+zhO4I0 KYCjgsohjM/U4Ru2RJx0g+lc/u0L5uMK2kDEIU8vDrz0V5G8n0MD01vJSkYtF6XXYIYgLYtFYXyW 1nzn4SY6n12DOR4zzFYR6pNs7KyRxB1asIV7VUjqrJj5I+JpUhDyRlTBLbk61E+xaMEX9aKaRZDd 4rxlnFQtGHStFC4XQjEDAapxXNLTfpv1kEpJ7q7i7awUPZA1+FY7Sd3TFjIxFOo64MzIc5b1146y DKlK2rWN8+UtkscEro1xI8uFr7VgcsECgkocMqXbLyo43r1k/m4Rfnw9/X4SXuKWF8nsKU8kntYb vRApawmR2Agg5s77EkUXiCz5DDJTm5rbxvHgkPz+oM+AjZg95UCctxsOk3jdH41b1Cbo+NXTQeq5 9Bgy5A1W6yZUEP1D8pWysvpBXjr7uC7OgAfrAbsSrlDWPKY7WxdXJoxudpyAvQi7eV1v0kSZ2CaC kwKejG7zGEpXRsJAfVv7t2/cGnHJnZvJNXEhyaZZepplb0jzCbcuX29kd8K1zwpIoJtirmybRjR4 vT+7WTLYPGRH9iFoOylazvCNXGV00qXpUQl6RdKFYFQGVx93BFktpJGLd3k3BuwbgefX6jQsBGWk NHJ6tnJPlXwZ0PgwYz9eVWmh5vbL4H4Lqyb3EzNEjFgHBWcFHmn3lwV23cJkkXOBh1P8dA3+psqL RHsz9eaFvI3IQ1Mb++a5ur0hUuep1o8NELoOWdz6jynIRWZxgRYV9ngnWc4X6xM31qs0rxfo+bzD PUhKv/sT3wX50je7YHNeHyqbQ5mMAzCCTs6Bx8ce3yB9/m+s61tR+9vRf3OmAQf/9OZFD0vxqHli TgF/ihjm++A/679SJvwHt44N2Q9W1Q+oMIilADGfQM6bDtnTJAI74GTLIC/bVOyZSoxdT8mN8kfn LNTECwNIqqfYpeJuZXvrSwiV5jwmBbcWc+sf8ixA7KdzMV5C85hxD9xjg+7xOiFXWt0Q4z14mugO 70SEd+UsQv/fybqgg4YZRrbVUWqpkAd6JhqWhnK7oXqhg1tjwqqWqnO4T9hdGFNchk3BdkiaMOOW tz11clXzMxNqyxCVi8reXCu/spHbHi8MoarYRZn7qUWDAup43LFSucjKeAjOHZgBdnyN1dS3vnIu +JnLmtDGbHYlT+TH4JDsnKMyUqxGTwqimmy/qGewglc4NRW48S6ljp5wyNOQZKqWSokP0nLiaK7u eYUS9fVqKLuCnnxZ2ViR8WaEa0uqu+n+tS3UyHY9U8IfN6Z0qIneJHpH5eK6tOl0LRYYFhAhYAJz fbRQMn+UFEI5vX9s0OsfR6oOrvu1KfzqAuXy2rdDbQR5eWCWkScONpXrxGmvb+2tPsbuMecaBfd3 lUFyEt6tEA0g4kDQ0JQjyM/c/s50oZMuimXq7RMzmOWEBkF/ZNK9lpHSKp++RZDETb8zWgSxVRpa tmaj4hY9WmbBR1HMs3TgT427coR+BR4bCEt5OaMn13mcwcAKxGUfOPuLlyKKrQMdswodP0ukrA3U pEjfjVrepl3r/NK8Jjih1sLDy3U7qg/Ae/FpDixEueIoEy/7oVziIVx6MH2Pv8p2L7+2o/8wGi9C DDIWMFgMat2KOHfWddlAiMmHH6RRMi2GnWukwun6c/NoIYT9+WKhGJfqnuD/5F1YloCPKqL/29Xd +BckZ16lnFqAPvD6nBNurq/CQn6X5/UqhKPc9jxNNJ2QB0KHP/Zp7dkk4xxuPpriHG8Z8Xi51OwZ Lvjb7KH/FOddGraFtCnTK95Nywxc7wZ0Uyc4lAfFkWvuQOkqnFin7ZO4q6BY/IdhYrAh75SOrHDl 20tIvlNd8I2xuQQqzwtgC+sLDUuVOh3+kIhjBS5b9S37KVj5M3rWTrtzp+umW240aHkzQpgBgD2L N/M/JVTv1djmu6uIr4REH+eWkFSUpwi3lwMxjmMy9rr2Dwsy10A96HDppBOKwlD1Uceefv7189Vr ffQPxnyDJXrA+PrpGDamWElhqYnKe8GId4DT2IAp2C16jfVQPRFnv99EztaK3WR4HtoFMEVEL7wD hyOdQSeHPgBdsQrSZGOj1mb346SaU25AAxHKBI5EWIy4isFFo7o2yMGmEE9vp2jIOADI+jFity0w 6K3cnYeV8MuYJhsFt0Cxx1Xe8TorJZ527ul+d7RgyidOZeER15o4XrXghCxe0k/3u9iQCkiJbG0d jKRaFBkc5FWChtVUXCCHZwV7iSXPmoSmFXV9sA5OE014//tE1+Ha0xn2ABkoHrDd/iO9gVc60z2H pB2ok1x4Pbp62F2Lwz7HE9B1dZi1QS96BsyieYKOHf1nJ3iKCdv8TytWg45MYgXbMySAIDOtLDIP HJJl3NfqvajyxqHhK289mlJkzDkfc0spn4aM2hXIpcKXG+IXAv3Cn++DxF3sqMXbPUQM+mh5Nm1O rvjGInW4kqRSXhSVYtYETNaHWg6sFCGnZ/pt7qNCVR2UnSBDkePxjqMVEVPModaVtrlzOy7DxepQ dmko6yWugRo95nqnpMglgXzLcAm2DiLhbIwf7/IZCWz/gIYwMAo7sUtZJ6vR6CQsk1OfJAfNMxav CobSl+YEOaiYdG5fnDaOW+DRV4TLiWxQUj5JwqkuQKBP5a6GB49zWattEUen/0uy53c6pfhpy74B Bt7EPaeH257KqtJaXGgx5OqN8zb89Z404s7T2R+HObbzHnJbWTvLz/H/CdFaZW7lxptHpAYoMqqH XO/MwV1oihJzMkOoMKPuzF6/aKs3d2tplFvnol4WF/32StGbSUkoOLXaNz4odYzxraUSgjA+vzUs Z8VHXFjbnRk9U8RAufAFVEymZ/ldLN2p4MPMfmlVnkl/U19lMhTsaQNOfbbbpJuJfnbi2cT4FkzY Sanp8tRNgvoM4oU9Gh73qDyzjxTUXJMlb15ympQ/Jn8imcqgjAX7X8PgsxxCqc20cnyi7vOxypjs JtB4W/g9wzyiWPcb9G3ZGdqthUCkPSHzbVvBle4jgcEuHZoDt3wecJY2rNQw3CT+XzHLw8T5Pgky Qj2tS5Yj7O/WuYMY20ESRmrlt0W5Txq03HkvmNR84eoOPFhne5lRJK508ekMOZRoUgJfF9SScYgq Y7DtXfNVXCc52dbaYvpXwX3SJUtcoTzqZ0ieQ0Iwb/qXBB1hI/GibTDT+TXh4vaz2y9V1vNZtWSw EltDEMx721+UKiCc7ViKprjCcwxzOrxS+lhZ7ctNxBhcMfsgTW78tlnpaYVmRcKX1tn3//PyGlba QH+W8Sr3vvF2QDLfdav1OJ6umwjyQ9SS6xpeRu5thCiibClVQnhyqhi0Ye81XsqDcVz9ea25Q7Wr N4j37/iRcDS+PgxTdGIiwQ6HYVj2orCx0Ug4PxYoVgwMnXX73RkFlEeefgRMMt7FptirXv7/zHtu v/hQy4olXuSQ23wy4AL355bNBPnDCIr+Aqy/QkVuNTa17dqQ8C0KjaQOynJtmNAN6BZ9mYBibZrs Z2Kht5B7BV0wLuOQMj+JhynMXEwly8SiFcNq2OIVT/J9pSopDWXOhf3WF9UPcKk7TQEH8/bJNZqu ZMH0cyYieLX2P8/v/fe1EEdcsCed3tWJ8EZdW7bWLv1FAfy0qwz2hdxj3JW77oQ9jmFoa1jLVtYo TsXdAlFipAmFyTWsEEPMgLl5VysrWGXEfh7QaHHsIcDwX9TeJU1GVp8bCf7xe9LdQHpTCepfDg2I cOYoX/B5lGbyrh5zTj+4pY59bA5U+E4xr6odsXMvvyOoQgr1QYpl2QixvN7VGq7x34XEWu3JyblH YHs1lJxgCnaLcHGmAzLfbjs3pTOKu70QAiQ4XhyvSwvDJBte1VwSnKiKdfJ5xfA5Ls5hvnJXMbMn GklcP2oLNaIXbV3IMsU0ptnD9n2fGzi3KyxYyNBTjD/nLiHc/MfXP6gDBkGegCME4PJ5hhU+9Usp YpZ+FdnJi3aT2TlRHoSIwdpYb6q3iJrg+kWuD7VzHYs38AwoII0+z70W8GlLI3CmsRB4MSYhCS0F 8NrZ+IcgN85AFAw8JF0c22Om3gs1VhkxqFV5ABwjcTPPoh4fn2ArqRVqv98qWf1VrNLWVoqB1ai3 /117XUOuRxIL54dHTxFbK9j7FFXd3H1FauGk6/UZrz4dp1xVfrY97o8SnKcXxeO9Vom3f+bSqiOs jV9C4Oj5L1/pruGHAvBG3qzK6v7vw8qCtQuVAt4C2a7XxfyCvB7yiCjpGqL60/aTTDatGfrBsmk0 XMHJCVnoFKOxbX7bza6YUt854Nd8IBtH1NSf3VvD2FD1Os0jpwRislOW3iCrful1KCuvAgdymhPU BTYIxL8F8Hs0N9hZGO4Z1t8tjiMsUZRLnGdAxiOGO494JIiqXmLwP6RUbVJFBkj4iBPW+7EL4OSA smvg9zWfg9JBt3tFx7ol6uiQlBlBdZrsLwAlykaxeOJe2TddHMILz/5Au3rvw5fSh011vyiQyKPI //HUTRPM8fqSpZa3QBx4FV9bpBitmymNLWSgingaHcRc7WSKbq94+kMJs1LMpGH0a/uW2ond+Ama jSG0nk4rQcGwgRVQAy0wSDwV1Y1JAZn1AyG3fOOe+Vt6FIiGg3Z/iq3asz75auZJ7kCokOpxyF/8 EfQHNmUOEnt7tDONdt7ElXn2zs00caFsFJo7Moz+kGK8Tvba3Ls1fSuW0noMgs6obPFmYsnHXGCj GlbgYzffKkFr1DApb5yYTXvS77boFNcKLpu4GlMBp9n/I0ytrUQVD2VLpQ03f/53BSSGvkshq9oJ PIZSzz5faSpV8+ruOCMkwMedcsH3Mvug+jCZcMpeVCPkFuhmFqjo1aMybbbL7xkU3Jlo/eeGTu16 QMXLp3cwPamrztbS4Gc7C4N9ixCy39AZ1QrTAhRlbus++HGkW11+KX/eZ1GyLhBqqZwop7hHZfz7 XNV7gfO+/kXqdfBCaOqakwFHzm8+vpQZZJfRsk2eWhE2ccCtSIz+dqR73yQwtiqMZJo/Gw6ATAwR 8XXsdoH40XRIyZ/dgfV8souDclcwJKFz1F6Ef+TdFe4GbVi31O/vU0tG8lt6tCYuROFixUjFi3is gdTUbi+sBs7Br7sWFGuzRziJUFJoTVZ6SMe71uUYaBM8RSbyEj3NZgpFY1tt+cMCNnMSQ/tu8Aib vi14JT/Hy3jzuUMby2uiV6mv2Wv6VNX4Mc0stEErPxgRVn+eTUcM0KHdrydL0oIvURhi3EHlLK9+ h60wwfLf+nv5L4Pshctqcw7JVmkcwwQTJHWNNjxRIe7L91tWkLvN4/HGVDx5/Xv1ZZENrVMA+MnQ dsNCEXYfM5FbMAop85cKS4wVMEmXacBNnO0xu++uj/oMud3U8e8Mfw2ngEXq87h/jdki0NsyXjSx RBBPZgZdWOmn/5ICa2zdHwlvNYsXnieyWm7Lr9R9RF7B/gg3dhK5q5iD1Ql+raLD2dkBao8MM6Bs DZIqA9obxA9tqbWJcdRZyx6Qu8x6Jfk+Ps237Kzgc93EoHbW6lpfjCn8RsCEw5/jwyveOeytVyRS 6FSwnrDEJMCAA4w0yh9oGT/MGARvDVF4bUJgXUmZpo+k49ke4w3YzN+G1RQV6W7v1Lw/Mw08N6Z7 mxpAqEtaKW+15u0HSOrWROezZ8oHw70N0wOKlVZXQqhgUauAPb1/aU2Z64ReCGLRbUP+YljYAXfT O/zTwh9QalXhEIjFSVS45jfl+Kln5YlF/TkoEpGqLJtWaJjpn47g84X8ESkgoPPjYyq5RFxaoPUe m79sq8DvWx3l/vtASTlc/rh44TNSyGgkW6VEct4fflLrA7RKTyaB5jBi/cnk2p44A7QR/OF45DV0 S/GgaZW0ayPOwjYIy7brTSAcwhiqMyxmLW9TJTdXASSGbAf8y7IDIATgVZUgQXDFkBg+q1LGGzd3 1UHTRRkCQwduPqOzgFKYM+IsgwecNN6D44kXBT99lJ29FhWDaVRyfXvLwBWEH1zn8RrBd0qiJP3a 8pfdSiHbbzoU1DbHvs30Gizmsm3vO/3JVC4XYoLUXGAzmAPHdiIMcwQsLYwAHeo7bPovhkQKDTvT HzdDwrjY4eh+wPxPempoW+X9v7iK7TrKXrf96oLJvITF46NXI+9FNmpHAPSrbOO/+pHNZPC0T4Hw W6LdiraBt3KHPoNQxZAot2BS9evc1w0qfpl3aikIRvsaxllc8IEzRjP4Uwxnwba13PxOdhf4nucA iahCMfCvO5N+GDwEx62hYLS5CaYUH0f/MNOtJHN7UCz7W9qyXKdC5PP0PlGBgPva2NHgmuzONaho 7j7P+QRpDiFGqWjE1ZNr9Cl4YS/CCaWQed1pXZ8HWwQJA75xzU+My9wa7SjkL4PemJOQIkSeasvN hPnZRgFxzcaehqwqCsazm+gtgZTar+0EyQgPNF67pUcK4YDOq1Lx5meekEFYFT6aBNGM91wLkP1N RQaNg8b8gX35P1wlG8fwvA6YSGEYl+AXLjIOE8rLonVuzUeUxUCMVOVKhfGKRM3AtmfM/vRhcXFa rh24eFhThQ5W1brNLpvOiYWC1x+pjCG6gISnlrsRbuxIGDM2ga0YA7wP95b848sjODu3oU8Gl/8O q68HySRfkOWQwXF6nCUNNyxV3sb/2tM1UM2DPTE349SPTs0VmHWd3+XmPdnlJAT+q7epF8I888SF PQsV5I69QuawbEVBxStEnU9RJG92lI10VgiTejmdcCy0Vdfm1BR8H9sb2EbhQOZ9MJGzCL9+Qqhk qSuh+SSKgXepLrcPEj3TJ2r0dWv9He41qMzdWVNgTKcFpjAjoldF9GTRFPo0wgbP3DEVQtc/rzL7 HJXUia8wk/cnyG/3qE3VWmiYX52DzHNE/TeFML5bZgq40TIfcPkFDLTBETJEXkZXWK2TjiErx9+U 7qy4s9u8YWs436r+gsGPBGMch61XA37uuQNzJv2NwKwyyapbTtN1MLAny2dcFv5sbZsEBNmfelgD VhOTNFmTvZ2dy1GPf6zizjU2IGyxOJH3/ltqt1eaxTxCmykYn1JZzauXxxYgWg2R004u6cRB9X1p hcWy5oQPBH3q8DUr5Bp8VEOznVNTaD1+sbByNkyWpo+q/pd7S3r6o5hKNEPySpKahq1UdDEm+leE lPMMnVofBPbAYzQ2OaJW7s7+kes4ZTjrwB/xG2nE42YwhVbMZglzW+ThrsdYjGudLzkqugz19FBT r6BIdU/w8uSSq/jA8rtsaaHzuB/2QTk7yiRzmoD9yA7NoXKebx9WuykReGsOjH0Eiyg5mQxoiAoG j2zSxtuEIUcPIQo7xZ6hsLDWA4rwGIZuJMopixbofXUEZUUzyF+5+ZVQf+977luXGMmUgp3GIaN6 jAA8HynLakcXnX4I1EFumzdh7o3yICCH5YvZ8ZzJJKoEBOjvlCL60YGr710ZDVRM5bgisP240gvp QmnNw2kDVkMXOElaNecHN57oeC4FQE0AAcCm+dApG0gDI4mI8pe0I3Ts7Fx5hPaiI9pZV9ipp017 7tg1iEzB/DsGrTcwsBo+Hr/CZVjbbAUpJt26B/BIT9R8fq540bvJNKhDJ2SoTKgwGOAbjJLAq6yx YWh/y2XRADl4lUouZIN8L/5Fu1iIJhvXCO40GQVvOR8RTiCn0HNpvHsd5kcWPq0xMzG7hPWryt91 rwXm3idbeVXZ5166okzodEkmfslT0qPQeJoQJmtUPqS9+w19unk/2ueRwfV2Iq1s46C6Bflbj5bE erxHx2JNBwhMsYrd0Czl45G94InXpR8qEuyoJVeTW1gPEeRuqvuR/65Fg3W6OZNppH7cEw87EBTu xMxv9xdvO+w2T4NK1ZH5wJ8eVanil3wdSY0ZxfOm15lGe2eRBWi6hU/Oi2G5oFmZ7QnMMafCilIw nUIwF7jcLUJnNGmHcn18Cg9Mde1FS8jCIjUslWAx0yXykfErPGXDAYFzX2CcB19m/7VbJ6r0HIAd XDtHPIniCP8k4ddnHuvvtgXw2SLR21wssZ541TpSnIW3F/WOi3pK/HELgbcE/EMd6kWuIz6So6qk mDA66bPB1pLMHo01DZN6hTH+4UmTfu1u5Z6lm4gnhCQnR1hHbMTPPthnG+mNJbgfDu1OZX2IAVMb L6Df15R1oUSB+jsUfX+OlkZeQezj7NO6Mqfxu7ihkzZfcv8C1Rkbb5QAWtGQj45cCLj4JyfA1CwR kPb8i/wJHkFaG31nO2mPn0Zy1SeNNWg+W7t3dsFJTotK7i+gBApeYjU8QcJjh7/tywPG1SVZLMpw BO2e4smZI9t0wTadM0Zzy6PDj7DI+bGLJsg+vJbLsMaFhkCLEW+yU04oDU1pKTs+tRUdE/6p91Gr S5kSyK6nRlZ3OABgALiYKu6SarSID/m1BDuPHYgk66l4hJnII8VZXeuCmCvw9DIIlQ3fltISFfKK DlLpZvY8InxGAnatusjxGEBwhU8RI2MR8t05ea2zEBy/EtYFHaw15914zg3gzU5xplR4ck7/sKhW wwRyT75K5mRRN1oCiUstW5exGChrfQvZF9FTlssJ/BWdic7gqUSHm/yd/aOONTDX4+OPxQVxkGig 9ek4y0lN5AcWMkyaYDBcbBP6sKyQWT50xPvdOwp01eXv5L4JkiHFE4l2DkuplCUTd2TZ1ze3GOi0 d9cwTlU0wKyNAoMgAfqRnImR+2Tc/URUOo30Y80VbM472r7WP6fFPZSbveS7xq/QUg3TdRTRzD8K xxpOwG+8DG/56aTekBVJhjpjV/SG3kL3+2MPfpZRLO/koq4qIhxWEJvVfubisn1Us0ZQPT4xDRK9 6C+zVdWv2i3fxdjE7B8eon1CHYze+/JfBjEs8n1Cu5zzUjJOz9RR038f+UO1TjQulxowsvwwtCKF zOOJR8nnrJSk50qg4OCgzwbGguEvbCJ4C3T9hQy6jLFJlJE4aMJY+jZ+BJPyRPd/A3DyFH2jCMsv Dvh/aQ+rD4okFV/gulkTKkhWkPq8RTHFkfqKz0j2vKp/tQDMgZ7qPngJV8QghnEQcupfRnn4C5F+ WMWVrmvVxaSK7KvLOu1Ie+iYkK7gjskm/TrsqEpduTvG6y5NVxIzAXtMAmYlaRtPyJvEHtJzCNtM bzLiYJ/CAKtYo/5tSf0cgmV/OdMah27lcox2AOkVosbd0uJvBcYHbmD8yJhd7W0DqF/JYUFcWXR8 rm4ke4z59Wcq1WmqUkoTJJpPiA4CnCT8XaGo5a4Pr/cneoljU8kWwbBTe4OO5NhG6QrPjpnunkXT YxPvmeo6vBCWJS68X5ibrmsBINCOkAX63PU3i70IIRaxYJf3q4bolcvaHMlKsDNjVo2QekxmJgK4 BMJVldH/LEbAnuGQp/PqeWDuwu3V1Ph0JXwG2Ad/fMKDJ1GS1bW0vdFSa5mjfCzd09eKtFrQ2vPQ 6CObFaskwFY3KklfVHg9uLH1r6wQ3NhUEyMy27/hRplkZnOgFRVxJaoArzTyF4Wd+yO/LyWhxEtV ymVQnV9U1qsqlfF0nDLdCn3+2+zA1UIbRk/g/uxTDiphLe1ycZ10mOuEJlt5IT2qAmDs9abYdT08 lyDCJPwe/cC63JoGPmfHgsEBklVlVZ84/Nfu0DDbS7Yv5wYU+Nq3OF8pgS4FNbH3zh+3h4g/iFsk mswzb7eoemN3DbEDW/JnqucnafJZILXMsmQAp/boRUG2c17hCNYtVDtueAwmerdbnhnQaGybLq9P 88Mmf9z+PrPJZYEwjcb6YVjvuDr0xYlIhJncDHu/aZAobYrQI14CPPxnxJ5xf7zgdCvQluo0Lqp0 f13O8wj0ATky8TnnYOf0aDFxPt+5O376m1pDnC6hq44WgbC/zirsebQlPnyf8uIpNoR64Moqgnuj 4hU+sotUZoqnaFK/nQPcgtsdN0mlWGMC7v31/WT39P7KRtCay4P0/A3zwmcv1kQEdqUoOaiMoqW4 bllPXnwXh0VxhsSj4zGYjKMKJparhO0hoKYIypvJgVVIdznWC8gNy+8IF4yKeVy8s9cImJwIOjOO ySiNOD7UFV3dZJKW8IFsF3EQiFDtAYHtjcDdcCTVbBLMY/gNHLb8IxIof6AggkvATj/Fws79vuLs lQiu6Ou3EjTOf4KKrgXZXztS4mBhSwXQmPC03QQX0JlRH2gLdCmtF1g7j4Sz49civmdgcbVGOHKI KdaAH+os499Vvpgw1KOpnCsvfD4l54SW40jmAqGMlwTZWAE0QZXzJFTlzjwT3xqmR18mhDLwvudm FTkukPLioVBLhEjvXEFB+lviW7GpD7AGCPb+rtDdsGAcLPxhTqJHzdJRDf963kaMyFY5ou6LDBJO ls8OanivMJwjHZZ93BtgyDdaVBnjYNWI2zaAgD+hVYqIfVTz6w/5t30W0+4eH5auQYaMWsh6wJQ1 UocD2oYMR1KaWD/9cCl+D1YdaLHhO7+D/4jomYYF1ZmWvB0pMz24someasInzGipMXk9OS4r16BQ fcGzY6X/0QiGbkSEmiCx2whuX5WeEPMcB8UZw7mw/tfM+Ig46QAQS75ZpRsdCoYVhvaaRFDoamgO thzQq5bibv+Qadj1tXeDS4pO6dkJcpu0wNdXZFfFKiTMXtMoWKWusby6Kfy2Q+9ngnGklURovd46 wNyFPoeRCUECuGyisjbK3jb6N0LkR+JAns4ULLEYtGSu6/SkQRx+/F5vhh3cP3jB5f/OcAzKaDQ0 c2mnvDqjnrIF2o71myfg0bgqFo26Teys81fy2/wEN+iWUNk1FE+veG2u62VtFrwhRCQWTl6qPW/e hvTOEvNdBHwiUgYgKwslIuYdOkzBylqC/2WUOzRHOxMH1tWTvW1mZ3BU4PjEsh/mJ6xhz8V8odTs FN7w6bqo1IaMAxCIB9ln0nl09faE3Qff8BHCaHV78gdWVsja0m3ISQQl7pkY3ZYnA4i03e7idFjU F0ZiX4ZnURANrFD3Li+hEMU98YYPxzfq27iURpId42kQxE7FSuFLpeTBfsEDomUMXvCJebNiRFkC MthSwAQWuXdFrjEGE5mkQjmALKPuIsltB3KfEFSfMtU4eYFhMaoql0JjiqBYxjS6tSfqtT6Lc0ed 3TGAJyeNtVl3aG3W+D97XGxvCbq/ZJHjMFPXAy4KG2vY39OcSMyjuKd1DlhMccpo6nWw3sOPr9OI 6sTnSKZ1sm3+WiDJ/YkKAYIs6LLTyzo14AgD1TujTCuwxizhAvZ8n5jdHAdpcbDJAMaaGPACyC68 dtRfPrq/W4psXIqyhs4eAJC9S8sMGxwXriW8iFU8fWAWCLh4kHUqKW3rNYm1gCxSztrIyURYzDIG ijq5uKjXHiRN5YKmga/iUmjFw2ddgwd6zKFjYikCm6pelpnnno6GxrCK7o14CMPh4fRq1RYnrTv4 z/6tZf3Y1ajn9TZ9EWzuUv0pPKJYD3oObx+aAZUOhCm2k3cnEjzd6QYVbmkJ0oBJzp6oDGySBWMh fWTjqb0KfmAB1GZMj3hQEU5hD1fYRpp1ma/IpAiyVcKgWVnkK+4Qbw0+Gw/YOKvPe39hXCbWl9Ec DkQ0Q380WEcnObPxhm3wLfO911l7hXWkD0vj8kdNi2cqsjwZ8hgN8u7isAAvR4HSCXYpAV5xGUCo 8JAQhqITyCUfEbBrbb+bt9K2g1gq1YQsF4HQRcRZ85eBmAkXU5Ch1+OHjF7zTadBGV2Tl1s/CapU 3mJV7enomdLLzuKE9jyVfnOqGc3RpOmLyROf+vnH+JMttuEY40TysZT2mV4+L8iM9DgHgEn04gmy ZsJhZds0RtgTVmwLpmCtwD7APdvR2tnyvdu7eGApiBO1DHwexRqZ04QPRHLoVY3SjYofvMn7+Jsp 7fbSdy1DIB5H6Y93Tti7Yf5wVEF6JSSGOvJ8elwWCHFarLjwRuS/XDuzkOCBdT4FVyOxfa3Ud8Vg AKTACTRtX7LyG5JFxc+ssj/r1n+XTfv5CFQWKCVyHTRoVkDsbVXYQwx4G/vVqt82gkmUh1/48uFr 9BsGC6BG/lH9VH+KGGPKLo8SoMy7MgyadNbepdr7KZNSRcyiOnjpold7+MlKBnoSH8+TyX6ObeYw 7WjOryzDoazQ8UhIoGVILqwu9CIn6b6ASzyskXoDqLsCZXkeZ0IDVREAfdc0BR1HwjPk1J5YXFjG KCO8x7qG4Yyacf296yqcChuqBgfPFmihdGbRrYqzF2L4wElSAzjE28/gC0/XSGNmqhgwxfwaSclu ZxgV1RFmjQs8Bzyns2Lxe3VuvsAMBbVg4a3Q/zLDI24TO+4vsTie85LL8Lg7uWMSg+G/jrUe7pkG REBlN7abcAyLBMK2rV4unKwHLheyLd5Ox8sqVLH6mIDpKmITafq5GNKCJVXMz7mx/uqlLYGAdo4h fmAoAqO4VdGqz9mil3GXiYRpDbF5l20MwdJrelleAxMSdeydSywgmWEP0FogCF8/tj7qeYyAjkUN P35erbzPbAXFrZ+uFeyqC2wZLc36TFeT5hgBd+1Ntb0BQFqvFZTm0ZOl/MnvAf9vsMESR+dGjDfY AX3irSiADbMxetDCpw8MOEDAMwZJkxdf0uqdTcbAFqKKy2P+7uZPkUV8LPaUVuaSF/V3i2OLjja2 vVPgyhMoambUok5oB7KhnqTzA9lImLNkM8+gVXzKjZ0NlNz8HdSriTx98mskUdLdI5O5IpUNdP4C Pd8OTIszJ3imQZo2VnDoy5wmuVUCZU+4vPVfeFEbHmK+oRnwrdK6hLAzncMIn1Nj2wC6uyFrMidq gB6kqSuHEdbi9DmjeHE/EZC36TR6zM6TI6Bw+nDoSWal7V8vciUO39IhB5RBX0VASCBnykvv8v8g Ybzg0rc9xMTanD2Fr8u7vcNfZrbfe+OrN0QV2dMAmKmG9IP6TaBv6yPmWbzE/Z1BDFmP9nMF8YYH S21bOzVLwHm0zqtqShahsK8hmwlhd4bttNqtcNebM6j0FPQUpcSlPdxIBWPNMPC2qvkI36kDcjKw XzmEZnLWS//s8eItzeTbJf2isw2eaAyfWE5e5t8v0mXgEvBJ2h2A4LBpCwX7WJwZBqMsGQweRRgj DdY4IADJoFMJlIX+EyMIGR9jY3EINQR7TXlfZmzPdAcVpAxtmMkcO4QRdZJ9lNoYE8ydTtGIGDrz HIpnsmAdbIbNQDijwXxSZBD4fP6gy7ioBJFRt5Q2e+1KNPjuWi3jvUAxubPPx3XTcOGyAHl47jnj no3bCyVQ29Z5bod/POKVjC9iV3P6xP1CkD2b5FS79wLV+Bmy23+1wcIDdl2Q8G97aJUOx3T3xzjJ BaDxMlnWrvyPykSF8lBKZ+QWboR6vKgywoHxgkEri9pJFSAWdBWlPEHI4vGhEyi6NF4N/iEUeLQt Pys4MMxImrhsyUCuLh5o5prS1fm8uowN6ROsab/U3JlHSk89LrGgCJYPA/O4Rx4OECddGX88kBJf HKa/8LUQ+HbMYWP4TixmERliUPzagVhpK/RR9UtgCqCrlqEy1bMWjrvYehlTFXYeKir78nSpC1sU m0q0TDZdVnwVEOpWv1q6Kp8GzBsBgTwq2Z9UvGn0or3XgvCFXFIGh+vl2OSsGR8vySjORDsCkb04 FwY9H5FG0V0eeshEEHQD6D7/RpS20CvIFpF3g+1npk/2V/vD+kLJkeUT3nj2sF60EUR2ArqyB5L7 W4X4EH40IP4tQBFc6jfRcBjrjh4JI44ehr6+Hy2XoPrVWfaOn6ZVLC/ODP/iWaPN0LaeM/z0NVhK L5I+bfTNNrQqZ52dXdGD2T11RYts7P9q7HPwAQdFUtOxE3iDiFJvjwmJhM/pVM04KDZ1FlDvxgPc YzIqbVFscziPqN7Weg7WLhKi6uQ7l4IFUC3Rz6v2tEaw1KB2NSn9Ud8P4qC/5RdyxYWlU8AbkEea b4ukyGaaNKCEUoUtMFSwxugFjPZv9NBOLNc0fIKnEv+o5pCQ4N1/GMwUPeMdG06wQ+NVxMC5LgrQ /aJWoDMwd8hk8eBLDWwo7P4nKfdIHlWEpbzxQwmmTEjgih3qd76dGt1wAS+5csu7xiegLAaD+NXa 8qrBd5fXFyEhc5xkX0JmhFP6F9+UAkP5iuA249iQsAOl/9T56SHqnd+7beyTimmizzLbLu8eSfyN expMuL3FApcjAe8pH6jM0pc8805ao/6HB82btr+68QTFdJPrPLBeG2C9hsVaAdJtcBcUKt4T9cW2 BjQCKm0cabHNeaIQCC29kIKJH0NpUvv1C0egO1n8Dh6xFPvg86CmQbTsjiNp4Q5NR9xWeaJqvy+B hE/iVK9nv24m99PZvWVoVGPHJzf+Gkak+m4ppIRrwJ4a6UQ9peG2CcjzdssaEVP+7OnjnY+73Ynp RVg+wT5L5NTVs/7Lu81K7sD9KUQojm9XwNA0bxtU0cL65CIxqk3EMjL69Zvj6NBaE+5LhNsLz5Eo 0gWbea4zT5aZBMqiavEL2uLlB0/1wOkpQiRI9UuBhm/srfTitQxA9s5HrZSaOPgLED9/CwW0fMa5 YVi8BtkIQqELEQ3HjJHdYKhAn1a/YlhoOGgzoij/F8kn1bwijHo2Hq2gSa2Ia6pqlv4KF1uL52Rb DD0Xxsl3XvBQGuOvTaJ6oyGqGm1pIWWdUEwpdgyAevH7+G2Tcap+2pAZbzdLmbXPeV2yFvJsuNqx kgSAHtiliR6PA/sGmZ5E5VUzKwlNTWj8gmhA1MQNGHOlfZ1RiftL6WJ20NvHXCNBekP8cm/DIkq0 B15iA3FSNuLLutHjKNsgk3O3RQd0/zheHJCYbF4M0aBL+B+L8Ov0ME/BVMDQjwGCcLM/+f72o99/ ksGjO/jPyXy6F9kP3d/tEnaY2euzd6myFi0TmNfXn8c4hy4L43UZd5ksvIs3K+6EXcOmvEIxaBTs lDnRqAIOVmOxleXhyTwJB+Fo2KTYCFKTC1QmWPQ1bVAvbHxzWBz8reF9cvYVOh0LvwPNT8zVd6mb N+pEKItQhMAuzKsBrOXN4ISc9rW52W/7t//sqeRwCP8NNrRG/0D5j1KXPgjSu4tfrYleyAR+IK5s wkoXyYZi6p7hKGlMVYxUNMu44GGRl0HILK86jOPu97n3QueobJMjNwVGfv+dNE0gxkxXeNI5294L oh2aTTfkmwfgXGRkrySSByi3K6BBAu12MOQJDsJaJxoOILp27+LdHLxc2tRua1pwGvvv0N9RJ+1t LdWxAcHQmScgsHYAzPFnzUra4WRLdlTOy7F8cigoQcvXNB4XOmoIvJEg/WAuUl8HDeLtc+3sVPtg NEEfjMNvn/7HWozL70KCTtLdg2wekTEkrHE8UqaFXENZ/Mw36TnZ185s/LeWOkzeLKBwUDLPKV2p AtveaShn5iCZG4wCMAOdzcw16bl9wEolRVBtEg4zyxNMXWJEsEG5VTvdrsxeg54HlYPWIt7Gcvj5 fexu+haVwMtDN/sCYhE/LqrtdMdd79g8Ntk/QpJ/EzC3cpJZZ9uV0md4yWJLIBU09v9fmD8BcSnE C9K/cC/tWHfSC8SyNxk/RN9uaLiMsG9wxwxEJBhZ/DnC6YozFb/dWVLguyYK151RCBKkg9CSFsl6 d/sc2BzdLTungXquC16L/9pPFs1Y2SSAxSeiNuBekAC86FxO6ArO/XNnzguNqbZNaFioyXwh4g0y ZDJVxWvunicEgX9Yi0InVWYya7tDToRJxyr3KQHW4frGhTZFLuxjBO1J9AETjYNBEPR30TbxkkFz 8vR2N4DRwHj1zjgE/fi76GeoAtS47rzh0SI7x4n36EHre1rB/cOiDdq1ysUJ5WEYgDByxOT/qEGF 8dkXrT2NeWGED32AG54B+n6g8AOHE9x+56862C0eN79U0nA16HlQgNoWa1bE2IdWmXEGBl4Bv9ml KDdK7EkLJur9HAqDe2fzh4IFz6ZNT0S6e18fh2S9vOKA7DV9a3DIdsLk22RLFI9N1G+6xnpXTgWX 4BbsuKFgaH/VEpxkoyaqp3EkIeBvIm+CQH5dxDJlOo84m40+Ewd001KnyTI6erswYIK9LrnOaazV 2kgNs0ETDkvgByXzpqWokwshYdo4+MqewLm0ocT1xCVDg2j5gkE+EJJrjGi/3ryeiKnZmsazgckL 5s6iGmxaI5GBYdnGi8r3Fohr3mczMa46HI7+Qa/N+JlzDbq+gzbexIZ59BujEQlUkVWGKzF7cZaQ ekEtEnFm2xFZJJ9nkgkM/1mPddFRzTBcaixbOslsjZW1Q5wc7PBTJrklSSn4oOwOM7Ti72rPkfs5 eRaNdWFXlLGxxEO3ar1JDSmAOC71YQj9YJ8iLWk+cTwEIYzhuU52LjUrm6+AXnwa4EOS5YdlRLG2 5SLWRElpm4K0I1gKFMtD11qGqf223cJkOoUjjwEwknG19PWBB6q1jSvgMHsEre6cgLPyDJUMZsNZ 9NHaG4RAaroPX+IiZ1MOUVCi6mMPtgRW5ql5FsLk5aFanFZzHq7bb7yCK4GVWW3P8ofidQTGaUpY 1GV5wAr4wnqV77HrK9PnqE+rfnQt2TlLKg+aVQ1tEpKk4IwQrMD/AlcE8tyY8dmeYNJ4Mse/fn7K eVHqTk52ZGA5dvfx8KL2hJ3OTkV2UW78ooTsf57O68v2MeGbz7prfjn6al/uLDNN01eTMC7FVosc RQUeLDGfXvTmJLY+WyfV5Ts69hTLsZGy6d5tDBr5EjczcmXG5fbSGYk58kh1+mag7SfG3nBFXAOC yi4Iu733apwP9Mj/3WzRMpbmlRH8UmX8iO5osTnDUqhrH0SCiy2aoL3qD7AawdNhZAA2UNIXbtjR 4cDQ9+HJ1K2UGJ7fg+qr38TbFChCFhegoGD4JvQz0ZyB2Ez18qd3pXLzIG3oWPxRrRBINFiUBOty chBNFxoqyH05lN6wLtBsgiTbmxb6TruvNob22b77LxMkF4bLc5kx6nADfVryjJAT3pgIU7xsRyDh 0aEGOJSueg8b0Vh1m8PBVH5gZsYbBU8qcARe8S9F2tQQgNV0V1kNS+SQhiHZAhEBa2GvM3lBVAcY pxPho6JLU1tQVIu0KIgKA0hB7gU1RM4vckXhZubzXqKS0O+4TzxrNOMXlSyvCH3MdZD+kPJ246fN nwu79reRsTVhl+YBERB3aoJZXOXe3xOSeiTqy6IiXlH0DnZMd7Lyt2T4KLUljotdWjdrbCPo9V0o u4Cx0uTxK8jJygcADcAgj24PP6pr3rGQC3E6fFncClOVEQmJL3vCBIByzpD4mVCIz5v6uQDQ7SY7 JoVymcZKU/gWLFbKJ95ZEI4g4qBs78lj3Zq621Yo0iqYWFOu9gVlDKwobneaXw39w67/q6eyrRJQ AJUpzvvnduiENdzCmhAwnO02l6xF/TLSST+DcGKPo6ubGsTq0zaHJZDkRDozlMC1H+Zz6aU6TrcB vNniFqiNf7AK1q2KBRqwhRQ2E2hAuK9P0eApRpzAQVGLOrQo0iHQ3jh28k3WNCH8yGcjCI0t6RwD Gloha6qDCcq2X33+bMC9WVGyC9LG4OMtPREb7JYOg+8y//+juv3X2Uq1vu3dZaiSz67XgQB/dHcj WkkEJOCvvzzBLjybuJbSzRWfFshaRNv7atz58RgMDHMd75tg3Yn0ooUPUG0umVQ8aOtqdJopNT7E hdTvQhy1DdKLeTqSPW5KMZboZyTAXDsPX2K/so7L+XNYnsdaZloLEF+zqHnf8c637qGp7IOc/x08 5YSxBpDvzjS7q45MrTrQlowDytxMQU9Q/0n4G+Av90sfu1mf6Pj3CwOmcm7VyWF6i3LyhYOMk3xP abtR3bZKFCjevDU9GZ/ii6RZBCvj1uIqPl51ZUvHgIAFF61mUozZhALwvhH861EGmF7xnGZ6Secp GkYh9aK6gKVGg+oqGVhgdDtebEWSOLkinwVycbCe2GkV3qDFa9/ksUCtSNgTbp9dlM/xelSIuNeD SS9A/HpQFmUITwIAQGOgQPddWhsckmaXyh+B4HWoVeuLL4dfEjXHtZjU33lvonNc1rl9JFFpb80z qdg84M7HiE1XgTV/xryPwl2rLB5W1+W1RxiUVwdoRoloyyEYNfGTCFlsvqNB0MdWqh+MeYBbdkHD It4nDZTE7DUaY0f7cpd45JdvS5VZaqYRYV1u0bdtr3kEt1M1y5mltSnwk35s1sXvzBbsnp5lhDbB B/miq4EBkm7/Y1UuRAs05o4dsKXrcxurN5U83Hy6pdRGdatdW5hRiwuD9Q47DV1LqJ/SGyC37EFH 249jiM03XXWqyDx0zlYFEs81v2ZLVzPFRRtUy6TKf2P/egTEQF2diXeu2xCbxu+P6/2933W/SyzV W4pwxzr8SQzGAIy3AltuMCQC6kx3f/8KszjBTLpiuEQAVtxEwhlNobWlYrCGPPRxrDpKPD5pNlCH +SKf8l4u6TXyUX5dSwJokEDcI6pPFQwDNyAyYKmTjr8Z2csNstDD04CUH/gr5EeNRHzjDDtTEnmU Gp+r/CqZJipej5tZ+KstQnkfwOJ9hX/KxUBoBDK3Low5Ad+gnfGtSWcVvVSEnEvI0YJqnUlVFst7 7HQRB86oMHrjVghI8Lx662YJ9h/+y8LGQBPnOQtows6WId7jtbFyJcdtZgo/3S2DggUh0PIesoAw 9hep67X4Hf8ibxfJXNTDWW6YIdSpwGVD+3YHcd6TeIkepMnaTXtXTleddO2/sIjP1VcOPJkgHPNY X1pb1CpPo69E17CWNdfcUmMsz6DTA6ze5rgud2ZTP05rqRmkvUG68DFVwz/jI92F9jK6S23jv0vu 7xqxrD4EeAE0IgCjZXJTm8WDlMy743MoAfApAcCQxZZgBd9VJj2bDfeIIRExNgM/sTuYh+UHPiW8 f8EIbPPan36nz7MOiImkwZP/7+Vx4Flap3/oJH3UndVYwugl7NAgpC23Ua6Fo8s+5T29IOVSgSGg fR1mGw/I2c+8Zk7p+FF4aYMl0NRg5Vk4Whi2fy2GtQx9bUcKdHVy9pOQfp55uryHbvFww74UhUJL iq7biyowQ/oKc8UFSmJ5whyijSce/YeNaY43AeddzGU7hDgVfAcO/d6B+6AD7KBR2Ley7BY1Ay47 hqt9p5enfqQPzGDQsDTsjKHABQVUxutc+cvCV/1mbEBfjAvLlahWHW1YFp8dEnb6XXaLNVBz9FLL gW802//UCQam8YB9DLioYRgyJbdakn1sy9TQNee3uIomEe9iixohd9XHT8IOadd2bZTLJkluYtvH Zw9pd8V/2HycrnC86S6ffThlp8hSrfYC3K/3VypVCvsTROwXH3z+yk2Ul4SatU+GIsx1sVGN33jE btmjd8u1ZxWGw6o2rVpq4xQM5jp2g3ErLnnbYNBxj/0ON+3OJvzykrhimBs4qgTH93PhCwvGdeUj 7KWunoSFdOenDYnM6igjde8gVdETdtxfYBAKCFvAPCtRSvuXLAXuj3VAjZdJfbboJ9zTLz2ZT2NH a37QXl2HTfqc9zBcGl9Z5xHBB5NhshBhkQZNNC5HmdwCg0Chqhkle3rGoKOOSTydF6TvFjvamXOI WIPEg4bnPAzRReipoXR9S4SEd5ia6rCRNbzvq94LsSJIO2dvZbKlP6IFEF1IHAApYtFdLb6V3DPo nONLqeCeaL+PDuN9ZPh7YGbymI/nCYrPx5tcNsi0mGmHIfsK4/baY/l2yMlg82iGgo3+xd2LIs99 bdAzmBCGIAG3N7NYAzpxbwZWXBOtnmSzMMCfdY5p+OfhDj37roFRfoGwJX492nWOTH0JNPeueraC TrqHtbbYtTaDf002yqhICYo9xRS0TbTNVheEnMw+Vez5JgXtrA3OIekhJj/QHwo2BETL3JYzkXY2 F2589d6b3SBCWI7pnVsLqLRyLPj2uoZFdF2I6iUhDeASxqLqjQPXA8atOcgowkmzFbFM/CR2ao24 hacOCgV24Jzq4QDU2tImpP+UrMckbkfJg8ewB0hQYae7mZ1zOnCFodbZiRQSEaw5FmjqvYa+OYXb pCL189bWUZDpnyf3KXQTaNzCFZdZIYM5pUgBV5ehHjDzWgHJDxTcPib32c8Mow19oVpgxQydTK2L 3MbW4YBgCGYzcY2pQ6islHDJ3uOT3C9Cu1po5khjvFJFSKx+/gT2lxQ1oBP/1FUW874+Xcr6Nh4X /KTx9ls9qkyzaIt3HBaTEO9Vn5cMrb2bOzDDerFwvUAfJa71we+yQaxUi7pIGSMiJT7SjVaw5JI+ Z8AglvsZDypvIsjXgbIgqXDfbcF64jpj5f+umTTlWYrLg1WJ5WuZvHqwVuXV/HVZAbmPVqYd2DhQ DPcA6C8elV5GhuXjdQOUeeY/ZM1Qmj6/F1LCk4fEz+VHSkpUKWeWjwVYESHrPM+x1HwUAGoFeE7z VK3L5aNjFPyM+fTvrw+D8U4KvWPfNbpTn3HuNw5R98/N7vtLvrLhMHvF55R++EF2fu4FY9OatDEX 0nUuP4h7Ys5AqM/DZfxuNl1XqhklMYUbV7KeGHBuLqNFSWiD4n4DwEdBGj7UAmJq7jvTEzdUu+Qo H8++lnTWgTmj1TY/yVU+K24QDBBAZgaswpOVJnQN5qcL/4tMHNKS2HIxyz8z76gtu20o0Rq6YMe0 EJfL+z9mwxCpJZOzKLwBNPAkuiF1LrieHpMPeQduyLDr64DohWaurJ60PYaJAnHe1xFyurPWxJgK FxGToBQsSdIqqZLM6NC9WWBN8zCFYW6XUkEPn3dUOrXC9w4b99yoUsvyyy4FPoBpiHwsiAdDgwXo heMUijlfJ4Oa6wEOCaQ1dQDLBzvfjTuS/dK/+DTG22rtkLr2i1iBI1vYImeTTX5xmVhGr3Ds0Ucr NacgTYb2rHDzdknDPVtD6lTSK5b2P5LLB9jQoiGiMTYkQPE4/eUFhwHKwv2I1E8BHIVR44C6igNP 2QRDyNX3VeKRSsypwcezFRazGx42q6DNMuNQA/Eo3fkiGemqsKofG0Uc3GNsk+yhUUgf8IidHyoL WLipH+7oG80LZLrou7fLrI4OmUYASuuPdPhUeggDQ1GMBOdZbJHLg5MnR6iQev2G2JVWPX9yrWW2 aowEr8Y9++Kx8Zd0HbXGmcXyVdQObGmRAhbEguoiuGeVqfvKM9ebsIpHDpOuHkizNhtT0xsKXS3Y 5nMiH14o9OOFzpw3bbVqBDX/xXLZ7to5AeZnI6u/cko+MPHqxs5ZwyD8PVBZrDsonkKmq/9sBjeH 3ChHLx74/k/sphwuQXY8ULH/nVvwZWcXw72W6Xv0cu719Cf5AJM5kUpxaNJ3Pi+9d/I5VH9Ov6i+ fCBBUWwCVl+YUJUIAvOR3igyF3ovUtiHt0kaRA8EquSZ/WeUFBJDMd8VOHLNBDLgROa37pv1oqtN evOUw5FaXLL07gHmcSffqk7XXdCNEnYWgRguqPt67R8xV4Z+kDzgi1SEky4J13UrUvjhTxhS/Y/u NU4ipkpKgYmC5DivC0fWV3dQEy21BPjFcV04k5mpQ771d+WeecjvkWsAw93cR/kxertoez8JZ6Ee QMIs1DtftM5fGVgQveBLBPxdZ4E9uD5cqiO0QqBCO2loxSAcd0jMuDVmufaAyEtYirwjwmK1qHpm DHkwlrh8QCoP0DmapPYA+OrhM9HJjVLTcv5sZNUsaapMixfh/I+L09BKu9+sx0Ytq2zdEfW/qfE5 FWfvnwdXS85bMHffS1svJthREiF/U5UU75KdqkeXNlb6hedvpt2YRbyxL/nkuxW4B97exnc80kEl ehyTFdywk5HG5n9SrpLlrjMXvQ3COYcgseOUom12YxZmbgKt6xo8WXLapTHXb1jMzTgr/zx71qkq o5c/8Dld+GKJhLmwgfRer8jAzZVxpJs9Lh0aJQiVVdvxOrt+Y07ZiVE93NyLB/a/qZGf7ROcHiQJ Tf3cj/zIGdnPQ//OqagsK/dEdlEo0p1qwCjIl+mROR1Hb5YWvSxzGA/d58EqoYZupTQvp4tYzenb m+NrgQlpInUzxF/eBXIFgOwXIX2/oy4WfBtlFb3uXoIAfQQieEWP2AxQB+bsXbCvKTyC5CXrIguO 8S0EoAkf7VesxOBj1isPJIQr0d9upAyiQE1Ljws1hEHyrE9sOofYWx34vutBL3L/L2G2wCFpUvzn v6+PucpE9smvnRuXXCDoo6tHn+boFIqvgh/LZYGRyGdisG5I+0oU5AzIDkZHbNcALllypwmjSZtA lwwLQAnFj/MPu2vOvL+MoLhr30uvTZiqzluQxET+AGWOS/LetPdqcmwfa/R+dz3evjL5TSNv9vCg Tyi3dI6hVakMTPGFj6ywSuAT4VejGzTJhZK24jET3fKEJm8EGKXvUMrDv3oE+AyIED99sBU9YC6L xCpuNJqFU25SJu+DzAEiK1ONrvPXllxi95mMQ5WpEDpi7aTDV6eYQt1ioBNl4yEqPF6ST8lipeH3 qSqqvHADK0y4kR0eg0SWZ9l97eujKVHIG7O4sEuhqK3LbEJe8d/BUTyieJqvbjuVbt6FtsoeYlQn mr1AvZC521g9THtLMDflV3zxEdbvFiFs9K2GqxzdRp1OjYEbUruQ/hrWd38E097hpdCWoq/Kbfun oNKTqYfopRmrerbGll1NWYvH9u4cg7GVXYPpqldDgRYQVielWvLlBzJz89Nngkd/pLcsE0ihY+uF jGH23P5V2JGNqP/Pa7MnLp935bU6tsmeQF6CwfUGQtTK5JVukwSOmUKweQQ1ovAqL3Z17+6in3PG +9M0/Qrq8ym2qlpamzqDJ1sAEPaPez6yEKzt98chjhJDS7i33zdd8kU8w5JQpypXMZ3aPhctr3CP +Vmpvs9FqjnsA5nV0LNs4YLTUeWnTwgjLQm9Sns33LGrNAa9Jqb/TjGBc9vUOdVpfo/CDVZKFujA m8lEEFXQZSPrY86fP9HIECbqFNhV3C2wS4NsoQXwgBXGeVxPdxys16iAvQTO2JMQEoCkTLeay1V1 7PPM5uZHiLEzth4GaniglFiw1XCm+oX3m7XPQRz5ISajED2sHaUYpgTWlYs6rLrfyEmDPObegJP2 LHlip00KGW5ABRsqWZcNn7UnBgcsvTgsChkn64L1Oeggs3iaLMH4g5OhZUpkI3AyKRm9rD1mY7nv 5tTssvCDbuaK+Osn6iTCTJ4grQJdKOYmDfd6U4fB4rsXjeVKQ041ZdvPiuVb7dJzIK8IMYJkfv5O yBhgY/mCUlJtO02Iedl5dE2at5plUXsXGJcl3dS3bhuON5PzdKJPzXwQ9AI673WVpWs0oPG/8B5o VhX3VSg3DLNyOhBc02UsX59tfYjzvUYlbeVcISJfTqXnLsUZ0bBKU0RNqevuE2nFWl5BTKLyIxOA pGG14GqwH4WhI9r1xuOi+bPNZGx1yxpQQPIMXRUuWwuiSDrurHyiQmqLwowj3mg62PY/pItp/mUI WtWFPfukeCqfhP7OLArEnMQEhzdw05PIpD/bwDtLYihWus3spJRJir3HzmghKlRJBY1y44nGLyGl AIekM/R1Asg6yQem4GdbceQkvVlwkcYNn40nFSluakDyxbQ3OJdcLI5KUe3LtJ3MsMp9YxIhPUMW XYQc7ZnLJ54hddNo2DgM9rr0MdMznIcSnyX3iMKEwLnDmpwnwU6QawMTMKpaY+xlLgtSr2Xhz2v4 PRArXQUwlr7jj/z0nkhDf+WHe69/3UwK9gX+tSM1c9ktU6mwiUv+pCxQbO7qGpmaS/9fUcYE7Uqu avJb6jqrPmPUjXCX7vl8htszdkJqG5h7AMPWeurTmzqqswPRiN/UGiS8pPReruhfDozgbMtM0dfp Xclx+V5f7zrycaCeCLvljgCDgUNkYHUnKmWwgfp2YsZDStnMBd8rc9MYC+TOHToToKQQtz77h0AU +flAW+oe1kEuhEo9HmK6vFgubCm0YQtQKDgLiyRczOpLHisFoGnpTOdRZFDD7p/ZDCjZyxY3m7um poqcoHiVhRPbmZr24D9NK/6FQRYmjQYxDrEANVVPDhAW3vGFQ1SYUIfTIHcuWV53Tmh6EhAGCSlF COaGQgr0Vs29V9qRHKv/dP+h+O7tKOOrfwwRij+h74x5CR9lY3x57zzXVlV+tdCefthAAIjUC39N jNQ2/2tY9T3umM8XkFiE3d8NqZZyfry9uTIDeQb9mf5VfuPim1lKLrPwFxeSgfwx7Wf1PT9/YlLG oPE0kJNnCl9NKGWqVbedyuzW8ct/6I1oKJIY5vsOF3ZEqompNyKs/PXfvqmviVGB11Vm/NXDWwSJ ylCAcwNRkEGIvRGpkBd3TfO8Lfdv0ZahcL5pXgqWgTHebynYDhiZtWNR9YIVxARMsGPL+5UmpFd0 fYQee58CL9IYYrrUAzDlTsagQVHda68l41pbAFTdVa/sUkR+NhFPqU74zaKrs8YNk6JqEI5Wd7Kz 4CoTMtibc6nZQ9grx5ZbVyGZEsce/Pzp7cdE4rbVbLJ5EyCMbahIRwUpfLknsJYqhOa4qHJFx7g1 3TXqvs2n3lTJiFF2oqeIevxUAsglTtMAiih5RBYiX9aEKNeawZNy15f5hzARPpUatDLpEnHTbjWc uCg5A6ISBryLyCwGQSMfahQint6ySfmoHm/fygTHZ6b4n6uLrf8wL/Y6PRVKXFKUwnxE1YnH+CE5 WwUpPJ0kkmwEQCg8b9iYE79vFAi36uGnShiosXldQWTrnxSwQQnaeQ8ux9EgDYgsLu+301fc2Gvw +bfUsHepILk3wjPAJTaX/UF/Czm7h1vgMlFDEji7zY0YJ8O5gvAIb3uS65G9A2Zn1vpcTeY/Bukv DG3zGCCL9mjcLdDhkf5yfdj4CEStHCX5Ui+EE2OHG34q9wMeSsh/zSie4oL3OT6ZByK11CCbDHk/ mqp/8twcatDf+UVY7Yj3Z2jhXuS2hPM9JlmQyT3ERKy405uzSA72OXEaZwOxzQzaPmlwlAQlEIIx HsMY/x7FcX680uMQJhVqX2RuBQheewmwLpBp4CK1p+F4HlZamTmlrWxdbIX4Fp7KVbK27fajdh6f Kv7CDYFV2Lq0TQwmToal6z88S8jFI8SAWVfBDG2wdgicb8R7/2OGxOJ5c4gzddfjWFWaLGpXuiOY BA9tJ4q6a8px8xhgR9uoR8GP6+VacvxPtMC/gVcoLcQh9/ElLqsKKdknGmukWOltCzrTrUCxRoc8 G7dV6+gKYIizwglsuIjHvWCBbd1QMFPDMtCu7eR8NWng7qrQGCSB8de8PyCCU9jAUVO3ALawknBG PzHyAnsfl+5S4Vz7jTU5OdbQ2FAXpZpuDfy0jNUZQw3rAGa1/wFzIAtmDSKlw86aMDYPVQbCrJ30 el/vX43YvLPQeRr1Q9I+PNA9yvezXR2Pn6KbS+zpdt53IoUkrRI090I12vQO0NvO0RimVIz8R8yB Hh0P17o430CltSrqZ35U+XIf2wV5lw/rtxtIMj22/x/NFdK37iV7fT+ION7AsqxLMy8IW/yJVSh+ XjEtk1oFN2oLxjtHuaSLMn4nLnVaA2U9hRaBjW9bpcVwfxm2Q/vYLTSsq2CbGLSFkeWZPLCAxamI 9olZcIA5x2smBgZ68DsJzTCd/InP1QybnsCutoplv59wlRyA226Dh5M05PaORHRxwT4wquXrWXuB Tq7ujMDDTTB6iZ3cR6dfhugPFi3DsgpPLP7IZ6QHbqSo44kXf4hmh+v/90XSGc+l9gO84RoxLcBU RiSQExxdD/fVejEQTjJUvL0eQs8Ei2Ds1uOnV13mB6yxHt5/y1YGArdC3Wj9StKzRGRQ9jY64y5y kTAE9oll2GxPuMqcDaeyydGpNQZy8U8hYpom033t/Q+Vr8MV9kvi0gMTauAcwRA8z58lm7oTVsQQ ZkXq2WdN58JUWFbKXlkKLoji5jdvORf3dFc6y8ppZJt3gA1CPcOmFmQxi70Oe6Ab9t+LF7THhG9L cRSxBPPAUWzNtAZRufS9hIqAA8vYSR+nCOgmg5+fFTtP7DTHQZH6srx5dIpkIwLHxD3xcWNJq7n2 u5zIy5JdksNE+Cajz32WjgrXJkPq8A9kTOsKcb3KEeVOXrwT2sGFJxItNU2KgJDhs9w8TG+yGiQc lsoNfcCle6gU6FfvXJfT1TFteaJ9Dm5oX/h0711xCOO2Hte2cKW2RxpR0z1xD5bWUax3GBc1TiQc iyXtkxTabbV4E1L9yQAxKsZpU0GCyaoDC8zvrpvbqwPTKfBC4qGBQlU+K23SiLNV8rpgy9qbHOXg 5QT2DDipbQPOGSVU/12EOL9mNUQf6EJfFE6nyk8w99FHLc/enSQDDjnD/ogB+AlcJULAXcjqcWYj L1hAn+JP/ZCZTzx3kL75BesAPbvpZ3PDrKOJNdAZmrnoM5fnlUs9Pb2iVTJwL04bmuyc8in0w8rT ep0mDlpzeVpD6MxGQCS+ULhbusyKvM5BJ8ygLuEPaN1JIpERge7Ttr9ZioXem3oSGzvLhBQ9YAB8 LB3ToOhhWRTugY0kQzu1lirjtH+jzQ9QSs4+pffCNPE2YTQUtBfekJfgBVQS/lwgxvTQ+MnbUTPp ZJjc8MQQGRCALZ811nfoyf22bZ7b67azU+55rI2JmTdZeGMo8ngdWefm8LXIu+3b3KA4UYet3F5m v1Z+1Eo4g/Lovy8+XZCXJ+JXV34qwP6DD1/UGOUJw4YYpGAo8pDxOjDX4V7KucTDgHqNo9WrkO69 gs88v/kFproAnLNo2ubm1bwghYxDdxQKsxGE+fdlifbXrJWKEH18whd5FKhcG/7tQDBUdrkNbkXR fIj9PqU5hGhKdCRkj460HBTm4B05YqjFxX3MhhJ9dErTzBHzNGgoXV0QScXIGfMR6hCWcwcQzc07 xByMkvNXaxKGlbdrHU4TGdkfNBa9///txPZUyGbZLtBftZ9WX2T2JiYDRjRrNyDxxmQ64RmoCMgw QOFjVIQ7SMrp0LritV3vprE7f5VUpmkjrQ/A1DlyewSr2Y7Ko7+29dplebNQrp6OWuxrpmS7dy75 9afzr+BddFXhYmBixE0vcdugkVj5C3srUbeeiYIngHiMF4j67UdBuBRnvE5KwuQclFbw2D2CIHgN JYOpp0fFEJvCHxxdhFtldbnOpnfeSAumnWK8w6HtM1NoLmiBxJdgst27klndadE3yYW85A8bYmZo 5awZDc2xCTkOYye1SQ5F0JBq02yZ7pf/0tWNiwEnQ//H+M/71jilW/aKPbCo8Ufk3V7FRIRreNSx smcshRUip/9PxNpZH8xwGrNt+4MhGr2YNDFcvImonGYFT9Z4IzPvPdf1Fb8LUCzfqP6a8BLAsK6j EFrl7RuWancDPI03vtFhnHfpR44igMkW9cOrf47bKvmCU9iTJogwzA9Lkn+eAdJsbW8Tq2M3N0Nc sR6JKcCIG+t+UhF8aknsZ4C4GYUMYCaqkh/mMW0zkGO2utFqtKBGdKIC9ctDomvQn8I4RNdD8wWV DVTyVaSYnIaJkmEtINv/yNkA+JP1OjnDdRsm+fuutXITXop5YfDbqdkuSWbicwHngyxereppNddp QCBEjxpbjW9Rd2Px9cXLh/0OcR7Mszw+VzOSZzP1DB07/9aXWzcYusof46uFgnAjt8g6UhngFRq/ Qj535tDW6EEAlWsoW3gtp6+zLWqSOAy2lPf9csqvLRlSYVlVNQzdI7fWtEjE51IinjRmCaQHqrdk TFJFUz7q2SoHYPYb3v7MLJOdmJ8AVVcNSHIm9M763LdX/63ADkI2IYVwPF7+jfACL76jPqeB3smE mgAv0uEdPHYeFkmS9gQC84vUYewoxQy6hsRLGPVnDR4IiyNtNxwtY5+doomVutjl1TUn3L+YHVeN n34niX7+ihBXUXVnttIGZk3MOVPpxEPgr29rolvWJ+lIeYb6ShSQQbuvGrkSyG6hnBJmKl8GCrCx h1CKXqsEKXOqJ4v3t+hrOLUKa3V/fd458QM6otCcYihEDmkBtKFeRHcNcbvxPiMIbNRKYeZapXsz 0/j03y7jiqMCWjCgMO/keB2HLIdw16ie+wTu1M06ABX7gZQH3OxQhsi8hqT9raNK9lPAEt2UuI9z y7gyqzbQmH4cGU9aeqwXQ8+LUXmge6Jt9oJ+xvm2eezbU9q/G4FXZMP31iMRo9iue71C1EjS6+VZ aBEdi0sGPjBGSEy/JAb62diyvnlieIKLga9EIXio1hYNkLoQToNgl5VAJPwnkanXCogC8G06obwV YWcudtVB6SH4G9DXjUp3beOEE4Ziwg6AjV/+R/cWNjfeoCSpipu64i8gupePPdsykp/X+w+OFHI4 fIDwlLrhAs+9YPVh381LobSD7dRSR8+64Hhid4zEHykb1t7F67FSX2PmVk4XY/CIEUnA2bVGn8Il MbjBWokGrmtM5A/xvbHxPnruvEoOXFtumSaoBNiDZCS+JSFqIoxN3Xpmf4wuye5BSmdwWBo/6mVj D1UE+wt4jBmiZaR4PH0UbfvXirZd1XXxJzzWndFLEpzk91HUXw14bBcaO+pGDTiAVhPFHPIbEMp7 nExmpGc7pgc+d8Or0PRztoA6XKPH6XwNoJUJYzwF0GHcPog1RuYinWKqDknjBGlxibY0MBuRM4sy YjwILomZU0eI4L+GSuKGgXOckxlo2hgxouLMzJG7FuAua4Ou7t7guvxcUOpIsy609aNzPp7LrlLh TStUm6PNh33jYTrC0Ebo9uzMbAoM8uEAD0wgU1sbj4GDzspvBuJtDvPPaHL7pQ/f3TTE3bDZsX8w LjLQTqbR0uXr6i/4FLgn5mlqKWd2G/9QfvNgZJv319DTsq26q7OP9sYaOu1qbd0ebQPwLh3HW03P XXCxQcO2RxYxqMUvICDP1/shifXDCYBgk/YIyjRF3GxqymiJt4JKP1ZIKFl8laBRQ83DtxWYqzzB pwIMXR/OnZoqgsaUriR/mYuCOb8eoFhUEsSCnWu/+dNpvYforYBj/PmlQXbEn3T0jUlxOhnTcOnG CRNVfFIADy2pep93hDE3LNB/lcO3Aq+kZiZ/PstPLLbkNpl3m8c+1CqJmDg4V2s4Ojf60n3tbhID zhTnomXPTzDPZFR3437BMfC7P16u/DiNNdW4W1q7BCSk21+zCxOCE5lclqFuBDqLzskeDsGIn09n 02VuVWV3aXhfdZnsfya2/tsg8vELjoW7TDFvpB+rlDgTgk3lV/F5GlM7eSjJCgojbfQmrrJzDM4F IxpNQ9FAV+nBurVCAW7YXGTx7riGTUMwinRW8UFJGHh58RO63H3+XngXcd0kF8J+0yJR8JT7+do1 QcLbIrpY2cjrfnfBycTWd2kW/eg7ZSF+hoRQOH2weQPqn6yGXrIDqHa3rMFH3cA/TOkd9URtRy4/ b2ZonzhOV4rSRmi91aVV0YIQicyawCUDdg8D954flIN4AgT0zCYtBo9DWUsFEUH3aDu413R0K/K/ MvB980WnqNo2P/lmqgo4Oi9QTWZkatpgkL63FnAZKfpha3vAVvQCliVn+Ih+weYTitMn4S2tYMs8 NyBKoQ5abafVThQ1H5MfIALk8PBumfqW5znRL49BnDj+4aNFe5AuoDFVvHagIYPhkz3Smn28iG8o 3v0UOLFPczt3fs2I8qsX6+6cXjvCkKdoVOPWXd4dUOaxIFA/rV4p6HooBodXKtwgXjgwL0lDi+hY Cujpsy/2O589EWH5lT9Un8yMRRDJoB92X0dg27ugeeUINEN30hYAyoF3PpPOKDap/NKKt3sXvb+E oRaEI5TRqM7QbwGkXKkJLJkeI/Fd9Ii7zips6hOO75uglX50DHHOmQKGPrLGjRhJQ9SC/G6yEl/C t/aacoiSmU7f4qUynETRN+ywHDkF/n9mZJfts9kCVMZiyQboiPCXADNKUKUkh/aaPXjavlX5F8wz A4Fqh03dJML5GA8WYcv/ipJ3+WyC8XvYSXOugWKfn0KPrkhma6CbE4ffz9+Jxx5UwghAGC4GqyG1 O/es70FhUAl00tw5ITc91W2nbYHyq1MMQz9EbvAxYpfJV9Oy4MSkEjkffUhX `protect end_protected
mit
APastorG/APG
rotator/rotator_s.vhd
1
7863
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / / **************************************************************************************************/ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; library work; use work.fixed_generic_pkg.all; use work.fixed_float_types.all; use work.common_data_types_pkg.all; use work.common_pkg.all; use work.complex_const_mult_pkg.all; use work.real_const_mult_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity rotator_s is generic( SPEED_opt : T_speed := t_exc; ROUND_STYLE_opt : T_round_style := fixed_truncate; ROUND_TO_BIT_opt : integer_exc := integer'low; MAX_ERROR_PCT_opt : real_exc := real'low; MIN_OUTPUT_BIT : integer_exc := integer'low; MAX_OUTPUT_BIT : integer_exc := integer'low; ANGLE_DEGREES : real ); port( clk : in std_ulogic; input_real : in u_sfixed; input_imag : in u_sfixed; valid_input : in std_ulogic; output_real : out u_sfixed; output_imag : out u_sfixed; valid_output : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture rotator_s_1 of rotator_s is signal inter_real : u_sfixed(complex_const_mult_OH(round_style_opt => ROUND_STYLE_OPT, round_to_bit_opt => ROUND_TO_BIT_OPT, max_error_pct_opt => MAX_ERROR_PCT_OPT, max_output_bit => MAX_OUTPUT_BIT, constants => (cos(ANGLE_DEGREES*MATH_PI/180.0), sin(ANGLE_DEGREES*MATH_PI/180.0)), input_high => input_real'high, input_low => input_real'low, is_signed => true) downto complex_const_mult_OL(round_style_opt => ROUND_STYLE_OPT, round_to_bit_opt => ROUND_TO_BIT_OPT, max_error_pct_opt => MAX_ERROR_PCT_OPT, min_output_bit => MIN_OUTPUT_BIT, constants => (cos(ANGLE_DEGREES*MATH_PI/180.0), sin(ANGLE_DEGREES*MATH_PI/180.0)), input_low => input_real'low, is_signed => true) ); signal inter_imag : u_sfixed(inter_real'range); /*================================================================================================*/ /*================================================================================================*/ begin complex_const_mult_core_s_1: entity work.complex_const_mult_core_s generic map( SPEED_opt => SPEED_opt, ROUND_STYLE_opt => ROUND_STYLE_opt, ROUND_TO_BIT_opt => ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt, MIN_OUTPUT_BIT => MIN_OUTPUT_BIT, MAX_OUTPUT_BIT => MAX_OUTPUT_BIT, MULTIPLICAND_REAL => cos(ANGLE_DEGREES*MATH_PI/180.0), MULTIPLICAND_IMAG => sin(ANGLE_DEGREES*MATH_PI/180.0), INPUT_HIGH => input_real'high, INPUT_LOW => input_real'low ) port map( clk => clk, input_real => input_real, input_imag => input_imag, valid_input => valid_input, output_real => inter_real, output_imag => inter_imag, valid_output => valid_output ); truncate_min_exists: if MIN_OUTPUT_BIT /= integer'low generate truncate_max_exists: if MAX_OUTPUT_BIT /= integer'low generate max_needed: if MAX_OUTPUT_BIT < inter_real'high generate min_needed: if MIN_OUTPUT_BIT > inter_real'low generate output_real(MAX_OUTPUT_BIT downto MIN_OUTPUT_BIT) <= inter_real(MAX_OUTPUT_BIT downto MIN_OUTPUT_BIT); output_imag(MAX_OUTPUT_BIT downto MIN_OUTPUT_BIT) <= inter_imag(MAX_OUTPUT_BIT downto MIN_OUTPUT_BIT); else generate output_real(MAX_OUTPUT_BIT downto inter_real'low) <= inter_real(MAX_OUTPUT_BIT downto inter_real'low); output_imag(MAX_OUTPUT_BIT downto inter_real'low) <= inter_imag(MAX_OUTPUT_BIT downto inter_real'low); end generate; else generate min_needed: if MIN_OUTPUT_BIT > inter_real'low generate output_real(inter_real'high downto MIN_OUTPUT_BIT) <= inter_real(inter_real'high downto MIN_OUTPUT_BIT); output_imag(inter_real'high downto MIN_OUTPUT_BIT) <= inter_imag(inter_real'high downto MIN_OUTPUT_BIT); else generate output_real <= inter_real(output_real'high downto output_real'low); output_imag <= inter_imag(output_imag'high downto output_imag'low); end generate; end generate; else generate truncate_max_exists: if MIN_OUTPUT_BIT /= integer'low generate min_needed: if MIN_OUTPUT_BIT > inter_real'low generate output_real <= inter_real(output_real'high downto MIN_OUTPUT_BIT); output_imag <= inter_imag(output_imag'high downto MIN_OUTPUT_BIT); else generate output_real <= inter_real; output_imag <= inter_imag; end generate; else generate output_real <= inter_real; output_imag <= inter_imag; end generate; end generate; else generate truncate_max_exists: if MAX_OUTPUT_BIT /= integer'low generate max_needed: if MAX_OUTPUT_BIT < inter_real'high generate output_real(MAX_OUTPUT_BIT downto inter_real'low) <= inter_real(MAX_OUTPUT_BIT downto inter_real'low); output_imag(MAX_OUTPUT_BIT downto inter_real'low) <= inter_imag(MAX_OUTPUT_BIT downto inter_real'low); else generate output_real <= inter_real; output_imag <= inter_imag; end generate; else generate output_real <= inter_real; output_imag <= inter_imag; end generate; end generate; end architecture;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/sim/vhdl/sin_taylor_seriesbkb.vhd
4
3083
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity sin_taylor_seriesbkb is generic ( ID : integer := 1; NUM_STAGE : integer := 6; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of sin_taylor_seriesbkb is --------------------- Component --------------------- component sin_taylor_series_ap_dmul_4_max_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- sin_taylor_series_ap_dmul_4_max_dsp_64_u : component sin_taylor_series_ap_dmul_4_max_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dmul_4_max_dsp_64/synth/sin_taylor_series_ap_dmul_4_max_dsp_64.vhd
4
12844
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY sin_taylor_series_ap_dmul_4_max_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END sin_taylor_series_ap_dmul_4_max_dsp_64; ARCHITECTURE sin_taylor_series_ap_dmul_4_max_dsp_64_arch OF sin_taylor_series_ap_dmul_4_max_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch : ARCHITECTURE IS "sin_taylor_series_ap_dmul_4_max_dsp_64,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "sin_taylor_series_ap_dmul_4_max_dsp_64,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FM" & "S=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=4,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0," & "C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 1, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 4, C_OPTIMIZATION => 1, C_MULT_USAGE => 3, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END sin_taylor_series_ap_dmul_4_max_dsp_64_arch;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prj.srcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/sim/sin_taylor_series_ap_sitodp_4_no_dsp_32.vhd
6
10532
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY sin_taylor_series_ap_sitodp_4_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END sin_taylor_series_ap_sitodp_4_no_dsp_32; ARCHITECTURE sin_taylor_series_ap_sitodp_4_no_dsp_32_arch OF sin_taylor_series_ap_sitodp_4_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_sitodp_4_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 1, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 0, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 0, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 0, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 4, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 0, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => '0', s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END sin_taylor_series_ap_sitodp_4_no_dsp_32_arch;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prj.srcs/sources_1/ip/sin_taylor_series_ap_dsub_3_full_dsp_64/hdl/xbip_utils_v3_0_vh_rfs.vhd
20
168945
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block AFl2kw3wjuupeEJWAVRMjvI4n2F9ZwKYCyTdtTbrj99jYEYTJx3fm7Ch7UNHIYnYCZk+hug4a3M6 XIrSFOf3lw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block kJIX1i40eaci6RDbcVVzg1fYaa68r2QTZ19EbYvWyiO0MSVCOi3GfcyJJxOR52/mcv4FD0GrKyok p1d2616K9ikEjuEHDsOkFkQxSSfEgbSNAEkwJoywFb1NEza/LgnXq4wCMserYGd0Ho12V4osIEdI exWoz7u39lGc9ZiaBS4= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 2kMqoMFPLn7FsBBTsV6uCri7uN+peyfxKN5B0t+cAsrbL+lDiZoUrv6niJBSapyempvdNVVmTzxI 0OOKA0SUZL7oQT5S7r5QAMg9q0wHtWdtsxsKxFyZXOcUUs3IkLwLNJ9fExPXmVlCDUNWWyZ/Qtik 1q9ZynUcX4DCv1pUeRs= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block uW1nShxn5xYxSfsiNvMbC6cL7GFjn45B3GrJxFfTPdqHxW6l/7kPGVqMN4yc97bwWb5swAmg1/ia P9L6G5Lmjygww+NIedzfhB4znXCEs1F+LwtP/Eo4UZuH4rQ55XUhLKrRNEqAJ5lTqYxfdIa1JIeg 6YgrU98QHKeOeZUeearBuTROZ6q9d2QFGZhc5MxjU8pwV5JQ++j3EkUIuMZJi3DVdwnYj2d1DzSG tEt9nWmDzn5rqjvrP0c2GlNBg1tCMJxGfC7y54n+J8H6ETagMe97uL4QvKLTEhjArVfHkKedz6Hw BLtL2VPOf8fCVrM+AsqxA6SscLteiE3Y/tcuqg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block IV462y1jHGYFO6K2VU9zTKlfXJZ4kSNvewSr8uczSbz2qRhu1urkppbYmZyNPMNjUUiJfr+4xl1K sPX+MN8CN040mI1y/WRE8sMEH4yPflkbYjeDH/AX8AZf0f51eUS3cIc3p5KYvECdG8h6xmZ6jH0F 7BqDcSAL8OaSnIetqzLPr2v1rtYXH+RRlVWmvCK2nFZ02kt8pCYkp8L5RKceyNIKFWCdOT5JdjZY 4tvHtPn6P1gVHsYV30mBGWhvJczj5zrLjwFlzuRt1FBD773q8FliSEnvM5VLjXeYVAshW6krIgcC JRjJFfG2fLeH0eKFVJ7kqIzwNNYB0nt3Mho0og== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gxKMu2AB/FASbqvyKO7D61/XUsdMsjazR7APgUWhLu8z6ePEw4il1OmWHsQOCjylECfctRxhrNKA ZkqobGwUpbLybNlM8OLmxSq7gFftkFYAAbUlTfr+gHIvTw0OHQ1EytNPCAXJ3C16VMRZRtIOMhuu qWzd7JSTNzsFNMOGqUkbAJ25aM5fSFIBT9RqdtK6aDtxz+XRgnizFyeXsqGdP7bY03KX5HgTMa9w sW64LQcPjQEuBBRxSfYreUKE1jQbO9XuIjtoOmZGDsEtv2KkhTAdFbwHWRiiIzAO+Bx945pksp3X TfC9rKLaXHs75mi0HkNR/3vshocjTDFwp+bJaA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 122928) `protect data_block w6d5l1+70hZsZTjpCKMc4jY7YG19y0DMxwQ2BXDwYvDTUOr3LmIaW/S2EOb7UodECWq3wROS/v/5 owJmYBRLx6FcG6jXsKhSqBDFCQ2Zzu/uY59UMTS/EJWElCs1GU8twF9qT9HxjUXOHm4IvdGyhdFb DBLVl+vClOiLGydntsVSd08ZRaiksCAW0MJB1Gf6YlSvdl+44dlJC9I2zrTbip0uinsygWDB0VlL 6mOnHVkTn4FI2/b5hjwHA+07T+MtcorgdULH0TdkCYDmLT/1nZenBKaGt8R8fgwwYecA7snwnOKf YGafY9WaGPEY8duou1KuZ7HIwxW36ddeEFeZyMl6w9K5bH+a9wB87pdKKdhCM1kDrmbWKVlkbv/S +P9w0DOlCst92vomwBS5U1q0VTsnEIlXAw9zkZzvWTCLMzK2/abPaKNmxxZWIA+nzFZyQO8XOCC1 7zEFnaCiUkK0t5f6OU0XGlJMFsBeM7F7Vf5oeqmMKVcMEY7rd5yr0j4oNvbuK5tRlAjx516vwLK4 k2aU4NpJ2ptsROpOrtbZwdVr8DxhQFMfd9Gskqg/81LlsGwcx+Nptnox/zo3hUrCZlXEgZCYTp1Y +Pzu6hwVsWY2I2oCX5T/91M0TH7fQcXVwSqRUfFAmBmC/9K64ZbockNX/irPZ5L65JDxaHmbrie8 eWWi0BMPGugennicQc0jSvKsU9C7TN4Ln7IwsVJMQx8BoBORnfuiLTNDv33Ikv0ccncL8teIFiqM hU/2iqx6RpY92bFvexEnWONbT7AnYzPyhr7dVrWWPDobUpg5mrEuNZXPCKho8yx9lBNRnTSF6o9e +PCOJGRDgmUMfhmzC29lDzQ2FC2rVvBs+Nft4kbxGk5w2Cuz409jEMNQhyME2IG0CoZz02xYCk6A q0xnqnSxILxCtpc9fz9YC9PszM5BeghmZyB1pIXJoUBSgMltqI31NM/nc4FgPPbIqUEzHaNu+bZ5 kZZKtrwJipKmazB3rSfSEsFCXTKSHu9j2QZrgDICKsx+RqIoIOL13NlWOMHFC8wubRE1oisyLZDU qn7Ve5dQyM3GwHcGybWzoKF5Xsm97JeqHGNTyZLfXeuh0nX1RYtwP6839jng2sj0q4uo9kpcD73V 8lDVjnBclPg2zFhgsjpNrcxqCsxaifvyu3k9LA8PqqMQ1wA0ydPpf+FnNtu5ydt0eqFx2vjVqbd2 bb8CwFjD+FRIAbzbIipFvPhKWgIXAmK/Rc+KT6Y8Ix4oPh30+NRZx1TUtJGBfYDENMNAiDsnLrik Wxu7rz6qmNqF2PbCN2B01s8M2Ws/+N4Q6qC/krmRxjJyr4CN99GQHUW+TnkPHya71420oQ3HNoBa Yzxx0WlnJSbVy0HMWjy9d8Wh0Pc2NicSqH9NupL4CTWp9TTHUGuTbr49Q765mlaqjBK0vXGQKtQl Hh1JpPceqyoPEO9yzbm5RvZi3TsL+7l6YEWP/ayBCgNFrV/lyiqXdHq/xoibQfoP8TaVe9nGW3SC Zf3f7htXCqXIznoFH3qLE+EzK1LekmFF0KKUQjQh8c+qbxd9pjz/jmCs1U+P27EimjI6PL0+iOMn 1gm31ateQckpvM7bH/ksAo92nS9kYoQyuBU4eqwhz9tebejDPbghQhlVwj6kjVxLEEo+jSPfd9dU aywDBl9XPSsdI0vpkc921f3qm8VeEAK3Jj/kVqSXRyD3hF1dMQJjqtEYUJrZbcuiyUn/ZjubYldM BgLo6usWicyB3oGSgx0Y6V6BtGW80yBsre97XjML+NkuyU5XN4PQpGiRbmAaH4OqpflYr2k2psVf nnEjtiZlrJft6geI2xtXbWOSFcU0uDx0kqh+fRTdiW9Z5vb//maTCKi3Qa+KO+sZRev/WF4ljExA v1kHG0Hyk9KKFMnCV53oVR6zy7luJyV51rwgLb39i7MyGo2tGftkL6LmhQaebUs6R82vVF9+4Qro nk0CoXT6vNbP2DbtElDp9PWfKMSnpGP3AXskNg8gXkOzx1CqO6mv1yRzflQ8jlVmStBcddidQ0Wx 0zmICWO32jcrfh+mUt36rPfHGhHdz6oL2mhoSCrEesUsKeM/X+o6f9+L5Hi1FYl217abYsfw6Ls/ gXbkIqK57183ddFP6QF25GxjA6NVLgGvfxFHfdhQSLoaSbSD8lRlCTfi6DaswY9vZfUA0XdVADs9 a3VhPCEs0LBQYKzStwDlQhLYB9MJm1wA/Ji32yBOP3I2KSVfq89vBRr1iNKm9EbRFDYVcEvoAYpb Ay12/3OLcJODwIrtaoAeVdccFyDQnAbH3YyMBn6QpIN13j02LWOviO8qgKneeK9+k/GM0xqB46y7 yg2tEvG3Po2RXN/dZDj716abrjjIbHpQjj6HeeydNy8NNTeZJPIr+sITnLDTHO+5Ku7Wb49gItgA pPLdhDiaXYC+iweoKyww5DYPbA/DcY/Ycr61+57+4lXZY+bmdPXb6N+WfKJrObkc3wSrw06Egofa 98vmqGrmp/7g47iqz2bI1y9/aSSJRgsaCbZ41EruLeTZoHq3fcDJU7RfZoS+ZCuedai4+6i5Gu98 xxbPqY4Gay9oEDaTLcbqiVgmmx3XeTP1DNnRpg0PFeAqCK40xuIaL6PhzY/QPzgKnF278boZnKGb PB5+qFVoUSZl4ARd+1FTvmw0TkD93D9ZDnnNbe8vRswjo5fG/qA10a2IJVYJhLnvPxPR4YwBO94L RwBOwKfKieUYgWZBfvteqhZrLYEMjv9I13hetAJk1WKq3GZOaenX9k/+wyRYoJqfFR66Yw0c6c0c Qli3W/a+m+F3mjegkzCCqQ7NeiteBXUhxcaweetbyiBRDvuKDcdPlI7a2YPxgqUzvxL4MTf9A7uw Rt3zFYFDi3kk5hwcs95+mQ/WK5fKNJt4XG1994IV9xt2fSgbjdhxjJ7T4sUgjrxx2NRLx1QLe3w0 +oe/LELzdnxtyNqNqZByvzXyQzJAW9IQQ3Dm7Faqux7nnp/QUleunhdZl9yznGYoD1N2Qj09DbOJ Ay7odY8BMNYHhMk4dZykRw/Dgo2S504hoiuh1NOt66JpQ8PJqcx9Rkoz5rcW89hXPqLj1T6uOUEv wtgCjnq367BC6EYBObfds2NyPqgfacH+7t2F/HOZuR1mdajX3nnSNU9eTA/VWR+K/8WgtrAZNQOu IL26yU7sSzq5p16QQhis6hjkdO8ekG/MzFs6si60SeecNDEgreaPBBQy88EuhrO69hQ4I6gNzGs9 Ubvmt5/0rJzIm1fpCxOvZh/Ya46dk5EEf3ON7RRUotVcRFB5/sHWe2zlJ5Kzc3TYz0NF+I5EJRRp 9l6M6sRWuCyh4yuAsrMhFv1BKQ3cIU+ijtP4hLJMnVceckzbattj3q+XutgpjI4k2PKaOJ73u20n y0DwaFjIuvkMDLgFNRKnnCj4hOvq67BvO6O3P+37Lhs9+U1qNrV/lLZY+XiWSBeeNLzcwXaUZ6GD P+75cCsgoF66dnl8dZYHrkvtg6Z34sCz3zy7toJWnrBnagJp+ja3XaHFbPDcuG1Ig9RbpLza62jI Q4L3sKmx510JHVDvhX6CwySFD9L+orCzY4K6tRbcYfVPP5fWMeV83wl42n4BlKC51ekyXdG5JVon 12m04MyWbsXHpVJOSWPlMixSphKLGemKvHk6X4YoTE/B7N6W2B7WsmTioiPrXlOG+SGU9GxZ3PiR Wo/mXlt4NFNgV5kshPXaTVoCNWnJ4lJQdC8iCmoLYzBZyYvo1a/TSgzp+buyMea7OsthPEFFIv9G K4TlgH1CFolP6mUDr0GfWPfnWSQ4iRA1UBnXWIFZCXxAjKjOSlpo2Xc86hkbIkeDfLZ+C4MbaiGo xRl+M1ycC22ohLNrwerQamzYw0iqesoW+s8/1C+LInxMo8BleKkm49UwkBB6+z//xfqEm1+PJS2r JarqWFeEMXMu/iBK45PyXcgIjyFeGiHdeFzaQChx/XjRNMczDOOQP9QtAm9KSvVeNAV8xjTGscQm gzzQ7WPjkYMuG7H34JOrBVb/9KDDlkJ4N5fvqsjODPauMZRviuZFG+vVCSdmBpCWDUxrijt7FxuW xHgC5TFaSk6tbRxJVjaWmNQQ5e5J/CJfsEhvnF0kC8Tne3O0Gbzues77EPzGB9RKEuXQddT+XvZl WQzmVGwT39yBX9FoafZw1kyp8YGMT03mCIWHXQH/4PXpKASWAG9WbHtTrhpz/sAKxTkr4dlVQYui ebSAYrcj0g71uZTCvgDeQrsLLL5bdrR3x6JygOIkg77gU3lZtclweofdBk6KwwSKCZCL9qvWSP2N CHaL1tog0ixt4TMeRzer7PSnsALArQoGrDHp1cOm2pONIIexvyhO95pdBENDOIKD5QjnLQzPu9Lg LtMYcYisCLisww46R6FRlpxJoqSqPxfKBNeY+y3EBWCoSRmFJinEJaYkUNWOZOvJd1U0pJML2ubN L/HgSCRsbr8kjPfJudAx+Bkcf/U8IrRHGRe54Ce46XAlXBn5kp1H5dnTWyXHH62aX4xhvIpe+lK/ MHkYq3qWYmqGew4VkEuncZNIUIK0YoP4tPSGd98no6jO6Y49ry+Xd20TK6MW5Gmyy3WQDPMfbnIv IoTT1KOD7YrQu/aahp6u+X6lO/4ISWYzG7wpwM9OEwpXy86+BOXdV6NIXuFgTiqrScPRicrzb0g3 jnzB66NqkODdlPhMCtoUOmaj8AjZNx/G3H7e3+BMjxzZH+liwlPgosTDrPaCwmc4cuMU3zcyKCaW TiRseRmf0FdR2Doovvun8GFPIaXyRE5xr7hESPt4UZAGYu57nUVF2Jb3JXT7DiY4PyLwbrCPp3TU NhzSxIjY0lsl7ecfMk7+Hiy2tg+2ZTpbKNKA/vMGUEht5H+llk/r3MN5jfu0pomc6rmo+0pH0583 DmS2fBzLKxINXCLXRB9nsbU0EM8AiA+hIYE9LvIQgRDEDyK2FzsB3w8B7LwoRwAbCD35cSi4kfmJ v6YHjoEOZIQuKVH016JBqcJo0oF79Y0ZHa5XGkJSTejv1uOXDTPr+t0Fogl+gLraC/txF6rmHBo+ Smm5X4RXo7f8c4ZUeArtdOgEjbibHnLQ749KvX84WDdYvA+cl1eLAxluJQ5g8eFuC1aQcSoINtNb iE11A60/YkCv47VNVECfsIFVRF1T/t9KXefQyfJZe8QBtROwJQaF8MrNui0ajmOYzD9zoAWr6TAS C+hW9+lyNJZx75JUWi2fIdV9td/clfGaAiWpVOevDI/SkWQzsU42BkL9NOplKCcxW7sTGEe0v+/X uziI1AzgXzQg99h+tLg6g8RDlSheBcxoYxUc6Uena5Y7ooUOfeF8VLJwcqdHW7MYrl5VJdKst4J7 Mpks37bTYaa4p49FpSpE8hAMAQoPUxOeL/w9wZ5gDjGnQ3Y9yhYv6NqHuLeZSvrCV3rM0XdH0QLD X7YT0XH04lfMslbaMkBCkWyxptQNADuX7ljPqByO3MQvHewwUQRlF7n8J5ZiEj3ywDEW669kA7VC Kdh9rnOvqBj/occT1A8rJfyR9zo8/68Y1gKQR1JTT9N9qM+n7H5B4fI/biakNPsvJYuWZuIatPtn xflqPvX3oFPRl+Nl7W62WycFEmQftlh/hx+wjS3fLe6VPypCzJKiOKmSbC7LHgnV1Z9y3S91Hb8/ 9SuaHJ+qwN/PjA6qSVt0pt2ag2pN5NhTOfbWN2r7jTFT56I46oYl6NnAKvEwx87EcZ+d06Te+wns SqV15Awx1RhjrUWytYAVgdfDf5ArN6d2KOlZQ91OUKHR2rU7AAL8qOdoz1OWjTGTtGZIxuUgAapS wEQidINBrwaWDG/9cCRQy+by/Khp+cFr347WYEtVlbepD2BqfRCAiUoOxtgc+QJLBr6scPVn72u2 qDlW4NkXoLQ86XEHyDQU+Wv02cHOMDlkEo+MlwfwjxqNZEpbJtRw9HXGv206wOz7Tw3uVaJyzBjT rjQM2LWxFsRP9raiaZQV5gkZMeueN1mwQZOTg8KwuEAbBZIdBXIIu2auPpjog89MDjTgux2TTzyn eqUACdS9MRI0bkYNQONYpmev/BHqnUSDwd+5b5grkOxDR05D5Igez9Z7LewnAQAvWhHE3X7NbEF1 8mJsOXLpeEWiUXiMVRpbW1U/uEq8nbXhbEazdrj5wLt0+wWXqAmcUZA9fLVyTsoPPOzMMTX+4IM4 w/OmomS9bQ5WGllZM3+HyUkejwr+kdMjXALfPsNJzQv7pjH7uoA6syhdH7nfmgE/5PpapXOzlsqY GPCO0Vo59RhGIvvctBRRYaVnzEfOVVoAwtUm9wBkaWBGcpXZttrBShEOjuqpKqwvfM+TWWQAF5dj 5hx0/8O1VTPcqcR09+h1FNDxc2jLH+q/Dl3PAfKc1H5KSkbRnOno9Cf8Ucq1Q9qt3EZf/Etc2fdc BgYexDWwaJyUZzpTxGNK6kiOY6J6mX6aKa/sC6fOB0QuE8Os7tdnA05OXhwuQDy9dLsUE1T6GcFp vT1t0CPuNtY2f8xp15V+Ii7f6lQgNnoXbxpGu2sjp94oBKMw4tWewJUlNoHEM4Goo+afm8uqHjts RhWVygRqifnEe/mbzJiisirXVSX3RqmGHlZd3Y2OFbwpcx2v12YFDHIkFLR1bhZhY/bqRvU/83pF xE0H/0NFuNS3haOOb6QQmBSm4oHs4yqUwRu/etTmP1XJpUvSbRgJADD2X3epTnn+QthRNfVUGWaP y9qGCPaJzrQswZANJ/F9Os07DVZeFFu6YLDS8G3zDUjaA6xlNsXmhinklT/QLNwMMmUeRQtztE7q JSKuivi71n23/zZiy2DbFMOkX2k9W8FDLwY1P82+v6gVakyaueoL6NE8NHDu5bVYz+j5aJuqTuWq u4vYJO6h6U9pIm+QQWxJvag2UopNeSuJ/Axu1k9BkqS4h2TYavfHCJL5GkeCOSUsI8xG0adEaNCC NcwV64lm8R8hdqMkQTAMK/nR6HnoEYTz8tdMYhpJQGiFk+xDcS4kE5GMewdZEnIda8+mhrD8CqQg LUaLgo1hBQxz+ihinptvUER3+dW1LJ4cjXLlb78RjuV9Ri2Xs0AVRqXY/2bjW/CYA1M/AXPHXbMK NhwtJDQ/vtT4gbPWNTCPkhTz7CQiV7UJOHemEuWI5kxpWr1DDoZqYlUYttx4ewyCwwNP3vnEM6p6 H3nvbKtgwOgXc9NVjKScLet1H+67utQ/uwIMUgcYT9CnWvMCAzPN5HcNwIbN8HIWJ02R2RNoDwv2 MkVJKgNcuckrdLNaQkrMXxJTnLbSpjAR3KCJbjad0V+35qtWPu7WEtlUV6ybneT2ketz/DqiKnQR PCMFgASQGHXyqugzBM5qZ4CCJijSZZXyOZgrLHYWNLnR0c7nli91vhYnA4TEDbYeqeY/D6zHpToo kiETWzFY1V2h9uuBjPisXDhVDs+KL1xJ4t71ZKORogpUlXbxV3aswP0HS1SoEDr+jD2r/19pTGA6 J5eNZvI5tp/vlbq3Fr4/lUMdQjhnr5k0x9b8W7KqhcCpP1kcbspTv+smjl/h1JhVsat/6twwS0oC i9RlLIe7boZ3Dpp0cFHGyvWAnEjxS7vNP8+/6C9A0ZyTKOSVHgly1NUdBmDMQAXkPoaVgDemi+hN pPOm/aGN1Fsr63h7z1HQXJY9taap6PA5o0Xlxlr0iYjGtvZgOVjZXNfzEResviW3h3lnTWkFWkdg 2qMmTm2YllGOP907QmJ5mGHjXFTfx5/RcSrqjKM90ud3TbheIEYsfSmduVok141kcmJ3ixSrjSWF hFuEF8BVvaW1zQNVCU4Om0HHO1fLUkYJ2nLrFRfZZDOPPaJHacGkwskg7/YSPT/OwamIVhTQE7jr 10lyQF8qopEx/HEn+NluPDzBuGmv1AqX28OZ2ej/FvGAM58Nr0vuUdW32aEFqgq7PqrP1ou3icbB b7MmqWjNLtmBMfmfEMMKrd14H5c9tapbWxYukCFIyMVU0cXuqRY0HaJGOan6vlDczya7XwxrzP70 hr2hQLSQlU1TSoED71cWg4kwLZHVDATi3v+NXXYWS0G3jPtePxXuvYOx9AjPxxKK048r+K52B6VC f/501K1ez43hCRE+gnEV3raAXpuVvoGQkJfH/Jm5ASUsRO+fwjH4a4tCyvfVkAuGcw9nWV0ve5ke 0Adn3kX2XdqxWU2BMb+HkRCiqba2MNtRSC6z8ZVDz2N433X+LI2jpCFqnv0dRAQWTjdQxwxpunOP 4gYTKB2QKtJQkriYOMCQaBp5o/PaxLLSBbGE/6JaSORSQJJeW9VU5IixMdIGS9JyepQB21GkQTEu rf+8N+zAzF3QF5nNOSt7qM65JOaclwrUmuPrnohzigHwAahMYNi1O3LkFY2SOxfxi+NcIzSECYjo h3qoH8lWetNhREFedA8laNiMsxRNEigxilDNVlk0LpfrqHMI6QffbkwaVLWTVBtHncQj7m4+JCId N/DgvKhYXjhL4lEGiGcqhSqMN9W0acdhJp3iGkfxRxeUQsmP6jrSpsGfvTxMmTgLVVnKcA+4zGbR 4APKRtUJAqUFXu9k4eyZCN4WYkBlvU935R9Sd1IJUqpy/aiyVvINZR114lG8J2okXPqT3bwVnT6M V714B0CWv0PtmQQ3iVTf88G38Y3EsftitCLqAP8b2Y9d+Ktfo2Y3K1MVuMeJP/SFKvHL2Pz1U7WJ XB4c6WlgsMu1o43erlVrDEpHQQVmMQUen8Oh6DJrw7bovopiZta65XkkMkfs1js1N+vcdBDC6/Ca a+9hGAQtXk3atQ6HEmZt44N+xRmoycYSMbYwulabnom/Bz/jDqlwGA7ev9/MpGlPbtjO9VM5QIIU Fj2cEIvOUxBg4PlzMSIq5CkuyCVp8MIDwTHTMFdWwx6BckfQBHfbzzRjGUHUn+auzM6CSb3gfM6r aNUB0lsS9m0vSb85TOzCVFV89aPLMkWaGnUEgVmRrnvajfie/HFPNICdP/oZd6P1HDBpsxKvPdQn 15tdUr6WNfW9zs1vxhzxiLt4HfFPdA1PuOG2/s9M8r/RSakhBerqSOgc150qIbS8QbHctbK/pcop x8HeSZPP6QFcOn8oEW6QGLQqk3O4q2y16Ma3esof27mSnvvM8knM9U0dEYCjCf1ip7YWtlg+fJmb Y+Md2PSq0vhM6HeIK/ERCrgYtM3uO4Z+Dilg6UVMJB+ioSfd/nmv8RsD9keFXn7W6HLQ1dYXRthl MGPiE7PyVfOzqXi8stx7D4kyBMK58ybHvZk8eLLivxTxCuvVotLeuYHkPWPdg6hWFvlrZesqhznP 3IJiScjB21Cb32OFGHfY05bLx+9gsmX8GoNqsIvvo+8Q/5EfxoXCvKitFG80L5aMrj4gQYZlkTrD oLvi2XGeZH+lYwTmwoG7XB6JRzFr0cWK4Z42V8SnhW9okdiyxTmm57dz6F38uSc1FQMjEu01ddM8 F0FaDAdsouDuXNBOCNpJkPU19Tee/EnkU5fReoxQ7RlvDlHLYlzLflaQm6O8eSAV7PLaumCaqZXy 3N+UJnAbG1DGJHjTQ8PQM4VHc5ceAPyWtFDItbBC8lHYih9dKYTHnOici8EWozDEm8LahQeqz889 T+OaoRZXbF8fRcZ9K4cSlhbznkqXCnW19nbyw80QTRTsAej1ydYuu7D3AUFcxninb+pyH8ImUgAS x0i2hcdjAf6NS6jnnu+3+3MpqXm0lo8O0+a+JEq7wlrbDdU9Bleegl/J3uZvvV6ICJhQiz+2vttu LSjS01vN5/lI5hYtIAdNlvCcO4tgfZi2JHzWZGpHOHsJjxhtIPka3jCALDT24vji1eZYGOFRc6UX uJNBKN0DmRXgtL8aw1VitMHERu5oYTXzdEj9Ix4MB8pICyhxa19xhebuTyq3TxTu6uG+IjRvF3Tw i8dXQRCTMI/FUeCVA8gm1WE9G2Tvr2cDM8JLrgk5dIR/KYZD19+NV2utl6XE3tTQ9WQ6tM64RS8P 9bUxviW2GapumVWJD4jsLsBpZoFPOLjU2QaDoGyd/KgorxBGMWIb+ut95RyVgDzgfPlemTOhu2FL QejnbTvv26ohHq1EVD53+jFOMXEs/IQl1r7PmsTEftu/dg/Ybik1UvmMGJiAJDWV5mh29Wfu5Yaz aTiFSi1T2Q/8rJa+ICtiN3uIjcRK7L7lnKzpIN8nxv+UFGpQgbAidf7joFSk5Ad+/7N79AwhfKgM n+0quE3FCJhg57mPch4Wo+m3PDRDt+gmo5UBni+TRf6eQKrpVTUNhhlddp5eE0cf/5DWX82uMlPp 6qopjIGRG5mh2owfIfrBTwidhY1HJ52owsJ7+HXGaT571CK3Pv382uPOVsJSkz9cq2Mtxi+Q5nlJ 7mIpyRVjgJI5eDXhwz9kkA3Ux5omLbBNplbJY8LPkcJZNoxIVq8Bk8a9BDdDWod8AqtA3g1ogw1s tAZ1CiMYImcRScLvZUNCLFSzkRcy5QHhjEOXmwfJmmDgGqQOdwjZNBLlwUhPoTGRrC8ipe94jDjk nNVPNRPNx7tLL/E7w7DakelSawCsAL4LQvj1wvr3fUbz4mx9ZF6UXmIugpAi+N039ZWiPTgydFwr cQONhJ6pqCDTl1DdZ0PSxDPURQ/6OfNmkqUPkb/BDwFp31+ZWSz05xReauV8ufeSfHU40NYZzQm+ hmD2gQW7Pxk02Plaaq6RdE+AVNYoHbYvE5bYk9sOBD8+GnTM28Hx7EomgJRLNN1S2O27DbHjncj4 j5TH7RCrKujjKMZXxI0jQsaMHWxhrqhShSon0Mw3CR96WoSWK4Dj7pa4oxDt/YJw8GGQ8ni2OmDg rBxcFuSJxi1b12iyMl6BNaddvxpBAQ5W6NGaMJycQKzIGglejb6Wo4+EjU7n4TOjS12yx681SZSF dq7Fu9zz3B5VeQFOJ5Vzpne1z5gWnxa3GvnntWnuvxtZ293+xV7u4N5WQoXygWluWO6kxnYrqLhd gmEfpKmiG4Hkm40lqozQQSNp4OMfaqQkmXhqPPD6C78mGvgpb/EuIK6Tmh0TrIh+5AaBzitfdk39 CylXwYAeTSyoP+WYKEyosVQYxRFVopM3W2xgMwhyT443w7yyoaQ4/OWXYzM5KaR7V9/c/paXAXLU V1anEiTzacwF0qrrVuzUqQeo2UDJhYSbBSCiVHNTseolncCDV/cxTxgfMqhjulR+Ux9Y/nRKAJ/1 lZgikBLqTCB5bBCQBjcokOR+bKaPk8FGYvhvlVCEOIEeW51COcio1R4eFls1RBlAp4oWfHBQj377 ZkFIKJXOg3dF+/5Kg+2tXjTvDrOHUsikHya0Lp6esCG+3G3ARcXvSR+74nMwx2QdYrcapWjWVk1T ypr0E/BNkIIzKsswsAGGwDBMnbIc4QfYvpDwUYmOIbtcjNl3YcgzJB+k9h+KZKLnjNisfRI3NRde sOPLJ44hYk1sDDdI8LHYcfxxdc3kctF5ZtiAz7KxKkxUoPU0af+IUf38B5mRB1j1Ni8e/LTZ+o00 MKI/np5orGq8jolICEPPFwZ+BeIM9wWv/n8zMobPKLoLNDrnv001Z8xF2507VE6w02sqr4oUHacn cxeA/cH5AOV4WCLKtU44hdJofDb/mX/Ai8B3w0fCWwT7NuH+SJ2vcmCFsrFJ8fIviUf5BrH67vgC kDcTpOcpOxn/TdtB1dIH2B9WXpR/82eRiLUo93WGEod2ToXGCbqXhD6GX1OVoPn2gBYfbYTBVfzH XKvuTU2sQAtfk4/N7rNwhUA4dsCbHVHEFMoVPU2wADizwcl3KMiP+awGT8OPw8S1aehFPL/GlZHp 3qFGXThuQbXAFLRAlVXxsREFByyMR7BiN95YV1y3zkPgQbReTKzqdwkBA4UFU/V22mUZYmnQyCks qLS2Q6aqjcMvn6/E2TsBMxN4LlXEMlGtDzUq6fYpwPrgxQlF6EpguNx55lP1uBCBoV6Y5v+lXR5S FxoAKlARwPQdnjHXFlIangknER9FqwLbmT8DPLJpcqPjcRkXqilLZ/2umOGfqiXGqoFw+2yTg/CX MLj/XvE9IUI8cXcXGoN02OWwPXu1uyM2aPx+1wXCmwutLCZw0Hi6ivXqsnIV+K37GdYI0J/qhexE URxRt/cuD7g3ZQIX7FMnn8aPPcK/CtXnqCHGbT84gJ8ZSYQwttuEsVVqR/RMJd8CTdlX0h6qxabb rIFB23F0yoSJ1Z46DeRZTc5w1URKoVra+EnM7UeXMtKST2JbRsZ00BrE+huYjvkkjtfaCE+IOoFL CKFZbGEaSdyjOp3I66Hwk294azsWuUQpo2MZUoZpmWpXOkM87zwO6Wphv+Iktl2jNc+gm213g5qC I4szAeJXJsVgQlzl3J5EWT3kl8aW1gsYVHqrtwQgBmb8m++v7K3s8oCnTH4D5KkdSKoj8yujik1y uCNR/OIWvxD4ESizqX47/EPqB1RNw2EpOyu9PB71r6dBcMvKaHoybnv2bDYhnPifi+MY7BuLxwRQ 2ND6uo9NrdzxLMqf98iquiaFzh3VJkCfHluyQDWM44Z5ZNHirvyXCgKiXY0xwP4Evlz0nUEJUajN ctMcti1McuFUGZtYyYUg9aOfQRxRxu3GZ2kdXe0wmnBOeDOvnoCGAyPKEhh5wSH4qnMopKGsHnpw pnHfPNhrw4IOG4FdJNzYxDVN1svd9GIJyHKAzm9QXxCoqI97MtY028Ku3MYU5/etvFNGIdA3DRmm iRivUgvQ+dBxifJeukLx8uEGrNKtnnvjEjw88oDjG/MpyBjCq2agCoJr3mmyf6x/dc4hMLWxJ5DN x0BWj7kSB37AEOdKCLtcbbOJXoH8n2YZ40oQqN2fzsIdxHlXpRYr2shs5taHml3bITEQMwsJH8AI P4eUzpDFSGTrTn9AmuYW9rczGAJ+7gs5Fksh02WF9wHgGZoSJCRuziXzmc2AyeqhXe8vh709IoSE JwL/gil7oMsqfpYcWHEdCgOcJzBesr0yogKd25Ma9qBNMCbiz6sqqTGuIPoV0M2/vnr+eNnA04/r q8aV/BWdSwr7AX9PqsFVWa+JvmEfa0qoEBVrrZGAeOOhtQrutcxppqYnrgg9WSOeZjfd+wtscv2g Yo/u+kya4yGJ3UYPtx0jjUhTD/TkDjVdFq5r2SfCkddM5H/3nS33W5SAAH0XlD8HTtx4vGBJ4LR3 CIdSXqjPTSJwxlWIVmxhvQOp8C+579OFXPy93C15Idl4f+sHX75s5Pa2MRAY1NkOzvRYkniuzJJZ EfoSvxCOAuC7E3Gq9lhvfs1rd11gfDEQSGBLR9W6RvdQVw6Jr2EdTNmxs4EVDvFne62YvvmmUCoN nOs5s1aqpo8PxcpJsK2flZ4rnITaQ3XHpAPNbmBxETLePeuo55BcK0WwzcZuJYG9cZgwehB0kiyx tCXNqGx447Ab1mK+aR6Ke4I19j4J/s6dJduw64lMFxs42s37aDxrMgvefhnpMdCssypZPAWZyhr1 zZ/JbXen2XqTiv8wz/7z+VuHs0Ydo8HAX2kiEVNIchJmIIO4z/J9DKCNqLcdL4n+4ha3vhK7rTqa 2IpuRWT5ZhU5HJC1Y4QmPyprLMlMce4wtHsrnzR5rZxQNt55tfBYX/JoFzsxqgk5ZsFmx91RcfBr GZl+6nBk09TA0jmJgSHq0zwGxeqahSEFcyaVZWsR9AUYX1C6CogJMnCrLJnQu89+e4VVBOSH6Wp/ uKLJpp5/4nEJH2LOPhb+MsMD/BShpwdN/mgGFcXVV5ZqgKsvpGrnQI3ySgdCWFSrQ4oC5+GCDYmb /jzh1E4DO/8+RPg2Hf7b0QOOus1RuFqb1/2Pq9hZqm2bYbWGhWTOpbijTmgm0TFRgjcRLWvuBoQr ewiZabOkqYSM+8yKM/DCezLbwpKmGHcL51llqFVgiZGJYKi7EIjpmjKoCksEeMnZyRlhQt5pKS6S tm2ppoclTn7mh+45FPi9eN2IdM3KPIPT6hJNaamscOyNMfXuCJcpE7XRziBv4RITlfwSN0eUIt4K BSYB9UTX6IfNdehODkclpbAuLbkiWgRsRn4JWlT9xXru9KkO+6Ts+QyZhJjZAG3VEwTwFKR0eib7 Ibdd3EG6SwWVtOg2/QC/stob47BAWO5NH91HDq/hD/cCiVaWF2ceLJmJ/2mrSfXsujFTStOmGX93 po650WYet6a6wlGoaqLEq9qze9A8ZPhwFSEUqQpj7Mhd8Mo+QUR09iifGZXQC9Qnp1sQqcYQMC+b 2mNqy4J/DUVGsHSe3Yi6oD/qS2rSdbF0R1yVMqmfmTQPgwGSdzuF45tcQkFeIYnwSf18bLDth86M MNc3iPYAcP8qpYL5wOHrveM5xCGS0zwmHrwb1fHs9gLezH2uwNY6any7GKBzZawryqOWTmlqDmsr 1AMPcAamZTLnB9uKrdV+1vb9VLpYrjdHltQsvwiujXKJXBEvGL2qECkl0ep6N6SVXhjahfEikSzQ 3Jj0V5rLoOgqZ1kw2lDSvjZp+qhDY3IcySfrKLMTt11VxpULMZ/uOFpCD7bXtju7SFKJFtykBiT/ of68zmwVwTmAuJFh622g4S10XztMxVDou8aCvD6Mog7PDJQbUEVdeN5yxdXhyN4Ev3545bOmjvPO h15AnW1RvG1Skp52MLA+Hw5JcTCOmJD3Zl7AZqv6AjlU87nO7oVjOV5pmslzaDkYaKI+WRTiLgSI IWSlXbTibpzmbIZYlA+hrwOt043Snx/NtLyaTq6p3XrPoPY//ZGyVjZpLu0h7ceDX55zNjpOUZ2J OaiRTU1Vw46QLsnxllV2TAAiMbanaRdY7qJjFeudgiqWRJxtoZMzCtrOaInZjaXFpp0hku8thWOA Z0gojsYhiDIsv7lIjJZIqcTZ0LPEnEohWaSMH15uph2Yx19W/H+MEJrV2RDIpXWy/bcV65aal10z a7DA/y6SZ43HdXeSUBuIkasmzW5QX5wpNXWlXhN72Uwg7Cx50KQg4AJ9Kcx9vuQqve1xDKTdjV71 Czy6f3ITe042aAowRl/1wT8ob0gOBmHdFkzTzjVAoCIXGyCk7PS7YPjVrKDnAcr1fHrkq2oZx2ms ez3rbAzaYyW7kLGhPUEuvoA3EMI1bcE7AHEnGoNF8AG6RxwR6bLox8O8bJzKy4llf1NgAkdVTcLp EzLzWO7Rvp4sN6jTYN+XPQe6XwYwed1SRH8RFJUh/LT++2hNRYtOXJEgmH0JC060LWlzRmNDY82i juNQPRmJwdz3UTd+kJ1x9YB8jX/OzpRRYQ6pyQn73aYZ+yNY7nemEHrttcHaKGP9mxpeiAFI10Ly Okp4/ym7fsbZrxKOlWNrQlVG9epxn5B6ASoNVmcnXXViLwMifeEQFFY/cyu41aqBR1h5k0hgLfF5 RhFree4RJqu2/nYmUl9kFGHt9Xr41defoXET/1temtArbhonM7EJlRRM7J51zZ4TfMyWahG4FfXv bvF1ZcLyaN9ikJVo54Qhd0/9ToBF3kuhJi+VF37+thgXA1hiwIwHaStg9t7uRP+6wYiSkarJbnV2 Rj4RoVxtW5zCRA1nxbhi7r1gXDVdSODsGEvqwXIemCYZEiBrCQWh+RSURa+Ufv+nUCx/2cV7Jvxf CJMHDllCOjuXnRImmboEmmNR2Bv+rm1HhDEd54qM+pRVCJBHFkfJXVHzHtDoHaOPbdVbXDIwmtKy X+6XHY/0BKy3UqVyLzwwUuNPKyGySHZbeM1yGexuqhr5SRA7IB0d8DiMAcbgOg1/wggvDwpm3n+A Bsl0BoWsrJ4x7zSzPLj23tBJm1nUPyqBUGzqvaDgCn8uT+wC2RMH6JS0v+Ei3gI0CxsJmVUtI772 0FpJfAL3Okxlnu4a4l0F2O6r846EasJwGk/LuuUd1D78tE9u4Q4VJb61dGt3wgmi71xNVAgzthnx 7g0ZiTfkW2cQcdltqpdOoWFlsIK9fLkZp0F3DuWIv6aCQpnCbChcaGIkP4IL/4UjHJvPexDNjTzm ZNvPsLVbaweLwpPvm8LLtGnVpa6IvxdafDTejZodN5+9I5tlk8ICUJr5DTpwxMWC0VZBsTyFu84g q6RIa2OYYhvMIfN/HlnDKQXUR6cVoWxSbifcuMcP3gbIFkFNGE4psBs3ZHVgwRlaOhvdh3I5mRLk mLZfSBolQaCnpltKZfU2AJYWrGKNbnn99jDOvwzwhpuuaKeGLiUeAoUmda5m1sTvn33bYauNwh5r O3Vs76/vobUOybhFwqxQQLT0iqnEFsSbrvBeixzx5rKF3XMW8ZVEDDuGXLWOie0xSHM6QmsVV8QV Erz06kKAX0xjftclg7RyLvAgW8uk3P2inWQjbgTDFrMgGAeAqzqnoY0bwNmozgUfv/qrH9YtpAYF YBjsW3LJNUvbb1xsNPHkFmvi5lSQUNgFSdpNdK8f3kimD0tjrcoUgHkv00nUUI0v/i8DT5RdNrFc OrReWhWT/0iR8YZUKzokbjywjbxkWAKrbILb2jiWNJLTOlxvAqsuhEMpNNdfuJ1X5JvuS1gouXDp naRZ1l9j4AQAPeyQww2AfrePq5J9mObufbCV7TU9DEXUfg1UmdIi8OnxO13np8UKB0VJjimowZhf Wa6uqjjU/jHo3OKIrnFrU2TZXM7+0vqi2fbbV/j45xohEQ/G0tc9OeEOFZD2b4X3m3aPULTwST2o 8jKPskyoOvVSLTftPZv8x0hdZbYr6HobsJL8UO7M6s4sG96TSqW4QjcwW1vNEUZvukmY5hkEBRp2 zqeib7SLkPKsr2vaXuJ5XLguFSbHUT3HxDZv6m94N+LQnu65jBQk26TVo5r8YTIY6byXbLJSjU2r gkeReO93W/eTRtcFtvYLoY2DTe8mZsLx/LOZoxqld9+SJFic9J+cDw9qRoZwwDl68w9mDswN97Tm R9bbrPtX8eKoNtotgS9IcO15fULUkBw3oZb/lEETmk/Pmp3k5oqZOZSH5WSHftgQ9QbIPMjtUdcR OWcOc4Fkz7nkmQ96tIyWFuchnQ/iHb8IHJJ+OHMF3NstZvQlgyxMxjF3I0jLbf1L9Bo58msSjpol bLzuQnuJjALiy+2FUDdFhi8e3S8QkGSWY5d81arDQsK1ZbHYK8vmB9Qf8VzI6JvamTmUMpTxerZT hNL7d4/ciKx2NtfmPvzzcRHEcefA5VyPo25AlKJ76L2vtRjkd9qcRP+Vg/vJwYENwAU2fcQPVjxH hL8VBpBb60jSrLnck/1oQFHs1g5kU1dWVOC9qE0iiVSzwsA7/uborhoRgbETyHP/gWkNwHLOU/MF zUCVTn1d3JurRDPpXAbxbCQzMVC7oSgl/QbB68M0NXhoFM3RHtqzomYpat9jC8GWzcJe/wNcNnUD NwCqvGCid167z9UNEMwEshThwyxN6VKcY4Upep4czcAR6ebjrHf0cTTje/r+cQpBY8pXByU6M3Qn K4YD5279BEmGF0F0+lFLUuMih6w/3ucrQ4Wsdzq7HYzx6GVXZVKIAAIv0K1YQFAsEukilckKn+Rf 8pIwgI51jVGW50CZUr9p5zLpXx7jGr0gmymcWRivwJfh0709K7EvMD+oq/Y9yEHXtJoFTeiH+rXo DJac9u1h1gl/W8EY1bETrLp1f1jaPQt6sQPN5EVpkW0ZVhF9Fhu6PMh66RFRVtg1DOZ7rjvF9Qbe Tbw4VZers28jQjErMKglgrBQTZ7GqFTbax4Cp6O7uaksD4qD2hLZeXt58o1faMYq16Knkc8SfuWS neCAHZBbv3m2aKFvpfOiNnJn473gFIUpQElZp2aj3xrX7NqCZZkAGnwgQZNK3a+KbxoygfGaJH4c gWM9OxuGvux92F1XQ9wsiG6D1ge5HgQnvFkratk7bsbBvcB7ihrpvMsef7J24/VJP3KmyAw0Ozo5 dsQ2xwrP+UcCkHAUvg3sSO2AF652fU5yl2rdXQWuIRWtKuQht3gKWbSitdpLDa2BhoMRNUoU3ue7 lK9Tk+PUoBrHSuHiw18Zl9ndk8vZoJCXa83QgcPpJfJbfdPEJ0vmtSRZR23s/SFMubIrliU9rN90 D0lFG3wkHa7bE/XWWCEQYuxlOnWTUHpsQfuw/ML4KYDIs/SlI+JAopZdXPyf4n2EJbafoHzxiMVp tjNswZM2+ReaPqZEWbGfaNU/zSlewJcyAFJNi12zxnCE5yefLjyopUaSBjv87nAwUHzaAJjPewuL be/tCcvYjmkyXD5+2XJJpBVA0+kTRw3KpzT3N1pO9gF52Uv0Pq4oOmV89+p/bIBYbw/XPGM3ql8B ggQbdUnhToOfRUdXlqHJJcb3ixlbMyKAm5RrYlsA1wutHzvzG8056zzBnJ7Owly3djpIdPKxeNpa 3Oxek8aReU+5O2bkzl8xLqi8FJa/HJN7VP28cW7X35GD7oVJnCMtOt8EIOrgfo40epEJaggkRAx+ /ROOM0uOCiGqMRmzNjcc16eMiPRLScCSmmf+bMJ2kkdtaCFOHIQHvhxHxzcASd7N6Plo7STih/Xk NT5v6xIQfDofUFzlHIAyN/u5uzfXDFqbD7TOK2N4tygrfbcjW6y6q0pFCaMHrBxWxQSZb+4N99XN 3V6lADstV7EbCZd/1KrePVBsDwy4xtv0P72/oXGAr/J8w6rhWcsLB/Y2N3wMbvGeHzBPqeGHUxw9 fOAfBPIICozYtEcuAsv/83rwxIKPPXzQq/sSU943xf4BxghNm+KHFz7rC3YjbgZiYZ4pPstpnPx8 X6OMG2LcZb+0GBgGTbboV4A1+Y/t9gU5i3B4YRh0epGQU1YHqKNskoNwrln6LMbXhmyHrs0fl8FD 9yBr5R9ETuFFN651cNFQVt2EY+Bpkpw9GFblhelAuFgWrdI3hCu96aL9IV21AzHJ48dJ9dI/nrc8 TEqiFR9iRtVmtSDwdR/mffrQ1Kyic1JzVpdLEBQpZFaUqYUQ9nmchCfGMBClZXqE8CMXfnxUzy6u SRi8u0254bok3NbH5ZlghRJKtzQao8ocYvrACSjJt3SusTvAzg1JQBgQUEgyQ2vLYbOdXat9wJck tCURmw1AtMjTzDeaVnSPxyKCngw9jOHjDP2z1mQBFIw/dAprKfBihEwJb0rqG4GgP6Ouy5P+es7y UA0+O/MYYeeiUBray/mI8hfc8UvPUuonx9zLo/f4a0VyDEpgNYzx+n0DuY/pRz9d33Meb6U1Aya9 //OKjvb6i6Hb7ipYNadxSwspV6eL7VPeYmpG6+8qLTdCMczLabsnb37xTRoCZygBXCyPVddCj1i/ 7B6oVArwEtzP4gOm+bro76ZokVYj+Pfa1imZljVFm5zrVjK7rrsQMM5eqd+oPzVGkptX82XalLF9 jwp4GacE52x8xovvHtplwOhVuPtEVJYZbgLZtZm5Yl1FkGJjguO9p5XmsIX3TmRiLHpIqHBgp7ze FyCLvx/AIuGWOeT+4wxPWg69V3rlvGYIqLW7Vn/oohytJW5GZpCv9dvEBnhWfW70ZwaOBPBeOgcb gtOx0UnjklSJt2PM4Oi3EDXrddqeB1hKFBHVwWyDR6arGYTOou38wH/q7Y+trRwlf6Ha1tKcb68b ZQSxW6+wKYXHSI8mN07HWlBesPPAEbh98WIkaZ+VwBl0KfNEfQ69Buvx3+jGUNfe1JbqEmaTAFYE +N07YUg0uLnGr5OfjR2UW9osd4BpwFV+sx3qr/Qfe0CT1SevEENuSjD4b6+7eqiEOHkqwrfsfKbT WqlE+VF87z6qKjvldSbYa/0YaQKJGhlsT2sm3vlW82TI8KRLX+pIqea9/obuUPDK7agDTue9H+Rp 53pf14qDYnft8jGMpYFmssO5mneJLjVcuwkRvdWIuAEKih5lcGsdW99JiKXF70bKMDGIxdKE0OAj BeKs9ZUtqro2SPobubQ3pBmJbAluc5xC0rfdS8q6yGAH4qn8n4G8XGHeQpVhp1Ie7wlpzoH5x73u mCDzzNPJre4U1mbmXSc532ujCpY+mwBUAUfc3id1gGQQljYVqoKtzQiKl5KlzjhjBc2vNhAWgpx5 A/0JoLyqWXsA/mKxt0bweXIwlvnT/F9oL0wArRN4Ad5K9VVKadMB+c4/LucZ6DyzGymYu5viMdZR yTY1b/I0Drv85aEn2ROeqz/AronHV0bt/gFaKKqltc6EqtD1oEb953hkjkisoUROQ5vhm0rzAq4M qT39IOPnJ/raP2z7R4TRMea/Kq+u2bU8dC7ZcUm04Z6JzBDe+B9rvIPeqfEmJwgO2c32B2Jg5Yan VklO/s6oPRSFWswalDas4co90As0ubvIWoOSLCtfBWW4SLtF7UHHodeyVyCNaIe10EF8ZBlARHm0 +Zk0SygTOfTk5huBlkNnItM9FUNkQvcU5FqD5ohv+VY+pAqM6kjrAXLymB4oS4ug/mLLzQquEn/i OgyA3M1SDpu7Nqu9masWgQFRt3mvgXS7s5q6wJ6b7N6Jrx+6BsvC/NUhx6ptV6FGGlAhTDLMAJf9 awH2LPBrHzKRYwy7N3lcJE5xgeoQl/nsayEg02tK5/Bh/qzz5TPXM6vp23wM4NyPd3Wl5dT8rafW Ob2gjn136gQ2PZg1EMpacBVkn+AVI+W3CWln98wdCZGNEO8nBLL+cW8Z3wMkqEf+2AY9i6RH6e3q kXL7frsXwLH2kuKX8ownnOGc+hsavz19yS1YAXOJ5XBHcH8d1wtB603P6oqg1XrS6q6Br1zYaAA4 o+To0jtftbRfeB2Lx2Fg1E7u1TvfoUREi4Z5TzK1wGj95q21e6RgrHt5Ewt6bBlXWEYkirpW/qSK SxOHIvyL1jYFFpj7qlJ2QfWf/gKiMC/Ec+iVbsYTpusBXv6tyYkqIlLHk7Hn1b4NFOHbPImmoIzU 4RR/I4df2QW0FkoRT0NKZRTwgMW0leUoRuZfD6i2qcRJ34UJGFFIXleDAmhbdMZox5p3ULbD3k+a jtOP/bwbFICIG5tToi4wEy0GOAgriSBjTI0uqFjpEFa7moKU+rzTrxTJWjXcKsI66SWiLO0rVmL1 NBrsSmxmXziGJyt8JDKfwj3dtuveqqI8yulhZOKNVFvpeGgHFX2qrPGAeNwuEQupmDYH0BaKHer5 bK7ERa+kM17cJeWZuA3m4M1V220efgsk0406QU+0ikIXMaV9/UBz1S13tqflKEGDCyYM2wvcPXBS dDzH3hzruLYNyhbL/kaf91wDyH41s1Fq/AxIup8B9GrRk9QZ9Oe/RAfSoU8LRIXQ7FOlGhrAT0iT lR6TmzqhcvGU1byurCSuas6KlSGl2w4eL3A+A+B3ciChIaSk8ABMkut7/uRiufFu7fqwwGjryp5h pY5wBYaL9E+2mSd0ZSPdX2MAftjbOZryyztxgT7rlE6kTeqrxLD6mbvks1nbwjv7zVVhfCG+Vn9g R5j1ChNZ+KVv/eyh8LdhfhPsLywB+1peUpHUvVng+aegPQa3TYpRQuaTxhHAfnoPFWwmiMjjJHcQ vhq+0ZiaP75hiBjxlpNZrSG4eA+ohFy1JORzx/0hC3cO1/OQ2up4REulQroRds5ox+cFdvKFcUpw lGt/JDXrzyisggPDoayDshjLU7K0r/WCrugOFGXvpa72bJ9frWbWiOFPeyC1VE5jo21VChY6AtNM og3oyg6pDe6K9OyFfKwx5u9EgrMpM/kTyXGkqmwj67Et/FdRDNUKhG/WU+zdNGKdolQOetV+KR1B kZz58D70jK9K3Itapt9fnjfzHjokI/sGpg1FbnJyxMYVIQfsChE3sCKl+qo6Ys2jHL2+yw47Leht OuHkDEFDEbuwRp+5YlY+C7fWzI9cwttYCHrVLr+SnJBLNL+am+ZBym0bxOh3qrz7xSE6WJQwt/jH EVoSolDDqUPZ/JD84ubFroNWIreZ6zFBgUzGmPuw7PjbFv3vkBA3wDg1CupOGApfAgCzA7uumcFO wdfKR3zjPULGmlSR4aStTteSBbiBWSkaocF6kjf2k5PE/0HIgtFvcI+AKti3KFc1McH5vQtNNbCj wToWdhV9bQ4379V49YAa8Ni4YR8Yv4er5j+VaGyBxjnUsEMOwC1/9H/YN7lV5LVcPi/d1RQtwbiT N38aqT6wdKIj5dbcgiDw+XDxD1ZPcJNYnGGiMvNq2AKrPFtJYZodgNKHZzJ8flOq+82tnv0XbaH1 GI9UMXS6/OF+QMr6ZnQnc/2JUreldxYEekVwV/ICXrhVCZHqkZySwkZQ8B3ZGcxH3l2zBAntJV5m 0JO0hVP26kQRN1DVMREMzvbKGGFZJBAn+gQnYagpobhBz4ZFO/jRF2sM3ky5gdOXii8o3TxR0IdE hTbKKLD73fmyplGzyJtVdizqwqKVjOZT3I3EthZIfE7Wz3q4DqtdQFrl0J0+qG3JFxKHOWhezTkn KitPo4u2wdLVn7nxcrXizTUQ8UY5EX1h17FkaieFdEy3pjUokMPyF2dGCStY/k7Wqxxm+aqXFV8L 0ToaCiPsh6coH5ESB6oU3HY6f9xbgfFi6c0y41yIOGJch7xVDDYRo6yHGySJ1V9TAusTYdZwaBn4 ZTcmeJqykfiAyh4GjpGOTFdOls45Z80ERokdBQyUKlWxq4KBSV89SQhgoD3OpZCDPY+g3ak890uV 8w5Z7ShdMRaGKiOCTYy7zGi4Xd6pHM1KCnQTRuGS7Njw/dNiGIBYzyGM2ubb4rN3MYS1ibvNUmt/ QbRCwHAyosHZ0L3sRTM9nR9TUKXNGdL03j7cxQGvqsYS3IUgTZZXOusocYMO4U00N3Fzuoct2FRz 4mfip4Ai5dMxRu7ZFEP4G7dJcaaDra+eFDRY+5bOOs1Ed1HTQ+/t5vOXuSB3by3EoN+z9wSZnW2t pIwylz9GIqT+LjsRET+M91KZVzFarAgNQoE7aEEuraFzmbJHA3/5d7QUPbObUewWm6POyHtd5oRD 8FFbXAlx9LGEbC8mAAtNo8J9/cWfrHZXuV6UUXPuRafwhnBwDzHR7JH3mpF6E9Gi9A0d/R70To2z 4LvYKBdqefQZeuAygtNEIjLTsm8HD58rWCnK0gm5leSwrKkJZnXCachtV9KBDUYyUnP57NBFFhNh Q+O6MOVVebifvPEjUIvp4OG0k1ZI83ujiTImCbuSbUU17TD0TG0gJ02MY5xeJxI2jLn1DKj6xs1X fFEwC0iquU0LsWJNDrDxSw65RZN/YEwJFfwzz/gVmHvccCBwLmfAwUa3Jm+B2FfheLQJP2G9ErBI 9S/fU8jLQ/h/fjZQBLa0jE3Rxc3E6hfvZx8IDewCafKbAKGrDpEbTO9iW3mwG3oi/ZCAT7K/Jwbt OOdPb4/Q1qn6C4pJWRryWyvHed+bM10RbV4QtInw+nhc71OtRX9vzcYHaQ6xz4LREH7C9EpZjR8T tXufxQEzNGFKkNH6dUoFyEJFgtSYSoL/jKHjRWLwEMquEhClw7ku4FMT+3q8VHPXRs9OKoAslA7o y32aNvJC10BuqWTeFRb+7ONLGb2A4/RNMaCTJ2SGdck6DbRBTBdKdltK/6D/azHo73G+1gim2FNY JiZg9giDci0/9ubED2AEYDffPMR16Aae9267AszmWJBxD3s0ZsYJjyQ6zPU3ozcjH0QrlbvVz0BF U7nAIBadCje6ycLgqtrmqdw7SozqUEJaMhrK84qc30Fb4OxpF4eun2lBQahAjko2tcOOHaCVqOLM 5S5WapbFcAfVPtibhqStj97sQZkCdvN/M3GiM6vqUGXNmntKN3MdozH4274Zg92k8qZQhwPyFJnH WtXAqdIrc6Q4G3+VmAjqxVSkNdsyp2Q4C7eAsQH551R9V35V/js6oB2FRRmEnZU8RAWOnPZB0/GL on7XYVK5XG5331e0d/z+YYczw1TI+FNKWdf6FxpA+MtS72xL8CGwWwHs+cFxlccQknaYhI0Mr3Mw YQz860cDvrvHUKXkY9zZYo5whkp7yqTnDbal2jC5RxEKwj2KVWa1nXb6ZgVPJhRK4r14J+Sn0DmH ZEKL9jYXpn2qFMOkEQa+CLRun1b4BHJuM70egnGRPeh4hWsSxXpTExgMW8vKdDKVimqOMODB3Ku5 y1vlPsQqeT/gujaop9vEUZL6jKF60C5/VBYy7Rq1xW2P5m8IZYthlhOrIMZ4tFaLcLj1doFAZZrg UQmtEGuSRPMlMhW+wy31p6HEfYMdEJYtmTvXcfyhDAi7jZAHCWv9c40CkhLdNbXu7rW82KLQOMNZ wWCwYdob5aHtWCMKnBXyDzEh+e8k6g6BRTEOihCpLt1vNdZlugltaG1LnC3SpOoA0r6yGSrUyWt/ IUPKVTyTQUJWdM9BbnGiq+6AhUehxwyiGewHYyjn6UIgVC48uJdfBSc/Dn8TJLYBMGZOsz5242NL HwAHUKq8Ku80bd3Zp8ICql8LZkGn03K2TKKV41KDDtCM7gwydM5Ht+wH6XSLC6aVTLe+EVAOavQB mw+W+lGqkfbxt3+DEJ3EGY/L+wAcfTirsHL3FW/joqoDv/yUxhKgf61Jql4q7a0aPXMf/k4UhxTY Pg87Dc7LOmpapl6y2QgK1t0PNHopcGYMjXgeGTt+LKMLIiNSCO1Pn00uuHGr5AshHRHWjBRdL1B4 ILD+HYVXLbOnoDYvmidV/BTa3oGlmhcjHkyiUXtGxn10kbhCNlUqvjhUVYuBbWyDHymtXjzm4R3u 9yp9FjD2kfwnF15VSX4hqRyoi8eVDUbia3LgU/6Ffe6H/84osoaSAMaMFfU7XnRk2EMnw0I1Fo8J aj5EfezRCEMsgBYvqPBYJifwVPELH0+mLWQPwPzl2a+uiarwHaqMjMNsgjAxQQ6ykR3OYCeXhezc yjZ/3ISlSTA2j+E5vTlj0Y7Bjr42EgxC1B6chxTvnOrVwm7ZNDzi1eqsmBJeJD2Ftrg0SVpy9t9q UgY7lLMoAZT6wlEeL5nxXjxAjCzOd+euQu9neerCjJGaNRzA6kbTqLDU1PGdDX+m6jH/c9q3Wg+t 7ltqcclyrZ46PglAN33yaIR9iIV6BTdWxUJVrvRH121xF3JqzsxrCzew2nxeK8PAeZGXOIJfT9Va IkhiRn9fQX2G1Sp7QFSWpV3NrktWvTelrlefdYg0lU1OuYeOLI70Xm3I3glB8Pu0KxI3AZnb18GN J5IzZ8+KJdcsAM4WDam953Y/KsAKUrCtctSfffdqjLkVfn7Z7iMz3uvLwW2P4ZL+mkuH2YDnkLf+ zTxOfHgKPSOIJCKChTl4AEq2jWT+2UyZP+b3R/aQP9a2afIHeDKjaZ668O/O+UMSKK9YdaZb0cht SS1Uh9jMFJHrlr0zyaH1XBjDCmt51yiWqHA/7E+R8qXrZILuEh6iA2jS1S6iboijApeFCL48CRDI 03AAMH8xiMtszKTHJCz/2rDre8x29u7MLefrqnLlxk1odsFP9+jSmqvlWZBBg3+IrxbPUxZgCV/Z sSr9ajOkGXOwJhq2fUJAx4Hz7vnNHS7f7K1ceswPJ+tgBokU5joUqeZt8KJ0BpA9SODYxcKUzmKe vHl00FqXIYacvPDV2eS6Z6FzxUfMEKymEvwhVh8Jm7Z8z33R0jaLGBSzzdWovSxd/iv7xIDPquyT pPVY1r9MyhUKtfHR3CUT5oDLdc6Q78VZf/DkdWnF34gwD8xXCECwtqRyxTKVKbBlWwv8l+DLaLzU Rs0ApOO1L33+RpT9X6MPdQVkGLnRPXa5epNV8uicil/X1Wm7Yg3IHUzQ6si03bz1ZB1drMF0C/jM cIlyScNkkZAZqrBq9cK2X6pvZ6lVz+u6O5ANcAIe1FJMWfS5P4yoYl9MODhX9Hvj8EHX/hNToBYm 5RReNz9l2jmxOWsjc+GXYnfeWE4mKh3qC8oO3j4Lmntg433M6EuHTTdwdMK7VI2HLE/hLOo8d4DT M8mloQd/VcctlAMXNWDWodxgcHo4f1kePKDw3uy99OLaHJgAW73675uFgpKb6db9QiK1aL38IkB1 KC01yxYsUWKe364gaPQCJ42H2GZXxC+2ZORiwRuJacSU0pCMv5I0zAxwH0g2QNO0p6UkGO5oS8vb wX57VV7lDJlzD6GgxhGfpUvlRRh0nJiyOEPq4FGjj2XGZ/iVF/mvQ2G4FBGGWr2PT8H4alCe7lHw 8Fbbuhj9TSSJsL33II584+FdV577R4MKduNPYdztT5Bl7BxxeW7/DZtg/gfCdnuBKqL0JaaQp1MG 0ZRpnElm05DRJ97rLcBqfN4W7ImczJVHRcJUI1rkkwQIZ4HKGiX4SeB+wXwDfgdjFN++HgGEbjmW 5Tt1ePmtlqP4v1hvS5FBMWU4Va/TbbIJd0Scv3nR3C+iwO4QApSugvYAaa+zbEFZkRZx3WT+tBus EYV5TaNctL0thI9r63hMI/XJUukiTJKd7Sbov0+BwtZnzDIqHQ20B89EDBB+z+yYb2iEzw4MTOv1 ZEzEGwp1Mz5Vg04HqU5e5pefhBujuIet22J4NLkceMZMLVi0xJztaWf+dwHpzOfL1KfnNPd7HRNn tk+e+QpsLxUCIkaju+IERPB8MyL5MhhIc9nZOnQDGH9aDP7IagohpUKqKWy9C1iIjnyJcmoqyQRa 2v6Si4RkNL5igiRGF77z8FtDa6qSmmJzTUHGsw5yln4paBoV1palSAM5wgZFDSDrxJOlBjixlOFb K1g1Pl9+HGGQwlsz68BvOD7fccv2o2rm4dsXP9QLRoA92Q/uYWzHz2jkWpyIrFEIHOSllvEn27zf ntEQCuWzHZ/TrAcNoPZ3tnlJrXWErL+DqyanF1f+/ssTKZpMltHUA9WCJhGArc7HuYwviW3fVp5Q xbDPjfwo9eUQvj7CmD8iiJUPkbx/06qAdNqxNKvd854fsVPsPdOHS0fq42vKGbgEePI6uhkG8Nfi 4pVrkA376F1qgjnpe3wGr8WeZdgK67KXrrNoegoNBylcYYWH7b78wysMQZNWoLcAH8zrjGyZPgnU OPbXDl6QMkxXu4MeRHafx5eoNJH86JFbnk43PPZtB2wyQsoQmO+8oXhiJXsEZpt/3DBCZjQsdwBW RQZgebd5yjs5sbuiL/Mmxr05EJKxql9oK9+I6TyAK4svtzGC5dTfg4TUfxV2N9OxjvMDtKZ2w/cW 1mJoJZYTmSoptOp0zrCX5Nk2kExI1TeDkGaw99uTi/frkQH7SJB4MWPGeAHcZTIl8lrnC+oG7b8a ZFRHn59WBfdSDe11d5Fd5F/HOvKMQ5s+FTI6Z2wRP4lPIEUuqzclDsNCFscA9k5n1QFx6pceudAW 2Q9nneuVujhfYZrvvSjI0axSwcaXjBzn7ZpHBHsvT+klNGJgP6THhOabJF47XAeiFRs5wA9a1NNZ l2JmFJ9iqMU4grfX7DoslDk8oZZpOmz5Y44efCrZyAQe3ExKHGNgWoiQ+ZGbTI995WWv1nrS7LXz P3AG0V5jwqRifGrL9JOuAfh+fedhQOocJWLToyw6C2mklapdfEqVV9FrhH8P6AKd4ew9AMVuXvY7 ng2smvyuAzg9My7rEZokmtd8QgSF1TSXqftURhaGaH8suykQF7u/Qt/QiddfFVJtnvMP+sieAmn/ MtfsauuD19+NKvkdovt8LmXN9T4oxrYB7YpapOTztJrnSUv8aSxaMbc5bvTwl+wpRxCfwWKrpT+R hprT2u+cRCY5RvAxITv9yJWBrrQKGwU+m53tmljgyNdNFcxQbXxooso4O/olh0kDQBBnTlHrNjws tEnvoX3IihfcZ4/fjEOnn/KQAkdUEjeMhMQSZRpTJydNjALKDo2SFnRLD8JBWToRpmS1+lVyut7f 5V4nx83vgTPvlLv6L/6AcRculsMn6FDstEfi5wgRv2mwlLEeCPR4GpyHBsKKlS3P9Xu/MEVUwkyn tCkkKwn8Clbw1aAKJxLWCrCwmbeEyKPMcbCy9EosL56SfiST0joWvDBurnXAhEWm1on0Ouz8+jDY Ipozklm9TD6xz23/3QsLYKLh7yd7PstvBQ/9bM7vPNSR/VNOooYuHMh9+QbOoFjmCk2tLuW4n6u7 Lm+PEO4vCXEgiIE11YSF0pwHB7G4WqiSf3nwYT1wytcyP97ySJHQ+SraeRgFsrCcPeEVdceIYICM qK4PQVk2zcPsNSib0hx0XKETN1VgAJkvcee7Db+pmjSBKG9pbSF8L90s+RON3tWoiW4SAxrewmKh P5ezDTppEqaP37oQE/zA0FgEnZJSHx5lrA6+VsdpOP2Hs2cadBmXh/jzIWZga+FKswvYKcSHriXJ AieFaWW2JwMdOGECQfgvD1VNTpwrsma9r61jOdI7wvwBTldEGP5mZif0fiQIL3vlwb2p0zzvtAyb r7BqK1lSuV0vrDrpAGB/7mZCB7BX8fBKqN6DCr6JJz5C4tR+EKNeh+V8IUJV+33oRo2+pufsf13I dE9TMCZhslH72J3m5wpGKvqKOd8RjLv40mw/Cr+o0RWigKJoMBOciaBxHmajiYaUitIuUG/Q23Vd Btke2wTS5/jpJvNloFEh8SVtVGXMsfAcvhKcKr4fK2EL55bLcUVblA9Io+DEbCBOSkWo3aOmontO 1Y/9U+KaEOpUxAQ6v3g/DunD5hcYQ7LFxCQapg9JXgctXPVPsHAQ4VOXbHgYD5NFc0byaBDrhs0R B5c4t2ZYr4frh2G/zO1O6cfuXG2SHVG/24+OvVzj3c2/FKlbKCnbFS3I4ERxPKbwTOOv6sFeDAKu G7O9l/DHj6wqVxQnXDkyR/Yl+1EUv9pew+MaO9mSuZ4LJIodOg4P5Rm33VW2wFgsPNHAang0WP5M zElS7oGr7tR/cd5kSi7LGzBXOC+zBuP0qFEbrzBnTxSBdYfNUG6/w+kEDMsjzfj5fAmzljhVamTM CtQHCrYyjr1iXyDlA4M/0YpDWNWrV5gjjEal1LfGTXz9vW6uGoP9QkG/g6QzeQ1rvwFCyIlMFtBD gZ1VL2x+1iWrQwaytgoT8GmEWuOZv7JghvyGRJFTmVreFx05s0qc+cJEXhhCiY7PZiyFJjNtM0ha YYE9zoEOSmAnAXxGEqXAtC5iFX5x4mR6KuCjCtDHibfyOW3VzfD+TNPJNBuQ/Q19ZZaBBaLF2A9L PyIr70gGPB9tDDPcP++zx0TUNP7C3YLoLjEXuL/XYpq0m86iADu3lwbqvXqwTK2hJ5loSDwK0VoC Hf9fpGNY+wzYnzQ+Vp8GPnHX8blezcQyKhn4TNT83OgFLZgeM9CFrQ4L+NNJ1Jw4iYsDJ4t+tiFL 5b7JJ4ZNsLdp5Z0mbMdtp7nFZSnpcvg2TK2Xj2lR12AmJ8Vu6xs3jzVrbVk7CwX9uNmdL2E7eDqh 7kfv4lxFOwOvH6oEMRHiMA22837otdxZ62IiPunSqQMHna3JoYnnsOglG8Ln34BwT/OpEsUsR+Be TsvL4xF6PUKuEl1LhgFhTPEWZ4dhN2dgIU31kg/KISZZd20QT4jphm4+Vde9AcmucfVsCchaIP28 tTvmMTa50nD09fo1QiN5W3F9Y+0imdC6ZtRv8olEDVX/A63SQc0ttsBUFWIzJWUi7qhqc8cyk0w+ Qf0ZkfelvMRIaPIUgP32BitRX9QHYQuXVIJQI2clwRZ1w0Y+5LoPJ7MCCz6m9m/C+B+hIrsqQP4u VGKxeco+t3AkJtipnDoktItcImuufj0K8hj6no8u+wJvwODDCrHV3W3dMp8D8075meMTTqhabWYi kZ69lJGJzTm4WCKt9xrlh6Hq1nyNmwjYOY0FvW82KvnihTxa14kWBMUJluWhBo0RZhk577ibTZk4 MR7GBBQIYJaAi63IehD7215ykJEZ4r50aTpYPvwaMQsTU2Z8PYH7pecg+HQo0k9GRUEARCWqqnkh 3wkaopO+UVms+NQPBJnaZmxWP6nwCQaizbHJumdleVu7S52g6YlHwqws7uEAuoDldfFy8o2AdUq/ jyOzXw8fT/2CA4u78SPMGfdG+3D9r/T9sw5HPItlcDVEBFERcJR3WcqtmSlRXqp5yaAuGRVqu6XG Xjc6bo6Fu/DyMpPNXSrON3rTeSWy1lszcnMykIkrCUoanHOx83RvLN27yzVfBdZi4oKkftnMAlYt TvvzPRUYldn32yVMqqdzu0Uzn/JYNqbpyhDJvRk/N6D4aI6mPgjog0qtQrTD7V0AXBVvkV9G4Shy w4rqzbtulWreR0iuQf4lJJfalPDuChpNoR8tv9vQ5nhokvKcuMGCSh/9H5ws4uZ8Tg/2OZpiypwX TVakShWPzYr+UmsTpxJEeDrAuZCKHT6MF2Ld1M9rzg45MVVI3na3dzR38aSdjccxQHKU0aWCYzdJ tUOWwNeqaDEr+t8RZt59ri+nzTEUnWcwWEIjL/KwY6g2xYQ4Q6KXu8uNbY6Fa7H89KpNiyOWqMna 6hKuxNtPxn/2iNX91j1JoGhhzAdXBYL7neLpo/nyBaALRq1pqFMnxYCWRnvJMnDkwRAsuxMN//vb S6AEURTq7PJ9ZSAfZiWNUY956UMb1wcrvGL6OuK5XJpQgMf+rdaQ8ozxAmGRsEN9V+1ue7Udb/OS XEaNPfsnBWpli5maZ4PAaldYQ0vGzNORta2z1/i4FwoLi3Y20vHL28jaVCopDy/WrMYZCrbAnTq5 w60Yru8FT+vMspqQl52TUEEPzHGDPmKvc9EanjZMIZg5DSaAv6UhBfW9NTqi/WBbpnbFzpYPPDwj Z1ZyUFfjRHhhwcmMixwqcnzQkwV4dxZHMcrF/RPYalC/PHmQXZwkBYVelzI7AQKgY1kAzz5Lenfc sZdB0kNuknvXGKdklOeIKgeGiuw1IseDTVzDVlEoaHuKv9ahlDFapG3dr9omU4aPbzQuPkf2r94a FUZBaK9cRy85Sbh4xjlpXSyIfbOQXRBej+UjEs6UHWEpUeeNQ+r3vkcCPkF+qsk2KjhdFaDc2QXg F62GyniJOryaE5xHZt6cXk+aWHwhjFON6bNyVzQbx8CdB11XXnm9+arfUfeK4ST7q5ho7KmxxBIa 8CqHARHdeXdurP705sr2HYR+2tsndeVv1U+NDtuoPTooXBBgm4g+BCYiYkJTgqN0lEN+Co7Y0Czw BNtq1r9b+aGRjXfiLuTv29Eh1jzBFCu0IkajrpFVXZLTJ2uExtvfMOErg8bpMMAwMbwr1tS0q4mM 0TFkiOt6GzDbT/nmPNeYgl0ZmrLQKJljrfECvvBqkPkUaIdXteEj6HrdADCyz20cH8RxdfnoUvBG rESUDutgHvmBQIAMdvXJlZcCTu9ebFrzVpPDCfcMU9f1KJHXHi1VndlLvADPVUxPVDqRd5A7sJyd YAYqXIe/IHGiRRiG6hyZ7at1FLFLFBNPnlGJ3/lBi7WY7tlUSNLDFMZ4HKSoxpLw6ZI990+Lr8te S0HgKrof10+6QbsoN5Jmcl8m3Iep1Z5AwQRl623TdappjxKAbClGw31dfZe521YXQE4oGw06vyMt /KKm3+iF0jocO0hwDwwl8FZkodbMf8mztzedh1/41WO0hR80EN2wy4iZRTnfEYqPOCNdEviMhgY1 8TEHLD3BgFNowBt5cbebr/4b3uPXTau8f9F58gfqJOvTGb63rfTO3ZVL8rzJ2P4gSEOfSK3Dbvpw RF6Ah1Q7CM7l6V10m1a3RX45vryccxr4Ae9wG2falGHMxwtPhPJ2PeQnuWZZ6nm9JbVIjyxpJ2qt iwe64D3Chgoq6d3Ixact/gdUggjD135gHIBSXHUeSBXt2d8LfA31NGMQPOABBDh1KUxFawTIHd/A rITqrre3DCGJWX/vS7aDvOeJ24ZwKM9xTSX9dNTzpgro/gLWM2ZK5BpQ0a0IqGJd+dI6qfn2mR62 OoKCLKdZVc2qwSuxDxBpN2ZO8s6s7Os2xxlverXPoRI5bTSaYx7Kwed2dlddDjGPTeWIKU4YaGuq f8iK/ZTbnGy9VYR1dPyu1dktsF8E+zNC1siqFbOwI0wypnEug3ksCHO7qTD5OV2rmIGdveUYf+Nr hOYR2dWoZCK5Wi6iJ8B9M/WZiFvH+FygPlLmzDv3rpGPsx87j6u6SJ+lfMUIW4km8RXN6BlKwP9T dHUdifr+jcN7dwXOIZNUfeCvu97BGWWCG/hthReiy0poyjbCKKotr/ec4TdxRsYbnEiHr+5Ek+M9 ZPp9w9zHKPDAxGXmNBq6msxGwk9ghfimDGWK8tNfsmxkVSDkrlMPonYFCpl3I3Yxygr8M01rEWrD GLj2FUqk7TH9sPjvTsWkJ8DRxLI5OL69QrsPkckWxRiNMROLhhKXpY/I/Q22vB15OuHwXvrr5QCY iJvd/HFE5wotwjihaBkfF+B5jBnPEdLjufbbBXcIEsSSS/V9OIpJ8WEWLfqrrwF3QFL8XsWjxRbS wPniRaVZz6H8ChoyyR0NNr8gX5C/RJyobDKPaJJQaxwHaOifYccPBT0fbcFlGEW6DfDSzN18nFmx gaHw8tP7yuu+AA/rkitzuwya/xtYZwYK5F54wKPdFawI6Ff7xpGpiEKogkllcE0VzUENoBol9z8k EHEcZTll1pcUyWLxtxr7cbq8m0QQJzKgZ5GwBsYCwsQ7NWpBatP1H3iDLgOQX+aT09qcvo+T5VCt nLh9B12vC0rFQTOH9ZMQzU3jlCniHYb961YHb0j5K1LtNiG1P0823LRikBJ8U5NU/iVcNbza2MWW ObN5q7P7KV+uYFHEj/jwsoMeahxbrUe/n4ULKq7ZsI1KeLtUAi6m0hcMSRhCmENqGnpowoP5D88T sOylY+S8++c5u1Sd0sRKqtGeh8fjgWdqLZPvQuhyVvVnugpyXCzKCszmB1+Jt9nxkRsOtUgUNziP CJLByNB7D9Q+0CzLeU4fFOcwBw63o1y4uDsIMQMPAOj29LO5mti/4TB49AStv5jzfeHOc8XhHXJE GsrjsnZBJziUhIz56z/mlwR3pI1/SznzVHdgnbaAc0wkjj2u2EGZ2wHf/vzPo9rWQQzJwMWxwdTu /GG6scSiItcs2t/dP5xvIEueRP38IAeg5hGXKDXEb7WkbyTZe5UpVJro1jBUkmPBFR9OC3kDv0/t i3ULo4qZqNh3kEd6ITG2Fjln7rEu4m90Nwmzz+ldAA3PblvmE6CCRIoF+ih6De5KfeRo/OlfJt8c 8CmIIajOGG3VAH3tFUFlOtTR6MufIQiN1KcAiiBhEp5yAq16UdsZQp5QPgUd6EYpGbdiSUSkGQo3 VP15tMZpP8ghbDsUxjAaUOfZ9AS0XyXXu0FbGaXpiryH1h9NBc3Uj/2STn8Ma66NF+w0Px5LX2Nx m3kGpNr7BAM+PiaeSs5bXLqMfEzlNPcafW5A302sarsA5UOqzXX8TvCwc53AhRcXOASO/P8YQPIY MheBREjy/mUgYQya5hLjepXHrVnGC8eR8Aa3s2tUbaSMxVZvrrAwF+tUnlP0J+kKM8U/Dbp+xDSw 4pJ1pfiW4FL+/idCdMRITvJC+mX73iDKOcmAlgC7O1ALebqdub8O5uYGZ+ZHoriRqhvXl+Cp+B4n 5gZDPbOCC0RjzmOTD7kZLav/NMuB3g7e9OGoiNYp2DWYwbp5jB4uPdGFJDwI097koxa2vpJMEIHk MekuoBz6mCVass+ZaMniIxwDx+Qq+8OBmAyrNOYGpqavECA0avIuAt8q5PVbYTudZdgjuOXgciZK DmGkEHxvzuBJ0LGBmN7P1qMtVHYqlRmVYWwDud+/wub4kpzT50V9sPKi/LwO4ITT25lEjRFYnvt8 T6q7p3cIJ9CFf4gG9rBYJDC0c0dy9d0YewtYtkW1F17yLCd7q8xQ47rIAi1TGkiuWBfqiv9r7jmT h1r5qnMWtISTkFNMqUsAVokaDPosNEhakH874w7ZESt1xoFMjzwAKw5g3jhizZssbJFJpKRUjngQ QEjdgvVnDEj3pkQSnFttuabtL3t8Rj6VnZKR62y1eEqWr7JM1Zrewx9sB7RxcZYItS3el+/BWMy0 7ergwteZA8roPrs2HEesE1dXy+LR9xrHsePkKFir/62jqgEvHn9sF5yqBxvOxvtH+lW01hubAXpK 52JUAHmQ0QgFl2/zqEML5Izif+6O+IajGWXatVmFdxSfzDDfqCvvpAFCzVI74rq3+WaQ0gm+/pt1 WJ3/dXBAEgBUtoTwFjaBTdK8osdzA7wVJYRBI1cBWP0E7j/DaLFnlazLOnV+KzQ9s5jA43JHzUAw EXKWDLrXS4k46yVH4peZPRN6LiGA+X1D/9Hy1/P7V4mwsVEJqGOkfqkA8iUQLoObZPXsa/HvKk65 pxT/rEkm59qg+Ze8eg8o3bxWHq0xMXOiBBNeh8/ZZWuLMIkgSz4xCkoCDs5QK7C73urPoSw6ZswP ZIYiJhi65DLcmEiGx54MriXobfW06u77XS3L3EBuzRFzRTOX/yAtOkbzphourMBkU94eDsP+h560 T5ksPKnAZiSQPfV2shlRmd34oYSyb3UZD9oiP1Q+FsdqSOpXErlDFDzYmwo4pA/ojNg1pbi4GMP4 qbSOxlLz0fRpD3RLSXDmWCshcFOTmL4tgRocdeVnADRDwe7SGhSE82Q7f2hdSuvA+S0lb3n3kty5 P531b8mlxfGRmqy8fYgHgiR+clA5NBVWXXRM88/EUtLjI/Y0ozN96cp5eZA8oQxkoYI6yVpBOzMr vOMFMepCWLHXgYhOsjDkGyH5ub2WdW1b5/jE5MhkOnjSugG91vaJjlveoKOUVudCJylauBWaQ6QT uimTYfkZLdGAoclV1oetgLMVInXamHtuzuTmRo8/iBVWAz8LG9n8xZdEdouB+kX2MWaKD4ck4wfJ YW9HxGNePijmZT5WeGlX7/BzpKYzYIWXE6zAilNey3Mck4o6j4/Ivp0IchiJ0CmGAzEfdfhKGECn pe0MSZRuof+9Afdci4n68s46/vnk/nt0eETpgVaoK5Mdo/BLFxAKqgQO/kuEMkT08GtUIQ/CHLUR JlM7nSRvAKDgpltRG5lWNUqcrCk6eVRThB/ijsHBuob18z1BbMfnXJQxzPAMEDJ3P+jDOGkJxH0E jBrdz33ahl3S3v0KIc7/D3gxg0y0+lhWNL2yRYb77ri5ZOZGTggxCQpuh9orpeT6inB+RGPo0M3L VfApQ98GKevloaok0jkE9CFiLk8Grp9UOkdef3BwlnGYBe3wLmsVKTUkMQGFkKG2T4Y23EYd9hrr 19uSt4J09mTuEkP4D4ydQi4MvVIoDgyA5VCR3MzDymOO7AktONZ36Ei+OLyQtzXm4eHqlTBa/Xuw Epr2gMc9otcd/vL+0F+EW5/QRJvFVhUD0JFpX0UM2+JByV+BrN+1ksgJbC7di7dDJRfILTjJvX+O PZXfeglOkgyPlXOHnlKMbF3a+DaxXoHTSnZ459MgvVD3nlYd7SaF9phMCVsszwhAr7GaNePvQ/tS R8dboJHwxAEileFTNOecL3I5r1DNUOW8JGU+uzi45ms3E5Z7yEnOMaXC+LAZzEvbLe3idPC6UwCA pXBbiCWqT6INkUaCh1yC0VTYEfu011zxsFwWxzz6C6Oyg4tSvzraVoKW6QYhvvUQGENAg1hDE1FH jsBgccicILkjO16rcUUPQRfYH/OPVifRPO3awnT260Uz+BDCdKKFJ/q4c/u9J61sOHYFVzmbQOVF w4B2K6I9qDZ2ozXaZK2LzBxRkrKKWSAOs3y4QhpHgdfOI/vAp42UiaGjF+M7sNkG5JWaNo6n1DJa V/bWayshf3WoumKviMy3AcBo3Vt7Wl8WydVYm6oOJBwxlgccX1eb5za8GbeONJamMvrN/9WVTELY f+LXVMrp6pUdj1bPnjjFN4oP52psnOPYBO0ykVEf3AbD6FIiPNxqzhHh2s/zsDZ3eKfAKoNZfMTz lEt/TEKj80l19GoGqzxLLV2j9FnggVbi0Tp3vKVuT7XhgRU73Avak8z4pqtK9PsZ2tPBvj4H2pSc ZaPgqVYjawdn2Za2C1TYSFOZfCoPckXfzdddkZYAL4vLinTuD+IJL1T//GlitADx+1V8pMm9eClM Olg8h995CK8LrfJqS9esqNKywv/0pPIh9A8ODn2wDHj5dZbcUbkMl8pYw8tlzjKMTt6CA8gS4PHs zJ0qqllvc/wgHs0eZ+SXspFRAbbua793l/2TL48HUGVOo+HSVI2lo9iJ6xoUUNdzeMrfpd0Kvzn4 WFkMd0xVyQyAKt7ZV/Ya4Mqq1G+RLEbBJJUuqM0wyPC5jnfzADMcAWdMZjJZcoNOOS+egrYICh8/ Jvdxa18y6W78/7M26jd04gP3REMCpHy+9RP6mB8Ubmz1uQT/f0LsCfvjy4GOAfCxFjB8Cx3btMew +VO+TB4/JVqsmnHrF+aNVG62LRarIvIRGIH9KjHzAEEkBXkhjJAtLa4oUQztFOq+is8PFw480lfE wU99U37bMZToHQVY1djuyRUTwrfsGkHuV0SDdSbzQdP1EJLhMg61aIxJzAmCooMUcWl9d/VzWSGA HKwcgNJQtYWI3p+/Qi+2d/sbH/JRoWF+F0+4xbvMoIzdHPTcumC7NFjhmqI/RZE9vFeOjo+KM8jh QSWSALp3Tj0qU9wcEx7tSj9MdUS08bwEWobTMA+p4VhWE+VbTVirKjJEGO148hTWmVMIcnd4+5nk nwfVPe0PRj8bj1V3/ls3azjiuu8CXtpge1s/JD4kpoagGJarapGApcKpu8xAB8UzpZDvktA6sZdG xEKMVkwRRrFQkWr4sFtFCu75IEl4dExQ39EDhAK6y1HZdzwKYaRPTSi9dtUIa8FY2KTrJxXPQlhg CxqQWboKJu4mQkYm7pTOOmH2jSH/x2CgQMD3he0AiWEBnD071FJDVE8CUWQSou3jFndAsTytl7i0 pYTgtghhHrNvpn1h3M29JKxSjDpBNgE9Lobt75EVnw9rBv9Vop3MUZ5wnt4FoO4Dqrl6Rkwa3K7g ffzo7lcEBAjRP+4OmKEDGPtmik/Af6SOxpeS2iMEX0L1zA/7c3z24soGaMBPzahdivguF2/T00a6 wTVjtVVjoqL0tlSZZA8vz3icU11GumKyAnoLZiWSM7rLIbcaliiciCz/W6OSVnCaYrKxHvnBPdrx 32XB1FTcftx1zhu+VBiEnZ5nzv9oQZZEPutMO/JY7hQ1Vi2sr8YwT54Mn0vmswpjvMadQ6Ao3bWx hHip3FsqHRKVKl6zfTV4cDxSkX8qla8AVPPJC2MPhyDlSa/c/1pvSSzR4AOafDOwsn0HBk0EZGWJ KTcEgV7sBS4dIINZANqYcFyRNq44Xp7CFB1QQYsX56xGpDa/lgUc2gkNpAQ/T89yBnltsjFMlEXU 726v6cKTSeJcLWo0QIHYUBjD1+b/2HDkBsSvnr+0zlkJcF3s9iCTeynOEqLs5stefjUXIt+nKHcq htOHm8lYeOYgV33HtpwfA9SLHWxsnCWAWJ2+lzRVRKaPrqhX8ZXoXO1nMj1mPSFu7DOCC1KOUFjh 9+Xhok3SFr8rZk0ghH4hChadTp2q0oW4PM5kxbUs/XEdPq+1hBCklXQlaa1tzRgxDQ8USQHRtODK be5nReXRn1OzI+4PiBGHUtg7U+FILF5OgLs6piY+ADEimjyqVsvuDkotulKGnD+8Qz29n6SeKbmy ym8XQA7wEDe3Bj9LGytqw8qeSIwGrB2ao+cH1UCa4TU9BpZzZDLxzQ0MXlbihpd7m5O5rR9SGQXz O3FG2kEWjk5WbMiSXmhjJvZ+A/GUxno+iWqftTaahiXEdRvRxUXxyFSYehBclfOOiVAToXcFxk74 RxkyDm1sbvaKSeUQGNuJJINaJXI2F2Qv9ewG4lbubKY/luFbKboRxdMx0nSSHPNto7Zbv7vziMAd NAAddhsUGg0PK9r7O6ryISYyJmJXtUTcmHRifpYO6X6PVceeLQOLeOeWLZLsKybhEqbHZwztJCVf b253CnwXlBb9hFUur0AKU5Tyn6uKbqwCjxwKfthItHi0PhJiTszA51oJIzhGO8F+aQk1Y6lSTa4s QKWpeztTWpvghQHfil5CLAVLOobgH+sJvJVkY0RZItPuGGLqwe8FPYB3bCnx2o3SpQP5hWtWb6GS IIoGOrEwyR/Z4cHMghCoNhn2MbpGyk+IlAMyRMvDn/EAtuuC4ZKGMx76x/hihfcAlAH/yz14yEoL vdfRJY63FNwaR/b3cyqUrNcBH4b54FIEJLKTHMy/h3VRJhWueXNXI1pYN9CcLprllYVi0tOtfw/e bmMMxTOrQ9xZ98tkeC6PI0wYGH+rZvqdJl1tpPt6wOl8DNPzLbS1aHYun4X/9a2UEvqKPLCNUvAL HnJr8hSOWCqIfucVibxRfTgucqpr34hRGlCpvsfCAjfWui1jEQkVXGcA/QGj2MSUmmrblwedMtN/ kK95CZ5mH7xfHPjEwdLAnca9BPFotoK+8iMEEK1AV28gGt8eHrm22uYPmN2YSa0PHRctYLlwtveh tFQfhMGqfPoE8CqUuqE5H68S9/QJPLCx/pkQc8Vt1Aez0JppJcD7TkVLy52m+GpXWaIavKsUR+f3 aMJI62GWfoQouD3WuxKYoXw6CsrGc8Ia/q/sEoDngdWFgalV5D0rrZuAUbpdipCVaXJp/W4c0yzr wcxJDu2a/ZL/MMTJ8lzNxR3Pp+7nQiuBR38AT2Jpo9gCEjqDYsyxmlWSqNb/bTh2rXlk/ArfxSS5 5fiEhq/E3u4VJqZDDBqNNj8DK/DgE2U2bEg9CF5NOxOEjr7xwDbFFkYggHuupMF3V7vAXwsWfwiR a8lt22Ofth4c5FhQhUMEXDNxj/50f9+FzcUS/7EGdANhkYB1gLP0NjCfdMQb0WqOBT3nSa9AvM5R xJVnDIQ5wV8+NHJbTMqtDrSfbpRwrFm6igL7uViqOS3fmPnplxhCn6QU2lHVGz7QY8yWR/8EzTEo E6pITZ7oOOP9cvi0Ijiy8P0BauGR/j0lkc9obBpJ3mIFf0+o2sgV5pvPNDicsJiUr8sBIrmKswT/ 31NFvMjogv0W2Rt+6SScSLSSxnHw+KIrNELom5f2Vyn6Jg4aEmdvj3klMfpVXXYZkG6TvtcMsDJZ jE6HMZD516BgqZA19IvPq4h7xeJa8B2GqxDknn/WqUOZfTRvSvKwyixyyfc6bafFxzBrY8mVW38l SuBgp0jBBLgCN4w+8nOIOTS8tBMLcqUlxK8Bi06y5YwSe7tLpFIO3tM/Joy/PtEqo/FKpzTo7MhT flPxdbS6YMTQ9Yf9EmKHerewdI/2l2xFUdehc+mdQ1QQBcN90/7HOCLeipwb9gvqu/4X0QW+oEhO wepoMxzmlRT/PV3OOK4ioNtzltmaesL1HJ9igXhkmV5UmErSf8UH7MCyKqVzb1X9ab7rVX+9sMEq SDf/vojfATaR6tmIB+03c+gtW0pqAtHTa8iwemzhVr7J0yYhW80aWGhyLnvFpMG/pvQjxqqW1cQd EeXWqiKQy6FwYkjNT/MHjMAGz2IPjA/Pq8dWUCV7Y4zQMxsI+rO54Ubiv5jv3MoTkouZtwCp3jEE S6qCXb9pNiqN7g5/2mHE5RpBhHkOYRz10biK0pPgVrhriB2otTmdEB6uhUMlDk6lYI6cXj7LMFvy AsrwMCX4bx5qnWducCjq5dOBoMCSkVf/lqwLyP4sdwRFVcFK+RROyRPah4voIbs2MJrFOtMr+cHa 4DhsTrbqFiMdzwNYbv01zxgaj4Rrb7yt0NU2LLQndj7xoLT/jQJ/g77DetvpicyYigpYm9BY36H9 eSsViBjRULID62xrNfUEkYG2B6Y/5pxbr4yILkYwveyijyrTVZ8Y6OQoItZKWjR0JSal0eS9GKn8 BYLyZfU552N/HT9qm1ciVfg8AmCybkQKz+omuOlLdAcrLtSIbrwjyNPU4YZHzPikA+56qitOw4PM z2CMSkq06Uy9ailUn8dfRW6aFYR40BG9LENLY2RU+0N06w5zaNZmsXiLx+F7Wq2WBKiizvXY7Hy3 guJ1Iu7HVCmpdhCx8HaKn6Cjn5uykiPb1xIsJs8VYjqh/i6SYbRX/3jh1kGw4YT5gxsKzXK3knch suFigrk4vPmoVqW19OUebF9Srzi/eC92z0OD6RlUMEjhLPH3Ov74RaMczc1CD92PKwGPh4smb36i rIp8urO9b3qji+rImSiI0Wk0WtDhAxdUC1B0j8/yy28JfsAapFSD1KAhzrvgd4PnGDAP9eLP99tf zaDaDGxefgksWmQ/3tO0I00fPCLl5cdIMcpmb4X6IY35f3xoKujNIviAQoaULZ58lEtx2ZHcDrvF TlEAX6yhPiG8SV4xG+hAOVqI62WeDTyjoLa8sDLYX5QlhvGB51dJ1F+M47stToE10SBj9hhXWDQP 9sIIkxwFo9fVr4KBjyYqoId+8lQo7PxJNyL4hvdGQICKm7sLKxTHv5GYCyaKI+XSPAPb4nIH4wJB vhWVs0XaDN0mD6diKHF1tXEAYjWutn0yI2+qt/XbBf2kpnwTXbHpWPs8kjUTIUZh87NgGrJGzq3l DNWjnqJCNntKp95Gtx/elThZkcYIR+E9LzsLJ4xW2adtPVish84hkbC87IgJ1RXlRIGeLabXJhnE 4dfmFVsCG7Ln/8A7f7AW5WaPIjYUvFyTiXiwokAW5mhBA+dMYALSVfGhCGrLE7ZcAzF4RsdF1g9k lBtBZO5rg+uVAq+GIHqmXxanSoIlIP3yPezrMdlRVYGFuaibANx8JCnBfLcZrzmzv58AJDHLqb+2 lmrmHnqFfPYkU+pSzXq2A/FJs2mHzxxanSUGZN/sIaBgDi6xnIxtTEiDzhPpBkLwiEd7v57CH/9o YqpeDRnNZS8XAnJTdvfWOLW5NwNNF2fd9KRc2bLE0vuwXgjBm8gfXnnSunoJ6JEkKpTrHQ6JTB9i cS1tW5nb8DKZ4wFCWQOEbEAybftH1oj2zRUcvw1OKlohaak9NzCJKenQzn1aawa+ngG5BbUUNhEr IShCCpXtQ9ntV64GwWX61C/kAmua9BVZH1v92L0z8805hmIKtx3fo3LDFkWIKHrmhihXbG4S0LmN WaaogQ2p/vPcIPPi6MUGglzSLn/I+3117QehqF0PpHRcsMIVEMzb/pNLhZW7h5/Lv754xY4g3nWP O/WVpln5v7v25e9z4w+mvEB/RPocdWrAVWxRzRMN7WLPwOn6xOIUAv3WEVZcSwtvOGHhoFARaSr+ 16/7nB6kvJCMH5SXPdbShZaP2ImfTRDs6RFRVFoOebgb5764wXTwZH4s5M9f64tmqMRLo4DXZmr0 3Lq303ofhAi1lUVp7gL6atuqJWjgRzC+YEiB8LiSZV40WRkapQPFTj+1UoocuCIdXX0V32DKV4Xp U84DcbW7xg80iEe+sDbE7uz9OQFB3eldhkuBhae8SQJs6g0Xnw3uqxJPRyxCU7TuMEySxeyQ8d9N 95vA8sYm8C389alHyvezbulOaBD5bYpccHtshmgwtklzTYoyfly/LeLiZijDX5ISGdFsiFppRSbb F1DJs3XgCzYjoQhEUJnBRAPrEsKLvpmqVBIHjZSY1W8NlWvKC2LHTdjmsjHmerTUsZC4HRbh5Oei eqiUMntk510QmPRChi7ibFI3ZLI9d7CoNoM3UFUH99o7XL4qKCHVZ7QN8bVTkxSrsNYDe6rXY51/ +Wrgj+Uu5d2KMOb9zFG28kbOR8GuHns7j7q9UYIrFF3AbCHP7I27v3MKgI6Cge/wdcIOYegVY9OD NszaQUdP0lIpIqXwVVEk7OlKHyULq/fckHo0eAsEN3dJcSrQwheYDUxiqUXDbCTOc/z5FfmTzlhU 8i6UzXYcfWV2txNxA1zWdD7PnEyeJF7zeK6P2vOlEBCbdOSbUUbghhhTLZvE+QWxuNn2+EEJq7xP hgB+Fa6w38v7GL5YYUcfh0h/bQbWCS4SJmMrgLvY/D2zqJ5D7g9J97JYnMzYSi7+PNDiphf+35bJ 7Ypz1n2H8R/b1kzzzqbiviEcyzT5AQg+3WdmfLehewmODUmv8svxbr7kvNayqpZVe66vI5Had2BT MuqXcxsFXzPIUSQc/+g3UKwUzcqTwqx1Vx4Ok/ccJmpIDnoCuwyNEW0CvMyEH1EKDfFbrxi++rJQ Jxtd4n5vJQTTZb5dsVMpXHvih53xRB6L6r5jjJKncgO3ruhTKrEvEkyj9fGiBLXqPLi9pFCE3wrV gijpJ2eCWxa0tDCxBKTRmGO07R7myQXzexF9ezuy5DaON6M5MGGI3OX+qk2SclrnAu9SiNpGjevy k6yr3JE5xBZAnRl7ATZ67x3fkVCK8i6hNeDyu1MN415COfue9NwdQUXGPv7FaWRxiPwX9EC1Ejuv LoeZyRrdvnyy805c+IiL9LPKKWBBf9PjCSZwPYtdXPelsQGJnZj5rYLIgufJSUAhVJ4Cox/NrR9I BnKTA9/+0iBgmQIi2icKSG4f6ZArzJ2cpODR4hMDGItEhkAEC5RlNJ/HDzV9fHF2RcqmzAIuump+ 4LD+8OSQkh+cYnBW2N7Qt5xzNCivTsOmy0XOu5VY1NqI16irBE8DYcPZ8OknJRZFONKhClVyIn97 leQhG25uCB3fLY1ZB5rz5m/xsEr64FiX2ST0NZjcTQ2mRazDljdNYme6E1DeR/hrW/9NVAJ8Vk49 Eq81JHSvee8FGB9dL70XHPDd7Gkkqks0umZUk7KYScwbpIVUN5WU5ygI2ob1jM6rCS662vUvam4o yEM99Iu62XTqj476fl32W4lnK7ejivLop70KTY90M86mzmaKivnkg4WsGTvLK4espJgWUXb08aAZ 7nw6YUwCqyVd1E+nw4k5ncagi45W0gutlKauU9xughHJ7hwI5bIiWtiIjQV2EsvgEUuV/E78bSqL fGOougreYYPgBnupfbyhpNnvAvOO9lmZBYkAPWkIJaKK99ZaP/AeA9i7PXU8Vnzyw+oaJH41mCvs CVvE/cQbd+3Zb6iaRQLhiM2edzKC1kEkX5aqL/a1PbHnNyxMGxulUdwrfGWqqZtvjqzfsagAhxOv HSecqNeejeJ40dyGarjxEo8G5y5WYBVlZ8zJFLr/bswDYvdyAaM7FVwJvWaG8f2zmkIQGVKSSSqX maEMG2P/Z1sTc9FiNMyaoY805UOIIZUwcHemFE54y9bP9X0BwxAllL8Q2P2l7fMASh3Y8zdCPG/q QRKGgltHCMA1SZr3JOjL9AyMrseUmddpLR6+i6p5qLCK8p+tYnZJdARMg8jgAQXJzB0eK/zHXkjH Pslxex40xm5G1vz1VQ6dRnbY590mLiDUdgVnvBuj9sML/gVH8eLv339zxNe+HHvjjR7ty+wrucJf P3aJH98sfTkuTqAMHDSS1LoQfHuSkKZNM9PZY19/QvW7HjTcd+yAKj4PR8ON/KNSuFWPs9ENi2At TmpDSLwTdLHj0bk8nNCU8B4k7rWJGnSaUjuPdhcuJJHzo1HYxLb06wsGvi+YHZ3E92iweQYcqeui Zq38jCaeNlakc0W7fpcwkuYWGI9qglbKipmGpqkg7lPePL5GPQ/OpuiPJ5EouELdoA2RL5QdeJJs L06TVUoTLKkX2KdsoepW0evhe7pN/gfvZbYh8klNzFJ//9r+NkX5Yoy9hg/oWddvpemc+HSr4LkQ 0WBxl/AC8o78tOetwq0EMqeSI4vVjwEzpLdJFOQAJYJlVtoG0EQ8f1a/114sjIRSTfBNZxv8vrhk 0DuvAPqlxL1K2B90rvOEwzMvcm+uNM43ba+nvKCz4F3gvr7xZ7QGSgRLdH7jIQrbVOBxbi6WJGnX VuTRzoJsAiz3qWsFzPqd8auQBBQXJeBLBN2o5OsK+uznr9OnEuhOvgXigwshL9lCeaAqbSqmYPmn 1XJxRNHQhvqfqs6UMImcMv+RjxQ5adQ9zovWkNRfNm24EdAoZJsMhFXuanACm45rBnTR9M1yszan 7zPXCBzIMC03rJS0K7sKOlcy5bkz08cthIDIp4nrgJ7f3s2om+JRs5zRuveNwag/kDbzhQuVVI/U uFTnoHBvvG2SfyRTzgpIobl8L9MrcW0MI2vQy08qj7z6WL8V9I/c+TgQlS+2n9DC8J05kCUtABYj DcPO5gvgQZJxVrmyIfpA/3q67ELcr7UPZa461nnr46vV7/bLnbzH76iwSF+RQHhdbo/J6dz2c2vw 5WOjOB9SmZ/QbUHnUZnTnchgr9voUIhoTnxkmeZNzqgFEimTHFZ5/Om4FTLaptfAgiJWQpuRkJQd VjxsT/0ifcfApw8gepd9WEluVRCacEK7ws/byrdo/lfIJYmwHVuwb4EDWepXhVx6srGwr1+GX9FI mcNiV2cUT6CoQkbFgRFqcjG8HtSIJdFpX0gqaFiApB8EMpYQnczrQ//LUehhLQzWvVkb5akG9zdV a70yufzRynnZbW1jReY0V/v5HMC6vEZ1hgBGYt00WuEuAVIE8qcRV27OQ0i8vdmwvl3+ccw6v768 WX/8gsRAb0Tsu5spsT/8xa9HVBXJ4EtBaC/3pIsmA3NgLCtYBZUWL12RN0LwBrL5WaUn1tseumh6 aD76yMAFe31WQYKBUx8fIYTfT3n/KrFTgE4vbLtDEP2sKtiL0iqxJWovRObZDl+iopA3of5C/2XW NrSOOm28uzKmnsvdUWjj3z5fHKCDD4LDvI2DvQjOgjjy4MKCMYhzK4Rz/odQe3cT+1KbsUoY1LZW twtrlmd4iM9kKPT91Ii1lSBlgJd6X0aFmIWhie4umyBX0o2BxBV7SkfTqbXUL4uTsL9fsX7no5Hb NUYKo641y1lyF0FwoNH9fxA82BDDZMWiRhymeh4zYbXQoahJWMpWNXFTeNBtf7cRQbZPkzDNic0W EX6awuY97AmOseexwfbz4BKHU94RzhZe8hPr5lL6JPvq8UiHBGb3DZ69f26G9ykHkzAercT2IOp6 0M2PvqMDI3rQT0+08FGNQ0mWQQyTgO6widzUdNE5s57b5gYjlSs782pPX859NZDTOcKaFs5TXbcY UuokIRueJ6IX4IpBE5zEX3TpZUBKvihx1vapyi3AnWYNgHsrxP1s7TG09L7yv217yPsh78wIBWaA rTuSVslSBVcb2CBYSArD6NiLq3Y+pMzS5cd1bNzSIBC5FcMKhLKWsw5yigaKoE9PwVce775i4Hem IpLYz3SOK7G120fkRZfkMINj6RhJFt/tWhc3m1dSef/A8j4D2MvfypmrFd7NQlxpw1t2TOV7Y4X2 8YySe/umQMifkyJMv750oQES2A39mRriDSAk8Pj6FX/tQ36nR+FZBnEm/wi6g2jOBKkjMjB5egix 2hY2j+rT3j4a1rx3ZARjK27R6BPyzw7W68Os9+AL16ud5HXQ/USLbAS0hD58T46miyLlQVi7xTuL 37NYN7vgtiRwjayr0k2B1grtjmJuGh3AWXKTUVVfyj1ruJuhwu7TzXvLKuuyCRvTXuC86PVBO3jJ yKkK0E2LtdIAO0GmsuTtentNjjkWBFwcSO3QnBrseZaq+od7ae5vfJER4P+fyn7Ev6GYuCFSRXHQ d9w6KWsAg1dhxdWZKFdYcpqu7TWu8ia8ddL5K6c5/d7JwfSgyic4ogoU+SHnyxfgiNyUhD6i9GaD Q9uBE9/G2aqhq1/F/ZbrQZdpUecbrZQCiOSyvXhSHvlFGEdWpuadHrXLzl8Ch3YzNanFM6eRnV15 oW1IWfNRmVVnqfnxnEeWRAfTIL9zuXB4rrYVPGwQqP7xLEI0g2K8bYTVQ8fGVHtK6BslUb7H/p5x nDIetN+l4ZxRO9EdCsMwn7RMNfHS3ecdlwnns8R83WB8aterHjo4fygcNU6PLwpdOr9yPtz+WhXC xcEOmiw9xJBJCdFi1uvEPE98fjbgx/YvPg1klJtGW6I7UcNojwHWfzHn+cbDzeoE1YmW2bhu2NnJ GE1CBkaYi/R10+ZiezhxJLttbje/GNtjjU9J2B6JSWemTKkcI74Y5+wYSzRhvPMREM5/HrzvFns8 4M14sIy8/p65++LtZSwm/whTqawoVDBhg99CAp4xlxSafgq5ATT6IMmAi/KlkJ5qewdrwcpXbsZi Q2yj6znRZQVhxdQdWsu4nx6C1qndBau3KMaB+FsK9H6MI9ZXFs4zDlt1biRSl0M/shVyzvO6ysKg ek43v7ChgPSc8MdG2ebpPJVMO72eYP+HHkn8SHyhhxBzA/4zNNcqeDrPwgkgGuI7aKNREhvumtay odFfbWD57nj6zIAbVInBwXCd94smu5Gb1V0BaIpyaAceOck2x1ZKVSCTbEIkcf2Q6CwrhVLEEPrP uKvoMmWuON93qJ95iH4fBF/0KC1+1P+acZ341THEUjFuc8flJDStxpqE/p9XuHjln1Xds+qiJQEK Dole0l6Kdg6AJZGW8wreA/oT1Rec3ERk3sRbo+3RCEUHBCOJvj20UY+tNI7P1Wl6nrLzAP/C6IJG FhUp+5TlhL/PT8qM4gyjLbu5VtY/Zr0WJMj0r6+B53XINsgxrsnmoL1YZ/z4bLLVebByEPd3VdGl vRfg/sp5Vn0krPgNVghiYVWbVlGQMugvOQVmac/tsmWQ+GqaVnbFNq7n6Lk7453Y4j9wn/gonY6B zUEJyXVw27bI4CVMYDnEQmvNje6JEJFkCTSyjmv+yb3cz+gz7sXr1Uc3cxG3Hench0H8s6vq9DGp +xl3NMWIXDQRpQKn6t+KJkeFdgk87ZxgDamD0+7aN7rlVa1C8fnNXQu8obyXCFwSoXRYD5wkHWKy j3Kj86/wwaxb5i44F1TozaEXOR3BTXDpOppeGgoa1BKEwHpJIsg6B8qhbEqtlhQWiJASNRnJ/yjG F3VvDEzhhZESRXE/Y1cEal+YvR3TmbsHQ6eTDN0sbkTfEIVHjv75syGd0l77HWy05ntWia3ZFsS5 PK2KeAi6yJ/YLCHhismXAfAMiUrDQXY0b/FQja50ofNQKJ58oF10/RO56NQIHkyHKsVDwhr+HFcZ YoR+oqFjxxa/fb9ue1THsMVEVsWIN2fw5dwSxHwQOWxRZOJfTqnqbvhr5eoQzo+KLtrtQCnXcCrv ILUHGvXMPTG3qO+NEH5eZh5edulFqqW8p3tULVNSP3AKW/scDA5cDtJzYuGOPY6Fdr7xIJ3L3gav HhOd9eq0KER/bMVv67xLc4UN7AhrVT7GbU/xKUIxTEGFHQ6Eg4Kv5Uf9q8jXKqTJtoJ6ScA8cJmz pmmZzCnhwllI6Z//yIy+GGbPUb5uOOtAR5Nq56tCpgI7Q9d1TTboqNiAc4Q6B+oEXSeE62QwiAhe WGkmyooXsr9CZzIzQ2X9LECe3VZodGcQp3Na9FNng0vc1cGfCkQpIUAVAKjyra1GQiiJY2LuBWmt QduAAU0osgMcnRgNGP+4gISqVWin4XGrGt4FnPBqytGxWjEuVGmeHs9gZ/WJsEABLSdxJdXlXvCb WvHjwbxx6AkctsCpWP+6nkt1KC5QDVaKXYKJEKY7jjBqTdpxsJ+bVah1991LriigQT5+0rnAfknq hhnc9+ImVtBHKTWyRej2hdUUo3mfo+Bwkiyw4XN8Q7m+8lBhuxyWbkjmU0sbjqWWE0pee2k6hQ78 Sjb9n4DGxqG903d3hBDIjE5GW1GNDtJ4gGNaWSPROjbf80fAG8/hGZc/R7rCwGFnkobbESBgovd+ I1HG0bUgVNyXmhujkVozZjty8s41DZMo7K9TD+XLsm86MxlT+OXQpXACdlr8vFzreIViR7byTw1e 4fPSsyzgStmmJ71aOvv9AYnoWimmpZjbb1P7EpPYlD9qDmFPynYA8D8df5fQ53nJCc+SGBGriYHA 8mM3n2C6VC/4XLRaKU/9ZTzRwbVV5CsfobGohm9+vNyEt5A8oDw3aTAUe5/nVI0R2Eq9tBX9ud1Y qLxr86kOF6BFvPd9VC4hj94DEjyPwKX527LqvxecPVYPcabWJpCxPBzzdmkSJZxD2mNJKQ54Sz99 MUdpuYjVIXVX7lqWyUVtQ87pCoqfDpt3UsZ9fBKLw+0r3ki53wSPVlZ4+37qefKaSHGieReR6klE Bvt4N/id8kpTBYT6GWVTLQmVHAyq8GIzGRZ9wki6OZ53rD5B4h24gSUcr32KnKFTFy7Vc7SgRbo6 BKsfUHlo6zKtkC3hQBzkZA0tnSG/uZ1vVuePhrBuCIYbUJSL2591GyV9y+lK+ZgmHiUFFkVD/4CD yr/oetSc3+b7ATm86QmnhkpHXQEaJHCLg14AbIEDxjRJGU+HwYUhR0pfa84WqxMNfjgikv57p9hD 21Q8c1yAAnP3q0QbEtqZSnEm7qxPMldGgwvuegMVFO13Pt/csG9J9wisJi8rfXVmGbcmE8qPog8g gBWrArsWm68gawtY6VcIy4VY7q7acFTrQMVdQ/5sQgIo63ieMU2UfiLe67zXvrnovV2S+yjHqHjn ccnRcG7bs+KJs/XmiMSCAwIfbi2Dm41fL82Hpr2Za+vduck/Wx0NspMtS+Gfd7K0qgVHeHbskYhY ukOAfF4wFZ7vzVqThFZutmXrrerzpV1o4oq/A5MMovLE7yNfa5Dc8g00hwFyLa4+bWpuAqpbg6G9 FtMo5Dnq5PXXuAyyPvkz/n3ukTJFY94v/7Bh8EXZhcdAJOTOg87GijjGfM7eYHGGExEAllytuN1N 563Wxuv00b5Zt4hLhr3QDHFsUT/KuWvqrYCkpeDj/GW97CkMUjUc3eiSMc0yJu47aNO8arde5P9q NIy4t8wJIGrGpmPk5XQWT/e+YmUzTbKSwMVJyc2o/2pSUK1kUgVDUUsxF5CdjEBPpjbqFeLTMkrr SkBS+QmSxsGegc6IXR1cXzjb/S5EnDtoYPz7W8PBCn3GftOfewClmY6ZOKdmHfeIs2eKl5w4Tnz6 rcFxq6qV02uQmwxm4pggfAfYAI0uGOPMGOdC0su6J+tSkA3NDRCTis51x+Fnzt75aIas0mqzpZ5y 7+FpRy/MWaWBYG6J6dYXvZQ2MXf2mxiA//1rA8+lhcpZzoH1RBwe7BFEaC48iKjooq0Ku1VGnFhz H4ZV/VdTgnn0vbetEt9H6NDc7PoTl7z8t6UrMjwzqPK2V4V6BnBQ0sk64VgMgwHCXJpqw+ZJpfSK Pqd36s8BP3zeMMf7i0HApQnRl7rJ71PRmH9TZM/dXoD+H0HGUG/aJxM4IjvSQMnbNhhoPY5L49Xe LL39pV6+wKZ7LbySGPX68wdWE/OKvrjzZfLFUP08CFghbDH6FB1wMdH7F03yKILVvPAksrQ8QgUQ Sk1g0/b8JIg4zZZS1ARkewZUhwwfxDj8Zj3h2RNvPf4yYiEK2G5d49K5JftDZq3lYXWnu9237NBX xXj/sZ7/554XyQP/chLrg1cqXfxYIZlJ6y/bKY3RarSVuQAFBp8LW5VTxo4UZac5rs3tfv6VhtmA QglCXeuLiMmwbisKs8EwwVynr3ii4pYRC6MvgSfiF7EeGxa7QVWWt8EIWtVk7egv2lYcwCBYQf71 SovMzLr36x4rL92SMLW+hV11kHMj60VnQmM474X+59BYeqnbEecD1l+TYhOOzuYUmwarFHRBYFn3 t2GgybHh+plbvKlUcoUWUD2HFQZ/dArrU/N2bK2gL5RUx+2bxvzu+glbMFB/P6rFwJlrJzIR8cfG Jli0shUo3FbjrsrbVp+mKX5Kor/e+MpwFJkUqJFAfGqsuGJuHUXvwxe9UCAZk1xLnx+B41ib1k5M lF0PymeGSFFRlxrLytboFRCZsnHDYaXhO/2Uo2Fi4BFjlSixkNPoerFW71rK/fCJrVzLHFdFQsyG TkK/PfRJ8d/BbLwXE6ht0YlYwMQrK5FxNc0p7K7iac7mGK4jzZmPZGaaRSmy5IPcKrWWTubCPnQc f7XWbYqZ8DY2agQAlmN/9/PyDP7m//0/tWyMQmhgHmdySgodcsPmlYPDsb5ooqTSvtySHlvcLpjW yUaEEfaRmIEAUf5ntQbkexgFKNJDumlsZe2mX8Lq4It3IyB7BBPnndRwu53WqDf2cif/60LQ2Aon LQIgd7UZ4GHTVtQU4MvJDd2d71KMGZe5cLlCbZsNQDDg/xMzGs+tOrtR7Ehgxle71NpWU7VP8b3a V+ouZBMvy0OJ9ZfvtXMb0zxv6Grf3Z4jKLzUxB27gNS/cmA/DuKoEkB5KyrIveKzYezV0KVbfimk bXaHU4+0dby4O0Db5jbCkItzUixA4diUjwhq8f5e6T9oKnM6F0bARMHV1DjwPuTpPfAtrDnNYEn6 Q1pFMZyBXrCjorOUDCH6QMioHJhlRCjEbIk52poo9Z4GbbngmE9b9o0uQV2AaqnAuvCHG995afib NR1qbwYVMjq8rXa5IkxH8ENqrxRsvek9vb91X1fjR9TJI0eTPnDj/5p9OnBQXGYlK2j1p2RV4zVx ROVDnxjfam6mDKwDxb7z9XrhhrJKLkhypGoCeXeKRT73p55pLP2FyqAUk93oJSoklIjMDDOs7sUg wAxyNNEouCJ9aCdNbFGqwSNvtJzsh0Kj9N+jdCHQvy4u1x5wKhKBpfdm8pPmKB9EohXGT+LvSqQr coZ4/cA2QbWh3RFnEhZjBp3qF9W42iEYHmrjNxQvWemy4K7xo3TL65W+hO9GiebgV8fTxpeXMcb1 8Dv75P/FPNcTZ5m3yTgAQXNen7Qw1qXsJHbXtKODjwDCF3L1zBwjBfFWSsSHcYODE0xmoK2B0+D7 ZkA8EEFfyPMssxpCVQCAa5vbBmkzPpmzD4M8SRHLwApgIpVi0iBmMDatSaB746k+7Pbaj4pxyIOe 0bN6UBMzpwnhYuEvsa8ESY/wuEFrxytsDhQ/1/599UheuXOoKTXU1JAy6Kv41c/wJtKMlzklfOmO DiR1axjy/j8HwwxAzNpULOcBl4y66Ko4rMHjVRHE3j/k3lTzY/KlGXHPAfpxALSXskzcQDuDQynJ DYpe4DwwW/ChhPj5ZGyV4zPCEPp5U/n+sQI75g6FxpSUAkQK2knNwJayHcFAtpQfjjmvC9lux3JV RaW2k2U5afTawaC2MqgdEB+mgRIHAfaDmuZkbUQiH851d7lbcQsPfQx33HP156zgZQpvCFYGRFKF oKIyloppA49185trqoWbhc2U++j+0uxEbBY9Qux6/i/wRk9WRqP65w7zxxBAbyjeqkbnrxvUuRwi wESjWgu/nvr1UtMaPOuudoOfcTd2i9ZGmmzo9dT/0kS59HVp3xiQavpX5PyMWkdZGdv8OeXkvASB PjRudTI1QQG/SUZFiB0vnm9qdePAOXTKKvtN9adHHYLvL0l4ZiERPC7rIwylXh0q034EfJwBLmik 4jYduVFHfQcGMDAkxhYJ3FyxSwTAuPE6Mw9qlaXJ8mgMLTD7LmekB2fRCS1PXRpEcvwzrTLCaDLE fc/mwyrBBrlh4pOG4tRREz293sYbR/zo1Hf3FbcyZYW1i6HPppnqH6CEcgJ3J/9eXHUgVt3kwirx AzspRYQdWi6SGICg/LtCQHHFnofpwFP7HZkNvAiSNFs0/Sjh+8axD6FhGo2sK0x2ZpaK7XzZtr0c Jt/n+Zx1lopoIqxy5U5VLoJLD6f7MU0uCy1f43ZcA9ZFLN0jWHjuk7yV1EBE0uBRYpwn5yuvkqJt FhNG3k9n/P/Avmo2oB+SXFj5/E9Siu363UvlgD1ogiflTEXgmpuBdsA/4pgckJ6M0C2BFwOSvX1u bEUbJXpM+3a+7rnXeVnnUFVbRRl9yZfjGht/wj7OArnuKY63EnoH4ZFAXMYvp5UW92h8kyWDXSu7 21guKFD4MqNVnoe7yeOynRbP9hq0Nr6JzlCVZGy4lSiJ4jxyjdX0f28VP1gp5x6YnkAGGlADt24C 1tEs7T4go1Rdihzpy+K2wpt8PkzKo2Qio4CcsDM+kYcBDU1IfZ+UlNU0FFLLdxhw0i4Ssm187Oax 8CqOhc++4RyGkHWN9BnHIiZMpuF18cg2YdZJUbOtKhSeEGTz9sSSrbth3+JhhI3xR5TObocpREj6 httcGk7Yded/C2hAYoh5O1vA+HBQ0lUAJmOuKFE26ZbD8PP/Im1+P7baXkhVSo1s9sxbfL639NQP E35uk3vo4CIOIn2RYNsZrGMCu+r4Gh9X/CoLMAKipMvGwmvqmUhhiEA6tmoFQ1Ljocma5mJWXVJb maHwA6W6KTC8fnIYOoIolwkZpW5OGNH+dA/IebOw1dZW3/ZA+FmoHp+yS7/7nowCEfxj4+TLxh7p 5UnmnXIhzf9KNtiypABuMnmcjnlCynMFLq8fLRFhbSi2nOgNPbH8fObs+A8wiEdqzliR5VQfSrxF aPZooAP6v/8iHvTUlaScPW0tDizA8eTHbemlXrAKaepEKRYOffFoU4H7mGBlOywzt9gbPC3BpZ59 6TcS89nOFcTn2QaNJvYB1d3/wNGWAF98evub4PkJkSIcDBC1oOWiotloF+L1SIZO5qNOEIU9fc+h rMjfeMZeR4C+pI4th6+0R0q9HHdNIgXNHO/hYG8+5qTaa+r3TcMxKCez3lUj/vegez1nvAmtuGKV H3LQulBMw5raD/XoKCUKOza77yGUSKXCNou2iDlLHMe1PI0sVm55qG+pQVhO4O04kf1H5S3PO0de 8fW7RiTJct6g9ORXhqUPelS9IcCMFa6Ie+ZxnvaEbMp8YAt8AzjVgEEf9KV3Ev0H+pJNaPioe6Tu 3A5uy/V+Qt92SqzMC+5SppoImFrN7pCVKTMgMXpTFYN6cdbQWoD10kSiGlkBBKRVDcS7QvOz737W uZHy91QWXTCtJ1Wv144ySLlXDlasR93R4Dqms2nfR9aQAKL/M71zcWLRwFQ6xKHMACh93UkbuOYT IExS6VHVpUfMXAP9AVeQpCOb4I6IV4F+JK4u508880FFvqMWo7ktJftqgfdsc2VOnI+K3UJwNrKs iq8LATgCjnRS2p9FlLT2USaH4Zhp6Px8Dh/d5VHmJZcHxnqEXaJ3QHhyCqbwsqsLofKtLZfwB4Yr kysmHQFSZ8ocKMFanSgfmbwl+le4IpoUOQ99ivl0lmJVNbvXxOYGePp5nUWtcsD9HidHraFRzot/ v2YFEB/kPW0+8CcKYrvqdcQ4SvsiRLDwsOJy7p39XWiUppLj9t7rHomDRQ4HPXM7JmQIAWIQ8YmD WkIWstYd2pmU60qI2H6BhQllLGIRCULjJqCNTlJfBsi+lBFOfLkOsp1MLd4tajjx0Aym4hVZAdvS +WxE+JkwINKiZ5pAuWjtF7w3VHNBM+RBig+DbEuirsYkNaoN1MV1BOK7byugq/WIemxzhWijYCpL LMdy1kFtp+QJMXZRAWzRgLz9O17s2z4227RRiq3yPSv6xCvHRBejAGWWYQM/F30rV1Q4R2kJbmQM UAh/TG1K3a04srZAHqsrf3ihVCW7y85C9tTUPL2jHohiUgWtcRYGuch6bz7eXZOggGy5DMGN6Yme XAql7+2KeYBxrFYnUlQYrRpoKjdtTCzpqZ/ELqdctXlGSnCvhWhq5deLCHTGRjyHsZVmjJtcrLSZ VE8RfqwHVJoX0SGwWHU9FTAiE8iBfLO7bN3spH5KsJL2T/Lr06brdx3LsIZnkKx6zoxT3/qplsTd 75+nOWynKmyghSW6b+06PxHioHkkwWXOHN7jBsHsaFkfLWQ4M5RoYu79jdyZkwxrzNCh7OXkMeSL iNpm/u8GrOCOdLz4X9ZOP3v2wdGixew1qEjYMCW79RBY0pqrWU98lcDYaWOf5/3u4r5vloRIR86b Fss3u0G1i46wWQU0I1BIvwvXyfSm8/00qjIHhxYKcr+QY2ZiakTb4VCIHy+zqOIBZBGlgXjtJTW3 +jpWSoVTO0GXC6qgBAgHyx+MCkRaYwaRdrR4MK+T+M0WPDP8h/qp+by4xSnla5lthq7zAok0MsAL Ph1qkUS+qO3vdRP+5muJv/wQmBwPDK7/HuWlRO4XPf+Zh+DHOyj+K9cJxtNVyqZjLiI+PiPtE6F8 vCgLr/EhW3T1Pc74WXhAUEY5FD7VuresFGdiN538vUxWJ6xrCAVdKm9yrsrOS/WByX6Ct90l7v3m e7B5LJ1WF4/FVJiBwh3O8m9lauU52z30vhyUcGgDQmn+d0jwXGVPd56rzSuHDZAPZYQ4W2vtI25o 4pbfmfKz8zMZ/9eS99QXXIv1OicDH/f3Xs2/RQcXiyiw/fnCOqlWGyrMYaEQ6JeLLqLIyorhbbAB 40W3zMYcMlQXo3hXP4FQqYCZOYBlu7asgTS+4sRWbIAMz0Ha4lMsBS5shb45Xo7ZsKJ2vBw9SB9I ZUVPanpEPYze+5We65/nlsUs0HSMTGtv1DSCDgWykJQcHgOmZzAGVTa9lJlzlivq/f0htWYBs1x2 DwI9bvnKgAwAh/W2Cdyf6bAV/uTka9G3/hYwqXOBEMhdyrnipcwLQPYJAL9xcyjpePc1twPznCf9 ynjSL7Io9qJ9+V9WoJq/61xcnx97PlwKGa6wWpVoPqvPghI3e8IXGL/QfIJqIFai9RxUpqFZLicy AZbCs2rtEC73rYaSv+flj7X0IcfhcxdRZxBF3l1n7rM3WvGZemsJH6VhaUCYa066cPzAE9roGK3S yasqiGu+OgFbHrZJT2C6JRy5ZT6+trPH4B+mWQ0OUOrbYYLkofmYvjpEu5LlB2TIvobJhEDMnRf9 VYpiiunrB8xychZVwErThsgmueprgFikJNwIl3xRjuEH5b+Pure6b3DTAIx/jkAbwVyc8c/+wfGq xx+90u5q8TELozv83jyOcjOvI/w48jgyRuftj9vR21cD2nYjAc7zB1Wh2CR4+IATWBiZ8qtX4tS4 Bb6DKrK4HJ7zRhjcZHN9eX8s6hsCY5wfLnrM4sj3FW0LfYi5hMtnw+In0wBzjCmjS5cqNW9etrJC QQZEIVhEiuSe8WsTE0LJNs2pR7FxZekR2vnYwMPvAO/HYn4pCjEddT7+ruKoCqOWzF1g0m085ucw mEIkh6XPrkNJj+oyfcYDwMLBh6q0EBwVgLtSPXNZne/2Fa1/1OSGt87WUOyjDqTeHRwdHsa8OVwx yynHbxh7UfVIRHxppnUHfg4ChTVcg4jDQi+Oox6sPyyoOrsGXjmZz8jni+Z7u7e3G+tGf1Oq//KT flKTX52u8nE4L6tsh9hxUPZpKheNVwfxV9m6znMndVUkQgHyBeweCfeufrtN0e6yP0nzfePZdwJE 8zyf2nNaN6e2nES/ZWLTCF+xjV3cIDbLli5pzcSxqRknWLCRwHSC/+WCleYwf0nGOe9EfZ8n3eWj ePi86drq2lyEuV1ifz5ciQBO0+IZkGgD0P+sM8BQoIetPqKtvMk9pElkSO74ln7UCitlI3MDBOTe 2MR0Rq4q8qI/4rwHvQx6cDo2TOsnyKC+5ST9U2ygIBX8Z89hR3bRN4gBiVUb8IFGdpaoI6IRAQsT er30zgFrIpHmjUdjGXgc/dp/h0nLqmAjAygH7sRI9aJ2ZinKyS8emgap/rMGWtZbfZ5JZ6czqWgs AN2c74yiQywQBg0kTchiLqdHsYItGJJulQnuK/dgZnlAoWhJ1CfBVWSXOwAAoVdfsInVyrk4Icba xEexwctKZWOAoBLr2YSGtUAGPk7u23ztI26SAKFl3l7PSlLGkBPRgUNL7MSSnpPlxPLCCFnTr7oV MSgCk/csEAUO0JQL8FOpjMFgAIryTUEpX+fUQYSctRFFjvTczrfcDB5GE6bZrK166b3shoay14/Y 3g0aCHk8rbTTKXM8RzapCj+nAxVLbCE9IzG50xbCB8JtStC1y3gGuoSrucF0Um3Z6ZXoOKlcrZaa wlRKkbs34tE4t4bg7XM21Eylhtgg4+ew1UOlb080MqQ/8I6qcYfjbweXA7ciATkG3CPBcM3WZi4R zMmPnSYsrIRvJQ/0zgQBuK2NiFXJaE6L3VyoQcah+0y+InTf+jFUj6cX47fOjnaq/A3Uf6awGOg1 1zLjP1ree5BjDdJSyDYCrTBkMVzMNfFjGFmkFW6FBzKSbT9KAT5u6xjcinkdBF+hhMFp4d/fsnC8 fxxVo0oHSP/dy+Qa4LO6y8uN6YwAwHXMbEpuM3mXRvqETxfq1V01qMDVyrM6BZikVbi1ratucf8S xWXs/EiA7hXVxyODlKitxb7tMrTM0IMXlJoCKa35zEoLPHxrpFTLhsX0TPyx4J+O4dmN85uj5A8T N3iV9FvpW5/9VKflu3DCtLpYL3MqsgO0VEmjV4nYuyv6iM245IjizNPcdCB0aUhA50FFedTQ1DS6 N/wyM7+6XE4FqP1dpXWKnh5VHrfwcBb4I8tIGPSZOwrbToQm/GzXK77IEZPgE9GEWOGb5TgYIKxI BU+U4ko1yVSBN1ED+2S+0GhKNQXRnp9H4Oi574lE2dYOZ6GHaPgps5lwD1Rt3ScBOCEd8EFQHILn DNyj0fLi7pvBrOKRotOluG1/LC613Ds0I/OLnatoXEgiSOP6AyVMAOa4vJ2kaNYD0gOJQ/nv7Lyb WiuFTjWa3uSRSIdvl8c08LbSghEhv1fpywwmzyYykmk0UjNt3pZbQl2D0S0RTWr7a1KEzfNblGaQ v0RD4DNkbr2lBrP+2cYlRD4oZ5BbX2G2ezomoClhkWE1CXOE/OibQoULYh7jGyPvwQ7XdpvuQhDz DvGT5Q/iDYUSls0LpF3PQzh1b79+hmPSMZ8Z6IyRucMm4G/s1z5oTgmJDmH+NshIePijOmDM6q+Y mMGrjRZqm1QxzEw5mazYcFRMgjGzGhfAtclXXjSpVpSUHDP/726zzXQ+Gzm0ZlvN+i+d5xsayO8F lgOXkmPeFFogM5fz9BF/xG+PidOKe1ky1/k0ijtThkdjlOO57CAnUVMDc7RdXS/6vjpYiY9Ll/ch tGd9wyKObg3dJODdc7unJtWa+eFqFlTuHrdMo8RqE1+rgs0gAe0ohEQs3iHUKageE//Oj26FKDDr sstjjSBRMeI196sxtefig/IFzGkxHbgcuN1DutLMDYXEFofoCHFzeEta5dVWz8eciIF6RGEz6zJ3 6ztQID8QkM/ZgbjOMAy3JIgg3NPWPh5QMeM5D7ZW9mP7TA0GK2wiO7xZVoK1wY9uYAnGohcghUXc WozHg6cyFvXI1iyKV+FgwLDT/+LaETE2w0mtJe47eMyqgStLWX8JGjpf0D8gFbWuJYbpx5+hATHt CQaopXZWrz3QlTFno3tfg8pDbD4PdFhNY7QhDZ1c2TdJrdK0AOWcuQ9SEUSKFBxma30n9waAMvdc JXmJfMbnptTKna2rVvDTk+dqt/KIvklCtW2R9J7J/R+p+1XjiPm+su06Axhf4lp4m2Sd3lm8NGkM yC7rZk39/pe8ykpaTZjnx5uweYNauuPAPxeGKIjM2YHJgR5UOYKOQkV7D9zCXO6IODPPtZLvtWUH dwUX5iYgwbATpKp00VfeNrbrtDLvj3siXnY/REfUJxKkgpWgrVMCjUQrkMBo+913swSDrfzqGSRz pRFIoTCdFVmHXguuG4C7ImhCtYnQ4ztrSgeRmv8cSuUo8g2ggQbjhPvC2CD32ZAo7MQ9SimOxJ+0 HE3vyLdXoq5tQzoNrqisWej1pt/+1Y8IjBSjSeT5gqcexTUmYV9Ze6adY+SXaGHy221Yodk9dwqw GpJOYHv8j4m0HCOqfVlIIv75wm8MI2Cp6NpHkvcZQnhQMevPbhp1SUIOJnM2/kUUcHFBsAM/De6f m8KyGARF6sVEG/pRHmNeSzuWu/r25Urt9BJStLAogc9rgIIBoUdXcFhWRzPSP2cdZT6n3EUBON6q fDEpy7KBdR2VZl3tagJRpXQbQXNQaFZJp0uaphHyBZcbMVS8cLd0fUZwCqqzrR52sqnR8bPEmqDP x7cquW9BrVAGCXzvshdiBuvCYwrBFcWhX9s+C7cO9fGxyCXHsBN+se23P0M/vlexRIVR4Oi+Gnr8 depYp/bKD0KOCDEV47gXLOog0R0a4KkHH/O223oe56fOniCqf199c+vZIX/oEYWhf3nvzOUhpGNT 5UR4BHIOR77UjmStQejPvGVr1lsFcbcK5DUoLmy0nmbgjboP/SjTqgC+QCIGvqPwwylWdlV6NM/c LJ5ULuYNz3/f1JhrzyF/zXjFMp/mrFC8qGQWXEQh9uEZYuRR4TPs9AcTc/6TDNtLfGqSTJ3e/PU3 CufHGvH12oyr/Qbx9C8sNBRKabwDu1FsvTle8wZjSc+8VZ3szGwXVowAFBzvPCEgOCRZFUEQEzLB GrF8bVh3HT7f6qe2nRsR787uQAg+HcxvpmZWhdPeLDbja41pdt5yC8gRNwV621P2gEPBDlRL6afh k6zM8ly0JwMz+CpXtk0Yv6rlZFlpqW703wO/PMYsHumOR5tEMiN5HbrfOt/B4CyYiPc3h6U+LzZr h7hFWZrKUuwAOMEqwu+MNlzlJNmgVzV8h3NVmjUQu+DW8iEJjTJpmF3JtjKQBlkVriYYBGR9ZPRi 6Icdl9CrR3FaHRqOZQhs6h0Sf21lM8FbLVSaZEhdV7lU339gm1RjJHGPahK03R9cMdXwygt6KLAJ 09WZmrVQ93mipmO6jcBg7j6Ik7Bxv6bOs/vqlmgCwccU+aWsmpnChXktOALpRhE/8xZcbpykRz8N l5ei4IjjYq7HgeQOM50ThD/KDn+idLn3yaMJBKeoWFAf3rgaIlctqrh9ZXzv7NC5VPplpwJ4Ckf/ 7x6Iv9V7SbyYQzP8z4peNwYKDH1Vo+R+21xFKjzyMSKc7i3GU6aW+xTgdfKmxhO+MvFavnGYOYpe RUGcMG0QNbVC0JmYWFw8Nsh+jOdyRITJjVp3L9C0VODHASAE/fSr6eFhU2xPBS3H1xsyboIsYMJM cjKWfTpntl0Wff2DyS0LqV8T/PncmsaE4B3ZSbDhAuAPWnrvS4jXYTX7ubZyXlREqF0NH2vNBlBB moBB0VAnHQ9Dg7iIxCvcCDNUGWJZh1P2hZojOeDayHm5gHWuokhCa5BVhe7OlCf64qnyshqmL2m0 an/RWOXRmOnYIC1y+T4LcL/jq+HbYuOUpU3iz0nWx1TvH+IErWw8TjXhvYVL9hNgjPnkk0BxFvT+ TeNYdJdKIEYC5uQ6zh1W6lxdPiYDt7dWALllJUoIc0O7+zymZJAGPGrrVQ1PiFaoRhHt5gNUa05s 0rFucKU8EfIwdZMrelXGSZ24GggSMaRw0Ru2AxvzDcBh41e4fZmeWhlhnScOrQp8mm8qyrcgXTP/ /Kxy5lGrH31gtV69byB6BCTm4tdKDy4yrJE+WOdo423XO3Z1Ym2wvz2jQzSH2qajh8O9AZzZAFp6 10psvjc5OY7W1Pzp8Qq9dKzIwXONCDHxIa8+0LeSVjeYKpzsk93Rn/hqcIib8QlXJ3Mv+p7QZW2l 1cPGnUWSEeF1rCj1zsgn6jpjrrSLAEAVGwcDMqkyWkB6brWJ0TUwGlsiZbOY1aIObUi3TutEjGNN PUXiSrCOy6LMAgtqj12SRNid6PeR4mRbV2/ekeT2hzGFkw44kK/DqXD6G8HdE+AyMowMcHbelSbn onGBfs6cSE2RdSqkpoZapnrpqrcNaBGtLogqLbwopcSp3sAs82MOcSfabNyx2QuVtbwOXepw0110 nDvAXRCaszjNgbtXx1j2N7MfZoTIyFql4rKQHGbWxt38cNLt0lIRWEUbTVB7WIbVZW/uUO9X/Hf4 ir4s6K38PB6Y8jzOeOMe0g003I+txSTPMmDlSk2wz9bPOh+DB91Skb36c++Fkwo3E9xm115pvdhl 09oooCaaRIDcvPu6uKgS9h71+mt7t5grDj8IJhPf+jCNsuTC98wMeZg+BccpaK65XzwDTm4zvvUe Dq/xr2QDzZ4RMsOj8rmC64C9apT8qh/yNECBAcGCzs8zZZ+eW/yw9Ra5+oyshZz6NlnXSRyXJ3VH f8D1iqwNpVqdDw+vWlyDJBR9H9546yrVpA40dyfWxWCMEbbPUR41eplzEIlMEpJPmtlOd0REvhOw JYobEexhT1QE6vqbsv8NcqsGslQ4OOye7cBAHnvE1PILtTWZbNW5lNiQtmjTtBGjnTjDIh4lE3Uh k4JadtYRmLRMs8OdYlEngg7FzyZL0gzGZ+1BXOtjNHdTaLNLHGwRZ2ZAPpLRiTtrCV1pKoDBe8RJ gvIk9SvMh0weuBF6Tf/2QoKHTsJ1mxgUbBJwJJmUtgKauapIlJaQao9trE3PjY2m3W+KNbykm472 TPU21IAi4pyUsQ6oivbHU9V6bkk4KlWQOPSaVfXVFemijfJW5n+dfcJWAEq+3XR8N6MyB4sXdzyN YkDgsCCBAhvmNkEN8NtonrBKLgeWyixi0HIi1giPHGnrOj9Q/0yNoPNhdjNqDgajBaQijuQjZgfM lolGaJImjuONgxYwTWsQR3xgn1EbIrwg5p7twnwJ6Lqnn50Ml+3LWQ7VlaObSp0Qz53E16LAdB7G ilqlPjH7h8IhjqL9kHZN3fSRE/MN+kBrjbd9hdBK20EUGjesmwOx5Af2Bem7DJ+vVBoQqaoyhPZm 8as4i+qJ8YSK1MGxKxv7GsaBk0bIri+HBV2f3upLRjY9Xk7EvbYCam4MiNu7fDhS4BmadJ0cg3CR KETRWGO5ZYFKWkHgwl1RrfoGYkd5sHGoC8uD+W5And3T3FSIrjrVLxJS43mm/qEfBUkXGs2aFUxB l5NX7X47YvZXdHdlWqbsd5+4EFmYiVii2tXXIaemBFl5tF3fyB7kOeb4qm9YIHnKS32XQ65A+IAA ABrM6RZvphpELAfn3dmmP939TTEd0RhZoqgJ4qcmxg8PgAOzckVltoPFgdHEDOk0DVPByUBqRIbr 3V8ZBcX+ceiKScS4cQvqS4HoftBleNuaeauUKpkohrLXfxR0talfJauyYR2KVpzl2GW1eiBSQMAw jyN4UvQcAZFrd/A/K6G8cHd2x1Vo4y3hK1Ru86wngVN0SU1OSufEHBKOUOHQGPfVTaa1q4Tn3dAc //j/DWO756xg7BaP0QnedJQNwPhhSqzZa1oY+QXQCLUD73KGeVf1wJs2qFYlRRyHLcrVfR0PRYP8 6LsqMdHqsYAhWYotF9IBnkWV9L781NCzcQyjFfUBIEFA8W3oR/237lDci7ZbG/OwmxaqmcoFg168 3t7tawyziisHxnKsMX1H+j8qLNoY8YRlcqtMeDBFrbCxH4Z/OTI1+gqQP2oltvg1ORHGSdZRFK33 w8egRQyWDhrbw0/ICuBcx+/jMu2Eu2kVgUBEQtI+pzXrOI1joZjM/8JtZFanM7S6bq6+LdsFwWw+ kidSdTC/IugNdMTXzG1AB+sDY6Z+h/TRjGtqYpto0HuVBMCYgol8ZiZUKHdD/dEfF8MRx8RBJREx tRwZ1TbyYQOOswFTVjHuXoqKwUIdk1MncnogQPjdpuAA53NmwJpB3zk4QPscx12wB5Rq9mAhYMh6 gduKLvFNb/Ct0rJH9zDbL2bSfB2p+fMf4zTmw3tikIxc9J6X/QvC5DU5tT51YSCSHwmK66amdmO9 bW/+Cx5jOOZ1PHQ5vYPhPL+9CzFhLDxg6J3X58S35r5Cd2Gostg+sjM67VFIA45cFZnG35QwtWrH EsnpW24ZMhX5H0XgOLoUk/3V/M9VJAmT8s4bZkgjSEkeYwWOgZqZDsCvjSCNi1vE4bkZuIJovEk6 bLe19gWy0QXz/YZXJxK6hh69ex0jR1yiWhUk40JUjsHGj2wejERtKvyH1FFPzKghaas+S5jVzi0Y jOqnaNnVm26IAHJMekPj1uAyrI/BRAgSruAJZ/NnL1pxDIJWtbg5oom/FDEUuJUDE/KRcTzpcUjg iAH2AEzOZ2wcJQxUWKDknR0MxErU5sfHAnwyyXqjZ9ZvowUB2ACJmdQ3qShtwxn56yJiySjn+s9g bmm7Y3TSzW4sX2vSV6wBbw3papghNfT6GLQhEEF+TApRfS2aYSoqNH+grjgp+1jTzyu0eruTciBu MtZVYuOx65OCL/y2B1JcFuCfmOJ3tbWCUAlkZWRpmKYnx+ycARHCeA75dP61xKywmZQ57hq0kCTd 9/+ReRDFwV+eR98geUHS3ZLQskxU+RmoDlXAxxdQKSyS8emCTtb9oyR6IoJWzRbE1kT/IB4M09SO Od77tH+ILHRZUIq9OTlrTuvuHEh44ibS81pKEjzLjO19LBQ/RRuag2RhqQ0KgXGY8/EUFFzJ6Irj ATp9Qre1oMwAZ5VWBNPfU+ZBs71Q9njXxG+F+xt4cLCtRFpuhYwrFHIZqznDgmAKBe4kWk3qHg3o EpbmoQbUG5PZoBit1Gl6FWO8F7kTPMsnGX4JJ4TDlS+KDcSRMRoueD+P9AHSeV11wRYlrZeAebMt xLGDhlWuEjGDfYyb3QsEe8e+mNHWsRYBOWgFkchUSOCfMi5Dw9CU3N+byKF+KaPP6HPVT8g1dLKh n392ZuMfkMXXjNI/vXKWJevT6diu13DX+QD1RUEnEdEGqJ1DTPhAFaukVewRIJ5rzHFCk2dyGFSe G8q7gh2dRO1pnqidcD90b6hUSmZ6jaUOesrbY1bzHYn8tQn6sDrC5jBHl7H7R2sR5ukLQeczKW8s 7nkEEQDz7B+u3gtK9JrIt2rR8SZ2qDZF0lP2Q0qrjMHyuz1OZlihH5ga19LBPRuelq8rwXrTMXb4 fWCgKb6HN0BD6mqawW5yg5G+t7xFk7HOcUpEGifL5VUIIFLrK4tX8BKFZVpEBnCkJJ4REy6wL0tO eRuGEtQPtJqDQqwg5PErvWCQwHVm8lS26KNy1kKGEUP9QleKBocdVihSdHgeEB9lYXXTD62Kjusx T4icbrm5iOPWHXPaxiNpfQrFsK6DJq9YhzFmTry596Bfvw7SkNr9ccK1EZcLDS/z1ZX2VRJaWIKc TcKXbfOYzMD9p2Oy8GOBhMIW9wIPdu4VlSTE1e8+thYMq1oMZ7KFnK60gfwJy3ivI8yFQQZ03P2A qlN3SoC86iLiWuumsvQOF+Zu2uSQHJqxLktQomb9eyCzz/vKw9eSGFQP7KEvud0bY8Dz9U5NLHOD 28YN8H5wvwaC0rmh6PNXDZfnQr24vpY/81Er2HWp0hkypg2WTm96C6LJ4BzIdEob6AKlWhZnDAD0 RBB4dQ1SrSYduoh1tg5HIC0Hj6sYJKwVe0OiWoUNH6haMzaYWqAFMyQ9m70Pv7swZtzcDIqR4MoG FW2Kg63VEZImtuCh57RzxpNs6x6SL1FMofZrlCXTVPkDVpMbMiGsSzFqo4ntkq+9LsUdZeF5jw+N wB8Jcnbfn3xvnLFEohJQtxEWNWnXxR+SDaArI/jzENipu7K6YI2fWWwHZcPMKqbelxozbrp4vWCe YNSlH9p/N7Ta40+Cy0pdzQx3+PYlZEayZ5voTNpLmD1UJuc6E6gw0/AW3rhU5xcVpkiS0ZHaq4wD JN0xBLQl/6kvQi6uXEXtYCSsgVXbJbK/lo6jq6Andeg/LlI/vMLkhJdg1pkHL4TZURnECXQKDmzD wmsWZ5SujhXlM8D/B46EQbEjaqk/ZqPUiApzAi6/KEzV8eTUYkH80ASAKZxlKwHIke8y9E0qlae3 rLcMNLNgWblA5JXh+WcaTVxvI3hhyhPRjw4jeiYEza3q4L4hDGusZYs8HUCW7Fdz6fnROB04cLg9 col5JJjYKX9lwUC+b9sANzdC7bUu+cfzPvHKhU4oW/d1Wj+dMdjoH5zn9+I6/AM+XKxg4S41/eg/ 6Fw//CoRcLRc5ZTYXVFboiTFn+PB1aa9gpC9MiyzKWMs0LEYkgHan3IoeX5Jmu3cR/K9wBhnPHeM b/K/W4sSmvRD0hXcZE7qtneX4mQyIKMnqhqq/CYowKWldobkhAUuLnCwYZbx18m+zmu+u/YwtsEh FyBIUAvnySdLkJ71wlQj9iFjxZNwdhaXjor8QCiv8L0/bHHPRiJtRWWI4dxZ6a/Q0zVcPyZ8tdy6 wyCiZvi4PlGdklnIbaIzGm2lcND9UXQGEiDWCZIqj6DPBLdq2WHnZ/NX2y8nkwAcj5viHzTu666d AM8iQVXgEw5RwURiIkoeTA1HXkjbv1vLXmtLzJT8bLXLlPhW7lVd2/PO3WpnFpt4Eb0MMGx7aGs/ pHQAaM6Y9LDgu7UdGP30rGxDQATLM5CJTz6/sdP5wxh0nGYtANvrMXHNuslpYCYhdxfG/s4VxrFO ncX1tqWZWeQDn3ZOT2CvRTKOQoSwSK7lBUt++qpu14UryaiwxdgVcyMyuEdqZZj8+5o/XMpUlgoB pc8P2RuDXrnAiN+kKtgH3n9JodvjgAO0se0eRwy9mCl4d7m5TY32tdPGR9wxZTP47wqKVyCy+urm /vDGgZxUjas8yA1pS2bNB8ROR4dxVFFZX5rX8cEFwywDHU05cYgYjdPv255YOLfPdx4qt/tb9FZK K/fcx/ABzFt1v7fyeyevBVDs4w3Nkfj99WDAgYDhDEWchXKNqiiNeAmZAeJ4q1kLq0J+ZxMvOo+x zsWWIADXA9DuB7vXYTs2LI2MKuepqaMOpJDa6F5Is3Wv5TkJGWmGPUK5Z7KZ5a1OTC7LPFoaUbYJ sB/UTHdaUBzZ7rWYJJBc+9hBJlJ1nrleS+SV1ZborYnBHrZDqwM4R0CXAR0wdFZSUejjTB5a8/HG SIXnfleq32IGTK28abRZh1vjch1KQDzMvmJItxa+8MK7eRPN09kkTYwkeHrOsF06A7RIrtIszfRv dlH4QP5VLpwgQFEepxP13JQLvuFnJDCHgYMQeyi62j9hEFpeueQHTDZOQVNem4i+maELxVi/K/kz CNIuPew+Y4J6Wz+C947QZakLxoLwg2FDTXj4oIh7IW2wtn9V/o16UGK3+ezSuK5ae1s06rHddUa1 8ST9s5Z+U2U1HtJj08vqTXx/TSBx6JgS07Iqqi6tbtkDSxQMEroFHlhMD/nHa8V5e7oZMMKY9o6C 8rF9zxcWN1K+1zgIR+7tgo58VRUKr4+43r8fapEuYm7gciDzyTQJuJtx0/qs9dbl9jGXIpgHoVBI ViU+s/TRmCZy4vPK7hreXP1GKmto33mAHb3StXizP2VnFw3EQ0Ti8CIVFSrUsTChTH73JM3wTXDY vka3Dq8LS2G06AL1mi6z1Q8/J4I82PSW+Ttc1pdPBPw+gSZFwFK0aymHIZ3pZ8GQ/VkVVG9pUoNm pIG3Ef1RuX/e6Vnsu0ciyCK8806UG22AWU8uWea2Lo/TajryjEY5nllEA82rs/1ECmeUgYU/J0d9 FgxI2CU0+8+/4qhB01Ldz/kMznOT+n6wbumZoPk1W8+RW7w41ghXkoQ72wp0l+hwbs6tguWE4Izn ESURwS1rzo3QKpWqBXSZMoUAReD27/432IbuUiTWOGbrR719/U1wdpmJlzvPLUoWkB3dhXmrdcPw HDdSTS/Ulgxwdjleaj6tcF508mmqkfxfXZS2iAgPhLVLUW46NyjStHojPGd9CYEF6mkrg8lAyAYr 15PbQCtuEA+seUR0gIV+yntWru4X3Z7Rp64MOWUuS7hbK5IFw1GOXpeYLYjWCOMfi81x/YXQdQeJ LxosdoBUeC9IFMKCJOeS1XaF6Ek42Uhj0wKD35UmjlApiZj2D23VkSRjjsmLfR5sxLsprM3437gA 3CKJIrCHAjFgV/YEPggJFcFbQUeN+q9pT7x6R2wvmIsl0lZJkEzNQ4ty4DgNToqMSY5abuoggrc9 4OjXgoZKapQzR+ajwgDPX2o5rdrAK/Gpu4mflB8Cy/FA5FIGtTKhIrFCAbCyAkW3rweVp770ODiX HW1FTPMHLY1auzdXU0BsC9eRODlEsXtEGm6UhJPHduosDbWBJ8NU/K6i2jiz/ZFyQcl6zd3o0D9I AO5LVcwO7TuO50k+lGI3UJ2pzgay5gSQLLhOqcroWJxYAF/PpJVVTxqIq/6OC3BYG6dW+XFDuyjt 75DPNbe3VoCmFl/P406U0JgLiJhln2zIDovBm6eWyvK7RrA9x/qOxnHvvCF1z01kr3FMvnjt54Cj wohiAKy1em8+aqSEetFVAFUtBa9Vej8HjUr96KTDkRiQj2cpnjrLsxUcsLfBUqWmkxQdU3Zbutmw gZMgpW9j0g9yqr/WRFybjBE6ijdmP52qlJWwrZrgqvsCd74NkFXxndBV7oD2VuMGFlHMi6ixMpG6 bi3OV4Tl5OJLQKrHYh2yjW+LXjcfg5dHGZyt+ENoCGmThZrIARkfABtKB2x8gj3XUSk42a3q3sIb 4p3X8E6eujHjUm1Vu6S1D9R7cV7mb6W66+uv7np21mQTJiievH5fViwktkisR2VLnP3i+gAsxXKv ph7NxmSXsylpWhCD/mQX9CMHMYCm0k1tEhLajC4jHuOdJX/K4/M6MVyCO5989jaeT/oTWsK0USJD DdVPRFKMm3CoRyhm9yHj3XPU8+0Qq+OskZHMNtWdCxeTw0bzwqhP//XrmoH12byXOTXnpE9xQNUW 1PgaRXDztF9jcOQNnA71kc/CmwZ1ZY59yTj5+E0Y3J5cK4srhM/gXVvLFS2qmurON/VgbCU2/e9J alaEwSUBpgA4MMajiDGCKDt6Ss+NZt4NjowUNm3YotunkTSvN0/H7ALrsNltwYG6N6nkB+OwnUvt 9GLEdZtlHtsO3nmcmn0Wjd6rQuJBlw+Hsee4r459H2QTV/k/u28rGCJeMtA7sqPEwB7CLUZGIqTc P1oneX4RUuxRp6dYCiRCR9+SBpREiul5QtM0yUDz4RibSuGFGVGZdhZjX55ECHFvfs4niENKF8wo ug3BxbCWTUNVxX3ZyY6R6seewXpXqZRkmFnd+QHXr1+XOA2OVs43VM/hDqZHXU+TmiAo2HNS6KPW bza/cuEFF0/MhS5cCKMA5mF1gi4Ra4GB9RnNHa3pSips5weO1CUWg5LCaPfQC6J0W4K3Eki8iZ79 QrGzdhhovUQyuSOfTgLBeigl+qw9RNYFANXIZIbn+D5FPJK6ivysr6bkZhphTIJ1tbEP6A+7ONlD pGMIRGQfj2/C9yfNYv2pQfEqSiU5Q9kwH0ymGYptYOmHEDkcTOGcANagmMDk+2Y7v0RASDaBaQ1s 663xeUyYr4gv3fDu7P6yVaj/57uYpJfN2MVacYvMIp8TZTd4Ccu51yIKQ9mhJFsPpnikOBd1D8FB 0frrv+pg8Xdzl1nqVNySqa6ZoRNgQbD6cy9jZJFx2+z37f6NS6AznW5OesV7d5VOquyFpSHU888H SJi2E1KNeVd5CkbmkVhIJnQGK8k/9B3s5SjbJKwOtlaJ0xNW7i1V7yNeN4q6IX2k+kTpA8QeY4nc 1gU4djQalhETK7+ck8+lTLYwPBUiL3ySIJSyAz1FdFvAcPtR2g2A3uacysiz5FRzJaNYSUWwkcJq gclo+fbWbIF+oFQ00UQJaTuqTSQObhVT4Nd/QRX0mG3JNP7fHid0yY3+VHw+wLVDHq/J4jL25KO3 QtQoqSmuCXEy5PdqF3smHGulL5DJgIZkUo4qbsRozyOIjT8S7ZL/n5DnsDYWIrn5w0nfnfgbNGm5 +L4vfoX/p0xs5/5dYucMjqVcF+udbTKtYOTEEj3WHTfwQlWIWqPc5KAmwIZbGYIRPbQJKitZ6Q1U N/GHD+1vMsTQkCWKDBWpwtQX6zG9XfaxBFakiVU/j/eVqeeJBQPmjs/Tb40pyuDYWBskhXYlzr0G 2A+KxpFdvAt7E4sJnNczrZdWKcEU2mzPbfNtu0UXDubdGKPx+sejzdSLGGyDdMgXVuTVMwuoR/Pj Lfx0BedqqtlMJR4fEZ7gJmzMbPs+sY0SY5g5dI/zEUCEqrWts4JIhTjpmAmwnOujlUqKyXjtnzJT Ns5xO3/moj2l7CJG94xmbd4NGOSLCK1Fwxi223HM3yvsuU5jhXMV7vXoebXtTY9ZKBtBScAIwBXP P7jl+5V40EB3KdAYLeExyn5d5LgqOdU0JA7N4trcqVVSprgChLHX4aUZENTvdAV5d5IO/olHwtYa 53SzbBS3S/CeQeq5mFlkUqzJXYRXH+KkiMGZZwXLf4vBR+QXTbDMbsgR0AxO9A6wuiLAjPJjanti 8Dco+mEEXjyLIoD+1YzJ+zxLRU2xBKRH0WDbrBEmkkdBpmk6xe2IgN2lqRsgBez3Y1UXo6FPGnLS OcKuqRiM+eZA1Ze39ykiE7Ma9cNdm3eaCD6hpM47eWD6NBQLbF8CocRhXPLG1JLrCjqq6j7sioFf 6iYT6D0kcfa9FEFpQ/X7yqYFapm7lVV1UxMZ8zBstUX+xiGbb5SpM+6D5D4kzLybth7cSiipM5Z5 Yiecl6mImoD5wA069AnFGa+2DgGWCgK6VkO68tD1wnHQSjeDMyc9Z7f102BLZHpv4tsGOdwyQS/y /UVZ9igCAKBbQR/FPY0eXoZRzUcjWl2fB+pXSCLdjM3D92cP7lJl2ptht++iEJt6a112BD2J1c8N 4o9RaYYbKxNKqUDtwjpu/UrSHBdvNVnTzGTzccBzke7/6KiZI+qgxJXexsuqfRfWejbz3sGOooP4 K1w0p3BsKOsKke8qG7P5auj09Te277vbG2C98Gvab7srgE6ovfBGaAGFSs0iIdrsVmH248KaqeMo uS/vxYEJcXQpscmnv8D0EeltL4DaI58y8hHiWZn/SlA3EM1pl1tvrsbIQ3gkViQq71B6+2K9jzDb sJhdNrUPQZgaBK6GMLWqA5eK6TXFJqmDitzk0gEwxaj67rbPnwTYP1hEEZK/IgHwkfoUc4WZM6yL fM0O9BP5IMnHfye9nJr6zhband/7GK25gQoKqg+ibj6k+SH+MHMwar961ulf/XrhN0wEW1rFXmqX H5nQ2MxGEFsglyOsOs0/MxD6TQUGi72dcHWfBae2r2KPTVJxA0574zKvYUvAKa9QSd3n+u+lFYBx QsT8XIP+uoKN8sxKxLz54fcYhyJ4hFyfjxlAEHzqwffjEfFKqRL9NqCNF9g4idFFIliLVTas/VTW Zptd3JH7a1ztDpc1bs4vnX/SAGP5o0bl0xrXJJi1hII1a+FkOEducTTEHnsCfmqzWLWJebgCK1DX NIRHsAvG5Pkum+xtXo2TTOEeqmIa0VZknOwMBea8okigehH5GXSrDAQlO36etHVSNkDd1Pa8tbO0 ViQ3YLcHaLRhfk1OC0csOMtHglsSpnRpBGZ2zewSMUu950soetbUELh+Ba0ivRaCdHhDkdZOK6wF YIqd+yxKTIDD+87aP1eXtXi75Ud3q7v+phmChhy7Q9DAZk8IvskBNLpEJj/ggO2Z+XSqrgCzbLBn r2UhnGQUOyTo71bNNK1FzoBmGchUMXJ4KdfuurTrq7ZiQcWvUiPYlU92oWzmcN+31r4C1WNZIVq+ hcjmNzNpBfItUT8AkASupnqivMxLEemojrMoDQjmy5qoXpgkyKfcP6CHHh4Z25AVTOXYodAWPt6w JMXIiFLICBSo8CN3/IC2X0oLH5zzV9qopNxJoqNgw8NQdSaXfNHnga6dHz9gf71xe3qpHc0vIAiV aRSLSu6x8Yx2yKFEMalRLKhIzWVQB8PdG2R9SoJVoDRmnrlBPN9UR6cDSl+xlOAZ5R2WyIM7UYSf WBxG4jV03jM7zMrWrDUmd4AzAandcM0HsA7I+CbOgzxbr3mN1Xfr8oT9cpLuFOnBu8cIgdDaIUaf l4vsFOv5HATB7fl/eDH+c0EfLSINuJI8MPKF667eW4AnF1wuYu2dkDF6AOFyvid27b2Xgp6jTrk2 +QV3kf1Zg1793cXWx6gRFYDj0MQfY+QcQL6zKZaS147vnKHOpotTn5l50Sd7wsmNZqPA4lOVk61C kgDy5F65NjK4VoSjxnjyqEFu9DgPbF29YdgALAnwXkWhCk31fx5XWa7RE1J2DqQycwq2Ea9CdatF oR+WXMe2KZzdFAWX2JablXG4fjxCgJzFDgg83bCSslIPbOg96rFzjqEAdjAi3SwlzQDBMnLz5yku dMNJV5ax8MKS1wV67FyMTNrWnqPBivZuPrsYPAnYxLzyYqVl+j6l+lZ9YyexZv1Lk3/iewtgXYW3 jdjFazYz9qM350kNenjFKEP0QFAeCx2oGRlAqfEtTE4WAcS3I5gsNW5AsyYfhlYtFBtv5MrCvTnQ 0J7p3vxO6fMHCfc+CS8rYcLnlvCRO0OVB/ftBHT0axOw0JKOSMxEhPZm9sJLvXOBFLUSp45Mxb09 /4i9BT/GauPNT1F8L26VpgAQYW+vBtl0UUjIqWd4rTG44NozeGBX8sdVbIYIrYIs+cQSkoiBDnpD J+uXJ1pDbDXNwSesVb+zSsm7YER+9UveFshaDbsHrReCh+tsxRuGQ4wDV1+5TiMaUOt6OqEitMLc IvPBT/tFGhRxsN/lRTs3oMvf1Y93rTViDQsp5yP6/eBMBmQxuVInzY3Z+qshhekN2S6drEAQqfjT UB5DFzG+J36wmN2LfKUtWoTEPKOI+40qJlnOcYsvkC/K+s2Z4SaDZcWMjbbE2EEcIlyP8Pz4Wg93 izrxDdJcUMf6SgcAtSBftoIezh7GDcWVKlW9cZ5uRMLwDA875yZzV4fZeFENc+ACZ+2jPTNR4Tdh xDqf3Xp8mfmHpV40Qs7WJ9WKsie1WUa93NmsbHedI28rpeQsmRy3p28xJxX+J9HI02HPs6UMzUrJ JyejoYfRFMlsA3yyJLd3f0ZEPgteiKizw4n1rnRQrQr3f/+EwGUPYuKtNNEZxwLqgiW2tBudhfOu A7P05YxlH1gBMS5RjFtxXMr3ukMlHUfiQ+xs2nVsVbFcm6cKE1jfscZBgH+9XeBw454SpxvkVLzp lu6FBVjxeSV/1QbOpQTD7I/wwY8dteWo4kkTa7Tv4ZhzADf8wEHp+Y7K2VHQEpGphwONl+TJXWxi XW8Os7DNworjGbqKU/f4zWk06dQG+XWDFH3HLUukhy1BOek4G9Eavq4SvBIMF1bgE267p6i6fWZn k8z7Z16SEHW4Hb1pBnvYCwuUTx3KsKXUjVKNnssuiDrFZHh6FqYTz3Dxqu4F8G9SPzhHDuHqrEqQ 3vXCeP8L05C+L/sNFz2WeZcbqIyT1HqEKEx3nPcEbnIAIo5QWYWcGScA6S8bg/bas7QeKqAwVFaE R9ZaeKE5P7WbtKEx6GPqTlo/OiiqXe59CphdCJEdi1jDRYkmKII1Jz5K7ytdkfHDTfEMETeQmPHB leFWM1AHDRV2b5KL1YG8FS/HuOiWlnXx7e5XxmpTs9T4qxKGwHVcDEqBgTkY9njRcCSXez4NcmEO d6aqPSPu9AQ0zaPAidxrZNJIsCzt00IqFwdL31iKuZLFaA80Xd/uMC+xLsmMAOD9+bCmcBNC7AMG jK126gm/SyoisKIqwh9NqwAJEqtXpwGfLnGo1o4mT/v/wayE4I2Uo0FzXahoyw942rIsvfT1XOsn +QgFHSfzeZoteyVApXYA8MCzcTxYD58KHhh95ge2VajSr1kB/dSkMdpXACoypkgNl6Z2MsknVqn8 uWehnHM4rw0VMplCdqatOX2cz8zniA4AShvBh48Gf8Dp6o43oiNcPfDhdW06ZO0dfugsmYc2q00S 75rWU7L/oQuB0NEabJGZX7EvxCTWKVwBkme5OjnwdKQgiMSE8z1hzy1D4cjjAG5jjAHqlQCqzlza Pw981Rem6OLJVETxAjexsr6PWFa6tVr8DY+vKzE7bo2D0dyeCPYm8sxITLOa+CW486YCxMvqo62V PUzhKCOHXBwxMQGzTY9d15Zo6GI0L+MgCJJyoMPE5cYiJLTlxP1kkNZwz9DULOSzUp1chKEEW3Mm Ri8I/GMmkZy1xQxgmBTwprYYo56gSF2FPKbJ8/7bO6xUxz+bfhrkFvR2UgH3qa8yiIVuY4sCBVqp 7OCNf/NTyBTnBPc65dl6DTIOTbPOBSwyNGOhv5KvRgSHmm6dl6vmc8FZeGUSAQgxXLJZW/30utZI aGDovmiQtZgZ1Hionp+8ZeuRxohA22qHcjYNJXZr+BVe+CL9GvgTliosV1JILrkegjBpjiw3WUX/ BxbSLC1Pfr1XTemS7VFIcYwcCkQrQtjJWvf2frc8MQMIY7gFZJqP1c+gJnymGH7LQvQhVxzUyvUc FwXTvx1J4vg7VGiXARnmVOZJCW9t3GDXdIYQM+inwaI1u623a0OHuZ2cYYRXXf12NpFdsvaY/cOx tOSOXAtMSKt5cSU8gHO3EcoCtv2okb99pZLUIJPfM7O3ZF9QrRhWrO+5uu75IJTnUNVslxtgxVrl PluOTWQXukgB3YmTNxaDM65WvLnXPqfERfVLus6YIxCAgvcWwQh5SxJCNY69udzVbApEc4FYuaxp a2DMKoXz0KLP7FaGYrgTS0jIJL1Wcv3pQHOMLBSsqwnd9jvG4SXM+Vi/L0r2C0lHxvcakj/1pYCa TLg3ckHOHTTIq88z1GT4zg2cVJF7B99SyzAcaU6RPqHtfFhLpQXNRjpftRYVy36UneRZT5u0sJSI UgrhiF9AZNSH6rgxRZl2iSut+pkwAE4Rc0BCqZhwAXaTJ5oUBRD0hF6VGULe24kFPU+tgkh5FaMW n9iMNQ6nHdMBT4YGudglyYAD/E0DsLCTuwURpTWkGCZJi/oGcnqXyBEh6TXkdK0h9NLmeBdJaVVZ 4FZoA/KHcjA10/IVpxe5ksmqSnMny23GsCs/0mZhz4Dp831WcnA67jqWYlw5auaZ05mprBoZVtG8 d1SQx+5GSqz/CW2MS6NiukBuAdzhKWrm7C2dsSzSVVDe6Qhnx0SaSlaPXWzU5Hp6EOHvXQAR285I nrGWEJTZ6izh37Dd7qiNzhpsSNCi8j1jMJETB+6dCnpBRXym3VfuWrIxHI09YNqRR2bGq4VHizro 97H8BrBwJZomeiw+/ToLM5PHzrsKion0pUHk4A7KVUn2hRtfGFwa7byLE6T6HSX858xByonniJF8 TVPz2O4AU4tDtyj+YiXHi4XQ6j1zHF7Kb3dSJ8JhKUcN3q6OVNzFDQVOLAqKpd9blZrHk7EvRV0f SFMgsRuw28r7PZtyzHfWvnUcRX21DA3X9Gm39RQrjrmAxcEOAA48VbMlXLmyFpUXTfzVTZwBP5od 3lfUhwisOh1cU4ybUNK6O8vIcNhj3GQVvAE+Mb3cE5jyJ/8oa4/3Cq0uBVf6/SzHOLLAonOn/RbR P0FHntp6J6W0zT6+56rSwZmVDZ5hdUwdOKnhWWYak8/MQh+U3P1jv3FfuA2q+SIK8k2bh+1mbUYa VvoNHzkl/YF4H362VjlrMP6Hlng3bz2oI/OTWXaHMuDk2e0sIQ7vu847NDCLFRursm3rFGeNUX9k sLGwGK+9b+8rRMtfGNtRwQZ79ZA/wdh6+zjFXE9f391tYL5p25NhLJp8NK8GIb1ByS8MfVx7ELYT uLENssjhko56rAsCpLzrsqTd5qoq5v2tvgLBi52+k9VfQWapussGbM2kOzPxOMrMy9JktHVIZpzS cCfC4rOpdk3heFaGxtIkzCwQ/aoB1VCpPaHWubWly2OImvnbzBmFzDsw0oSPEKJsHi7odjluyeGL OzJoIWVfHRZzwTmq68Dj91r15eK5M6ZXAycWNuPImxUatlUeqHQ6VaCGx2WIjPYr+2dD1HTyTyXt y//2PZ1ab59MewPwwe4A4ubBWy59ywuOh5sVojuwHCFiWdPZYsmJP3o/l/2UXTzt9mjJIdbF+x4F h0aB5Raw1FPXdDq7+MHNdVijatX8ZopEgSX7JqYJuCgkNWur4+hyQjBWnC/ntTdfBoNRW1a1Gmbk nahJBKSQwoiEJcC0l1sptyg1hHCjWeeHlGVNiLtklQRey1J3d83sTNeFysAc5gIugTCopDfkSRlX MIbBv3rCvK8LeAtenEKBbH6BLp4IwmMqRLmvWQUfp/vb2c/o+Bi6Nn8/mZ1VMwwMqo0PsAqcnFa8 yVXnaqoLXKqJVANIEdztyc44Phc/ZZ+zRiXhyBTpcSSMpweyy6Gzqi79sZ7SA6+VRW1Hcna1fnKs h30AF8bBpx39BK8UvnUrhaDPfHdaX4ucrhWDmdz5QjZhvF/JSgU4aH50rg66FlalR7psLPuxRos6 LwQXGUB9X6aK+tgCPs3Eh9MiBDtfdW75IVdt3xKXfO6BoGxHJnUprcnulLlYwl29/beHlX8BN6cY quq2JjdsMSUI65DwoU3mX8Ym7GjQBu1xlX9m/GVozVJg3jeTYnuKKDVjUfJ+SHtcWkxfIBiPR9lq 9QciYShSXo9/+lcJnvyd7lgblqSUpg5wEW7+9Njg3yCtbO28di3Et1g1JQxyQVvySHAiTHn8jvhg 9RShmIxLWNW8IqETLMbwTBEirLGmwgl1xZ0kLlXmdUMswVWadtq+y2hnzqjc3TKZsexkuxmscLC+ DSW3EirQ+4YQav6kiPqmTssLaSRY8aV6NfxQDA9EyUvpWhryprSJs//icQXy5iPYA++KZG9CVO2e ugWS03z0r4Fis1WwLHeEXKD20WIC9VdUyD0JbUCV9bzgEKDSd66+Fbd4j9CJGUlDnmNuj418Ajmh vlNXYNpZs4JJ28QPSy5fVOJrclyk9ukNc2MdDRJgRbvNQP4o/dkzirp/KasbAJwn6hCQjxW+oMt/ Lmwo/YnNzS7EIoVUvf/aFd3TvahHfxN3pHo8suoDw9+iwl2CnzITITJlrRYp6EfmLAYma0DqDZ5d 0nYL4/UtnM1GAf+M/NKnF9P52UpA72yFJ4OnyuB7IiPR5T/lfbpdMmPysE4HXkZfCD86lWHGvS5D oyD/swliskC6IrJu1AHCyUiXrUu7wIE6XsNzCT60ILN78F3MCbwRrP5VgBSFDmbL04T9gDiOb4Rz PkbrIByXcZs9KkemEayXUIn2hGZO6iQsl8SJuqr/+VgUyIcqhWSZp3Nets8JwSe+9OFlIxBCoJXG 9FyLgAo4EVns16vT818mB7GX+s2I0h/aeCvdggla4SlGfxGmvTaZY39SPe3n6q82qTKy+ibDmlda QidWTDzxZ/Y8BLt5AKChUSqdwVx7Oh0WXJbgu3xPpOAlulMmbJfrOvJxI2tbOvByE5V9ilf9agMP Bp5B1De7AwXgJ0KK67tao8aIS4T1qzeMcYEUVTbMdpkp52gpgkDxzMYiKdNwTLEE2tBrTt7tY4Wq 7G981xBG6Z1cXyTvST7f8dzAX8uw19Pbh13NH86VpREyzaJB0gmCOCA8QVOZg04Gn5VULcqbVrdh pYibzQHEPN2XD6JOjbTlpd8bpB77X5VGgBCkRgy8eUwhfM8x7qzm9RIBHaotOYgKqU8FWy/ul2kl HF4jEyU/PgLsFrBAb6f1JjqDeRx9FCssX3xOY32jkggdZEaB+zIL9BT8h888jJ9URx8uwtVFz1VA lWtHsuMGVTGUCxvVg7C5IBpY9zMHjs0IQUD0py8kEkZBENSHNsKnUP/TZWUhBUcpiziVtClAz2WS cQgJPFel5Mb0LnzqzjpnJmgN0KJy9qiE9L+otll50T6a5ndgZEFcYDjYNbPaeG+06JgBRWJvF2oT IUy6PdmknDscectRNezoJmJ5DKqr4FOkjFJ+ecUrsst+f2bk70WVnFLNfw/UMaed0bAc8m2A2qqE y+hW4yZH9n4wEyDH96y/QOHVtKLDbp683wiRvlkpeiyQBiKXIhc+XMr4rfCosdeyDWLTrQKy2dfY tmOUwgUG/AJGAdAm8+4U2wfUnkDXh6u4l+dWyU/Lq+G1LZuC7/LzzRUQlhHX4OaSqX5HKZ5pwh06 nIFZMvi7b0t1UwG72dNG+U2oNc7ZNCvSj/1SU5z0unALbef45fXwiOI69DtEnzFI2MnqjvS5GWcQ 7uDk5Vun2E80FtnO+bmcqsTbA3FfWWXuqANIM+APaO71avKAIVJgGgcmrlTIEZ9A4bY1VAu7IR2g jv033Wyf7B66XqllqFT93B4d7GrI108Xn8EARtMFiq6U2GrJRimAYunj8+QIuktS5G/k1NdkUpyK /FgQ4ZjmqLGM8gh3iaucS/gRbOenQjrjfBCh0EBwdccAYvg4fLnee0KFOyZCPEEUEzy/FKjQEol2 /CwDPaC10a1IJQcjH4oLM4rT0pD4UXUIdzPd5lA+Ncz4XACuqaUbPv0XOyo/rl35CvAaeO9mquTP iK5FazReW8MwMmaKOpMrfrl2f2qKeretisPxf4PRJy5z+TUukPVmqzBOyS3H5wAbdere5YoiCOHT 9IxCQvqvmiY3hcGgqD6YMB0N4FR6onHXE1MEnAzXJIoStDXPLNM11Xbkg0KekkjPtKhNVQCTpknp X2GtADpzMJLjwLTXZjcyoWoEdhqQx2+w93cf27TaiVP4JZGvDmmiiXRni4hwlbs2jQM14rwnL0U+ itEjVTYydu3iZB/ccroBQOo01/DY8lCJED4cqdJlk+v6N068tahmNwkFcuEFGpbyzZPj+ekKXO/P SQOULIk1wTNGHiNp25BvuavEeH5wOzHQ2dfgKmjOn/qxaIIxY3m6Y/qu/UagGVRCrkNiE3Y7WpsG 7Gfgfght/R2pw7ldLX7wYlLfY42JAY2fhx+zWRdLiA1jR1J+/k9W7QhJ04ASQluoY2pYpRDLR0gB 2VXHQLzD/r20P418i9qIFaO2lq5JKoXp5xx5esiEHTSya6RX1KJMr2oOZT4Gu2m/5U8m5WSEGGfj Rb/ZhF7jGLkQgbsM/sIczJEszSkUZJHz9U4mJNlr65h3ZFOvBgX/CDYv7IrMXJYf5TUNNxgjC467 4v/HMxWM57SNZWE1kByzxB/iiQ63Rnw8jDm39h6exJEvcE/VHP4i2o92ALm/Szyhc/HQXF/lytSl pmnrafc5xoN1mVfbCPvJrQz74KULJDlDO20UCBFS93y85TSZBRrtexKzO1mpkNav9SKWLW67YnY+ IDWCSsiAxleTkeDLYM1dI8XP9oEBaAynXcgYgmlg9JTuhhEVfyKUhEjgeBwl2PasvKfP4g1VpIMk yhhXDhNp2s2L/sdXqp94/+iUiFC2kHMsvQKlcBqynz8nlxFC1HDaaEFPZOo1fBQTt3/zEiyZgxOu n7ZOcAvGbdaRuV9EP2SdxfORkOWRrrEpuWtILDLPXjHXuwI9vjR6xCm5UUTa+hKKECC6xz+jicDg 0mjerCPe8ntbYHINvQxLEFlpE+azNrxBJZ4BPRgc0jRzdbJE4hZouoW1m/pFp0xGKoHba4h0GZwI KDod8YcYBkHQYnkAYg7lFyivnXCQeY52M3Sb1y2TbjG9NSdA5UDpPvwVmG3Q/iCBSy5bmrkLEWO2 7GmH9Sg6iVNg4XgTDC9O1iynJIuIVFK7yxrfwrBVLg+dyjh2yYFk5LcGKrwh/Tk9oaYDVdimK8kX aFCku27pqw9oI9zadYoIFvAgRT+naZ/j2cJARiNJkj/I1IctAErX4rNxmQhHATXvVMbh1FNvyAM5 MSsOmC+DdexFSwaW5GRhCYrU8r+xP8E0voEd9F+GcCMsblP7Z37g+8oy7uI2WM0H+XzXcJe3ARHy f2JvbCh80scmdANaw8LZLEH/smZ3Zxgn8C5Hnip+jybiAYMIxnDXoAQX4y7WAZU4o5DdScAdLFmQ y3iXCCgwnM3iGH0rm8HHqquTCoX3DCndi0qqgvPUL9j2LHceFvO0CvIDUrLv4xpB7Tv59xXv/dKA 1leepCpQcVU5t+cVTplDzebb24G2u9vsva+ILc7LYaGgpkTB8Vf3nMO52NGME8xftMFxjXAvt5OB f3DM1eMOePJ/I9gax12gtmlF58WuIiQjTooWtdackXeFQpRLQic8BGVklWOHfL0LW15PwSw1JU9P U44o+IKNy+TB9mhRvcDXuT1q4CzjbXWT+qIHqytZxYpqtjriVtKwykG7RRqcpUMi/5IQslCt97e2 mCCvG00Qn91olmc9hNnkKT/L5cyRv8CCivUm55KhN/5RxQbuzpReJLUDWihQFN7JA3r6CZHRXOvF YvO8qmc8E63l2yVyuhUirMn0AQnVwL5wv+NrMN2D+aXFX2QCsVNYc46waWgX83D4rJw5ii9CQsMg pspMeLB9dDNfADx4OFQJUWrfIxmpGszEJaR6W+sG3pmDShu4RAVV1xjWSz5yAe32uyG2ABk0Ah1M 8AA23A415c1Np+mARrhJQA6OFJs95y6LwJpjF/GrRtJVHR6/f6DMQRiBysc3JMAUPpyxLjdkBsmj sqR++pRFnFJhhGpg9onIvtJKjFrpJPHj2U8Goi4CEaHqXDMvt1+UDUXNumhQ4E7glxGDqLVvkWFH lZNcwuJtSGLfICopfu9S2yP2FxJ37Jhj9zzKOz+P9YTEC7HVxUfvnq9ELXRRcwKnhcveS+FEXQh1 bhPnScWZbTqUitWu59R02VAFnj9wrh6gimOaK+T/iDz+XWlMV020J1AyNEjIqcqVZCJ6+RD/9CNd vKWxz/8wwyRndmredJFuk9k1MlJwUJuwyyamKowAzf+ijKY/mZPOBLoNhsik5L9rqxQYTalygPLo QcBnQ+xKaY31ARQQxtK35Lgdm/ZSgSag5EOl1fWJRCLZ8pr8myk0JqD6FGDHxkhKFHcKfjWVPUdA 9bmOHoT7bGlb3rzXtqFpHeC2vd86vK+CC487XUTj5NCXGeeLFlCQqK/VyXljyJCcK+ggwmYO5W54 QLxvAXfmmu97NuN0CdAauCW0OmNzuTt0Yj4puhWARE0m8CnuUC2+nr+MLjZDUvAgKgRcTi1I/vz+ G+z2WJCjPDjdN0maY77etdY6MUuZxcCQCLZ3ca1BAIr2VvlD1Vzfo2nKeNO+VvnEZpPHWUUENDhP fFXjt9XzolFDvYDWaaEX/ODrZqD98zArOTO72sXxyVOALGkDJk7opd4SfBqwYA35EeudWZuqNXs+ 5LJHgskLQGDaokn66Iq+pIU0Uqf4CL/Vo7z9WLNAHMHixgszS94oX8rMzfrb/k3HKxL1F7gLyc9n 36ybqTs029lb9xbxKpsX4enCgxFNXNkuYcAOnyfL9b2DTJvEO9RQU01FyMF9uZG9ASGaI/te8ZTb fomhRWzCNVU43DqgdSVZ6x1Empcqv2YofTVugmmziZt64kym2dbbgwEVoMqvfBi3P9M9qbspyUCK 0VyYBPI9g1ud/9fW2wS1DY31wFZD/d0do7oDAy6LeOFzjnzr7DKuqhyLOtZG+aV7Nsec1+yAr8Ju El/zFxn3LpMEKGe34zwbJTM+75spLkxO+9VphPBcN2k+OChvkRIhpj5wg6RI0UFvjAfAOhverbZM 0ra8JNj6z2h23bJxqDDNI/FnrHI05sORu3No0h7OqwAjCTPHeK1Ho8QBjOXIbKxJT0cHdgNf2YSC BTHkWP8jtgVeMdyuKB8h4rT4t8N01EnxxVpkCgFVo0nDngcANfKndZddZDHa+Jkp3tDMZXI3xWS/ FaffsxDEV+25dYIvzyniHS/11+C4dbbDHeruAfU8e1IEUUokFfaqboHXsxlnH5GxJo0xQEOPrsWh LxKkJxFW1R/iV1Au5rPZDXuj0IVldg65DVRAqUkUv+PqxfppTZn+9Al+5M0gabBBcssd6xkD934V hfywvneBw3AkQITyvQIR4SBDQXUuidz+aJ7xG3dXXLrYBnecZxL5VEyBVgxV1I6rpat08j9m3oWx iHK+h2Sx+fpgU7Wu6HjLr2OaMFXmbukGU8HSXBasQFboiAadIsYMK26le0stQ1WunolL8m7pFV3h CqWfwIVVJDcObWbSbYnD4gsysSiic4t5ENQlrrn9yJ0vJVWqwEJ6JC3VWhVMapXtRfNScqF0izYh K8eDYQmUAM675pj1GsIgq0KaYecZXXD4Z9EshCfREoPInxBiLRMLxQuZNL7KUsqFTb4wcqjv0mfe rPdJyDRaGQ8Nx0S5Fkhw5+lLxohL5WqwGBTPFnoJ6rakNUuE+d8q98+UidYOjr5tUVXTEaNsZBkF He7ao0XGVlPQZbMEEjFzVbt9ADwmU2h0Kyz4YMM9M1P/govFc3FVBKZf2e5UGlOUb5GZZ85w69rN ZSIfqdMDzYFQNAnrECsVSyfgOmmyEng87OiPe/U22vgRX0XPkP7AO9PLYF6+3wI8nPgT46EUr+tO EkqRu1TLCvG3loJgyESGgVj/gse4HPVGXPd6m0AYXG9eHyK5z4otp+Qj6KxyR/UuFCMo0lQ6GQR+ kgwLCuiDEkQNrn5i1P/TChv/gZe+kfqmnhYj7FQzxkpJlYLXtKHwJCq6C1FaAM2JvgdgQY8lcqXE GlANS+w/YS8VCGoxxlpaW8iWOdzf6AC1HY6INwBjKHBHtnUtMGkHaxB5wXuMz+efsTRvLejfxSQd JomR7NWbXeWluw2+KUIfDczSWvVXaiM8K2GQ76h5XnvZ0+mSmQO/zH+mZufn8l3u3CzQ4Yp8DPHL KbSwe8ilda0cmv9p/uiH5yDRzOwoVAsILaen/7i99RvS9nIUjtSfvX7TOHGJH5FuR9+7CpS6QZVp j7Za/DLgFSBZKTtXMwLozRpxQpqNSeXM192k/AQDjSzHDOj58k6yVLnF+x5znpJ5SDXCi1q5Lhnj IZ2vGzW5NKrtrcI5mVTYH2yGTBLEmDGkqW/0LwRJ1F1q8a9K10SPK99eOgq+gD7nMNZtqesE2IEj W6JF6Phsd8/K1NH4JTOmQw63eq3cTffGLBgW3GXX1fOHQ1zF7DW0uA+b5fDuGnoWr3Zj0TRtk6d8 aUcKFnjyuV82Wx7V6XwOaqhwH4HZfT1eY/h5hPMcos2NAw0QLYuEACoqsgMDELpMX3soM8CztC// TwlW0V47Iqmk5ZO9xa2/YlHk/6vOEFVH+31HJ7ZnC/FLXs55TbdStIze2EnfvFPCAuzSEGFGJZi6 hn1FQwdgB3pE+frzb5sLAkH+E2xkqihDCQYZcELktCb4lDbwv+EmDh+cFUk1s1uZS7TkhrTXK6Yy gunhx1wpL73/SxxUwPkpHq2eNSPNS144jY4ZhBv3DVsChTuVJEsdzBtI+NOC0YOWlRNxbT3Vv/f5 PEhnwaxYhV36BNpUBdbZlIHYiT0lc/ElhXpWTTVN0bQqFuzVOq5fQmvcIA4PQNuvMK5MzBauWNrp JxGE5+4ITe/jSvdvHme65duiqi3FuJLl6FbkwamARdq7asLutHahieOjWJlNTZwg6VOzIIK7npjo nNTSjp6Es3PZaG7vGizAw6KkfWq1i/Ir7xWAG1b9qjukVqnQSZF/CzU9oR0NinUOtUraiQWv6Q3v i54zcsvhPWI3hcgOYj4VM6aRPA62XdO3SAmZ6RwhEI6AOq4IcuhylIi8QagzrErDgJuyaThWbxQ1 Kv/tRegvjGYdTVvgAYVSigICv5LmojbJFcKRn0AWAvp+3i/ArjDBQzGycjsQ23kroPQAUD3s4KtH uJRz8I11szgA0gZU6cLRdg43vj3hhb7q9HCpY+nj+LgiIaU5c907m6R+Hn4TesRXr9NHIzoFEOg4 g/4j/BNZAVvefJUXS667uunlCVbfJUCUnokh0Jwgv7vixXHnUV87DW68YPahrQ0J27VeBXFh1uk4 A+oYMeDTSxiTqWFofqePZx8SE6GRF7zOcUBVPmaOv34zR/tdX5cVOM5uBsph4iR4pYF53/c9yHFu 2OTnzf5Nl8w89iaSpcV2w4YgWvUeE7WCh+NGehytq+d1S/3Cl7WNwIUszdCmbJIrXfCQyfFa0EaF 7pHdrRkhzOca+A4tGOt6mHwRMMMsb/O2oKtkz7RVaTXAreQHwD5nBCK85l4d+QTX891f8ia6+Gri MZsJc9/XV0zjNZnxVh51LaKKXegfVGy6bFsTqr3sVZ7K6b1d6tqDLYpAmAPXFCldFCnJDE7Jx5su 1KzBOb/Qt1pDISp90wu3B8GOyLnrCgfxRy1FeDIY4XKrEa5W1Nk++qX+9DiGq9yWrwtpJ1k4vENi fCccOmBxKhPWfEw+McM+kep2AyA9iQS8gnbGt4iIP3lB2tzWqVQotzbl27UpIANeSVMmHq8TV+tI KQmY+3St/Rc+jyl5KWTmH3zXnJxuACRg6jaLtBY/lCL7yK+jpefGNPG8+p0Gxmd45qXPZCp1verJ iA35PnF6TkIFF16hwVCiR58d5U1DFdQwNy8636s+A0tt+TUEyKJDbCsk/b2avwN24c5cOu0gO+4w c3ZzSqN+2s7NLL3rS7N2/SdSUW/b3wtCu9lJD4kbE31eHn+Z4LbYyukCW4z3V9bBqS2HBsnYry/T ZPMtERhSt6pI9Tx+H54+JISSzdxoUsXcRQFC515Zst15VePdSxVwRegrQyxVraN75XRUNY5fzL9S x2GBiXufuVtA28r7YRi092R87XwaMZngs9RcEYB1uUfmu6iByIiuQPaVDR5UwsclBzBWf3hAeJPk c2GuT3jfVURmU4bnlmWYBzjAswZqgQOCxYgvM7GG5/eZ7+zpfacAmxv9f0xB+/n4qa1NCsk+rAaL IspT7SMIcZziWCApsbDVN2z1NVv+hQJIq5V4IECaYBlSJ+bG0Y1nxYdHGw8BuW0qNZ4v4LZhyQ7Q ZnSBx2XTa9/7gDIW79idTXPtRwF6XN+pQbDGDG6UnwBcrPqFGVesUN4oAvWwovhwBgv8VyRQqn/v sOn0nxHvPxbPcBoW6AM9IRz6kQQTD/D8HOL6qbreKZbQhqHJY2FiYVZoKA49wBJIyKkELkJ6jWIx 28XeAIXCIsXjdi7BaVumc4Bz2sCCxknqJ8EuhKKzuDCPIRXoIQ2pYvIwW8wH+j/zkKiUTrKcQN8S IAkYFuO57fy36xy0KqMBN+vCoiwSOM5k+8bI3FwmgRQPG8gUmsKzWUMYHwmRdyqlA8j3waPqEYSr IrclgeJY9WMQIBWqBnjqZh9dOufaB2R5NlcHVFbdlAq4nw+hwZrimXYViRg1r+Zd3gAVbNRZO1i1 fVHD9kNxaZGE0GVwOj6fml3JH7mFLArw1zeKtuOzCt8b5yvpZDBW35yBG2s3SOPwEo0UwBOozJPW WkE89+LmOPfFYZH+97AHvNUSiQMG4e/gAay65DcFmMGlIp+BFsge66Q2wkGp+JiPM/zD6nkguxz2 wH6XT0BN4Q8aTflW6EZoeEccydYKrHWVH0Cbx9blzLI94l8sPIJI1szZiyGJgjV0JxyuBjZF0Wxx EE7I5Z7DSPanf1ftQwRhunXnjKYb+sKP7F3e5cO/jEFkoxRTVy+ekZsVi4JWegndlxzCv9X0hKgo IJnoIK4+JUV87/iw1OdAeuQWt/5YLfIDVFP0WtpYq7CIWYdBdTyEqtlssJDH14P8xn7Nm32CA3E0 bBwbnNzKnZEbLjd8/kEd2/8ZZ9b5umGvjRhAvok8B4Ld8Zi+2TeHGPLn7VIxhdJ2pZVjLMjXkv9H NEVNTZrBj+q/GzU9Z/UYeOnnhBGL9zWqM7V3IWt+RWgaIqL2fNO1Cbf0wO4lpW60+Kp2NCmUo3xd w68cH29Mi7bpXSrY0JzHtojx5KlCT1tCRA9PyE1RafWa+LNHMCVrI6bQT0I+XYWp5TVXJhlDHqz2 JCGjeYfghKurbIBDHXoO4UID6ibRBA4iolCILITyCXlhWYiEG1+wC42p6iELBz0OH3culGbujEP0 jsOBaLiz2Blq+ATZ7RTi5OYALaw0WniyXsUXmOMZNwMPgsJuHqlk/s11RjlxDlJu9JqVMu40dliI RAac+kWKa6mTviigBQvGALv8fa6EYmG/fPUZa8Diq9uU7Hn1Z/eD5BLu4knY41uVPSjljm793WCX czt6o6x98BeGKciZTEXGUnnhfC3WBw6LvDjUkZMCY8HjpFnxZI0pyX9ALNv0MsL+YaHzem+M/Gbk 3ANOJCN3D6Q4FHPmCoD5hsLo0NrMpc9D+OPeKWbC251443hQZBt29TZUjki3WKvRX/WjKzupOFjA NprJrEBeptbvyKc2XlTJV4PSUfObRn1DQuvVaDOcqt8cRnqjxo1yvYmUd4XnNPBtiBUX8bbb0CZz ufwKtpQQNIj/+bwbncbdTIsiPPSo4lE1l1jVq/YYo6kBCZyK4VXIyGDy7PVwYLG11p00IDhk66Pp 18lUrRQI9rq1FhFTKD3fBnI1uNt5bZCwqt3lKE/1aP/KyB7UIMUIftk1NIEYhnrsZw/nKDVodpcD /hoG0qXlVHke7Ozx4HfENiRNaGBYadxBvCJZ7oS4BVS+Dz79RKSvDUJlP0Jf+oy/lT0v0NpKjjao 6CRtsC5369hxa7RrZRJ02Mv8cIeEUD6gTBoGNGImDrso1Hmesj5APe2e4uj5Mc+/NP4eMQr3r3kJ MlED24a4vLZdBH/cohW1cIzmInKbovLsB4gk77DtlxVp9EMn5aNmzgZnPhYIniXj2wonpyyixOmk S4bUMRpFi8KDzyaKaAF6LkwheYLpRzJfYrqq9EuhMSimD+Bo0UgHO9b5nn+9eA21eZh6R8VjqRX6 ENvdoszWNN0rhb8mveVTb6JuIgYdM14liIQTh38Vyq6YL9YE0ssjOFni1IerYtqwNMYGGV5wIwDt FL60qIsxe8nvPM2e5vSeVkVg/UfyJvVo0BQYTn25yFaJPEHPIVMpEqAe+u5E/EcDV6TG6nHrRE2f s63FxfgGrA+aikgyted0NIY6ak3L/C2s9r2Ixkh+lZNngRcKobw94n2QbhNFiMbZfZsI0DsvN4OQ DphWY4WtIR54BvMpYD9QTIevXE5CB7OKTDM4263qh4yVBKn6oLqOaYS5kdTbD1X/MoNEKPbo7UPX nUZH6Mgygd/hzfdUerpTETUvI2fy15URN8+vGPkBoDSc0L4G48EelMlGaOnII8nSMWmnbtWzx3jW kwsBZN8qE6ndDTraRB7Osk2tLJ+fr2MxXpAGpjldu6NfgxrCFjuTjGwz4i+KejwXsWKHE9JIMlxr OdXKamzWfhCj/G2X8O/9I753GDbzeRlygQAlxmSF17f2DLN4AMtJ0kUyRV4T6OMOtvo8AIQP/cIN 8SKZSe9n6SxCv90QGu4ORlWTkJwCtOX8Xvr+Q1JuJNZNDErhQ0TXCZu8b56b4ewwkADjuCC7FcQI L7UyKNQ6iSCUNbBK8EeirFUC4dWPtTbwoiRQykhU3cg/UWCt08R76gJHVDiuiqphlXMNVIOeuHxs owe3HdM+1b3iDeKo9tw+h61hUUdGn3sc4ipIgCIJ65v9ww08uhZE2uALCLX+XO3ZX311sz4PyOWD efm6iDvbByAyO3I6aOM1+YXYQtsfiUkE8Wk3JJUlkWC12ifa7fN0bPVRQPX6SoO+IP/e5xIx/62Z SmuAtY6d9g376oYvB9H3tYW0l3AjajgBto5iFLSp1oaWu9sjveGKqfnFgzFd2vX0KydBsXJv0i9N 5AlpWm3vHZQkEfPZbN/cS1PWMT5veY7Yyh8NRbSAMkT2bQ9BRA+vAwknZmCXjE/fYt3aYpz528cR xqi9s02zc2qmw3u0FSbfJLQyGjB8HzoljhS9JY/WnBcNvK9QbdTkuLI0pH2loBSvsx/2y08TwEbb zs5wsi68RcV3fkwLhQJR/m1kG1UYjAuNzp+bLsSVs7gJr/fUKBk2ky13M2c270ZaSBHB4vnzWslp Glc53IhPFCiYxdbn1q9roGn2s5y3MIpOUV7VgHhj05SYMElg9Jo8xk6VWJwapGd06m74azt0owyb pxgK0SashYLwJv+Zk78B1MuonzYigFUuVozLCbAuKEdUvQD82y/8/dyuU9dUYtzp/ivVBKOZjOhm 8Cp+iExw/xEkSCEJMU2q3UcvVg3whgqN6DsGKBj3MQK4/dvQSoddT/1L1tC2wUhfh7SbEnS+sHiA kgz6NYe54czuW8FU9a9HiTBLZC6GrxAcPWZrBC53kkvE79XTVCWUpI880tKOEkQo/X2XhApFda2T tKJccgvWdmVLY5/4QpT3XZN6fTWsF3vG2zUeBOngySuMhBNsOZTzYl/096JdXYy1rM79IG341yBK mc52Gb13P2KKrUuBxKh0R2/4nCAOne183zlyTfdRzim8iT+Rw60FUgOflR3yypG5TqnqJ5lgYJqL 8ApPJClGBLHAKZ9x/5Doe9fXjR5K1QaYPFWjD8uMPM8hCLrDZ5+8Qbr/n7/X2CnsuKiTyAOQKFrv j/AsJyDM5HI0dbpNKHGxYaCAFeC6vo805opsXFIty0pCPZWOvlK6gmYq/mO1wLdGrq0Q4jcrQddj ZPvYo7tNT59tZO+CO742n9tAupXTr1+tZh8lN+DFifsM3RSTjxWtShG6VlYQ4mcTFNlxips5U+Nt Pj354mmKLHRuo6PnfNbmfBhlBMG2untHke6XXbQBJRoHVwgXhzhtHlHeqKpTKE7azMLrKTF9dcDe aD2+VXpCoFVFLLQuPwUrKLMvVmjrAJAwIjBsibx9M7L6KWVM7Mz31avbd9mB55V6U1oW7fZLLIF7 lPbCsIKVTrUVSFCf+luCp7lp5baZY44cg4/MwM41/TN+SW8XdJw9v7DAotYUjfyzz/KJgg4tfQWM 8RQGB/sI6gAISIV+Rh9HYaXWfVoCvzYR/GkDHT2R/wmC1airlQTYFgBw1Gomd6vio9+Haew3M73k DbzC58M6ZD7sMJyh9f5ZU2wttcfLvkoi9Nv6Bqod983eqjWF5EFXkn9H8zbPwzAI5Puf6K7UgptH ISB8LIQ17K10pS1YharNJuGZojbkBDS7Oir2mGp9rWvFSGG82avJxe1apKR7TXeOTsPrqaywxNFW sV4S6cLqqorHcmS91i5AXvOI/R8+yeyPvMnzd8GOBEahoq+qM25ZmqAyrazbDB839o6bEE+8ctUW wOmThiKhU3iwjvmwwoDfui6DH1Onbulkz7qExv7A7lkRGL76fUrnCb7Rw193bRXZSZtBnxlEFm3C a1cMcdrbq+Mq2+rRqelBp8TRFduPencsW/h4DVxB1/aO7d/sIJ/iBQMcEUbuTfyIH3ez56gjbyIc r5RgSfvS3nJFOfRtEHxhHwsde4AmDBFpmI0bxj5NVmOh8v89iOh5+s4TmVOK2RBY7+V75LAMj4Su 2Qfo/UyFxFPIBxxA0HqPi8yI1Lji1n8KG37tSmkGS7sJWTRmZPPUfN858ksnbVmagH7rSnJPL5PQ CgJljmRuDbLPJTwRKl1tJ296upPBXbiKvA+yK+JnV99qk41PPG0fyfX4vKCQKYhWcUKee6DpQVjf y9P6ptoh0hpPUgK1ALc0KYwLMOUcZoTiKlyb9EK+r/fNtcTRGMVyNrZytvB3wknC3YMnPM+f3bNG pIdsrfQ7ZHgCZ64DnzlQHQ3wedR5XnvSig2IrX9gjBwAAEY8iuKApAv1tos0qyJSojz9KnNQepCM BF7TrsPgxEEiqYvLZu0pD8Fppu3kGS95Wh8bTKjH0tZm80D+A8dCHalcvOii6f/lm0Ru1UlJODpS euF9Fv4DDLdh8GSs9hKKdigbFfyJlHnnERkYdhwZb67P1bnRQJYJ+//h3WE2ZvC3fCXjps0Tm4F6 VV4mHstNnClJrxtPaLQlG/k/RYGabOlFp3nGK6+MIuWsZ4foy/dyM2kCzbnry7yP3tvTmPT6KXvC xXN9bjsBMraZeICB59rUOFMf1DlBVzPHIjyURX77Tn9r3Ougphd5spXekp531pMVeYqtzla+k0+V Q1N2X9cTvgrRdV+5wJGH8nBvVyQiDV8GVVrY6lGeHTBjC5U7uE9mM9BQVMdVekxVCiQwfKNq/MJq OVQTUMlpL7YOBaS1sVzbEtlr8HcKko+rk1V+2jAIiWZHigG0pK8qPI7X9T8/ipypWkCmyABDNPtc V3QvyISsBsKemTA9+nlvNL++y2GNmdRhDL9sT8Y1TkoJIqnP/yEza19XMim3v7b3tLWvixFREX/I JmxuhzChSvq5LQlDoemXEWJ7kTAvdgDFxXd25TH3FVAvA4c3/1iUUh4lZutXNHkyQM+7vvIo8JDK TIu7JbuD2gg/VhkogcYBefIo3m5rR0UHdjV4QzlYjY+OsUtmkw2I7oZ0m3U2Ql6KYChEP9fpDFAS RT1P435XMpoBMbb0VHp9zOthQaptWq+W2FDct0Mg+gKg6qJbv5QVpBEzo9vqAOXsx5YT2tnehtHV +BlAg0VgkqaRVpH5iDNqV306BqrmRo/RLPLu2lTXNf8iK56TimH/Okw/Z90z+CzXXzEdD7F2RkNu eoO4WBaeCUI+trXp6GGqcjgM3ZE9aKHZdGUSc9mssScOSCcE/kV1r31iFdnc+t+yuKHwhr/mln+I N4oOEMrkQH9Bz2A8U5YYRzjwwQW9hkrjZY8Df72uuspdJ8r24nsaU0Zt9b81Nmymypa1UV/Z1NBU z0jzS/W7vNcOc+6hfCx2wf67zhLlXo1Csf7jhSzbunDCvqqhE9pNcvBCogkmA0Nd4Aqb38MGtX8d n60bL9fFSLwbtCpGHTFV9pXOGm4tTgQd0Z9LUGCdoI0cRGcR2elmDs+GMhQfUFqozlhSJB4QBaVS s+QwtWFWKv2aTppc8/H0HEYp2KGmNFsNmCT8gkRwZ2AEpocJCOg/qo/hvdpSqXWxQb5S0owFW9g+ C6jCpj0C79ddNjzsH8HqRXU01edevLgyRuwc1SR5qyAKfOQQEVUXfMsVM+aoRvivAwSCm+PEjuen 1P/XlnHWf+WHEA3BYK+dHMGSjzI5q4oc2xHomuVYf9Ttv7LPn5glOygn7wpdbiz/kAdtUzTJIZtT FUVI3oQXg7+QD7k4HOtCa/m54JC51184z21PTqZE9ndPSjVhP2lgyVUXhqpOVnW1LzxhBBiuYMPZ cvUe4yMEUV/t/5cMNIqMVm/G3Aneh7blLPDj/7LcPjSsVSNBCWMq6SxHRZxSkg8bkbQqvZRolVtr rqJayaBUMCEVMNiF83LsZ8vKictKKaK3SxkV8NK8RVIIg2vWLnEt6bzIld82XDQP9uIZy371ikgr o7XD02OyAS2LhDg9hrAqSs7+cWRs1n/DO4FjhTZFCqpHfqIF3HrV2tkzNoaUed8jkTOU6oORDF5o JT41Wmg04n02qDPGij7tdV8DxhxFO93VIGdLjb8yHhJkA/z1eR/1XRGFwUZ9GsVnBr22M5E7tQ+j pyb9OKAcpTb94MHfA0aI9V3068zc0QEA5y3md8n2u+zQz8NzkKyVCD6nnzqyioLtbaM+SaH8QMqy r4x0ohFF1JmH0hOdnB7+k59FOEa0QoHgnenPRYzFXUyKdyA/fQgyoVlxp8NRUZ+R/l3Jlaxvspj2 dF/fT6g9Ppdz8niV+py7Dd3H2QUCwBp0fECSKVTNYjwkj8srz0PKoLxs3b/gkwvHaPZKMo4iJdgZ +auGhz8EoaaI7lUmi3gNlnfAX8oT+7tFUwSpEgxQUUd+hffvrrNG8sBWY2u2fL3dJGKXTpcjCgah P/KvPTZiUC7CzTykNOvMgnebPMPiBBNJ/vNFI4AP1fcW6kpAy6enUbB9ERN1QkIJLL/RSknD85DZ J7rYeocH8O5Z6QOAvzF8AmVD8z2ciOT243wcyykczLuVQxIzbm9fXb0DLaonkzU8n0/xND78TIXo ct/kcidfFf6RNreZ0RdH6wlck9rWktZg87inPyei00wbbSaAyxLjHrk3ofGcMy+UzwaiQo8DujQy vI5yRCzbjOJtNlyh+Pz4T3sa08c+KHaoaqxqcL7QFGwkBceUUB5BAxknTCXA9ldL/thYQIHObqvx 9MOckQHXAXQEETDtLJT8MeQW4yOGcqobofzQcJVsdZxdmmd8jeu/uxNRVhhuL85i5pqZKPMalTDc gaPJdfWi+bQZ65Bpk6zv/HKwsxZISvU1Z5BDvq3c2K1rUdzEimPDOlK5vOu27S3Zqv+SxMteGLzO uq6ggUxrnC9MgMA0bOXOdYAKjJPfLu8QpG3NP0vaQMtuczwkWugbcAztCVaDuvBAQLA5qu7NbBnX kK7/uVp0Zn0hp0oXVPQ17VlD6+XfUciorpZkz5c9jzBVhW4tQlAV9fZ7YtlUpQqoMOEwGRoPl0dZ A+ceSZ6fFYEza8w6NSRTKUGaw1WetdeS9NvyxOZ0IFwsDCV4Bh/Vr8PCsHef1TPSiC+AgpMMNLf9 NxXbW9copiPcU4GQEj6+ar4Vx3YLi8t3afVkc9vVm7+ukBOrZ7aBhYkZDR9HVFaXmSC5cZZGqHtt GgN5Ip1GEawXb76pkDX9cGJF8F21MmrBHH3GXNqFvMnK0RWZdRRk4xZ73lIStWBuCY/OeuDv7PcU RJONi3FyJPq3bnk7G0YM3cUQk+4L8t3B+aEN9OJ1N1/ShbkhznLgjLdm2II/xnEECRrnJuzk3V4u w0SePn5SYcCSRZ3wQcth0Uk5YBVqX9Y/GQ87cU+QuHpcBeY9cZ3yh9wX2Bi34NwPtKaZPluNfUkc nDp/d8iZUOltnKC5gvNKNauhBVxiqkfq2SsXw7D0mEXowuAyge6U8EWckqXGWiVAkSvtdrG3SqTZ yXp4f3p9o9wPwtLVJ17i0eyVphFz18FlRLKnrvhptrlJGouTNAf2HS01Nxn6KFv05/B0BDZvbRg5 GFS6JDkOoafAfi1M4VaNEHfFJBpxI/oLKYLnXXYxvExyVGJ3TRqPq78BE0kAN/OcPNHU6cKgdyCK uE40F4o7MarYsqu3IQwb/XWyGnsbWcWLgvoxIMrScA+qyVNnOaXiSXZcmtKrbPdzNLswveSI41DT jA38lsg+3gzHd7LelIBRhJJgltzzr4tlutlPXyQyNuet01ZuX3Q1lSCsMDp7VWBtTob7ZUte3qGX GPLfDXdMTG0Qu/eT0Wtw2lXdtieOG+mLA7xClyLdBAmTAmeHumItBZWtdFx8wZ+1vgR8zZPxX0n7 wI9p9bFjeOTrigyvDtewNsuWlEaPQGEuq15rZqyuQhjZpkHFw/BUVjPa1oL2G4jAfDMK/DnzlYG+ 48qHgQuI6I+rdP/06cLWeTvxpmD/4b1Thp9BLES6oYTXuNcqE9I6VKrxFr5mpj5lmHuu/rYuLy0/ 2nx6wTjMpgWwxSZl4nmHzAfVoqPC/N/zdYTR6KBvhEq9Txz8Dkq28VAsDYcKel8zgGAkIh43BHcj djYaaxb7J94cps4gzb1FjoHT3hezEVDcrVuqQML4fJX4PNUsKz6FcW3gVpAdO+4qENJhbjV/A6iO mSShFARlETsAQKr3Hs72MhEF4JmhD2jYzaHkLNq0Ar3R6zxPVmzkBkHbMP4UI6nwa12zPpNjMAmF xt8nwJRBCZuqK+CFI+ctdzv3cnpi9DCTlLXOcDbOAsAH1uLXdtG3+P+FKJTRopcvMTLzEyhgRFY8 KSyqYxIHsQxrwfceDoc5JMXqWU2DGZt9uoAwtqrR/A8daVQ7VlUPmNjKr2PP6FXAzphEG9D30Esl 2FQDauLjoT+qnIa0AU27ad3V9XQkAxHqzmIGaekbHWvZB2Ct88cYmU91t8+O6g6Lo0DSXuMzDrnR xlGqMwcUDbCPQU/xkB2X2SB8h8mO4OMkXttmy1pTl15uBvdLYyt0UOaHEIa4nCP3QLJ7myvUyEm5 Nc8HPCAc8b1ZHlmDf71EcgX50VlDmsZeanLQARNM2fWZAt20s9RqaKEQVg3xbFHvlsn4OMghHU5e DMZJskGq/R92oYyHtE+q1FTytoGrA7dVrO61RHuA3tfdD7YWxve6i+y7KwaTlNHfr32rARsyYL24 thRxdPlXi9QmqcKRBq0j4dQa7/qxjuRZjKEA8RKphDhgv/N5b2HSQxPKGaDMV0d0EaiWlAs/A7P0 qmmgw3YjBFcBklw1xxcJLC5rTN3K/cBBA05QV/AG3WCtCReIRNE0FBalppgaoBvtX+qRuhrM5nRL PrzxGj0o+HLPKpSKfQLHBsyqvpM6rp0NiprjBTjSaVcxaVVIz2AL2ZRG6iTkxeLkx0Erv3VBUE9o 58EkWY+JQGkLEO79OjtVSTupOdrqY5mAQItLCG48Lkc917gk6UmnXZ6EpdovjC3gBEbWN9oxS+mk QzaqEP9j+tvLpsdSHa0eKYCyprGT78J6gzihwg9C6wf5U7uXmrWFiKgWrfSbmYQx0I3Bl1OUM5Ta kqa2+MwsItn8qvgf4UlzkyEQzRJzLvX/KX5BdtORE5STybg/itOXRNDF8lu8WEGGdK9lpGYTmA0u WgJG+mKgAizfcCj1CQla8XeZNtoBdWP9OodJ85tA1UYVCUVa520s+x9dfjGN1Xm7DCZtjhXVULh+ 2bg6wBDizzPBI5t5eNb8MgxnyzoaknQ+V987qcYab1yoICvS88WjYVEzh0ikl8USw3ZaEdFnXA59 6ifJOsgVF01mOtcIQJrN9vcFkdn9gJb9MDg6ZiFjVVj48n+v6+6rEHVkcZP8m20VXPz7ToM3UW/b ITktGkYSSdyW+0sHdEEL+9YIATOCnVJSyKx9o3pE4xA1bApJNd1vJyg0KV6s+kCFkIjxtarb5ycu LeVnjo1Pnmi3VfbcSPWNvcSnEGSmPrUIyjmjTrVNe1xRQqhnnjZhQYj7NdX74z8+wA//uoRUM8e4 OaasCzX7Kz7uxuxf92YJmGuxrqUAYjqtS068VOP8UfBKXDVqt1wlpzU5BVlzGaZR3+vnO+4EnYNq Ya8DZeJWTG5MsLUpU62tMSF16wdNLaNWj1gKYEnGCkdJJdvp6WfXVaJRP6GBwQXHabDWQGPstFGa WAtcqzdk1QuuTpWPJ2+5R+QgScEzR+GK6+xbY6fSBD0flvqZm8JsG6SIr8JPbOk+lU5Tp4gv2gFL RfI1xnK2L972hJOFmyc/6BL6hlRX/kSUfm5/XJwNL5BxZ12BsJ/eywgNEzYp7MkNmGehp3t/z9MN 7oZgldQarr6Ptq1seKKgYht5SqXEMzGMVvx/YvggtGTCURlUB7Dtp54XtNG8sRofS3ppYGY6K9Gp ZkJ8HoehLG1mc0fPKAaSGPz/ufCQLETK8Ped9IQVc8eYTQ4ZqSR+BrVJB754b+wdNCYqDQi/42iT hjtpoOIcNUv1KBMqaszpg0xuQPOAECQAVAsQ76FsUvPXGkb0UkoGBUlFzZSRj/XNEyPfgyEM5YEX f9SOCqNxPYTzEwMlipP0Ag2q4+3xW1at1zD9TMpCKJ9bz5lB1VXYoYXKPuh1cvpvWEXgy8p+2PDi WSl2hpKW9e99yFRzjW3cFRTSB+JKzD5/CNpuHPlPiFii0hXHu9OdmY3z+zGnr+vxIGPbyCVreIeT EaPz/R/hFiSttFT6R+/gy+dbBr4Tc9y1xy2dCpIhxMSASjR53jyo/VI+xpHbv5f2KFYiDW6hWa1C YtCmFNMnAMIq99GYC0eKbhX49Irilnqo6woPY3/2tLBNmwC8QgLb0qhMoTdhQo1hKy7sdjhSjzn/ MoqIguq+I5b2Qcxyut4oXQZfNLJTUfmsy8okBXwsk135LGrORyPwN2qFiv9n8OKAU7NckeqQDYGo B2sGE3PDNf8j4tZys5P+ULW9LwFADeyogv1MO3hy7HjHyr8E588v5wU/bFDOZSMUSRmnI0XLvJl0 LthDUXsFDqjJNg0FeHXpNjXOf3+evIk7LydsK/Eposkxogn5zdimULe6Hoojpj8bCW65HO58aheX /Wp3nO0UrklufRX+IaUW1AOaC47FlkNX0WXwLweZs7kwUb8Y4b537DhjjHZ3iKwQz395gdtVBeo6 Dt7LyKMMPR4eLlT3hPHIUrMDX7yZgphTPqLwRxPX279+gjrUCbAr04Nv3rRpEYsGlYaY4rDko5gc unqaAD1L8kquNvOCm5N89R1U9f9Mq5oS95T2nXlFR8WuzfAYdDCgXkdt0+LwnUkVqMlprTckyQqD /xsJiAO93YGR5ox0F37okv1UWlEtSE5kLRBT6Gw/b0W3M0+0GVTSmHRkDpDQwEvuhimZNQbcp8YM 0wRViOsJTgexDQ5LmFdpBltBIKhML9nJm+jETlJ2HCPOY+77a0kI7hx1ou65Kivs8+vjFdayyMrd doVU3mWKybFuNrg0SpVeY5xNQtLeF3P4nRV/l9ZmCJzSFkS9gK5nqFMWzGFZ0nfC/eMQLloLPgI/ taXBmA/liBL3QFfnDe686jJDRPda/bSE+VO2sv6LVws+ZGpkySVelEQoQwEpiHJAqBlfJgOhP+c5 A35pQsGgr/uZobKaZNejqPJxKcFaV250xexKU+MC9wPmfrl1/+eUxnBQtYEVwT7Ir3C9qYYo42ci /atO0p0egaQ0u9m/llMHdmwPkT7tfs0apm5UEO2X+1mV2g703g74VctS1vvhfBsdgJEp65Cz8boh fRFERqIe1lHT0aMpzG0kWS7qUL1xBVa+BzUsZUOWkGpcZymeA7mVT9SAbf3BJCpM4gMJPhoOJp1c SXiyJqooQ61SMzbt6hYtDDaRv1Kh4pIEsrYqahF5GJXQPt55cBv3NCtsPeEEaFatQ2V5sogMspee z1ejZAJH6ybTCOuUOy6AmiwCuGklUthDGnJJbyNJLVjIFOElprwJ1M2v+bJw8iJSYRbortsemuQD gZUtV+DSMYuCssfxbIQBISWLbKysnJNgubpQ7kdnEqKV7fI45pNyo3Kfa4HtNY8WQ7Fa75rWSjTi 1SpZnomVLBaEcERJYT/7QM/IpDzCQzhkcdl7ry5yPTcSNufLCFHaUL9T0XjZt4vVVyo5VhgpkMlU 0rtEGDhzxMdarYZiW7qtbOmRy9aLgNpNjb5HSr0ETphdNgIe4691jSA8sQJb1mYmUloCfAhlIhNw DgIlL7ejOJVagZawUK/5ciAzVK6BKUPh4b2ZmpZl7wX0RyCziDLM+4Y7tXuzNuAIFxMKgnTTqiko whHBLT/4fl1q77ULBKKMVkNYIvq6ccl7VYjNfsopOAvDzhPg7A0d2qOiEsrbCeVxDoYzIlY5h5hh kq0v8NV2uuwmFNxxV1s2NHc1505zT+zvlgiSWyUcMaCiogGDWcsa20ymOYYTqKlUD8vYtOEEaI+9 J4KE4c8IarPIecQMilwXNUVojLD8IYLLMu7eyWrj3XoYz0FauLoDAzJNvvDesDYEqrhscBKfjHOH Tp5sXrF8vpf5WFmatyUiFfnD/y5WOwdkE00EAAJpvWfcR5nJpG/gce3LNroz+fI+yQ5gb9/qkMXa kKQdEKgXfdaU8dLNR9aYZlADlAkVndWb5+/fHts1OkycJzTxNornfvBbkiM2QmvzHLutlprP1dln pF8dProN4IM/0NDNRTTuGNMm0H6KkWImIftlRVxsF8YuJdcHbgRvhVKVLFh1AQwyqbDJSXJFuE7o i83BqZAwnmxoY7Jh8mDzuoTQ0gDHxRmXL0H+upr80ao/MeW+JZ7exoJd5OUiRyp5TqMZzgM475nU IXJcjvsMZPl8a0q+mpz+YxOT0vSsrCTh4SHaund/7BJhkKT8lLICk4BKBUfPXkxifXCkgrYIoHcD cgMm2SEViYCxOLVc7Q34ptscNUqE1kZaNdaL5dk/+aMd8YULWlZ57eI/R+7zR8n9JEfPyvwgbiGJ WzkIujgMnEaVQZ7e7L8GYPISW9m4jG1RSAdBBGSyeWMVKLF1FpnENnckn16x0FOkYYwJPM/yfDed DQ6fZpNTSi2qoJFniURvrkpxAuehofc07EvLlHZCYgjunGh/m6I6QwgQ7lmBcNiSPj9oklFwCAnx i7zetOZLWzIdCLJI0vYUm+ZA70vi924hxpwgVXajoEwJ7xl407ncoKfYTjCNQqZJdy8h/i7sMh4z /UapYtqvy0PmJxt5NK882J0J20ZX7yolRo8qVG0M2dHLi/JCDPBwwKiW1FQfkstm3HDKQNlohmdo gMoFPxX3wCaHKManKq801P/nwpcLs5tAbHEL8C3fUlZjbux+xu4X20jyE4xOwU5Rh1xFS6ZoHBWn pSmDsP2lgynO0Lg7w92gvhnLdnD3SA8dGFIA6mnGVs0vdpKcr6DOwsldl6xLo/gu7oeqT6CWogR0 V/mrEMNdo0q2ZQb+V/DCAnyWsXue78xZFH5aWao9xL/fh1vmojfB4o+N2PwTolGo0cw/y21khVjl lxOYA4vWSZRBnQ5/BbTDS6RKGMjzPY0zJ9CcpdC/IVK04wpLkIu1nHRXmk0U1caaDF2Y51IH1NHf YDWW6nWkBo90XUlFg2VoLssRRf1YX7gmx2NzI6Lp277QX5iP5BQFo7CbcQY1OmP3Ad4FyoMoYThz 1dNhR19dQymjX2UBdU6yO0fMeS56Z0vEgyU7Sms7Zct+ZCBPio7Gqiq5UP75eZkwCyHdKygejIY7 OiS1MrJ9bY1tfLWdQLpjEGb4CQIAF6Xfe6lyo0nzWx+6zCDJ1LJlGNGtwWhrKdOVCmdINMy483qm XbxZFH2zi/coDROJ7BuC+JGT3Zu7pSMH4zuFptqFBqCc5+c7jTHCpRe1jyfYghAsfjdA6gDojTgQ HMZMO0ezcckWrSzbKPzxjTdWpuvAS62SsKMjjDtK/IUZhaJa5+llqP9v+g88D3yX7ta0EP6MkcrY ekP7kxZ+52eA1qrOiLsaYnyiON5JmQXUlBQMc2MO4gUDtce3unqIQWT/L3spFlfN1BTiMlHkxgyi sHVvaGhFrXQ0FYx1AjTCLSCMJGrNTxT2jnyr8WVrV5adqXFUXnnLmZf2EG6LHZn98cl/kO3aAdza o115lIGpDjyHzUIYWAPMK7WFhGKK1SilPLXOMKTUbZox/f9+LSVzJp4HmMR7mApKawxaQ6+PasBl ftXbzyEo1libRLXPZ+EQ75A5jn3HHp2OzP0irCgER5MTGRaQLz1FmVtYqmPCnJkC1cy+5zsHQ8kB jjX6sy0ePLA/1KPUUfeMNoHCUEtj7lUCbbYNea0bfU4qkMaIQSUTi/0mPcFXG7fhTO5txoB35GEG zg7cg1CRp1nXetnTWHh3KIZgmbpF72sjEXrifMiMgp0GTwOpKoQ5gZYwPcd+JVn/dwJKfkD52mnO WJsbwLTjTrMLr89dJBEkf3RCemN2ikuSrAZMSTjokqvBebwajgfJP1JxnWz8/lWTdO4EwRGHv5/r hIANrNuWXRj6bZAM1OdfGBa+id+W0l7LZZIVbfi80EdJpDg3by2WqA4RmVDOm5+18wF+tDxbPt8D RTWEynQNsEqgEZzf5eriGhNmXhVMDtuPPf/gBX0jviUe4guAcJfzcQFUDN2AHWjmo3Qfhvgz7Mvd hCN4U1QjIFBE0Plf6fr36V/538twDDcAs5N7XImIm4sZkB9pdNqhSiWli8brmbtAkvENxifB5JUr R2zd5j7nN2X5hd7P+MluylnizAekvWkHDF+Dn3vzsuwJfA3RE5sqWxCZ1Oe4GfXDBibl8Jtyskrt l2xo+p3gmh/1cYpuPm4P1yErwkkB6abUIAtRSiNt/pztWCAlMmPTyMn3eQtCHaZlE+mxUKt+x+of bcJUsIqrnAt6ePpicR27+SJE+3TT3wB0ooIfJCs7BA0Xygo6x23cF3uGIVEB6WbWLKmDOBEQl8Y9 MthyHsMxz8lWzzFdTePPb/t7u0PT2B7qpDnysTO+sOTmWozczmvz2bW86H4cAL/HgwQcXNebPJNU dHsHwyHaAGvAjJRsgm6+MZiq/QogCEMzAr1ZcOPyBhAgyOiDjpy7KS7EWC4vHMLs5tnQ9Gzw/kGH 4Ms+9upyaHpBR/hAuoNLB/Rvx0x+gpX7DuQcaD3nLe+1dZJE5RBTtG0p1I/8OSdUhAiv739awWtq 9ZNSl6/JkBYNU5BSwEta5fCypJuNC3Z0uADHu27GFTbJyXHC7YHOxtCvlGL9Q0favZAptc1HSubh 0lkbhcTMcivkR5wu2EGgfBMHMhw6jdnIWVs1dSRRCVHIbYAf7IZfeqUABMq1hDu86qNWZWj9ww8w 2aiQN64sT+jJlxaLWN95RErl7Y2v9dhvRUNRkt0p4NwMiZYGxWuCZKoIWEeJtALy3WlGD5ltLd3k 3I7PVpee21wYx/gfwk6uJsu5hEmP1KsC7XzEENG7+7k7R5QMIFjJtLLTmtjtITQ1e5eL1R1lJGwc dH0rkdRouNCfj48Z3RG/si1PTNEpzgAvZ1TqIyBrApRc45Jt/2alDyaXS3Qm8yFdekFMIdhChVEM Ccdf4H0fo3Dc9QnZF1OKIEb4qULSgXtg6FdFQQs/YRxYrzYIQNVtIq02Wi/mjWbBH/EVR0syVQY+ zoq5V9s6VQE3QHcuE8/eVEEFsm1sRAwi6HnfZ0WpHt1tJQl/oRriNiNLkmRJmvwukIdI3dga0mrd A5/xNdcfyZx6zPC1ZufS4nutWGs6CBrAdSlhh8q4FyXnADuG4ZoJJbpIsAImpgiAd80hI473Iby4 FvVqef12mE5Crpl0sToH6hXpYDg8MJq7y+2MTtDhKV8yGPuPY6lj00w4G12zr9VIaLcNy3zoJo30 Ijs5EvZokcM4shn0g2TLjrToFwY8jZ9J5GJlcIpTg6r4J25eYsh7vvPDosSLDlyksF7pM0zG2zay wqiclVOsHCdhXodiW2k/QcMiMubYMd/KoEg/xW854yaji7kmAS5AsFXKWCRHFDMRoAPZLL4lglQ0 fhpY6iTyIne3RMvgSJaxMH2ex5g99RSRhuoOcrvncXtpMcFhbuU3wPhA6375JFwuGUyWzzPN+gcN sAvNmDVcIGkuMKh3d6PCox512jhaJMPk4E1F3LmcCbYJXvUzTQCKupS9A6SjUbnYkx/KnQ8e5L/G 0eT3ibbCrZETLyKTsMVYZsb0kgyevOTvQfcdy83CRpUVbBZkLjdkVirPVsugRVLZeZuvX7wseqMI 327vcPz69qStsTSoBGXchIh2rZsEEQCM7zvZ4nKw2+A6GOot7pPzUn0zrWkjQBKo4PBiV2ftU36b dzGYoW5ScmXCNewWyuQsXJSULUySqtdJmYd1qjpkS45Qners/EBkWRCDpDcUl32F6nK1F0gmOutx bL8zd8g4ZyeXgRQDf1Oo/SL8V2/3kDINZ7JjQN7AvblL0FnbBctkQCH5wsgn7Ow1EEsnw8UWvkDw WlD6Bk3lBJdDHAewwPHvzTBvz4Fw2X+VlC9ChLyDtq5AoztRP4c0AZRMvfzXsv17meBdIm0f9fXq 9ruh5SCibPZmBITVkc56VrOxKuDGazndajI12cXVvSYjDFhow9K40jDC9hN4urOsb6/1eZDBMdqY kVfJNrkn/KoqvuGEytPPCz+La9oWvXsnZU0H17e/OmbKG7oxizakx15rr7I5DjHN0jEdLHiHueYF AeW6RnomKodGcuHKjXL5/vP1lMuSk6zuol5tWV/cbYp35gGKgdiYyhKyZ3v1ZCFkrF5KvLJXFjOT Kb/d8KloOmggrfS0BZdQiVIz15DH+W4lkmivdUHCfIOQZc0Od39eXVcQrEo+zvwBDyTXjzvUO65a K/TH4sFM6sPGWN6DoYdpD4sgcVv1Nl+7ScbNBC6//5zCWqmMakH0zUQEoT880HhbFtCCSfbcswrq V8sLea4zFYC7F6Cv28urvAR4rO66Sa6YlSnBrcMcLGSh6kzJzq0+R8kr32EomVfCUnqc57ZoWmAT HSwl853G53BnThcP2RXvf/KR5nzjz3bmshQtVOJSkCbK/LnPQ3IvomJnBnP38bVnUJbbXj0lOp5j ieZCbOKpeAiSPvf51h+5U4P2aKQgsrLFMyy08/4xm7IikB0EoGM1wSYT60GNBNsvJcPM65I2LiDZ HI7h+1LX/XGJnbo3tRBFMGqtnS5MS+fwYS54mAcDTwET+rvZuvtr/YULydhdYrAnSzEh0bE+mvkr UzUvuNs34RGPZwZBdzLT3fZK4CE+Sa8VGzftaH0RLtSOhstS0DeqLqk7Obt+EP6w3otZ19GLHXkz npoAgBZXxEIzjYFGmZ0tz14cuxrn22nM7FUfySqwJXsCD9U7aS0G6LxH5hnbzeMUUAN4XhKR+Evz 5Wll+Kw+z5zy55wq/Jzs231K0FRijD5JgIAeGDhxlLZPg4plSi1Y8Z82LIA/H1OHij2nQEJ373xp EfSMN6Dq4TwPNjyDKYDGQJeNyLxUtcgyaNEcmWo9R+rX6GJyiCOENX1aHKT4O0+EGV/zJDkjy3bU V79ZWoGN4qg1nwqD5f5/VtMo92xQs3XyZDSIGwA2EIJJaJ/DzEZkjPgkhZGgqLJy371odrskNK4s O7QmvgvxdlFSnq/N3AxTJ5vLaBUtOBLwk/lzRfDaidKHGWqAEEj6+6NqenNcmm6EatvZx4U2mQ15 NM3ImKw+1IFKWm6dwunPO+4zdmVPOc5Q53/QOgt/Z0YmfcIUEI5jX5/BCe6oaZhPfYbhRiqVV495 M80hSS4R17biMHayTe+V+sPNDaFNvnZZf2x9m3x/6S8dxiSz7SwwzbObIkaID1SOd63qnBnuWdsx bNnUN8FxS8D9D8o9cxzNzAytZH4NXiECctEKmzon4W2wB4Cbiuiiu5h2VQUSDF1lnIhzFoamir+i PcbwD9GvO6V6YqFkmLtRU9S9mNg22ebHeeUbrTbo4iC6KQ3GV/RP2yhzkOgzfdNvyQs3gQOfqMzU t8AypQPgigmVW0EMg5rKM7eZdz+D3DsjlUNQPS9G22C5FbfZT/ZP8AYIUImJjOv4fcFi+hF6FgWJ CgorVgn40vJJwmQAZyw0UVlzltgHB2mqiCW6aKtnrny9QZjczwFPDcU+0omWOA64PkCzf2rHRwky fimVM0A0KevtQq6LrIY2AZqbU6NkIuW/986vtHL4/T4R/vJ/Gosffsj8WQbFqxTCy9MAiGZBkiEo bhHRjricAa/Asll6E5pSQ0rByw+sKSbkF2BZCyLDhFZWcsp6qpoUi434/ekmg6qGeBwXwM54ETxN ABTf4kV7GWpnkhUCo4Dej4H6KC4Hvx5HjiTAJG4QYT4IV2qeI2PUvvZa/vWp3rTExq54yl58In5u NpPHyWj8jVdQCUsiqj6sKBjz7O56zm46EfRC/A+ZaTEJydGNy7abc4T1I2PEAvvPSoLQ1hHhpN42 A8sVRmFyOcQ6jmvxSGz5FtMjNkYO6EycYjeHZ9TVFVI3ipCv7vH+qktLlJcaNo5Ae8dtzvi93kiH RY/D/lUJ2awJo++sjm/15ODTzyslo4/gTIFcr38N8FqQ8+6m7oBGH3y65DWJVxmio2ny5AFKRAFg Gyz8OtdR1SnIuyiIAzYE3Crho5lpIyluGv053sIw+Q8U0jRdBYDMURc7qQvuHZjNfNVHPTC4xDT3 3rVVX1CNnIR4uqYdhKWJjA8o2N/SOtXqYN0IK93aKMwc/o1IrsHUT5JhqS3n7oiXFUPSSt1xU+XQ 4+GQ4Nu8HFb0KFu05Gv4NoMxLavoJ6qTuo26eM+UW6JO/ZZYIcOuxWCtmJKTnxIAwR50PmoEFtRf 06p5iuM68pgET3psKtVw+wdOtmg34jADGbkqSbJbwyrWZwkELHRsGTx/7XQ5O+miWPXrSAsSm5Tm UN386woo4qvoNVCqcPVsXXyuIHO13YbzFQjBsfdqUwXvdRIoZZb7KoBC2whsuJ3+wlr2R5sHEqyJ +EuxaNojBIXe1zqXXWKqYEKd5AVXygFipjRnM234D+ASZIDQWVpeEojRUrrJb64Mux5rj5dDxZJl oSsnNUOO3SFRG5sO5jltX4O4rTDgbG1eg23qmfZu9qdJmjd+TrH6Y65iM0KBXtaW1VdyOlGcz27C 50/z3I9WmBZ9O1ZxJwY3pSqlNxkhys9obN4KR93TAQ5dIDMdG4st7LwMfOHs/DVHwHmXne8ZJyoN LTY7wSNILGqaoPw9BC70l6Yj98ntGNZ2Iz3KGu3VJ5Z9firo8VZgta1xiXNLBNMR60nnkIuKhQqj wc93SlbbKOLg7JS/tf7MRc+SckCiYI8kbtToyDafcCq+l2ao3ds3TdBod1mTWGzHMvZ4YJGrjlwp TlwFI6kDP7F1k049ifZHPO8ROfNE5RrtVzY2F2oxT7YsOMoRho8fLTzyPqs5iOJySn0yGekOZGwl ekFcIP4WDHpPUpMmJVGxgiQMjd4N0COdGVpRAONai58yZNXlAt4S5XdM3lg2BqSXfw9Ggp5QvuJ/ uYejSRFwcxrBc1Nr2V5R/9DGgd+5a4QnUKspwAz4acpNNiBisSBudc1sE9qQknNxpFrsYxnmVWsl 4Oq7rgasYAvWSUb9DHxw/BzyGeCKSdtp+qL4FhsMK2RTaQTD/bq2ykAEhEQVmltiRhLNBscf+vsL eOFw+Zl79mF+woxsOqBK4bFemeUjqvveJRy5lm7t9GVHH2Dsgj14itW7ZTKgw3w+61v60T5yjOpS X63DBDG754NR9Vp1wkZ8NQqF7OuCYgNkWPqLwClWpikrfrYCc1sQgMigzkAzwPg3R4J1Z/eT3CLr v+0Dadujp0i+u2Ud+2VqWvw7H9T8FLgYsOoG9wqjeWqeDFelDV4j/PoKFD9je3Iv3UjQOpurfF9a bI8qPUYpTlNbd1y0HuUnQ+O66UeThVoFm0JJGuH7CkXRMBhPx5eCNGwwDWJXI4BErtoyg8/2fzAI GgZMajvWIPjB0cUUQJS5l4oDBYYlmj+gTgxLxM6Z3EzixD5ShQ3csYwb6JZpkyImFzjOMAtfnIZx PtZVSCpkQevlqsvclA+yQ+WTusW29jS7vwQIMEq4th9VizsCaYlyRnaocoUwJmNefTGvCXOZIFEq Tp6VD+3RfQXNTR/4Hns7cCydlgHmXvVmgBbInKhO0I61EuMR0voKHBFZ90ulBcSPW55gM3A8VcIx MGf1WjaWyZj7N6ewlcQtcbW0vVsDvrd59Iv6WLzs6r1TIC50v/NghwdsnlSGwcPT156W+NC7tUtA KOuISyylZprIeZcWIbTZWBZcyEdfadubGnZd1uiUX5nlkz4nTQgRmiXfRL2UVCkiDD/VhxmworxX IApR40Xjbjh5Q+iGadsGcKhXvz3FA3R999LPwespFUH8uzGNqNv3DBuREcULUl/OL5SEwpGUyW0/ pDEmeubToQMIWSXbouj/b5bI/mAwx+Ua/N+p6WsXc0n8lkyUnJu/Ux94YPxys7ovLK6HkdjMI1PS 7+gRNypO+6NDOn466Fo7q2tN9Yh1D/19JQ7ydaX3wVQAKH1IYtdLaowhgw5PEGJXUptENMG3HnCC P/qF6voYBsxk8oudwBLoHjnIzkgG+rKNiFHHWHwVrA/gfejBFhLLWD2z4502KdFJto8St1GVH+qm 7b24vtrjkSlb2SQoa8rcJHPUlPMP1P/BifBGqzbOO56jVyUR/y9lLj1M45YrfnwT9MSYsAcSVep6 ts/uFQFhqf2FQYrF7dHxwvwWEhe35XbwEJFI8ipyddHv+1wGHAqexNsHRU9SQPRkhVu2ZPxBuo6h AcL5r+bRvSlWtE1b+Goigms84sGEdPTR48nPrHvCWbb53+b3Rf22gjaiYLMkf0j0NT7OPfs+cXJ3 +OqvDZ5bkPi+CxCfWYu+TWpBYRZ+20JD6TL6C0GjQlGa6zsRIKS13am2wyTlPEJScMbGjRNW9b// 0Z/Jq+Tx/yqWa0vKDHHFKrl4yhjFzcS+HkjOdw6R2YaXUSpKpFUTYZgKL8tU0JgXSOtbiOgfxOyW CMSOfO+A+EEFRROz5j7U2CmMD8nD8cgKsnBGIlg4mUNNT1vydLNzGXHR4pLWrxQBrb9zXd/Ho6SB vzVjwBnuRR8pxb0dDN+BXvHa4SUx9yV1fZyNiwpPyleTLqxZRZG8oEgQnbngNlOcvMX3D/r0BjNI TV6coVL5kdOMxUhZkfY04i06Wqm3yGvnnC4nQAwNOVZcqds9xxJEUl++e+9qBeQeLKWGiQWEo0bO e3kjeCWpp4IyMH74G0wElx/QZI2EsG91wnu4p0wr5NpD7ZKbEJrtC1ND/yemB+6MqQG+EePiwRVD sfq/qrLeJ/ydFB3i2noF16WlKdGVd7Y6i1WgfP77NEnzJTmYQhiX3GuhMjAhqaaCgdh0KguE4s6v dIEDauTM0eI9zVRgnI2xO0BWXueXMGZ1jsdnbePFn1abfY1KcZMZHfvn3bV8Ph4q3SAeCditMAj/ BUnUD8XvuKmjcm9gwosnG9HD3olRXI2+rpnD01da8Ay8UdFSxXhqFboZU7L4bKEpmUMT1OgqShph hEhNjskbaVaOqrZtMl5849OohAdI1yAq5nkLaxgacK+MbDPfuSgZ3AKZfNblNd47BVVxlD+Il23P v0IEBnx9O40rmTOWfo91qREhk+jHGApgmvIvlD56vIOkQ3oidJjW/p28cggY2b2rMLZDrlPqs7Lk uyZCU2hcvq6x3IGnbPVdCE+JVKxUtzBj3TVOyw+succB2dm5BLW88IkFnM1w4aGit0Lqqz9C+gJk Jje7PAZ8B2KnoniD3Rn59L8SUlitTWU+2kGz8dIpgRvvgYJbC63/9h3FNbroQRVSIjXO8Y3leCDD M5eYXRjxugM5tcMJL8orzUjSBncWZbPX8uDVA/0twZNe+CO8SnJvN3bLm/uUXsURTU85g1EJHRRk 1ztAM+4X6Dq/80e43WIhf7cVmOLEOd6RGke+lf7cRTBkR6NJl2Vf1JZ0Jq13X8cuDf0jb3T35dNq q+s2LbsUAzjw5UpRznAowMlgAH2LPIRPY6SG7PRbRaWjuncn+7/Mz9sCwARrGlvmO55pqxn+0Q6Q Oux6/N/X2pZy8ZBe8EVRANaHtlDJSiuOd87zNBuVxv2ngnEI780kP6u2Hytjr4ksI128mERDb4WZ bWxc5czhsAloMKtIcNMLNIMCz0O2ivjHEqb2aZ2epSFt3CEjfMc3CSmnFch3CmuKXYkKFr1vs6CC Eb4MpQtjpMySQMBJU0TLsY9RePbR6LzIfA7qPJgcvl6JVBsdY4OtNFgs00zs3bIaG8oXW3sb1KD8 qu5uim6aQVdkFOYIiHCzNgwTu8DMqOR3nwCYOMgd9Ml7KePrn+/Bj7N3tPLtvGGtWnTqC5duoED+ pr1aROvcJS3BERAOWHLzAzyblFFJFHIjbDdgNgmpfpSLsmoLuqcGOzJZ6AYrNkqF2+cFNiStxiKg x4SBkVpsbFq9ixY4YEFKRBpWKSwXQj/kDqPnOE/oqUCEfHemE5l2lNmer5tvEFY14fbxPWhv/I78 hkovXqMUk/oIN7GMPpz+Tg76hshSSOXdQx31W+xnYGB+cN0NZ6T4ZMLA8pcJHw0jqFKNBKmF+9YW rDZhSMJt0rJ3Zrm01R1sz+LOmuh8hjMU+CgQL0KPYhojiDv5HGyx0TqIMpIgwwk9M2oAGi4jVtIQ kjBtgWb+uhvnV9+Ya0uUgNAKjwpVR6jOBMlNmfPzjp1iOdTpi2iVulMgXAyi6eSPcnPjFj5hQJmr EWnXzSdxtPwhgB7Lf9/FBdNnVNMkCHDIlow0O0j9ohS2gDnddfOC+b9X4B4mBXnmPPT8zZjNuZJb 2XMhhjre03+uiZ7/xCIuBM7JjZJ+RRKNqI/GG6eZs+1QxV91M06yLqLdkacHOEnrkoBK+cCEc1ST B2iKm88mpLYWQqDbYCaKehRQBRyp9M5T7atpzUiMdmY8PJRaumoX+VAlUNFqAREf7g8yXSJPyWyd foL5PygqkqWlxGV+QJ0EJaGji10ABLxUTogrFY6yjlwqMwCkK0ZjEDBlE6Oou8vD+FykmUBWau8x nV2On4d2GIiZEcEz5Hhh1bgkXFUwdCAB09HVbxd7MhaCE6Xgmp+4QLuqW/thujJDIvVKOrlgo/ab sh6oqO4CWiehwNs0ok2mDsNLPqprDWOhdmhmgOzZmQ0GpRiRPkla8BFDRgN8Ldai7ep7oDY2ihf3 tpTV7zQccj9INKvusjEJAEsnxqK1qTtEuPECmtYZjY5twdHEMLyuIxJ39bLgv4gOy8W90yCVKjno fqdIQgiAM0Tmb/Jye8w9uNeZg4orC5z4IB48Yyxp+EGYEPeOJLy9WH36VmR7+DMPhHdNtY95JcZF CnhxlOrS+h7t+oKo6V9UJgDAU5LB42yRI8fCouOQ92dz0hkcbU6dbLd3lqtXgZqx9CRPyTwvQxc8 VoqL7+AEjgAQM+6u4uQ+8Ld3cBdaCuUVcW5yRgtYh/PYafKF8vPTW1t5KSNfXPoKdWQHJvPOUl3i xlJCIfseWidK0ukPQ2QiD45QEYXY8gNkVYURJ6tUUCWyjPBE+cEJ323XylM2TZmLjCcl6HeZ1gmU O5FPNnocodK4fmAJFduif/frPt1RllL4bn32mDQUAiSIuDwmeqrw5HwZe8VvrQ7d/e07ZINMkZKy kEg+9c9Bag1wePPlZ2/O7wS53wMrSgztyFYUvGj2FAYe0Ae2lyRNS8fpjoKlZAXNf2iU13+r1kmc o2EY3QKduGIyD5E8AXXb8Yl/XvPMEc+VvKw7uIqHwwsedWedtQCfl8TVAcuRqxyIQo3EnZQNdq+k 8KtojycwLGevf4FKNgQJHZeSI36Sc0YzKrhckD3fKMJaa60/1l8uTinf8kFkaHpF1iWfxxpPV2Gs m22SNyH/Jht1D9ZJLPfgEb5s8174+Ke8C9NUJTxOhKeMRRfJpASTNzC63Zkww7DdIN0COCzMmzth 5S9MhOVwFGHZ3h7jsDLjdseuxT4VhBqEEQMoztG3rEGzbIc869/UBLvLRfw95lOn+nsBYamMpROS HzgxDOP9wvmKp1e56MrXWZdapw6Rnd2WxfHj2WwmnUI03ii/xxtm7yR8z+DHVlS38Y7e6Ljw5F62 NZDRz9R/71sDfSx1wdMiR9kQNtRbr+iWT49rMWFUKm3oJ2gzuF1VBb+2tBTS1ep2AIWsVoTpzs2W hjxvjpA+k3lRGOIBdWmML4zblJMQ+2u550lgNZgFym3E4/WrxnFq3nGuP3p4EjX1XtzNEjCs0/or xRrYUPAhZNo/8mfG9N33d+OY3mVLAT2TDTjFfZanob8wrJA7wRtlobUyOgI9Ls7s0MMbF9TYtLNL B13GR7iRmU82mvAjYloh3LFgBsCeZ697KhIMnphlaVVD7M9AYiD2p8B1Lt6/vfunCDl78DqH9JMT 5Uq7HCRZ6TQ6XW/8HFsU2yUxuOHWODPpaT4gC9XA2xli22ApOrvN/efFa6LQs5jQryXKrJ+BIHMY Npl4X264SjcVHM3AyQ3Gc/IJlOD3WcM/OLKWbG7VRFAGbRiH02nxIyKGep2NNWazg27+0v5KQm++ SSBCQZAmsvKkctO9gMtwXOM2YMeiXsaBgLO7JWE4xAAqrKrzj9fN1UGDWtCk3SKKPqIQD0k+kEe9 wuQYVy6WY03kTEo2G/OKy8OtcvAD0/4n5VVe96k0N7uA6RCO5zCd77fBl41FlbbDxBt0u7k8mu9U 9Xb8usi4hjCmwTFNsBm3l6mq+ONhDCASCUwZjTXxW8e3aCEs8kO/WLFMUA9bseqn5MlcD9W8tgLE OcIbFis70vG4kvSKJEFZp+vRY9kR4IC8SawdUsPHo/H1bnqXU7ZSjovKc3R87ScqbZvaVOrHmZzy HaLT7Hm7tVFfKg8IP8Yu6CTZXzlvUM1gC8GnVd+oxFHYKrJV18H0Nv6pkc+ttjyhLgEfj/kgt38K FmVHWBt1nL84ziJIQRBDIJKYVyBG3hVKw3KCCfaiYRMiSdKMQNkv3okcsNl07vYlkRkxDIQiXzyA AF9CkdWo0um1V9dGiY9BUtYKhqwWEkts1cUQVS3fo+MHTzNW1LE85TtAF7UIGB4NF2Wqf4CL9Zv1 apfX8bjZOJxM9egGuh8LcSi4g6OJ0NKDck9tFn8s8lMXWsXlSiY7baj5pQmLw9Zwpv+LNI4Nj8x5 KhT+6vXFZtCMGq/sUaDue1FEG3zuo/MXQ5D2UZAEDNLzdzbDY2KgZWYxU4Dv/xFMh/KLT8kvk9P2 K/GD2Tc02obgPTrpVHqYSHR5sH1dHQg3B8G2CL43uFhuFJpo4FtAPEQtCINYXxWRV10XWr8QdOZG llwi0/Tts2jr4HOIL3yPCuOelDPptDECSF6Tj/uTv1+jEZTS5fThMIefUBosOD5WkcOQBKbf1c0M VQm2vBM5crgvcX+eMsAVbrj9KO8I+1fYgN4e9J/2Lt1JZAC9tRqi6GInQUokXF+FZLfBxax+fsK3 oZNmlvm1uMmqyC2WwitjLUTl4vdT+A1Ee2je162wUZGOQ2QmNFE5PWU9Q8AcgMHXDHJl4Vf8S0sm QOP/opzteDsSp9RRWY1JI6p6W9BhUd9trM9F12kGRLxYDOA2YKojWC15rYGZb932jO6npKAVX5Eo jr/NtO8VZ41XhG/ZG2OXWW4VHz8rPdnWd47FfEt49+JnlmVBs/Ii+B9/vxTohPbpvzIdC9BmkJnq DmKNS7drMK2ZYKMRaT59pwRggTTlOfXXJKY2xqOY0pi8mEgSD/kjwH5vxkkDjzik/apL3otTAGHT KulTMyucUvp73QUGXERQbg6wFySyxZR+bMhLGCkANF045zPh+nPj2wv/TjYMMG6e5eD92Q4y4Dbq lTrwg5IFO8aAJ4Qv78dT+d83zk3l4X3fn9/QCESp6v3vQ5O8ooS69Gzc4zL4Ngdm5Nmu9sewLCz1 lUF3c4Efzwyfdc4ooWyzygg9JQSwZ9mmUHryumjm1SKx1BKeDoTUhEHRnod0p7V2zmyrOPxeUMRC rNs2qJiy2HKj9n3gByx6IpG8B82vhmLKPMs2FdgSCRntaokPEvDw1+K2u/lwNvkaH/DcYyB4iOPm LbEgIVGHNr6d6QawNixgIqG+XumHxNPx+0yszwWePxgI8UggTxG+Upq2C7g5pFd4rhodQQu4N384 IclrczvvYs0DSjbcDB0AJW8KSMKcPO/r9h8uBK7mKeXXm43dYKSS3J92ov2T+8n3IHwSJO3woYWy ip9BXPQDpB+XuJRN+xalvlby7XgyIO9ZlkticvRKHaBaUFp7Rpqm1yAL+dJUbGAx8pQvN9xfwH+K n0J2nsKZ9vsBhSsm8q/oAiZt+r/NVcORWJ7FKFAjTiXYpxmymZkWcTFiQHQVcxZ/UwJvJCKaNzSS /TKCiDqakba16ZYCT4mWna3YdlsWuw9iiHhTyevQqc5VKPF58wbSZyOAW79yEhQDS+t91omgC66h VN02SbMJTElzb9NUirxdne5nQGXa/GyA2F634Rr+vX88+WH+CtAQFONnCDReEQzBvwqKV5XSnu/9 FSOSvmtV2TwDxR+1IvyRjJfrV3U3Z26Spp/av2xiqf9n5yAVUb0lsxgpnETCyhVmUv+Rj2v854w4 VnKkH3i2pTduEAisRwodE1DUH3NWoHKf0b2IPoYvNGOHpPL/avPHO1exVEynKNoJxntvsWka4bQa dFtI7lGYfSKj1qHaK69SjGzFqbju17eiPcgRUbKXni/YWAqdAbzLluDPLzz1qLYv+SSVhgZErSRN tbXLiEOHwtgPvSWqUaskYT8OdOsjxH2RKyupT4ueRyXF6aLXHyS2bePSXtee2zkMWIQAtz/aYqTj a63STTZzJbdduDwDXv+N6RCUFNzLtB9+ifl4xeyEAos87Ue0rTr7rv08QbWidsQxuoVfSrlA0qdg xWKAh1MgGZjI16Xoe90ZxMF5RyWCX+TE3n6MVge/nKhsoDY8lwmsIpEEIxjKfnVU0mJSvZ0dRGgr xfOAx7/RmbQ/PXU9/9ismGanPu/+rxomY1iRBMf/2TyEEoXM/i22VFnawSeMDx1nd7r4DzweZwPI 3cwHgMsbkW6qfwwk4f/wz/CChh0IrYrrDWJqDVthqNd5tx/muGmDqUDTV2GkkJvdXOm8w81WlVfP Ja8ennAdE0MiicMk3kB0sU5BXY7PfECQF9wF37907hjHvhsunQCBSqFHltWRK3yl8oq12X0RF1dA VVrxiYcS5DXLZIlhC5/dxBllF7wl9H3xnb25iEipH3tcpVW1M7lUwoG47YFZ6Zv63wSKf+BvCj2l cc9YiffEebxt5sF9n09ZYjLcmwmYtls9HlccSa5Vx8SxhFc4JifBYEoZr3eER3XSsdtAGgLKQ06X SbuCW1V/3gLAm+jetKEDbZGGpCqamJrMJGAUTaBkaLRXBxtoL0kcZlvct2Y5qN07t8T2J6uY84yz OfXln0eyhvx5Bm2VJho4fP+t+N1zHadJjmxuoYk7i3N99/B1ZdqUizJ+4fxOLGHsGfNMSi0Eq8eZ xo2sZASYHS9QSoQ8wPPdtBFsaXcUnmRi+2rwk6rS/ojlLJ38QVgS/Il1LEVWcdwywEbyDLr4Wc3y OAaUZev3b/TdGJfUGUIky/FW1uch2Ol5nrLyM5zmUOJP4Fl0y9wOT5jnkKgQ45MUzWQ/hERXwqCS y8n/vAkSA6u65Yg5Om9V+yV1y2y837OrUgLA0sSJ1wmrusEABhC1cxllv0KQeFGx1kJ2zDugyijD bI7//4IJQ5S+W3q3kUSGve9zNUFjnxdkmWoSWQR4dFB3JQSsAPFyziHZdaVAZHgCaHW/d5rDAQ/a +sK7C+squLxz6XjIl91KE5S9u8+XwnxH65tRxdRfJ9N+ptkkHRkEoyG1lMjIpoct/tbFi6B824rp VQv7skDTxB1fVVo6XJ1BvXEGSCx1ynm5hqWvIc5fMclqDGFr3811EhB2Y6x1OPupcUxpJqZ0PKCa By4cG5OWPU6b/8C4hgrnL3auxEG1bchaZXLiNjgHwi8iEJMEhTnAh1fkttNJ/71MPHWhWZtxNcfT e2nMNf1acXVwN/Z76SEfIhFzJBb4vQF9ZTb5FUxksFiJG0UIsp1ryRFqiQVfwrH5MH2TRVq32vqF TGKE35SkMLye4TJ+oMgU8ZmLATHJVlSnBf/krqXBb2VykoUlpjRCMuEo5zhnWf/gdutMLX3HtAsG 2j2MV1gWlozeoSQhtAJJAIv2NLvgC+U/MKDoRTJuG2Yu2JfDZWD77PHnT/q3vOYdUckUUxZzp+km Ntf/B8MuExWcqWP/XhDevSYZvR6ejIaU0APL8RqDc9UiA+9Zyjlb4LulskiWVfkkyzNLp4MeCRU8 HqIxwJwa3Tgdbqg96kCOMEmGrjo2t1TFbMy50bUX4taT9SJs5pM+86/7PP9CWPs3aFcpN19RNuXJ rOZq23BAkne5HUztG6afX156BeatadLwagsNsC4ZwkpcNefXgfPw+AQKaH5JkhcalybOPuiz7Lvm AFdyN9EWpHZqro5g4AX7k3GP5pTJQL96+3xQxBMSfMMPz7hP/oWJU8Zs6WJFoleylGrgvlrVqrUB nuZqmvcvJYqNqvMHHOqQ/6NqHgqrwoj4gOGw1aDhvyh8YEVAgUECQnhESvqzPRQcnFnTYaZuRerd oM+dieOOBQp4I6GyegP5Geqwqu+XSJK8C8vRULHeO1/k2/vOyHxw6NqKBKZgK9NInkM+MN6RlLoP mXpSsSzNv/K+SNrbaDGYu2h21iOC3BmFBG5xjai6men1x/34blfOj4Pd+vV9vT/IEQ6H/ad0KRN1 x886+pEMMszZAy4LfniofUjKuatOMYm839UHPAYuNfhmyfJBfvlyE+4rYtIqHjMlimCvt2CmMOXZ 3zfPLkyMDbj6u420XlV9tZLSgROh2AxNPvZBBKjfPJ5+7c24E8lUgyDHt0pqefDcJZKvk3GvYZR1 nVU+vgMipRwF3bWKgJ4ZwrAFOToeEva59ni5EeADvQF4HqBCjqSIXeDP+P2EQlISzTO7GV2WKPaa FXqL81I1FSS1ITV2i7PIpg06HC0e3/twc84Tq5ndlFE6DLPnxuUvKlo4nSYQ/qgjOSEVjl+HYXTz LCCER6xGQZz5FLXQxclY5VbdFsTsk8jTlmmwO9YCkQ56HupXQmTFS+dBHOyE20kTRxFfc9WpJUUk kmJS60wFJeUlseYdcW3pub9SI8ZQWfEububvpDD/PWpfMwkW0grxIszINqzCNFT1qCniskhakx7j hkknzXU2R/JQd2u9gxOyvCrFb64ryTKkGRy4oXcHexGihHcG2Tr4WpvrDOg9hAiVAd29EBJev9dm FTNH2WFV84iWeXa+DP6MBNKs2p9ZC4wOQwGfCcPrC/bUQ5MCLh5ILiOeglpmJDNtOUXj2kJCvXLx SdlUwmHEfUaA4jIv7HeBLHTAIoTvfT7ckNLSaC9MeNtRVHVWxPEVJPy+qZ7KVXPTmVTiwPDhMvAX h/TU48wzdtoCmHty3L0uMnlZJSPgXwvZR5LQyLVwftAKi7wPu10bk51GtDLUxrsrNzNzi6b0xarD +ntfc6De45GJ0mFCbbbT0/4nFoneYfMPljwCCyMmBhYOh4iOceS5O5ETZ3434TR9BWrPyXYfW/aD w1TA5n1TocCtl5JOnszHMgQfNds/PZZbkaNaNReTr9uodj4hpBimz5UfAY1jIboyc19jzO4SxMrt Rnzabyy7NlpZ+uDBtq3WLyJX+14xLdAvVl1YFZLOkayDT7NuHBJdApdFZONAMjxgdhPFKITi5Ihi 5SFlFz4lH6l9KK/ugWbsWVnLt4ebGtdOFkZh6A71n8b3oz6whNhmlLPmRgSE6x6i8rVSBp7WCuX8 hx46wy1Q/xd58fRU70f2u/XdWlIfar+vUIVO6qYQ2ZDeZK1rlrwZ00IvxIgoOeEWkEaeH43OazU+ yAwPwOi6dUSkWludx1VNTmXFe6mPa0jBLr8HzpBLlfc7VaOsh8j4M/v3ENVcg0cSWGQof/lj8Knw tjKjRkMaqNeI+bHJotA+DrfpQle3z2MG1H2CPFwTG87KgzrNWztEAdBv+cbRF/aGM/Z1E6i2udv0 /hI4cPs4TTxbQpu0NxLtJkHYxXH249d8VtCu0CbG5AmrLniL1nQjkFzDKls4uPPbD89DRDG2tci0 /oO5YAJpSuRCHFNt4mkTvaCActOmxL3kDkgvYcPiFq0lBBDWF+HmTTXb66XZKU0i64FzGlYWHmJd 6Q+QSGwrMuPASrFN8aIPQolzy4iPhAPPEUpQkP1n7w85v/WZL+AltE6oMLN3j8+T6LIeMRRAouck IZfvasiIY2/pYkahn956MgYw/j0yio8TokY9HOQQpewmd0IEJwbs0mCWphlJr3zXc0gRvW7F2ckl o++i8DeF9C5m32n4wjASuPeyvpdnv+CFEnFsaIEDc4Ymxk3VOy+xVztUxqFgShFG6W/pa3xxS/hy /bAr9ldiIJmiwT/lgRrl+RamQbqfuGHy+6oayHgyG5NyL8P4/5+3bdSglDHlUDB9x5qEVmoDAlgK C1UMsyBLSulYwlm0qusrWui+3w2OyAkLMliGMMZR9mzoj0Vth0EWVDYLZH8wyBe0QcfwF1hheCGB pYFCOwNNuAP9scWGCTbnKTimLPNpAuqFwyibM6M0i2SRZWYSY47u66xvz2lKMVId5ucqEBM2qFja 8mqOvQwkDoIy4F1RL1kcfPQCVZu76fwTSChMvscgaws1GsYv7nYq2Yp9P42TFD94hfGhSw7vjKyJ gVxgurLhhixqviSOqU26vYJX4SlzL1m+KYrF9jwgzVsG9nyFZLQL4mW3K/Mn1WkxCZNI2RTQ7H7G 3KIz4o/3L6S8SJEy9SUVYd8s1H8ONOtKvzrPstHzKPZfQXBg4/EbhqEGsKD6lg+f47IPPm/9rtap CfXJ6fGC6Z6j7p+dOytf9oBebxpO/ck3OHsNB1fkE5nRVPsCvArkhOvbDP9AJzqTIoN5FFk9TCWh efv9LjOpwlg/CXHi1nf6XJcyQc5BAq8Zh1kvl0QUJb4fr8euxJMaenYdrNoz8aFgmYMouUfBycoz 5/len2DeStd4m89bOiSxqhN01r89Tkcz+QfqTT9DIM5CLcuGtqmLt0TomXuZVx9er2WUxgQk84cy qTLDDaaXGwV9xpY1Zp+DJO4BLF4AYuuQUPNoSpDTzIxNF8JNqs6R9n/Y2ap3LsghGwynfixPmTfw T8CZvaVUY3buEru5ww1XfH9svJjjBoT9rXb+25Ovi2VO+o2px+fjIjUzHCRDE91nZC8O6Xo7fA6K TTB+mGBpPGU74t9bnehMcgj+5/x+gSRFAN9jWjsHEAPB34OhDOKA3xhZDQaO2zGJOVo9/LHuyfmM X2uXCEP0l3svRqudmKeiT+fIO05Y70fWvLHnjrUPYRZ34Yk8axkep4A1TzoyvxUixmlORcXRTTQZ MN27Ezzg6vLKwZ8ePZ3N3Nszs8QDQ9DnD+JDogDkrAmy+bVBQL+nHgLPZlkqdGTQRGUOrMN/3jDy NB7J5RvcxLS82w2WHiMlNyRUOxyGdKCzvOYZ+51/F/uzzB3a4MvOSH2ouUmiZ86Sl4MjoJJ/PwVm VuZawQqp8Yxesb0ICigNkZyGGcPSowlX+2OA+3JaVZ3O6HvlQoiOVET2H+yVeWA2hhOePbaL4e28 vioMkJ5NYW7cX3lLRV1MGo5kbCvtm2EsYzgHU0TU3ea8fBM0mpcTq602X8DdQm4uPLiB2fg12ta6 uCSL9EUmX0jD7upCjRbZP7Xrhax82oiwDccOVKb49GRXbC967kovm4lqanE5LAT2fGmrvpmWb5mv due3aftSRodLiGC77MTwAR7tvn4e9h+BoDTjri08KmHQMYCjs4hs+/UtQtVU73sm8DL23Ikdx20o 3FxqkE0Cmo9nfLxOpnkQ4C5eg3KFoyqVlZMUdTqmQYgD+ARHaTG33hcTqNDSFolcp54u5wZb5zOD MR3e9vWwXHQJeSZGdcJavZbm+8dfakcVH7tZFO3JVnx3sFWI7va7qugWaT36Z7wiIJh+rIayoiDw WueiP58VGK/aWJih7dDqX8gBzHNwruPERHRyf6e2lSnuIFhXlDeWYWHrnuo9dyXHQUsOKHmJfakN l+Jywk6xRMjUDRZ2Wy920hND+XlCv/7gLPcfJ6iBOH2NUtYTjNM7+woBgYIFUyAIuVAuHP+tHXfI fDqmCbAufFRtOrncFtCCbFTaKMtQg/+tc91QbkoFOKri5TeAddyEfRCXBdMWnqz/QFKMbVrZqHsj d14dFtnHttltQrnDvw/WithnLFwySOTbo8vXigf55dHdDGDueTS3H11Ena7qvLRnvNw+uLjeRz61 FrgRN/L5WMnRRdltgkKgUNte5ydxc+ZGye95MmcbOjeM0i5PZlCZPZinGTvUSkSIOhMcQe4IiLQ6 Pq3WMYeX50GFevYLNZoBCMeEt/3jl7JpVBTS1iqtpkKzPY8IFDR+o0KmpOUwG3Eg0y/F9swtluAe fvN/6rnFdl0OunIlfkBKa3NClKP5VkGofVj4ozhrJ4lnYc2gIrG4aH3HbHFeqRAMKO7Z27M7VJlz dMZLgFoyYSOVmtDfyCas9UzpR4GlqHsyHgsy0ErpMAGvixpRUONWInXJ9QjrTSPhb9nW+QL9HLg0 RzSf35KVFfBx4XbNxSP7quANNXZbxXvB4/G7pMFzoGe5n4+7JXv1LdHsRPMy0u69Nk7leg2b0Idv B5m1ywe43Cesm4LYTfwmD5fNHGEPAaeUfDdPPljrMgM02UwF0wum2Hesg6/3mGHzow08XdLb/YWe echOyjY4K9k2x09TEv9uvtwnkTVxKYWTxhIi80Q+PObfqRw0N13t5PJQ7Wv5eTBDbFQcPXvxXiku 66fr2Tamfugfp+EThG2S+68Puz/joYyfBQaELPdMho7Ubqp/MBvIVDxUVId/Hy9nbyE0GCVXNaOf fAa4LT78jqEvf1ugdYRtTXpJQyXhhM4htUM+QC9+ydP33PU+hj7gSsrWhNvVFeyIsuAQZ4wHuEE7 0HhmarDNkopeoRIDQ8KwsWhgBd9XPVZO2Ps3Mmmte/VuhdrIDTkHyu+pzh5iiwBsoCi+XSMxVOoV Hr1EU1Q7Q5NwmhEGdShNtXjPPKjTrXClJdk0khHboE5KNfwrDW4S+/n96Wd7O6jA7hMsw0SsHndK pdJuaE4yK2dBGeADuSNCYF3lespRvzezj+CbATbkCJi7ZEMWMq0EB2C04Md5OnD61SyI/DskyhIK Ga2IQNBo6nIoA2+jncwvyfdBNVQtxVCIiY91tju20ancYCdFrYePerb39EnC9ZYkrQU58viVf1/T YT1ya5ZSJftHlFx33qiizPIuaGM5MTvM4jAx02IZC2mKeRJqg3jenKXm2BRoKneLcfCRgHnUnjdB e7OXM8avH6ScB1mYk5RQjXE1XiI7T4NochtXxgxLqw4X506lo6N/amr7gYZX9flrZagnFsUIxp4M eQXN32nBdiwmbr/YNZ33wqlJ+zkxwsGTpNlrMgQD81ky4x+UfBgOXUhnUlwMq1zHovre6/Ad99bb 2Nqml5j/NyrthF0HYk6w6DO0tCYF3ltLrBTroiAn9JdjKzNwzZmItDNH5BPA/lxthjFPBx/v2U8o QZ8mHUIlj2urhLBKx6UbE6gAv7ovEZQqx2e/pzTCiK+RstSHwse1LSsNwfG4+u66y2xpB/f+IPzY t7pESKdjL4za3CoqzJ3LJo2Qx3b/QxiasErZGvs5kZRPAX2d/++KXI7hJHDzdbLtyEk3ee8CaH4X m2Tr+160OHTsF7eAA0+Yt+A1bR56nSiFtb7JM6HSoXi2ROsdOxiAMo/ubXPPO3C+j5IUZOdLrn2I 6s3/OznVfnYKJa4idIr26AcCAv+yHL1D7hkvDnYzTx+YiprGYp2Ng3M4NkoSs9Tq3Gva8GICE869 JL4aSsaLva+cCf5uO8LE1vbXoTezY5ESPDAk8gGKHaDLGiRLwxXlFvETxnbCJvs8ep4s9LhvJt0Y 6XiGX4ZKE1Oc449M2kdYkts9h1XLAKay+CZ+x/XwXShRmo1oE9hk26X6cycXrTD5tYbuiF1AnTCL rjYAOmeYo8m6G+WKi6QJi8EOsJrR9tKAmjUU9AAYMevu1qGp21WbTJGXCkdhyvQY6+5vwPWz8Eyh 7M2dFuwWmcFXR406tZlK6PZ2UTNzJTSbu3iwrXETBzwNoBN7YOXM3Dl7qErAXzLR5tvCUN09yBBE Qrk4mVMAKLaCx5gk/kmaDdnKEwUEZFJWmHaLHO1cNjKL/ZfHC36F0VgNMIy13vEoVgJY+HWIBf3u mUo2DSpjHa3e00/B1FfkFHcY8/FpDa2peVyQUkd0tx2EltO3yxKewog0t2t8Y3UoTQ3jqOa9br5F 7pX6szHd+uKROWZ0ED7maYUq/USlcjMLFEzsewwYI6/cd/SWNqRGwsucoQ6WSoW+swjBp8k6b70h +Qe4EpRyj+xIqvAUxozl/PSIrx8HFFO3O/RjlOoaSw5ICpdM449JmFzjKo9mxs7V6HEv/ENUNw37 aPQL9k9HXOsh35ZrvzulaRBEw2XaQmZtVa0jD+cpeEIyFxDxZPfSfI28oq8mqPIMeUTrcW0Nng1S JQbkdjQWhQT0DsbfF0ZBMaUvDbi4dsb5VDsnV7hI/MiEm8JdInyeRmPqxZv4sY+jk0AWMIczXQbA OWstP9nBOTuGPgLCvvx05kz0icjh5nLnIF7iZUPPxrEZnurGtix3nOpekaDYsAhO+kRtCcokfzw6 UpLt5mUHO3bl0WXJzSRTZmAhwZM/BDfclmGpop3zNfNwTpiivOSPo/lbuoBNREFgmC83AtpScvX+ uE8ziTbA9fiiTL2YWr02Cri78tEK4ixA7JyErnMDLLqYQpES57p7B88AK+h7w5jprr8C+nH2porY bd2otnsAFx67323n1miCFC6cWb01LKA8Q4m7zSaE5835DV9EB9y3Ms6vVr0B6vG+eOd1jmXKfcUf oyXimbR/DPVS58pVMq4ADddaV7F7X2tS8KAmA/JkVG0TSokXcQzw2YXf4PkfTIdhs6EPnF6GXRmN kaqYJJ32maozamluQDpIxJL9w82lqZxAuIyQxyF+/MsDwCPqGzSDSb4X1qIKJpZ1nGbR/jG89/0D /nRtAiATiCzw0KWbG3ZZ3K9iJh47IYrVVnSzIp3ajrW+yPXAKMIjAHg2zK0hI9YwRhvdvyiRzEeG N/xNNZwrT8ADCe2Kdq6Bozs19Iy/LvmXmrvGKsDJbZzfbkUOT8Kq4baRmvpt8t1Y+i1w7NOYYGTN ltJT8L/I0NBf6CRoia+fnnBe8mdnvgtDJDozuGK1iv/ywYYFeN8f/ltX5Dc1MtfjoPBki8d8MFza +er5UFOiIe3o6Qj1IamIZnlZBDTA/FohO1F3fz7qMNDYZlLjLKvC5nlAsoiM4IpxvntCeSfX8J8U 4MfGZlCbzkYevY9mR1BASrEHqBALd+jYocHlu1PDi+mzbAk2e/yo6Ppf5v0shsvFnPneSgWYk1So 2mH3wrALhP+TdPqvBSVlEhlNi0JdGMQk499pIk1WuLTRb7zVa4hmKtn7jDhD5GGS7GMHwHjzJgox XkC/iz4AwGIoEMxreOl16baUez+MH9LhvjUsqnCOY9UoE9Tsj46BhWm3mOigLhhRwM8faqoFGjXY /nGLAOHYBeWfx3w7WWnT3RAs9L8CCNUmvXxTg7h1wJwdlx6//uFw4qqrCcVXoVihp0lK2wIenVKU zg3S1I81czZSHi9KHQAK/OqVRB6cBto8js9s3tzIbDvuHHbSKXizndWKZtM+7CQSWYDs6IPPP1qw 46cLShCvD3B0xBlh4nedKipBjZVJw9KeRIxBEwlMwxIhxsaCDXjjzX1Pf+qZYQP9q4L45usJvSgd pmp5C5XxjBBlmyTrM76OxvDUSNbaUtbxdn9m/d+LGLim5tc81n3TU4Re+99+rmSioYaaqq1KNQw1 0bzgGRUVWq2hrMCaQ5zzdUsYmKQeaY7XFqtb+cgoVPOdsqYLlVT/sJn+WbZG2liQgPf6O4VklFI2 xEL/91ie0FUx52Bk2IGRWNSSErOtV8+V9EPMlkouBdbWSFqdlgSldjCLCUInlT0k4LGSsPoPc9Rg KiVjaFi2lH6fHazvKrtaaUnoMNEQu37x+mO12K5ghJx88JJc8hw7mnrx9Caob7ubqoNc0pu3VVJT U22kqT6tP9zadggpdiFaSdSI8lWHXh3wMJGNRQV94GQcgNkUAW7MyNcFi7LT++Z/pCFqV2yK7rck Rg3hDMQEXsYhlOf3nXQnUa4n3MWDqXuYIt9mcGFgJU80RCfN6AqBg3rjIFJnjov/7cw5/NGQ8WlP eSzjGLKt2Zn346tHhR2pguAvQz3ODG1OQ+OFcD047B2YftayunnzrtL8Ue2fWPvExEUR3C2kjk0A EqvmauTk0YGG0Tm/yMnKu1LPwK+jt8jue/JySiMvQQZCmbRAlxR5RKqPds/pJDbkle8dsDGrlmJA FhVOV7tOqfweRPA/oUyKGWsPMl+aSTqlRPib6tS7RxHoiTQszhmN6sgaDdb9yyBUPoMuBT2weEGj llWowTkk4ll6TDbiznUlp4sZ1cahnWaKu9HYfyjz7JUjzPqEDNRBR9nmTg4CxZbJ6E/YkBm4WO67 XL/m96HC2yBeXnS4yMAgN+uXIdg8vzwpaq1jodsfatt+85KNwQRWlvv22T2u4yhNHoFsJ1N+oERY phfYUVq6uSgPiWq0pH8TCqxRR90cBw9Aiz5v5z9dUoQ5qrmiuHUhuLgpEHjwGh2wMfNs/6uUijBI p0NLCqQluW9MXs2G0u3b4OPISpUOfWq6aeZpxsGh9sc8g7EGaN3eIfLrQKVwInnkkUXF4BcQJlFx DFZ1zpyIAUDG2cYoaqRuE4EXJZQB144mRv4UON063jkanOUskAl5CwtFGyj0urZksuZUGzrN4ls9 SQLk3zgxcx4FLCuuD1evzAcDCWsAxK2X6/WpU4aQYmb55qC6sU7qHe2IP9UvbmPCylVDTuiMkyNW aw/LXDXG6rBBqwoVGU+BRBC6y2HHqr0RA5Lq88OSODFQGzMseEIcgSoBGPdnMJ62tUWKBA6pfL6z SxbCv/3BCWz/+LvhacTIkQu7OJQ3KFsWV7xEDRnnyjRBi5e3dyiqCVuLngOiNODrCExdD0ryy+wK XxuIa6crW4QLYK0M8ZcVme4uvuMfQLMGnQ+sb0jqXrj9LsCDrdds0xko0na0Br0z29VoyLdFDb56 FHs7IciRhTHmTt/X5cdkN5lYCnCKxfwZKpSRBQKYjbT/KJI5zPlatmGxHJIUXwSrPvuH4YQFl+TY hwgC31eVGUjjHqQBnN0P0cY+2pDoLfMWj8stU+ceKAxV2wmAIeo+WU3ra/LhXFovJSDbAmYR96hr /Sa93rTr3tQteQvSiTuudnRANnpNT5IpMf8cCdJBoac6tVxjWA9Yw9/PaS0s2p4ZOZtc1ibPnazr SuELrDAfGucR2vy+XAppUslYFcidn0PK5l1FJ20sSEN2XqmTfa5yZbbdiGas/p7drTOwkZMBdwwD DQor3CBE2bFXggnI0qYdx41pHjPVU+tOUTWdkXWRPDInB+tcYGfprWZCQCz+oCGvzH35g04OPnd8 H8eD+W5Teg2cdHLWilm5ZX7sZAOUWCNqSSV4F3tCvaqk1Je5LdsHRC3gHRlFWuq7RykTk5p6Q3dE 3HNeuvhip/3Z78gYCwLMcxsy7dQcQSDiO5f0yEOv0F3UprO3peAC73uHzDc7WYdHVtzcCMwrDVXw 3/hyYrA5soPVLDo4sTie3ye2aKrUqdUDj+h6ACDrYx/7T9FdC39LbKJntPuayKGYf9fFl0AN3rID zLpecNdAHGF+bKWAyY4JpyKdXya8CsOli2U8wM6dHtSkqyMU1A7erRAYS6GV8yXuMBkQSIOWCb6Q Xyv0cYGkRNu/Iao2ytn43MPVxFHLOvBpeeR6TiZL2NZOKrf1y07XJaMAROWjb7UYJgAZTtznVR3h gfdGHoEQXlz4XoQykV0bP+UPTCN09JT+jAlxu9mw9DgOA6ZUtZTFk/MqZ03DT04MoOB8wbuRODd0 cZn1XARWsXwphfFYz96i++c+ZU+UKLNm2W8PqjjSlWR0k8R/XBpUsJ0UFznYNNOyqbYRKgMp8y1f n1LQ9K4YIk4b8JnFdr+3PIzaUPZ3+JuSopsePK7PlE0c0gA7JtknKQcEXV3c+tqvSOmEhMX+32R0 aIWV3qTlqdBsNdkEBgyvHDZ5vJ6yFo+dVrGSXjHfyLSwiydaG2KOSKW2cqhyEEwW5M4koexnwcFr nDSvhCjKcrAIY9kC8YPOT4P5AyOdWscL3qQO0tITAwYZ1UoIHjsBMaFqLOUVoT10iAsU3P5TC4Lv f7+m1nz8DBG8J7jYkLakT044NPNCH/sLIZBjoPp5f4L/s9RNccwsxkUIBKtsT/cCNCwPntVfH/ym GkjDKbbBNzctD6z2GiFCDnCtVNHmx8tgZrXRoU2rEFFgaujJzUb/jtCNj/1SVhLyIFC6MQN7oYtm NZQLzqSVG2PRJ96wzxRpRWyPtfVGnnrSOju9neaxHsUSwMnpLzJTWH1i3PZPOmr/vuQ9LjKU+66h l7AUpjugWdxFt9vF0IPzjL9FWfhTONtquRb1UtX0ChE7DG0TtTWKkpZwcz+rwhEnlzcZQLU56NTc F3wg0tGpM1RL3dtjkQLQDgZQ+NA8TwAFmEn+YR+7qLxlyETmi8PWDTuyNEKTChGPRZtnFBnDsRUp ewknaE0NNeV2708zZzX/iwmg9RgI5FCTxnw8UEHozBQ/lw3ursqsQMWDM3X+y2ZLYbYuSiuJFfws Nb9CGPaVxvxLvKsp+rWJDZKaKzqh/XgWiAR/VLnSc1k5QfqUEWnuOkPk/O59c7R2/Roy15QLv45P RWCNLbt3QyJeWIe6Hz3HAY3sR5XATJC8F/eFAZvqJ2G8AmMP2ZyiJK5fcRHnGDWGnV0pMYHGjop8 OJBA+3xavppsBAV8xM7ZzABnW+e6K3rraYQ10jrci/L7Z8u+xQ5iLddYCj1F9BXTsXOdmlNewGpD XExtJ0qQEo3OKr9WZ4gm0HF6OsnUfPDGYYVBilR2F+6Q/YRsvmDAKVCtZTb663Ha8W5FRgaHNBNR rteIUWvUYhtmbE7PY9iqLUmaObREiUSr772/iyNDVZ6LUhgDy8hXRCylaVOCsy9RGT7XXU0DXxGO oCysMwsQX96HV/OVXh5aKybQFbkKNBuZ1JYtz8Wq9GbL8LXHMD6U6aYjtfzbOvlt8tCq+f1JemAT yPbvwQ56YFEQC2YJa2Zjf01UpLkH0wG0qZQVgJMqUXl1fXfGoPXdrlYivJwlSbaB1sqDI/+7KtHK ag1hmjfVqCy9fz2JVCeXagXoGTXoXJX1GQMhelc0gvrvV37sPA4FndQqJ8HD0MRbpfrAiTmu0EV7 Hn1uicIfi4AoDfWsxYdMY+Xckd6GOPtFt5h4XyxNqFBZDKh4getBPDLWSwFXmAHRrz7FdI9zCzLP RllfknawP4/Qc8XAczYFk63bCoLbOOqTzW14HMqSpXoc9o2dFJexrf0YLJ65/YAZfdSnhiL4M3BU RX2ktmgZ4aLxRW+LteknZ2cLQLu2iN/q74EA8abH6PGNGjq4r/GOt8Y58/oqG+GSnIgWMSCKD+wC TAId5TAPVZAUYNyvM96hiLssAj0WZBfkLvQlvWiNbZNrJiqyqabePrxUJWbbqguu5Vahfi0myS/C Wrgkhg60jTFiENBHE9cweOL2mGAaUKBg2fhNsz5I/IHrjOQUY02XOumyPDZgiUY70q3jwekOBvcn 4tkgVTvwmtr0cua854h+6W/tRZmlmkZEg2uQ55k/lBxTPK9C+Q9n03MkTih6mLFNAJXqp6R98/+O ETj3F019lXXLy1tMm3tpqwu9fFajihALk//m4eL6kiLCKmlA5iDvY1z7P4eDK9Y7c0l0L6/Cl8um mEU7gjSZIfKoCtSL4qbg39b7CasPWNEBqbTbv3rRp1gxlnOWTfVNsBvrg59FPyGPHOwluB4hxBva 8gQMEBfP4+OHUS2vdR55HIfGzTgtwu3aZ5WbA+DCO6Ckf5287/A8aeflIiqW/dpgAsCqw5M2Soz2 QkCarVsHRzrx/hzQj+qE2uRQt3ye4Oe3h6TlQJRFgpuNE+AJhmEq/PXVY3centSfL/NB55H/SCpO fhk10jWaGE7Z5nsYbxKfwTo7hRcr1MZ+CIsebtYqSd+eNxotkNDbTeZ4QA0aC6AHtjYuLokXUlIS gC1WJPXLulilpj1ZUAm6ZP5FUhm/RkSz0KXiv+nBAoHR0hq2y4as5ddja3HQ23fvURwepCGTNzZx gIvXRaqg8rrAUDYDFaEIuBsIRqOC/tD3NvjukyttIpdaSVSmE6CFrFIpovnKpYCtup59Rxt/TxGj f5clM6fkSASRhW5JNDJ+Ht3WK4Y6DrlJh+d78uon4x201vbI+ugDv1VY5S3sAAr3rSGyaGpvABcy DKQhhlTETYMA4FRso3PrLf5Z7pfe69ONegxLq+vR9OeRHSbHKL6uh65PNiRiXRneVrafCEuLtWXL RMr3zx1X27N/CKqoYreE29ans/+ZZ4rc2MwsyPTBaU6fAJfmjOqnAiyLo59C/+tX57XOhkZDeso4 NOSkGVn78fLDsgA8Fl1Pko3hMOg+H5c4ODegTViuQHu1Ytu4HYIvdnYARHxVOVeylLhxa/iX4oGU hwt1yeLXCkbWEY6HrzR1HopixkCfKxPrKL7PKoXCtmfXdWyqFv6fBVgblM2pMlNQioqP2bB2VZmK jsW589x6pxLMiP9wvR5vxjPi3Qyc2M0uN7xNmxrNPlh/Ls2xhh3NAFtRyXTnfpUV9ZtT3k6Id7Y5 XOFbXzFiqq+uUv1i3/xOEee2TDjlfr0pjh8NFcYiHa1d+7O44IT7Qt2icbJDmXg8L38HurPUJ53r YYqu29xGO2evZHLB3CeS6rtfMKr/xUoUdJ7JROvP2SNdVl2RkfulEBCVwg7LTRxOlwkLQZ8Mj4tW D2arm5O9YYonZj3Gr2Ac4R+7oHTBYMoi2cRxWp3LbDOVJ1iWRtE49ntzgS5a7I70uA5hmfcp0pFN TWVoyC6j82roIQmPYV6GmcdYuorYJl7OtM03wnNcYYCLubEUjv2W0ly6TN0eS0/iGPz7p/TSo8wS GZkT1fLAzD5fBMVNSAYJzcw5WZF8c22chf1u6SQGgF8x4esGlTHOwGkN8OEPjfZ4wOCfe3R0zZzE S0L6y6S4Pf2H0fTvGNMUiceT5igv9XvtsSGh+KmfX/kHYb5ddXlBsAWtejpUm9Yqu6GeMeqm6ck8 wwgd9gjZ+hYKvhvK6ohaHCWvbiw4mh1PegIhqu22sU9rTvE/+BfIIv4p8FS6hT5IiaoP+YNueQ4i DSS8jVhf1kJD8w8F+sifk/3nAbCnWCYULenYLRl4n8bjcX0ZPlB0P4T5a0D0mIizauE6EH7NONMd MihStRawKnB0DL7pGDYZ5NjXukJZJHQDUcx15I5N/5CoLAxcjQi3lNcbytAEXrsDEpw2HzfQA9VS WeMhpl/K3KfJC/eWWzNflw7bM56Xf4mE3okbn+JXO/xfabDrfKqigmabFJpUyixgMFwx4ovtCiRX fdy4XerHo3AefcNpcwrNR2cOIAcmmE5xGkdNXbrcK7az8Pi18RXGdvQrOwF+dYRHqo7zm7eelcLF K+dp5e1yU90cwg4ZnaUc7W2sjfntxKol0NwddPFvG+pei+3XBYzMPhe1By4Z0zYFdVAuM07HRffI uPKPChOk3Cg9xq4G5QozgwfmGjfsiZM2bL1kqgIC1lXKlm+MRIR2sz4ZLfOUt5oWC8IRs/NEa6yr AfiMBHqDwnzb9uXPA+cd6sM4Kbw1udvkyvTYzCpq3GLSEtMagmjzZ8y8O6m37po9LZTkzPlo/dm2 O1j4FIGpfk9O2izEfMP/Hw0iUVH+FhCzMzNou22otGH/PBfn7NGAh4mHmh3yBKn1JxPt/IwU2avJ kLpcgjtOmqHeUeNeVzi29m7KBr1yqdiP5XwCh8X0YvNE3dG4FGZeHvK//LN+WOlugSU2S9OuYr11 sTGdELVLlM92y1Uw3GDJOZ4sTh9vFPM1V5m/rVE9FvRL0O2UBYB+O7IxGzS/lIDD/XuPPhBi8CJ3 zqeE9ppMdqsTdKdNi3uxLZr/gfb51T+xhaBD34v5jkrxYhaZvcUDlcRUK7YZu7S8IURxv964CSg4 QexD7EPaJvpQaQWTUnVO8PEScJgjHdNT2agtfRDJmYV0hd/kutNzVqAjkRuMgVfxm4EC0a2VKZ0h hInnhfwpOgPXMFR02yGQkCkE2Nq1q/Hkmk9bdBbBgspkM2GnGftyJtR733f1IGS6Cu4Rf9bXGitq 9uWziMlKfIuOpEhPr/Z22DE2MSHWIs/oK0PkH/onhOk3/Vo2rddDnSBzWOpSFOVw5p9gn3Q9DU14 /YCGOZFp047odK7dJmBuchuBqApWr6fx5sErM6sX1ATSTL/lc0ZIiNxLhfDrlnexZ1b/DEuRUMy4 TrVyi4SSUEgLhVnWooLBwfO6viLgPm7zaoxLjswcrYlzm3mcsCdutl3ICls4i3ii65ZumQ3Lf2BN RmQBicw9kA0y9kUd5GfYg4iGCMccjk5P7HzoDjRcmKwa/zcKFFGTbpNrIqy7wbSqVxQQd9jdHUkc fQdUe3loi9bRMoUHEoP8fWVGSLVDLEZw9eRcoBJXwHBOnMFQMiVOXmkbkGKdu6Q9hYCwkqiiPlsm 54fMfOXCpk2zJZ+V+YyBuzrhNnyicYSOqFUBCzAPo6K46i4/X6KQZSpnsri1xvfF8kdpgUPtiCDZ 8Wmr1WdbLyfN2HoAWkKn/w6wk3+e2TFjo+W8pydvEfMqf2mOsEmJue5HpxBAoFT2mcOByJyBdnB5 /h43vKzfKbkYfjXZB8CG8JufxV4ctF9YB3PT7OEB2lvWuJjBtVUo0tDR/dj7c1D0RFDcZx84fIZH eVBMtEkHJ4+ulEn6nTLmu9YVnVaC1hsxcGW7/iNE2OeWEBredYJf8jffT2VmJbRjjiW4qTT6H/1+ BD7QZ/37LiXwC5W3cm5wJapEAhr/Bm2xWMRCRkrTR1RyKjt9C+7bQ/1X9Tm9PsmFL53xy4zCXgIA wdRVbEGd2FRwoj23im/N2yfJfwPbBEJbU9kBGalDepo+FwrQeJ0te2b9+G3A3IZlBOJG4y9LjuP/ Kx7TLI2GZ3R4WlYGyzrdTcH9UP/Lq71jQm9jFZ7v07XtUaz4ydTdSZvqw3qzr6ha7egHIpNqukzn z7Jyngall3qm3s337XgajIPNLQlgWkSBbrvn2IgeRpJtSOCuMbO208BBUVhBUfzKx6e/7iSq7DNP atkaiET7IxB7RWfeAuh32XgFiPdnMzYz/UPiGc4a/L+UB1QWpiR0Dfyna6vj6hhg96reeOc4ztY6 E3jVr9sPmyRYTjMqT4havZG0WxMrynnPzmvOz5cYHPNCSutzs9h6GZAhwGmc26vlhRRzJqmTWGjX wcvoow+u9/pwjoXvX1eJNvtymUj7b+waDAk6VSfUfs5L42i7JylQZureozvdoHPiN2UZceQn2kda 3o9HRckx22vNk96rvRxBH8YbI9huEDxPKllkDjdyuQ90uNCRY2zgwiGO1jPZKIZ8JacTynHg9qhI 7p5G8zT/gaWgaX9SDRFymA62cNUM/wukwjdpemPnGgiJSDrNQstPuOko5XI1RiU+WFFJntUvb2qM +tnNIrNmmYbGvdfiIvb2ZfXno1MdNoKqca261ERERYggYnQlKmiSshyUreqYNp9ECr1Ak8cSPvdM 7E7IKdUUXGybq/3R6Zy3MjQip52QXHOs3CoFOzrd2tfy7qbPm6RRuSxkhfcViTmXyfCh+Bt4Pctr vvlq1+AppOn4O749uC2F/b0KLB70lnsu12pHSSFCu1kS57oTarEJn6ZxJmPZIEBdNdoZQRARX65h V1OrIv0ubjEUXtKz8Iuafrr6l6pQDV0Q+AJSNGjbtlBcvQm4tbbEgu3wJJO3Xhe2gltOvTwWrqUl gbhQ38SJi5IuwTUI3aJEK5Mx1OuIuWl7duibvVT0+7BYOSHeJVwhL756CJcN9ajn9GRkj7VYgH8r GXb5BHrlBvtYZCsjFaJ/cgF9GM8LK7xuk0o36HJcmcM4OyroN3M7Z5M6oqJbIZhiM9eTPvoL4m0b bOXZiW6AmyRUQvb7yn1YUz74KXIZODaVLeDaDycuLIttXEgamVBtzjgjBH3CFFvBhnldW4t4Iw0A HUSMO6escQVk+zriVSG4EEXri5N+MpJzrAQ1OhsLMNvjTzgKwyLWFtACdktrSeiYvGRR1gt4HZ+e p/T4MzLltcDOvBaIZdaAGHwHsTcX6Z/3X/sWWBI1RCpKX9box3Hg84tqhvvklWnVF3YjCwpmWxDl vF4t5dE9nnZCC+vCdpyuv+Her2D2C0RtpidcZeWIG+Xypheyz2ei+hQB+eUXGp0DGIQBI6mj+cB+ hZCGT9jMESjHPzSpTY6zCNz4EPLc7ky9x9lIRhNnb+AsY3k8H3FV2qvW9+WyHhQR8HHkignMpd/w ezGg0CppSIkikoYMUAjIlGCAhaifAv0j18ihspvfSnrGblQZsnlDoIC2+Dp1cKXPi0AEFopb8piX Gv+YV39ts2mZiRcUT6CQHuEjee1ZgPqS/bU6Q93FzWDJnv1kCElJ8xJWq0E+P1/y5qPAWDCCqWa0 sFF7wY8U/LHirCYm5S9zDhIroM6R3wQbhwBmU5+Q86pwDxX1m3bdRZGthd8OSdhRHsg8uvncrRm/ e5ISuU1yR2kCKJaTluh5uxsk18wiV3hHK+p3lTgtcFBBdH+Uh/VZzM8bvQsxsqsycOFyPU+wDaVw Wpb/pJ9BN4QhwaKCJEjl6+2TdSOs9vDkL49pfTLpgGqslPI9Oni34ymIThFHAUJs08+Dw4JQfNDE lSslVEo7jvP3voaMXrj4iTLi6r6OXFFGhKLdDdnNOFS1lX0/rXhQm8kFuR5kBCQ1b1PqbmXB+UGp IjUfgNHz8yoFi7Oy89t5N0U0+yQwwWEg2yZubNczNQPFlEM4eT/MJ5PV37+A/V2+k9ZwgPXHf7jO 7XAYB6toF2cgG7jvOLQ7UueifClGEiD3DDp+RTTgFDxIjyxPAhnjd5l90L7dhZxHlaggTCsRjkQJ LMlsMnGk59vAL+s8rHb3yOwHg6YPyQ3uG/+Yfq+ec0P4EiVtrcrNslqviubrsOQYG7dLLKSqUk0M a3w0FgLb8dFeN99Xv/26bC+ErS5EzmrCadqmEwkC37NBNyJxQJS3xxK+JHS5SqpmjJtdy640UKyx 1v91kJcVGS3NHg5qotUoQ06r4ZGLnQhBE+HoWB9Bx+l00pp8Uzf1LlA+8z/Hgde65Zzn5ng5mDax G2dQwN8IobDKkFLDLvkUVGZbK8xEJ9DHMaudkjAPiLGWZh/BMwMHzWmzt3NuD1Tl97Po3zAl81wA d3uf7vwa/n3/GeEm7UuTGC2n9EaXiwqRulS+10YLG6IWl4FaPeuUiMl8cTiPv4SUyf14qpUNhmhB sxYHJmsYxWanvyhIYM5p0jskijYaX0BZ7xsWtTqFzobpDJycc3sPji670aaKxyH6XAEEA4fRB89o aMbcPBQzI/Mr6zNerrobtDtYvGUWJGC2GEAk4IDCUt7mMyZV0YMlkNwWNg6I0HAxkyZSWtWIpAgp isbKsE05fmffbrjr1c+dm96APDzM8Pcha3E/poMaaQHCziBbitXF1D7ofBz5+H6ph66cuqyS3rN5 8Xt3u07SQjNk6qknrYB2iO85e187+Cq5211/0pGD8xeXRiOB5y8ArMZjKMK2wLflrT+F8Dc+WqRC JtyZLaTmEEXFp5f++napGws9EttK3+HRLR2A7icX5HupbZhJVNUKM+Bk2F9BOsIa/Gv+59yBvUKI F7OuS2huI111XSEgABQ13/Bfzy8DnHYtZVsyhysM4gravHoD0ovPngxwXkaXadaHY7uTDqKLCc51 WzPOf3cBju7IB7bqpZ4NDvvZA9jqpSXIJbL/j8iv8koFOOSnpjqnsAbxZmJhMDrbn8pm0wkrsB4V 3mitsj48cxNWIebR7cbIZiG8bCvTxtsjI55x3IYj2cwp3j5UHl4IMSPvev5Fda4sniJ8bng3wVAw AvcfHKG5ca0x8sfaCL6hYKhcggNu8R3vePco9PgKNTnpCH7qOF+D/5CUG14QgZV6fzlAUyjMqUWe Hiy+UnxqyB5sOXCamh7/22LCBA3tUDZOXCBWunLIPQ53ZDSqKyrplILHsT6FEzg2gjAqRuPw00Ao P3EbB6pOx/GJx2dhurxGyLNdwWo6pPei11zjMFGzuzfm6er9xgNrlt261oc81fC7tfJvjLYXcs/K SPJ1QKOHNRcllR0omQJ7Ilcg7+4RfEOq11mPjNMb0jXdxSD2x5nzSXAe03a64WnnVdxY8gzbQ0Cb uiqW9gwLcDiB1AJg1madXrx6CDatfmq9kRo41AjTHzfIz/FY/NJe1U/cGJN3oL1yUXbhAurJDaSQ uK3vRKviVpqZxk0cI9QcFHFyrKG+LLfnqb82S0KbcOG4Y+XEM+0k/pZB3cTmz6u4DJOFKFCjg2Ce mn3FPiJ1xEK/aJe5z8hFgmshov8VasTfgF+6vH4kqQtHqzgUUuqD7bnw9s4jnf24nboDXT1LBYkL 1e1q0GEYhxzzOVRVzRq0ErB/CjRbmGxMCHSyjqiUPS+rTiQjqKOdjjGbv8z/lZvgV5pwHwmEZ/eU xP7yWL+Uns8deJ1FORLqbHI0rtFKkp1Tzop2FCDE97x6NL2l7OZ9Fdvm7OjBmtFHINXyZ6gCOUIf gDvJBSv5dnpI+RMR5pgX8ylI4adzUxGlaT42JS33RVEYWAK7GGs8krHYT1xJ/hA/NRR74rhEevrp iqV3s5VwoaEAWaVeEFD0ix7rjM/u63E9VOku77XaSsju/Nxa0DF/pz12VtVoF8PU09pMzDYSgQIy S4Eas7WO9B+hZSkgGjGSV3htFKWNoCOx3E9p49adfKYrsGrscxAEDt3JO5Zh1pRiiYin8JjNu752 +8f3MIKquAakhyxR9c2eHoBx0zIX/rKYtdnEchhL+7ljkdgnxCJSp4tVyq9MtIhYtyfPjs3ue/GC SCVnMVCLm6RqyuRYmoVOdOHVTS2diF2U0bvqCD4Xg7N6Pqcw+nJ5/5oFBa6KCuXoUAMSGhQJzGpz ARYt7fsj6G1XkBtmmIZARv4rLz/4jRiXtPgqsfINmT3soJx36PbgSBacenuPmVIQWNliC77GaMd0 KKeU6Mu352XaOn62Zn7kgu9L61vizU4nOvuz2RCz9aTwsjOGOmCpsJ5fjs5qQLZFCIfIjq0yxm25 r7zeMHT+94dlSKju6aSrAcSdmih/8vfiSGciofL9wZFtrCevLlgUskfM/Btr+Opo5NtSRC4X4uda RpIxwSK8NwKKZJNHGazzUVMnz6ks5tMm0PUqLRi5eZ1Nu/zBwVJBuD1ObDI1Zt+fPOLNCMJIJc9p i1vlk7sEaG8EW5pHMjlIgE5OXApYmsGXOZkNBeQFUAF/M5WILlANALUBbY1jO7qeuj8/vNOCR+xi +hvbR4IBnvinZwEdVCCtYADRe1v/PQ+4zylOpMl0Ax6MiUG8No3wIxr+HYzNDUa9FJ0Iu03K2sJA 011f3v8YuPLQfTwmENaV9OGsN/GV3FkYNmxYT2BMxcwbzfTW5XWh9Y2Ya6yvuI9xyY14LoUP6j7s cXuxY1uScVULn9Wd8fY/ezuFrEJYBNPuCHtbnGdYEfm7mQnnU4Lzn9oaZGmqrKLuxhT+IX4ys8Z/ qvGljVHdZgyZ4QuYqFRsNbXU0QgVN5zhYdMuUMaQ0/nm5/lPjJIV5coNJZlkiCHTFKQEVWTMbdPV 5qaP6zMtykC8hvv3vVYScDwhUG9YTKGd3mJQvVL688S7q2zdHvt4tBV2ISn4SBwKPFbnC/7E1xSz QfnytoMe+g9bXTYEqYV/dfms9areyrRual+XtFnVxjkR7tgUumFnmcZ0f85qJOwCqT1dlVVXiOYR B2ozaEbc/waLDFpSvIRJa5kPokdm0ialGQsEz4e2AD3We9S0+m/UMvIVmGxB7UJcZ9dzCtbd9UVA aCP9OL4cNYz99ZNiiB4LDJ1nPqnN9zQfpI3XY7cATUfsxTRghrTUwehLv3W41AwI9rlmaREP6UNY zHbwl8kuRIxN91Jd9dN4AG488iN+6jgLVo8vCeMTU1QCn2/Fbl+R3zvxxn1kFisGM0pfkOci8LHj LZUoXL2i6Qc8BCzSXYabYioWknmni5wlyJQF1dFai697gTNzxJU180MJv5dcQH2sQeHtV3e53+P0 oLf1VJK/n+9Cd4ccLmDPAi4f1/kADrM2gbkMMd7xSiG6ttGWaV17lC0J/5wiq21XEgTSYBwJwJ/x 8XT7qXUgN7xOZ/b4Uvd7QCRkyuaNoq7mpEgY2wdp3JpCCWFrQdZXJTfRLCoDvO0qNlZDdJadjjU5 QDEbYRqbqtvUpeT1uDaLl3Zllr813rdNwHSZqy4CUO0cjq+bWpTl5EEu0E6jcm0xZt/Dclf/0g90 7Ow+Q7AgG3iB8xkng177fYruPZW8kmh5uQOSYj9iL46Wl6E9Vc+F4Oza2jcbUiTO+fEH5rpVXaLr ZZKVt7sHbYwuo2hIdL5+ZhRE1GCkg413G6txfbfsy506zXKf+AZOCXtC3LWa5T2YAl/t36Z2ObzP YsXQrMbyWUdgEgC4HOb3bYeBXyNfeoNM62DMdneHw3ZQVQ5eFTF0IxI8kVSj2VmA68mgeKT4BgsG bgbqeaxHt8fchr5zYSD1ZOsjfmun7VIfuNgvaVRCiubsk/KtGR2tZgdyoI6/MxfnC31nUWlVwqg7 JLpllYs3JgqcO4MQJE2sNjbJcnnuAuRdHrSTv2XqmLSSJGDVrPe3CSn0//4+PYkixb7MvNnwnJc4 rdzY2/CoZnCDJrPZTK4R1nSi/04lsPIQChnsQxiLIbDOyfL7MlBwUw1WoLI1q41IeS2TjbsUhds8 KS3JBWG6/TUNtFE1HiP7hkQReEdi8QFn/ThXFcos+DT0wMMmbMePNwHd9MhUcEiq8r/rN9g1MJxU G2vVLezhtovrEHmk/6G8mp+Z2K3MCL4ioSrB4/CG3L+Iid/ZwCw80hRbjEC9/VMfQmeX62zFzfST CSnjguQHJxOPW698w1/bIKU6+/iNCbMGwVGnFqqYrVQykVBLGmiWvfCPsQNzAC4orrgvwObckf4c Kc0qAkzdXPDooncxhdM5w4crEvl9pFLXlPk1A+sU/cYEmjCLe3DkYShdTXi028stzJETLe87SVdg w6Ud7wl9jdM9KvblsY6nObToaGq6SOmkz0YJ5HvaWxBt0nlvf1QufLPQHe/SrEuK1X2pgxr8hv9n XyrurnqXjyy6K1IucR5Ui/stx2kReLYu/wis+zY1nNjeTpCJvNEUzjfZq4Rf3sy9tZkUmiMaVC0D S78BEG22hzKEhnSRjPZivMpN6QDSw0kQgX7dO4bFdb62zabQ4CrSHaDVkhV9PXNg1+OboZEsz8+0 NPpPWKC86ItTcMT17pCSOMl0YXlQKRS00Pa44arjFs4XTSMPt239Q8yDdrwm40sOOjABq54KPJKf ozhguNvz/JO+hWrp5hmygH+z88wKDEmVmhpDI05w2K8/+mixV6EaSqmOkneklqEtSgjVaXh43HXH fAng4ptUwnQS9tjVtgdPOlSX5qoHOHnBhj9yx+um8njiJyO+ERBLv1Jcxz9w499u4B817+4zxXRN j3wlcuVT70bQf0aaLkqsCbYy/SQY2ygiTVUUSJTpg0YDFr998BsEmAWRQdvd+UIB7bzsGuH9ZBI0 Ayyf972mZc2BLcZzcxBTAaos//M59E6U4Psb5PGt/HzJ0oj6UUMovyBdfF0YWN/DoO7lyF9HoEah aSwt6FQv0p9Yo5GWNc/ClgKAwoK4idIjwcV9SqtPWXB0n9qnd5EMvASLhPV6T/DuX0wiDKmVzgyd sugbF+/a4JwcT0ZCwD6anOaO9GRPdiBiwnXWynDbk4tSjy2WRO2NulKTha0MzCIDc4TP0zcyb3hh dmSv03kT6smWYSz8DUFBRznuU05fga/3HsNzWQ/PjInDXJxYxDmXhpjnGt2ib0kX1CsT0zueMT6u 4DpgQuUkg7mG0tVbO2TcvdlozB84euJuo/GS7WQ1ldn7TEOiHih66ulM1x+nBpfZGWS31cBs10eu sd3C3sfWaJYioq6S2rQNPa4Vxdk16KGYrJ9b+aLscAhpFrEQzY3kqCwZvyvw5p+Hs1AaZhL2RwYy lTI8dAj4N1n6sMc3dkjp0Ukw7GDTKFsD1Sy+FJi9Fc1DRL7VobCEWIOQZPA8MbFoAcAh35kTrgD/ 73b+QDz3is015EqrWLsIyGVQQ8gXRvZ+1EN78k8NpcvBCiVcoMQ7rX45zo5M/CPexCNLiSdmNbmK NhYAsiyik6/4NLZHtUtlupzab7nhOvkIvKk/CTFSbwOyKtHElIITW6ujuFEgMD9+aJNGRRI+dkcD Js8BGxk8NjIY4q8qe6JU5G+G4LD27dP/H+JmCbQqQ4gySrDrsLUVIiB8PS64fJPAHxDkp7GUc+HB QknCtCtWWyjMt+QUWem9z5at/1g576DGbA08evw1aJf6/sU3eQ4lI7HVEB5lZFO+ivxWSDtUgvsc K0tTiOsN2MZuOjc3ceMnDpF7Xhe5tRx96t9irF+lQXP7+W1ATcmc/oV19WXmMd18p5MFR4E4FoFB RASlYVoELvZKaxc7T/t78isj9MVtgW+aMXWQd9Z6JVwwya5bNRO9Bhu83qOvKwiiRGuoeQt7UI5e hutNxneZCPpOwdqTb+is3xQ7EW477MH7O2pGC8IuHK0iQcUkPxJ0zc+FCJoGOWupAuBAjI/lowtY DeRF0F9VhXlgQyFuRdWOyxSYZeFHFmnICNoGvC7390I3gcE0fNkGGjAWqNmXzfuVNZZc6d6apiBu plkYPZlXpFlmRAHgfaFxiRCXBGxViCsgxSJX0AzTs7IVObYyaZWxJ1DBfv33xCSh16pyhx+PmHqI 3OlDPZn4lRoeiH3QFicP3bEMMzB3Zy6s6U+5Wpz+kHnoWBOO7KGPHwHFf1p40/D1LN6wbT+1ZyzL vy1GgBEXZcf1hxTc5AZTLg/QGr+fuEh0fmqSAingvlXXXHH6Q60M2D8X7hh3zanmDTWfI8wqSCdW wbVxm+3KexwYN/9NS2/eeA3WsA9ZkR8VV5aRK4svuh8kuSYn41A4M3sY33ebm7rQ1DQ0hkk9MIBJ ZrcRxvSd4orlWV8r4v6oJoznc+mC8U1RYc2t4xNSSmb34q36xmE5yBFZTP6fmpAiP+W9H5zALZvt ZsYvWRWNGd2ZVmmJBoVNCIUuZrLZAu5JDBdRvJzMeuf4OSaCYYpt1xCIcK/IqQJVIB01mBHU4TcI bKcYSB9Z7ZW4XcN+KlJ6aQtrfR4Ml79bEfiQEYdGSU9eXunD4boZy10YDKZomHkHTM07QKn4CVvy 8zsISMJW8VYmhAm8MWwxoRE9SUQgjl+D6q1oQforTVssOCyFo9NWvV2KjQ+1IRLw6uNNCc8uS30u +XJuKdKcWzzyFOl2VQ64YARiRZdilF0KxOSwfQWfeHB1RhCap6zuY61f1gN7625GYODg8MqQYsc0 amRB+IDqRawZDx5GQMwTTThB3ht87kuU+yNLsszlLhUC3CRJyD7AadY90MdBFY8AVmj/KIHpdnGb CEguF5zJW5CfPlfbSTeTKBEeMxV8hvrvVLVvvKKhOlKY7r7R+rDaq6yPjQdlt8h2NjkCgw/8no4e AdLGfWbgGcVYl/5qJT2DVrd405YPngObBQKyuEKeJRHugibGVtVMGobEH61s6mwCoL3T/KH5GPv7 hOrBOkX6yYLPdjtC6u5AxIT+rTMPfPTYj1v9YInlY+Q7RMvtOJTDI8dQax2nFrBxruvAD0OZnDZ+ 5/9jRsCHd7xlhUXtATVksLaGaiNPs/S5+Eh6UuK7TksnJgwuDe97xdiYdTE/7bNt2uY35yifVRm2 Kr0TfPnQ6pG3CYH5C/v0kXXjs6oKpsodEHJLTaS3KREMlKAxvKLkBMSCeQr8TqODw5mBlBC1Gdx4 LenzMUuylPAfVeKc5RJeiYAXdUW8Zxn7bOa5xh0sliD1DaMNTYhi5bDV97thVs79GDaecSqK+Tsl sEGblaDroK4bs3l7GpMW8QlfLywV41pqcF3HSZvqs0Y2KTCYjxhw2459j6KLFxC3G1Z4RNeT09Q7 svxS2cwJt4FQxwvV1GEczsuDuV9ViPsBbGZMtaCegzbBvziHdY3DVNDLYQkX2/o/0TxnITRdCStf Thys1w677t9BDT53UKGb762Phc2/b8W3vDLKWRW3lSYvhApfeKjkpqx7JlsEsFt2vEA69y0hyLOY MRuhzznfA5+mA/KYbxAM/r1jqFBiaMoY0lmEmCfABJOpneM2+eZfglqgAHu9jJ8fKFhw9rlE+fcj ESAKFOX7ODgYYRlCWzn4N3ac9h5iPj190M1KHvq///FepppDlzycC6ywPxuUlZlKGuLxV9bGrP66 hk2v2RkpB2h+rz5H6nMX58WtW7xrgVXj+l5e2kUGurcA7OyW1usnp41hNNXtllLQUJg5sQLtJ4Kf YjG/4vkTtBbk4F+MjPHJ52VM/dmKzMuVxZaowY8cuSmFqBF6zVbKryncvJM0bBLDtI7D2hpL4+ZI pqel0xdxfMP6/yDLTRuRLjRaCinW+NBY+KtfbP8zyIYtiF9Zr69bVkoPuoLvBqWVg4FKEMURW4ZG iqeR46iEZDplCfN+t1Uv4XG2rfDYAPKj/qZgSuzxKB/uiMRPvatAhNpRz/4B3RsctQ5bN+GlStsx h0FCmuRADS1opwgC0ONTEJUdjOtuROOZXQm+5K6pYL56fIsIpE0LsBjR4MiZkmTFLKgyBlMGJPxa B0c8/YxJCVnxLwfY2HYIq6gZBr/ys65f3rboB7ts2D5zkiQlbBfy3XMDm7ayC/5JH1hCsD1QhbNe LIXAgiuXZr2T942tfOGo22zeeL0+CO7mm2Nta26JNKLfteIxT791aTwyXvWKSOxDYtqqbRNl3WQi BsCidXHq2FSPKBPA/TxvW+lTdaoVxiUihHOnLCf7E7cy96dsPKNAqshJp/6bFECdgIPkN/w44Dbm GvRYfV98oWHQm/Ovs2v5fAwHcMCGQ9OGnj1xxxLEdVDIoPhB5quGBS4pW4foIvYkWQVvX/DQ6pyl zCGrbLWOl55lldIk7SWOVuuCe2dqhe4tRKwnxBP4KT38R8KCck18GXUYQPWIoWUIehvic/2qQrpK zQijNgBAeNcS2Ma1hs8rg1DyXBoL3br9hXpiHrdnx0ITPTOgnqpNGsd4K1L5Rvc2YpCmZ6FeLpxv izKzn1WnE0yB8CUOudBm32Z91ECCpcf0In1GgMJ60sfvzU3UwAnbZRhY36KlET871IdF2E8t28jE +l9fRjscBBBH7t0XlbqYya+pJcmvbCnxKU9lMkIuPXmjeNIllMpqQbPsFBfUF6VZD7WYhvQVZqxL MmDK2qgkqP0TvQdsbaGvMJL6aVyroZfqJEgSsqE+602MTmY40v2NPSQ2DS+58ALxICC8+IVvX9km 1PlMe1PFzJlE1aZa7P4uzmv/i/3IPEHXxC8hJCkcRUjJ8W9gpBNTqOsh9Cwq65tyouHOC2WeW0a5 bxoSswxh749j5WL4sE7OonVWiVdBjeFoOSEODFXN0RYlyJ7ovJ2HUu7LT0XAvIYwZS/jPqSdIUKL MHdAp58eJjW/cmIyvlD/jWEG+r8PZFLMJJ6UL80dTLHgJu54QkgJYgI6ttEWu6piSt4Lf5UjWccZ Jxmp0yMmF4YW5n01x5s0SaoQDGvZEcPRtgWbZz9oPj/UkjwPnf7om/YRmdVVmdn1QID+m7FUD66x Km/iZnqMcyOaie2+NVEzsKN45yYc1GDsT60UCYCfU+m673eD+M4Q6TH4WkzTBe5ueMZugnK535c+ kEXtAc32fIKSsXFh26N9LX01ol9nSOemSwPZV0Se/mZOnqGukGlcoIN6/8S8V4pBWq+oZ+K9ICbh aYjPll8YOoVbqlmGIwvp8OGbAAJIZU8L6M8GmUCmRRWpPKIuX7srIt/j073fW6jJLKxSWuvVjW8g L880BJeCCtTD5JK3Pea081XVLhLqWMBI9mBlY1CInm88nGlZM6tprWa+T+LFSHOMFfym3FnwegB5 upMH+QftKjgRkjT4RPZfSW1XMTa33FsVgy+VG+LZUZbiZuRLri411R5dNB0GMQLvTmPUf1+STTlu Zze+vkxAe7VGXLD7vx0o0aAbIpG/5mmPdlJgWV721S1z6erNXG3uyWpu1oUTxvdsSQ/fY+y8uZ3E uCAZ8jEdN/AMAhrw2eS2s1Nx4BH6S88eTJOnkdUw/y+GxPKW5eLJtspGZ8fsuQaQOopkwCgxq/nT O4FKPP72eI41+DPj+/y4396c9fcuQ2UegM6wlpYRA+PpjQTDAqYhbO3BdQhAhWEg5OrO7xL5RciI dfI1ztmiCrI463HDDQxtrH6o76rsJ3he/Shdu049aGLW2pqSn6CPHVTEZcujlH+phHmvMmWM1Yjt ZbvEmhdFv3Uafvxfskc88sSMsjEyzZUw2MgnQmvcodxDPsqXd20fWvl+KdCmRaWAp+LRXJ8Y5wuF f+wfQskMuFgGCyT1bcfmGSXq7Ftz3shXZrku39YvXMvovQNgKamUttZXGduS1hqU5MyEnLKuO7Tg BoDf7GZfux7W8j0h8M+dv/yxMfc01PCmxWaGQI8SAFQpULPCKB731iB9DPRF9d0rSV6djlpQl1Kv qrqUbIAXNVtsgQJWB0v4gdNPJLlYfZSk+MfiwMfvDN3Q6LuWPSKTAUdQ3Ctmwod1/WX9RFA4prB7 V5GzQ7VNWRxmETkSXk/Df01vaQCcQpviYE4kL/McnnsUaWeX2aMFWws5sz7ChdEfRPI640mKgbe9 bHX6E4SlIBGIHRAlBRGO4wfmHbV1/2NmZ/CRQG7NasO7Oe3zavQ66uoKGvJOYRdNniYs/u+3hVT9 UgYS2B7T9zCnodsszxbiP7pyskZfdYDrWexaDbbN1OqbaANhVJrHh5YCl/zx8FSd6x6mx143o49b X7P01mRmHpWaTdb0MiEmAsPz/A00PumKakown2ufcBOHaNMGgNMUm5G8eb/1dF/Znerek2Mocg1Q Ity66gG2NdhzAd72BppD3PIB6Zi0akPiEL58jA1j/DFhoOlXfdxxYRPNGV8ksWW5s3BU5gzKBlL0 ujjG/pi0obzYQ2QG2QTFOUiWDzaz3CI0hy7V/QhQpdDmDW4E9qYcbDCzpZ4ENqtRf4rcwk5N+NRi jPE8KjmjsjEEiyHQyO8uA9eE/dMbkHPQvdfwt+7UemVPZRd5WHBY5Nb0pWhbnjgqJk/0CgejS5zm ZFri6HHfDYq453lU/YBZXC2URdJAY43i4YiwUTYs8kph5uWBnmO0j7FkYHkQpIR+1TOXQTpGXAVU jhJvGqJj90nDBhXaItJmwHCrxMb/eqr4UjN8iC2U+ohpa3wCIY5MsJMj3tKBR/TwV6b2r+lXImoc 3vKd7tQW/G9w4O0+OvZClFVJj2HtIt4hzxFrIiXmGMN11hCo5Cl4BztQ1Fadpc1mtF1BRUCHiOUZ /9wuVoZLO5mj0z19uFjsuREXUdam+861L4MClK7S4K5qiwXQifpnTOFSjHq4bETUEqu9RzGJNJe7 mgU2a9Q7iXXSLYrcPJU1I0hlzEWYIn1TY0zDgJ+0t/4W++YwnOirPioCPCaEBXplr+tFlnuFZuVL o4dxaqcfZa1WTqMhF46aLHZV9pIG3gXAZoWz5UoLQ+tp/Yb4jbOGSsKFwExL9+6D2ugumZimeHJY PzqP1ElOauDUF0yo7jjqEUChhcaowqIGXuzLeJ6/kDO69r6RC4IMhPnfJIOPRn9EZ6AOiweA3KVQ 6VNQivP6gxKXxkUD5u4ruoggbYQMW9ywtogyYpRIkdonsR3tMOJ1Xe9nl0qEUHTbKKq7xo4DoJSA RiW2/nZXbD/UIkuRxeLLUA/9NepHy7J9sO/LkHrRBl53Hwp3tHtfgJd5dBPUp/do0CcWoGx+wmCP 3FlpA1rrxz0hpGkfl6J5hq+NEp3hLwdglJqQs53zzczBy9RktjHbVoqRYEezRfkyDhYUn5hAJLdU FhiKFOLPuh9TeGThdjqhOe99kut1cTRt9/Ggi1NT/tX4Zmseg9zJCwCqMtfSsqTpsF92d0pKeDXY lcrjjSyfZJmHywACgu7HyyX5rSznd+rbYQqg3m0/DHlPxRticwnfiArNqxF+1iHDuk6p5iUaB3Hr oQHJSJEXqqgCSW/HCGi9j8BhhZE3u97CCWKUXXqD5pXFZ1rMdpBKwbt4oi2ura9r24z/BZDhZegJ QH3ckrOaAdixPaXoNicWBBq/Hlx5fIO7WByZppygNNdBmCTLcwCplEsWQQdE28UU5CG0TgeMmYIY TyGocPuewQ0RdmYgJt8kV5muXe2+5pzTa0agXCD9nEpzKeuZ6wL4RuSspGRS2RXuhDV0TgTbuy8S WdmMQraaqxqdSrQdB0yDE/3IASOViqKRHMqbViaAf1FXX1VlJrNxJ0cOKrBblKpqRKev04ee+3JP 5yD8mW4tticrrMHMKm9qn3uomifLeTXP6iQyKVeJF3a1ZpzSDDLtxu4/9weQTSZhDPHOodN7Y+pI n9/bvfeAqEauAkaNt2cGHhBVEFS+NDr+Ndhpq5+ee6bZyWnS16uKEIQruPy+k6XwoVbznjPZp0wA NVFKORKFXSvJDaPlbZwHO/6fMDLawNnEKqeimJ6+66u8EAV3ucdsMOS5nuMurzeOGrcBsbSlhH22 gSkd7hMuy7SKePNH0jMIXufJgQd942gMPA4KYibBv35Pi9fIeMKl7unieXncDXneM3mlv7/9+F7o o3Cv7unRkjXNEJYo2pdpOWMq9pgqQY5S+C4ufBtUSvCv8iQOFx8OhdG+Wqa4AKu0QVWGfWdY78vJ s1+9AfISdQg/iWp6U6tTUyhLmDwmVCrBXmhUuU5ndOzlsmpDMMT97Y5g9J0WedQi6Ez2xYCUBiEh XBSdi5TzT90P76VVEIi03l9n1EtjCdnBkrSFVbyBRykBE+Ms1ZSgJbgxrnYtveE/9BWIPNIQ0Xfx MIvPqNAy/MK5Kko1Ak/e0rl2ChGLGOrFu7D1TAjFBQgopFGq3IwTFQuRhsdWNs14NIsAOxehna1p wqpWOyxHnlJYmbOvvi/JoELsDYatr5L2SrnroU712rmz+VYedVQTS4DoZL9MC8Qp1TXBEnFOsKBS /ZQKyvxFPq79IbGNr+YBnplVjizqTQvSo5EBvpWtr+a9igiGWZZpU/NAvOQA9E7YDcHrcBm1rr57 XRDm4eO6TRpiP+PVNEo4Z3rqHDwcEJuQjWSEIsjy9DNogNgbnKeP1+/f0kRqIaL+3vO1gEjU4VvR 9XPpoWe0nIXGbBRYcdSbWORKEq1J24GdwcUQDg5ACF7EvYLqlyQyZNN32Bn8SzRlkwGWymZI6TkO cQwKmBmE4bks9SL6YibqhKVRgGfEigy6jGafVRfcVKjbgCrbqT/zJwou4nJbakEaU1J/gw9SBJ8X px/fQ3hU2yq35ds/eng//Zr3ozNs5LxxREQzWbljeMPp7o5iRmNS4A2KIi7Ty7TAFMi8gmkEBdrn wIjPvbRGycywPBhElQmS45Pr9+QeMTQ+OgvWJsA4rs4KS9sg88XXeHj8l8p06dFC4ERw25DDJtfb eZskZBHsGs1CUn9jGsGl+6L59uMmSUQCKc70+sgJrBVUM0sSkFHbsCYeKnBGquF9xpLizOlnx7SQ bNR0Y311mU0oSp+5XUcV54WB2ZyO8ZZsS6bWCh+sC7jMMqTh+hYZrZF0wPWhkfzQRVvzemSWc62Z oRtGi5rZpVZwQlddlmGnshuXvmUxxA8k4mPJ7HA91hq2LRY18pDKe6V8htssuDXR429/dTYweOpx SOZjMMwnOPHiHPyOdgd6CnpnRyey9ddVYotJIf8mzaKD/8//7K7NJVd7FAk40RVcLuuTkaXH4gf4 KokSZKPOxOK01Xqkx/kFi0iCvAAnNAMBEKP1kIWSCkMHONkCfWv5gwJNeMhWeWyB39+6x5+j+ilq l7GExynLQzb7ZWq2rdnMdQKBL0/k66E7qIdAdJ5S0/MUSDGo2UYhlzlts/Sdz2nPeAKCtNDUcAIo pcqGLvOd6mfsD0zpBJKb9j746nXjapz2UFX4mw/HuiNWFWsh+trWd54+V8V6Z/RY78/sdZglOHSy whdcYwN93++oYpNRk2bMhrCoc4Gvxn6xfS8igpVMLMldJmrSyd8z8jpTek077OHNTVe7eHFoqRn/ nIeLXDnRYBDMky081cBrC8W8w2CAnrJRf0JwVi2nz5ndl2pYceuRsxDVzTy/AyQxfTGljr8dGxWE 0XluZ4/u7fVJYZfKRYeSibffMExXF+3Ro5fTvrLBmTO0DK7II3xOIiSnqY7g+lAuXvtH8qELizu4 si6ABbJKYvlT1wQSMykehdRY33+HfM5auIP+Vrezk83aS06WVH8EX7t/ejxY19ZH7OLBpS7DIsUE EFodODaTPTeFqbl4T4exdhWzr8685lcifprnzKaYuOlsw4vImEgPJn20vNMA7n60PajeBnvgfpKB OmP+TkSwFNsjsOjTRtGnoEemx2/cD509Q9t1Mo6UBmvtxeSNM3H4hj35FIoTDC872qCORVRqAv9u OpgIatGHPgyaWHOpgRkac5vs94bcC34wPThUz7xJnNBty4sPiPHUih8mKAxC8S5e8aYTNJI5b7us kCwjz92WM2LCLjSTFhupD1ZRoBNeEnbwDSISvOxpnNLMr1RIWXbra7t3Is5Jv9zMePilqGTSfXoi gXf3rKuEZnDg0uVUqRRnFzG7vgTYOvOq/dWRyMKCvcWCSLcGBdSt1t9hcO5EJRP5IE6Vt3xZeq0X X5/2LRFTF8az4UGEgEF2eGBN+pnK10h4PqoqJesi1ayrvDI5D+ptZqVS1c/V3mrqbRM8bzoW4KiJ FkyozTfp60R099YTwaV6wgxFC+IJug4n94B1ywFwmR/kq8Orzbr90l2LOXDVRsaM/XE0OXW5h5SG qcvJzgoOiJC2CL5Gqj6w8szTRT/JjPf6QDB4gKki/KpbaacMUaButuwmE6ln9ZPeBEaxQGGDDVKW iJC4y3ih+YX4iUcYgvBh2Y7/I+LP6f5uJMPZe7IHYSAJiMBc2BI/mkzgeJvtvN2fF3/jo7rcbfk+ EayYVbfMsan4qEY+zalldNYLQEdPY/lB81PKxUeEPW95waoYFCZz+X7Coj8ZLUfU/gMvyO0QN2JC poQQ5l9iP425Vi5FkNtlKpYT3aTNio7gM+85nSi6XlxOVeuMGIV3SwLgpeRe3lIXsvSiHzmXW0wx vcFm76pL7xcM1ZMvY4/Y+c+N4BfUiBmFsplztBWYs2Psyzo/l96sANecpKXgfhjwOgm+5iZ3aam3 FMYQfsNvCk5jxu61TLdPVRlNDfI92SjYY7EDHBeemoYEPhvgnds8X8cXLFe98RaxHa1QZuunWyW4 V+OVIzeSJeJjJv7aH9sm2AGSbiCCaXCVlKp0Is/Pbrk31FF4QDuyA5OW4GinnyHbxh+nabpylH60 7EePbnlr78x2NHm3+SzWKjfwQbEyBRkJydOWkVtpa3FajUsRkv52IXraLuYStWb7hG2ebDd3rBaG iFvojiz1+DpGCLvcXOjZrUB2v8bVSDEOs5N2DITiHa6H9dUWWb4X4gKILq8iq5HEWr2Z5D5xegVi E6NNN1EJiZ9dEFqb51YINOrrvK40vueESqsd1T+iMM0jBiFmeL07l5ti9Z8NyeXPl1HEFvs4CsZU 1gSTBxy8Am6fm8y8/1O1svPO8T2ZWZekeeLAnYdb6G87w9DsjliMYUGcW5uFkSIra/ijnj7RiKFa QmZ9NjeX/Wlxbcs6YVM5kta5CNidt9tbLL1bls3qtZFEN0UQz8JtwGou40Fr+PXTs9t2QkodYQA7 YfDazlImR/7kGs6sWzq/yZSbqMU4aOKAA9gGLbwkkU3EwxqWrpHUC93peAzeMImbOXFv93aiiOzz dMVKhZ6n+lDrYNpSwRL2FM8q12D0yBfoUW973wN13CigWiyY/wSfJiTR2qk8mPlTz7IqjtX29xnA ZKF6lBNRckxl6kHZagSXUW3csRMtt6UpUWUe663A0rRC74Lng7O0qRxWft0ldjKKuIhdQaTfzBYa ZDv47GktQ/qD7B5bEZzN5IBw3dWYkMch794zFHPAFvuHFCeHdkqV3sngUeqlQ+y25XSy9uYzBXzT INTxaCXesXB2zv1lC2CKyxwmS91PtxF2ZmzPw77T4wTRVEF8/AXdwH76VJt/64/fH7dlJxrsAQbM 8u8CYGBoOoL/kdKRvFaCsjT3UOcIW8Bf9q+41LzX9SMfoU6tGGaJ2ClfYdfdJqbUH5OnAJPQJsjQ 1UddPDKqTPDJJ286J2BDZqZpLc7edj4WGaF4gzt8BWJps8CmL5wxAwtkkbg5H14OUzCNXuK5LtAz 0OSPyzDAyF0fwiFUz0pCThjovxI0gZEPXuAzrZ9uoF05dW5k9edZntfxqnmjIjVTX7MOIxOS8q+y gxC7V2mv8hKODGuwnwnj95YKXM3VREXkAWD4YIdcUbBRm9mPgqFHZPIipeX7CCMd/1KCbxjPwECp nbTGD3RXpbmX90MXEAtiFZIMtwFTiEC+CYjYfSQcd+Jv+hrxu3OLogkQjn39mescCCNGnevLgre8 J1O9zVWjpFWhQTHDv12cuHQHx6GmuuW0EM4WPAUDbpnquUfVrg8Pr8samF6zq+b8+SFg0NBHkvrE FOJ+23gEKWYqXmrozh7uUUf4odDpStXKOdQW61Vf4T/L+qGWykplY86UdyVxWdG9/nh1VnDD09fu HaXsd+/m2/XQvuI99GBnl+hvybLwTyYzps9nkJeEIoTj3dXKCoCr4cVvyCHGvtd3QzxsCckAecHd JF0opFVZ2Y9ZV0UWAq3nmBHpAyPBtZBiVCtDqHPGz0I90hl/6ZFtdJM5OXEX3DEcI08/yjFkYG/E ZflOzWOqlby0JD6CNZwAru3ZgeakUWNSjWImJTgnDqdiOsSvHRz5Ta35CQo+CIjwZ1v72LqaYauZ vePOrNcUFIsDEV0uYbxcmUxGErhdGueb+cdMvfHaPl528NiLp77YhKJ1qgnoRQNrhmtpLZh6dRnq s/ETrLwnkvzY6drPli4ouHG9ijcXY82RAqg76Df6PgQ7TKISaIEzqTREZ2HmM6xmqwUCN9IsaYx4 Df0/FGgKRwt+w7P3UHy9O7JgZi7EoJsD0kPeDGAynWRaojSxW83OablfsWAm8P1aWOR6vUqjtjJe vW/e3OcsHmU6LXHdMgN+CFbjWptd36ldiMhLlHvvM4Kbzl2vJbNjdnfFInKsuK0oYZUdscAAR/94 ElmyP9Hu6tkmXbsbRkw6hkNL7RsTu1P/ajnBXCnUaX/xkIRrLj9k1LEAdHNpWJ2w9c6Jrpdnxc2a RcKMDXbgRcFm08r0zctpmKeoP0ezmP9urYyQxw43MbtnX4WRImeLivh1npYywEJ9ZEs2xsI9CQX4 AfzI3OEydcplz0vSMl9vWuykGymR5fV3ksHteXZtLHumM3khv5ketEpy8rXuXOYcAkFMCs4dMQ4X O06a1Vn/MjbEIazkjD8kML4VqfZGOVdI9EawbDpP7SuWiroQ0D86jN6UMKH89I+9gOmVsOIZWmat qwWqcxHdyGSLjMWd0FBEUKHqphvLgkgYUq1Dfu05c2xl4H4KOxD6hb+ojexAmYcQVL+eeBPXnRXL tbpGVulAGVaN31M9hBsxWwu1o4pKgtfZm3xzxjz4VRqBVolxhwsc2zMBmtiFUEBRDuE/DdiqTX7a O1oL9Meb4tkY7qCwBXV6TTL6+wBqds0TaaB7xo38SGe8NU9AXFHDtwQLEitbD2gE4N3UKGL/TwfL mF1XviUoyKjftvNa8csQ0/RoO1rbVrFHeTqBBP/ZbObI8xw98Xcq9tE/qx0lITsEP61eVZXdS5rO WhoWBjKl91cCsOn3gGrGmgA9JzCIsdYVXijWwbYDtogJhzBxZOuGy9MQp5yzyCtGuKsRFa5G2z61 ONGsaFL3OphAWXuWjw/XFj674x9BlHgAkEuENwonlYMO784Yrq4vm8PEvL1qHkHrreal///L/iZN 7fz2FpIbwnqyOS8I94CZGyT8L0rYoOvNGsu1LgtsQ1k32StaRSB4rLBqnpZQUzp9YI1XM/CoGZgq bPxHo9T6W4Y5YFisR+mcFIVnwMy15GPMDqr7sXl1s+o51Q2uHodugUeTbkqimWsRQk1dxkcn7yDt 5tgqY4QiXtREMpq6r4ulBGTJ2zp+oCM4y9I8azZSeyMAI3iRkv0VWmLfuGj824GSismwe0DRLOCT HbXvBGnl0dYO/5Y+5Qyi/IC+2kHtzCpNSr0BGmMufGCvHYCnjaoJCU8EKuddQ6yLaYufGiQDSlzT uZL6rGaMf9fonRRkdrYb3enFbrGjTQ3aLquS9CeVuahvILODZh97LLeqYx0svE8LMo94UzYjG2mf 5rqNGeLuZm2fe1nlw/KSS3IAVHfWlj07fxiho/unSUI5NeVTUXVG6Hn3tAzugu1UwSTqrvBzBs4D BpZiKKntRjHia4BGGFASEJbASPbl0+g7IoMJcthocCQ3ztbHuTAZWOT84C61wHXzecsmjilYMWsV cld9ypNW6XoMwXINBVflJooNjeK5+UGn78Dvgw2Uuj6Kky72bwhwngbHDY89nkcgNs4y3+siL0so LRQoWDYQWKpM7M+nrOITY7kDz0jnbKJ2MBTIVrcCulEd0MrEx9GH7QBNA8+74JE8D1Mco6KQ/BsW hZcftS/F5k8FU3FpWqZ53hvStPQElWsCyyjaZ/b35D7qcf5Ftl7AKECM7UqaMAoZf4ZPOz2M9Iru +hFcP24l5YP00koVOmX5mV8RTA1106737NXkFkYRwSbxitZ6DlbI2Ndih/Ef7hZRxjgVajR2qHok qf6D8JYSQFbA1xUkxdyXfOq9fzES/95bNClfwjUXQXc5dHIOjj1NElJ8dCymSAUr/FmnnPZFZzQV HXH7LkgBh141t6Sh8Kw8sTbKWmbSh8t8t5K213i/yqg+UrOsaEGjiGmPQS/hX3yQTInig8httUg4 gacgj1YRIcrMVBy/X4A0Z5MwV3qA3KAF2AZVaNCq+Gb1XAP2Hc2eXPt276SKeyylOqG/MIgiaL45 K1qn1whgvc+dH+HiaBrH8ZX7FJpYwZ08v7oHUEh1l4OgLTHkhgrCcKMCuMVzpPBmTAnRu1Woqulk w8l+jvmZw0g4o3LomCdJ8/9VOagNrgoRSzq+E8+uLRcXsZsQiPNnij6PadlcBNcBuPmV98W8JLmo SGb0hXKN2WQMSgCoqbe+S3xwv9dlsQgAS+m85XH2xadXotXYlKoVVpbNCUOp1wJM/BUatxGpQyOJ 5HNzcWJu1B36Eccq20CiaCFcg9jVCqela5tmmPTJpzFu49QXlDgfc5s+o1dXEAt5gau5J3VAXMdM mrqUcHMZXJ4bvNw/aXU5V97gT4rQjfktR7RiFK7Iuuu849ZJU/UAugTliRZlZyL2pZ34hzPRLFMs 1l07BPoaRJMn1dOyQE6oIU41/b2PmE1rPxZJPIqty3iL3yANeNQi+6TawnsyHQ2aJZN7eCYmz3oQ MvoRf7ZKyaFzgGvpFy04bBee8CWv7Wv98SK21NB+Z9BABxgp3J2UMYm9ElhaQmsfhoAk85bVj3Ry F/cV6QpGA88puhcYHnT92vAkG6AaWvPQmlbu1M4GlcHhUbHYuSwP5No95dj4Sd02KhEU/SYx46KQ 50qkK8MPeECjYHl4g468NjPaRSHW22bvGGvBqJ+C5NtXF4mVZ0E1mZVEDMkINemnZg2ihVeO56S8 HNoPEoKNJmQDHlmuqHehWHxnrhO7uEgX1DjGsfCax4TvOsigQuJRCf30C9jwRBAMnNJtay5UWH18 VwyLThc2yLlQSo0ApXOsQw50b3GYM3m2l/ToXXJnwlOSNK5622dbZAcmesZN5049mm9qcOXGZ/T4 cZ3xWNxNqsqTs7u5r4glxBtAqKbUk8pgKXn8OYnXtMVS81H31xBDggW7+p1YgaCigECOpJwfSz0P WmCrH96YeauGxWZwCT1xCni1FZeEWgvsg77odXTs3MAoorYqoIEvfEhv1VvHlRqjyDRPNv49+x+N 7JrhMVRXPWCQBN6K+3KJa/bHMsa19gcdI2w5qIEuL9ylkoRYs8J+L1lK+/CVK3B+xoP+7Jg0ooEq CyQl1svI8Djk/r7BVqWkFzNQ1Uk0KGOk6MMCt9A1F27pr1KEVn+U+k2hxx071Sg/RMck1I6clrD7 uc32jh57qmjj3yawYkoID3wSoj48EWPs6ZwCyvutK2lkWmY2DBPnxk8r3+NeEQ7KmkLXxCI9GjDA TDMXurXig/BIptwIS6O9kIme0VgOtgGx9om04TKvfSIJqntjI7tpJ8p1miTVUUT/xiFXOBIYGJ+I wX/zHK7xymMld4DawoWC7wb9dhLeIFGj1xrBLVyv6py8/zOfIpLQ46wY38kDWnr1JFqB/PPqzwFI yC1YaH7wVxkAt8XpRuhiapydTSemxC8ETQvd8/ye23JtPbTiRB8DG2EwThA9ddsw2RjNKQyZRpTS 6cq3z6zJJpc6LKSf7SlphNabKuoXOUlgIdW7uQz+moaLuihIiUGm68QRToadLALeIcvK6nxMDmSI BTWP3osg87Q+daDTWo2yXi/40VE2pWmFoU+OYFa6uZMdrQqNPKCSytMzZzxka8p0tOTbBgAwNUnx iflDoBrXr3N4pGRXeLQOvK+v3PX9fZxHBFGvVkqEgzYoyTBkMhjZN88HgJjWBM+F8i2W4ib4fAHY xbB21Bu6iB02zVwHjHkoHf6pMdmqkuBnqopBQdqbUWDbDCjnellRhGcpUU7ZAaRI28W762GJ8qJN vWciaxQ5NM8zg8Ykz3UNi6FzEaemc/JD3R2eQu3ruXI2gzIhl7JiJBScgxFaIFrBM9uyVJYeViu3 xMwU8AZNqLRDEKoajmsIUIJGRjdpzhJVG/lybIG7v9ZoIKKjNl+VHshg4YFCJcjofZNjxWOaQVYE YphtWgyPqplGyamB1ODSN7/bdNYwJeBMQGZQBqEvUQ/LIwN/YfI6/crHLEEVIGaafdg40d+P+ZC9 VgkjqPsQfXMIg7nDK5clw3bq0jCpG5EZCOAt2c+mTcaumou3Lfr4gInmG4pxqHnHuKRnjK5glW7L Vh7QkaOebD5UAKGHa3YBCBNWFdrkn7ohsBXg4aROWLY+XAoyi046hLH1Wcamu0TvmIS5NOI4YQ2N dIJi+xgrRw10isPGGwZb794KcdW8vGu8zMCembDsPziBx+UPn30RKZpfzGc0c5miQkTAMhjsNFUP CAFwQMTucKHpq0U+7j9lZ28mnaufDO44LumKETSi7uZkalJHHmjZvrt1XRcja4K+6RXF1FfGlHFA BIrciPkiUobjeVcoGnvb235NxqMTBXaCewsLHuSdgahe9ouhB+7Q1oz95S6hMG/vjVszo5QJuWsD G3ZKQg5U3fb+pxqOMsruIfBvDShI9Z/OgGNHtSQ0fDk4DzFS0iARUm6tInvlmm9G5yDzzIn3D2ly QXv27kP5kRGzYQfC6Wvt85pAIgb3MDONk2ZVssfcefN/BCQVz/DFnrh4FXQGYzonrjwcxCNrOzUK AfHZj+vm/yZAvs58p0Vc52rg5kq5K43Y7Tc1tb4b+23f2nEFHf9Nz52AK2plh146Usb6zvPqEESs ryB5b+qBcg+XxX5BomombGYAKqfPj3niQDSOEb1hhp5Z7WIaxzwEXipYCGsHuFCnMYtKzIYF8ueK 1NCnSXh+WfuCWCDekd+sdo/XkUXCeC9q2w+3nY6RPYmpbmEMN+U3mMX31k1Qg5c2md4wo6VGArqI YyOZfRaGs7HwZUei67OYHydnE82vhzBrxKufQaMOvzT8hJFcJ7LnT1odi/GEmFBjuNqebyygg5V2 7ZdWttt86e0Vys/teduusOpHcPaTXr9qxJKFGTDHysrxMOQRzqB0th2gEBxm9Af+MZcxcyqX838y q5rLWykTzPIpbif5SgSZTjCX4dBVt6vbPv3VtkqCz5dkIHEVVxwlyEVFZsthYIipk3oM+Aw/IiP5 45nh8AOEHOegDJvJnW+FmcF+blDP2Aw8hZwtQSADx9MeTbYuQW9tZ5CmDJhqaXCfZyZ9Y41epzwV WkfGyNUdF4+iS1FZM1DJ4Um1kPsdaPkiKfLhhfSBAU0C5UhBSHqL4jNlvoGfHLZNNKMVKXEk8AiA zDvEx2oNbptcV99W5DLRLIKCAEMp6ag6aj6e/FdjgTGsH2qC2uF/rxyIsmlYpDJWs2fBSKTjJ0Nd NmR57It4tqgg5Tonehej7JkRo5ZYlPnFoE8yCf12b+E+xh0vGV73CEuZ8dj5/rDSJMX/vuTXlAOp TNE/uAYG+1QmihIF7t2W6ztJJPGf2uB+88sRixkEIhSZKIoh2/EDMtEMo9zZ/8jcwd3wV3B21KiS wj0RLMhXH9MTJ9SkgmZzTZBXeXoJpIPOppOVUaklpVw6xn/SVC5CMQ1UPAwQZblgMh1GT6B2cTDE ChcknhbhyBIS8+GNRNb2BreGfZPSQYMTOBULgNQmVeIIY1j7e4PSB+V5brfKJQ0Ia+Xp7HFsom6V GAjFF8yD+FlYPkhaofW5D3YqhW3BMrSAwd9hLVKyjWcrRuGhcsr8ZNdqGSdLnWq5FYzTTw/pztiR ZE6r0PJ6LYvmRpjzLK4Fk0HsTLY//NhcX6VMdTmVEhOPOaZheKyYT9bSyMlzx8W1u/PtkINVQIhT 8fEwGz1zF+AEzJbuQFxUqwDbclnq5AkRm5dulrParggi2HmAeOCJNZZrDUIxgqk9NKJTsFaZXUuR Z4Yu4eahpzpLB2Xsk4w/U7PqFXwJl2fJ+Fy7Rb7AYvQmGAj8ePGy73WGKJkoyYx/EhWABginhXNE h2rYSG4vYbV2xy9zgOo5hcJH4STQkmP7g7r82a768v4yRhSLOTsQWNsRYQVTG5gRZVlmAPjV0G8e 9lIhPvVeHUolCwixOD+0xL482nIdk0o3hysQcWl2LmfiDE5dEVY7tETfxhQ/5PKGd+Y21+XA517u HZbVDVaHsOT0aflcQojB4iEp9yoXFO/8Tet7OEbXnp/MaT07nobxJdtvTIZacau35xpEi1Kj1Szs j32UwrWuMlJiTUujM0VY/tsaZzclba7irzdgJxBwaO1SSh6kIMUhVBwCpFxOSMXPcicc0xp9it1d oHF0qhNrqyPG1c6xoGHus42vyJ1eWg24Twcz+IbM1N1KLNuiczSkI7vx6fhc/wTsW3g8bQUpzQEn KbcGW0bx0QReNmm+UhNCMqYcisRabdMe5fpPuQwInusqw0x0B5xJ/ui22exYyIA6da0TwZRKJJlQ Z7xT2EzKuUTIrpwidVjJqu922F9ZPfY2vfXtb+HwHb4QM+NkQonAd71IsMuosVemefx/4U2snG3d H0mGTs//36CpUxvM0wZVyiRo5VnIOO0qXM9mkfXTr4bU0iQpBGVZmSW+b8UC5c8uVvN9S0UoqJxx 7lsVjoNy90FJ2wGLjErbjVJ8h2sUhiwjPCyefMZX7C1S4GCBcOVQ7QLYBJBeBDQ8Cc2xteik5AIN mxJycf1uN4q+S5YgwqgVQruDhktvwY+da8NK3Wkzl16OcJMlNRAg3/7eY25424hu4uhkSl5bSpfn 0x8tiSkoiu1tzSSRuEwy6+kM5MhLQavQ2WLuLgeQiczndL6IWOO7I/oVB8CHdG23ykLsH9Zqlfdy rGpN9IPqRtZXTK31WVBxnXeNFOOesV1hWcQmHbwfM/xUDrYOwNLpBrSGRmoy8TM1C+G1Wv/OlpUp GAZQasFZ2gtWulZfcLV55PI1CnnhVT8WoNeDffxODAcGNMGwanD3CoQLwMiemghpvSyWoIBVzecN gs+bJ9IZ7sm7GP083ARMNKp7OgTDRMJGGovHYFvDWmRWa+xXWQFEhNbbwdFZ7U+twTNNzsz14g4o AxZ7PDMb4stHEtZ6j08g2dLFj+Dfe7wPYj+ut9jk+x2orpFUDqSRM6iNs+4c3ZWxtLjCG+CxBNR+ e+j09igRYWA4C6bG1pxqfNCgSL+C2Ny6AmH67fyrq0DZA+M1Y0q0+LYL5K3s6F/63RfOHTNbu6ch jYfe6T7ArQ46DQVBY68x6RxaKM3v4KVZm6xom8Fv5rlWQkah2DGCWaXx1Hhf7s0Fj5qvtbXql3ls khnPvBfKWuwuOhT2pgv+FmcUYczWRNVm6xfpW3swq8thEoI3jFpfVquHYAuRYmaOePB5TvRXZdjR oa/d7lNdYnWj5jnFT+zAKbKEpa1h3IdQsUMOgXH/0PLjQM12SWH9b340unDmLKSwIZZhPfwBCzHi iVkAYMBMNBBsjJjk8kKa/8TP1ZtwJxrxIxPw2GqCvI2PxqOeYnbfDQdE7GiCetkgxdatlMywt44x 70hhnbO7NOZLaLIuDMXmQNHRwAJf/lVOXEpK+5QLRpKGQ+p/zkKTnJteAnDLFv+gZv6GnFfdnItG CNsQgr30Lb/xYSUNZMr11Am4oM2bbgLAnDdUOvie97jy7TvlHvqyvvuTP7vwYPCehZ30xZh3Iq6r AKpYGMIiDSdzBRcMvF094FOQ/TvP33wnycOoU/whiODEooO8jLIS5o5ve+qffU4XzqepoIq+SPhl 57hXRLe2GVEW4DxJwybp3nH2fbRsx238tKmHm57hbCuY3BWiTlhFRg9Zht5xAlCrUbTyvKL+tveU 7Tpcz+bXaPwB5gC9POY2MaedTdh7p3qGnp/aVWd2CEv8uFXRFbKdQ/NzoCBqIejHSCsrQngy/SN2 4o36iMoUASTCpUoUgCxBhVIVIxqjh+kKm+KaYQ/NEn1SWBA2LpyKV2lQMTeRLgoevGjCnUYv16jx cJYsyWjh+3ddHulUK33JocKRVg/y9pYsO2jk8HY6jEK8E5nN8QJSAhweT3bdhLh1W8ZVmLB0I/l/ bNKxucRHJJPRF1coxH1ImRKEJ51d3aCXaKysLBZ6aoguJjwXCczkx90cZKNQmkkrbgEdKPkdl8rQ fydYNh7MSrpRh4bc8I7CRPhyaw8vlsKx00a81y5UF7r/BOLgS78YJ28WKOxPvLE7GK0Fok7AZHLC v6Z/aCaZs1nX6ELRVCv37ZTh7VnBAUHobFSDI4s2LFvoC1ls2QUqUZszAPgGpBUwCpwxiSqd4+ag 3ghKzqEHnyRvc9vGCYGNtKyGLyrxYyHqJuj/C476x+cLzfj0v4oxnWZUGVHVoVsyMMxoD+etZN3O ssPEk87q/8628KbCHk3OjXXfi6uSb4HDg7Zya7ZIoiUkkUQg87ydYQFpiN9oWp7VfDsjrgy5EBk4 gdRxMYPFxk38zHbSN525LVSVGWekUVs+z6HX8bEV4KxtCu3aLLuhj7mjAgclGN+eSNptT4myphBU leDFnwC+V//CHmxaObtJN3beny9p2rMeqmJL/ipwCiqekdAVNpYeMTsguE99IHzHxDeftFIqXOZq Yw9wlz5YvF+xzFTQuMa6Z670rHmipJH0RZLZnnUFL6rFzZhK9TycbvOaCaf0Q9pPvdKk/+9wabx/ W9Kn5tWpBRQNuZSY1/CzqkmDPXo9D0bEV3DKgDfD9OkJD7emyEBuEQGuB8CUODcFocq+w67iOLxO /PhTv6MDWQ2K8qOrM1UcL4FIcviP9O4NTavjDkubNhgNJzI3uAulQB++Ec/SjvI4JBHiSX/xGQFH U+OxDQnhBigylestR2l9zZtcjtpSk85qA4HqADC5f+b8fb5/JQndC8U0D4hFq78OJ3E5KaElOkCD oiEjeRCuaoZUv5f3/FwPr0eSKx4C9tMbFB8z9Xa9PjKrAcBDgZoA29sVRbp1++w4jh3HewezRchq EY1VHxqWhXgbN6UyLwvAMaTydif+1UK50gKfhc09Idy9Rspxb2c4cgfGqmC2C2nH7eY1EHzyVpQB I18+VJWiTUkze+wQqt/mrCyw64KQh1iZYh/yMnZgGKLvyN67oZ6waOtnS+249PPj2Kzka+0A9UUM 4zk/b6AWa5IC8CJq/eQHILgtZHvpnv1+rZL9atv4/Y8vNVWoFMNjRe3PnAg5TMTZo4iIhbpr1I1x +pxxyBHGSPNjELz7xVKEKBBLMk41eqVwHi0PdCNMWfN6jyLsYaa6GePHx4l4NbZt4JHJtAw1crVw GR06kFOVYw2JMtPxksAZ2WFxPpw/fMuVE83YYKaxdpt7knMyT4vj9kC1+SpPQpDGs5ZUcLNuMpR6 frv13Ncb1VpQ7K2x1Rxsy9UO1us7tUwG7sff8dKvBWFNNc+qoNaYgt7CGGAATdvMgpcXO6epCTqI hr4df/axdrLQPhrTgv0mf2S0g89wprRA8tYJeUATJGCcgg0u6qcbZTsW1gUG/L0QJxpdkGS0DCjv o/lH9oGuN0xmAGE2HUud2onjqs9XJUqcVGbI5V5hOWLXQXT0kp7VPPUtr4tx24R5EYE10CYJGTpg TnQ4/H+f5UOV3jxhwu90LpShFsWqJ87l4MmDrsZ28XpHWSTVMHiFTRT+DbOlq48miO+77qniJ40+ t6XGCSEcxGBKF7QiGWIBLJfV9B1MPOPZsEApUXBc7cIXgVezdCnLV70q9DyZgwghUHnP6GmHSVqh RgrlmIms6qTK43xEXQdkUYtzWKD7XEKI7K2mQN3jJ4ucTL+x5c91ZxCFI640MdATqWEFXW3mdZIA sQMo49vuCxAHp4A0hmgl+tevp5IyBpMyeIJqznx3zXxSgL7GcPYZdBW483EJ+M9OLeIHvrnRMyFc 1XRU0fmQ5ZzyFq2SqYiCJYsVzmZGfGcd064W0uVTBXTDoevJZguER0BX7vJGF2BaNZ8/EZqo8Rlp 2Fqb/rxyhE4b/KkmwZuR7fd2eAdXM2wuuNwQ9BW2kOUTIkdau5XiGrrXx9Y2HKzZrJer9344WacB mI2TKKJ7qqGfb4RUkx1w4K5sqy/ayeyVidIBsWcRYTGO+FfzbGh/ttI2qSXvh3tHiHccKPMm3Rmp mfL7cH/+FVmvA77FvgY87jZ2UDAsceAiOSTuLaEG+uZAn3hNI9TY4zN7RyUg3bQYbiPai3tHZFRw FY+H2XE5p3/Tty/kCc+F0bhPD157oi+aBczLwy/Pgd/uy6HKi/MAd+X5z1QJWKGZU9fgFP51FQWf Et+3RpscWBJUYLsroTZGrQHWX0hbZv1b3LLTH5ml8xZXxywcRDuYsMbnbSwrBdFXoUPuncggzWqA CWJmVx8i2gn2m1384pRbJp7RduDHZo0wA0U0hFJwPZfH1x3xtNt4hVuGX/m+yYNe8n8E9J1Kp9A2 xVa/nKPXFuHG2vadh5zu0zEaUU+20bvDbvxzG0udpcVqPJjXHxxJ78tbI2Vn2mjKh3bwvV3fH0L6 +x/WnXOhizB6oisMNSVgG+uZDYjlu+8Dt93yEarDk9vjbihl83U5HyY5trjHQMKAJoCWqKb5GWHT +CeKI5B2OMHW1LN/zYlSscNzlqmBjCfQtE9Sfse5uR15q6wsHu6qP7njlGMfQqhtLXrtETRwDBBV MVF2M1E40ZVS9C6yiof75hqtdnRayLprEfs9AHkOAyoGZdapnS+jUk50l+nSGL6z+yUqwVvKey02 gdT3MS+7brWuNSC43icg9QibBEA710cpnK8sK5uwSz/17wFSDmnQhX1O0j8274DIcKO/+8j0bTQ+ 0T4GmJK343CstT0h01yDs9riFZuBthmeKyszR4NnLropCHGyhdJ4rAkaQ2o2YL+8Baw1K7pNEyqo GhpL3kDJAMr+ug263TU3wPSHA6itn21vjpfyjMO/hSkfN1Ak92lBdL9Sl0r20I01SmTD4LwdYQTe ZwmfOFa5Rz8eZ0kAb+BSEehpMETYrCLXWkk6Jfyz6dnrPi6kW877M6eG0MzKns51mSOl4ZAubAUw fmn/V/sW+pSwvfyIfYIr7iOK2g5x8/kpFga2m8EnB1IGcgHWXlf6z0tBhIe+aQWnfKYlzJkWtsUW fKo/M4fPu8HC6M1mdHldr63H+oqi/aAwy5SMPKdBkvpxt3A4xgcPNBcW9rXSgQqoPOprpZ3ZTGg+ Fqe+iGlvOwDS3mZnL5s1KiqyLSGiMSpOza6tkX2EGwwvFzqqom5ow0vioDEAqdDOPRkXaKoMNBku cSLGfTH8zigWsEtAWDeh2JTKGlB49f3r76+ZZfUzUHX9wxdPznxN0yX4LOIBSX91tvGfd9K6SJ1Z 0Hsloo9Ep63NfK09S2+JsZb7xfguDbrF3fwGoYIlASTmr28GFaAaSmB2eGrqdRlgFkAYBziPZF1r 1X/xsNZDqobWpmImaSoVR2SU4GbynbHOA2A/+YyXpCXUjrPZIblzZLBNpyBY+KAmJme+rjxt12CL Pjm32fDtrekMHzYhdkdGkb3LqMKsM6KfKMJqKeXqCloUNEwyBgaWswILmCto/WaM83ptdhL2hNXE awk1vpyyAp6ia9E0FgJYkp5DLEJu+0sxWe3jUcrv567TFREWmmD+mKjKjIX8FQmeHFUCkk28SLwq iYxoTlu/WVK1Aj08+89/MUwmIUAXvQCKqFFCjQC1YUu2RkYH3/O71jgkzuPwMpGZmi6uFvmYruVn uIZdvIGJn2e/AC5VlXSQSN4xTR/Pn4rtoFXAoZJ9OXKXN6ZxV3rUFKsHPY/yCP+34AuCNimx7qoI YMFavQXH3KcsIYjCfSWoWpdMDNPYzOUd01V2MbccGwTgwmJGTToSM4VkI2Yj8g86iHPHWPBJwO9x Pkrc5/PGAaQ3Y0F/1gGT4OV2PfyB6jvtWZXMgXVfM/SCKi6zNyjOl4qLWl6Eeqk+h6fOzJj5ceE6 pkmXHDaEtX09NsPLyyXQRtIEOoosexDxE66R/7xRAQoqBK5Ku8wWoTFL1GEc8f1igdGPDG7ST4Pn UK5+2KZ/h5pRcOw3xwAptvfXu5QNYC08JfsA7PZKUGztAsnWWgkEUhINr/vQIthU9+5Dm2eaD1Mg 44VwQEZX9L56JAftzvPUaa9tIcmItq6P+Ny9ZEmZqcm6SPOt5hJoCippMjijLS11KEFqcqqPEHGa C2XtqMyR9cNMy2n/3TO/cBUU45zaOWuNfVda653pqXSImE37fq4stvHIbhP7IQQqkRMUMS5dgMcL 4cDIV3yJfvS/sFHKzT8036MkN3LNMxiRVL+QuVjgjsYiWiok3DVzliAgLb4zhnmZTQc4M9PzAar8 uKQ1eqq866L+DCzF0+wk2zw+NYfLS1Oes6CUnXUYxvd7Nxg6G9+4pVUoJ8TmIcl7VWA14g/TNxF7 bPm7hPKG4o1eh2hMNlTTgWSLVYVw0rRkJHjOu8XY/DG5+4wJuSWEIhxs+05nIyG4nOpJKk2ek/UB mw2T6jp7cFTUe5+ZRuQuEFrxJxFfk4w/1r0vBt2q+V2wSwEufH5ZCVIjxX3+P6kJgjKfr7L2dAa2 gD6cKOs4VYhF78QEqLKrUGfVVGHLKxQt/SzvBtpcoeBWfpB5UsYocGZgmKB1Y9fJ3fLDitBKTYVx 1zz22LMrOR6CFUb90AOKZ9TaNgp0uu5BkMO/weS2ITzA7g/xjoRil41afJiARqRUkieu+MLqtC1T M7Yxd8fzkC6SUabnED3NK5XFTyux48oZpP39VvxbR9FozCFyD3O2ofgZdPdeipmT7LqwFePaYSLw KDybkdPHgRRyt4EVmS+ROJUhlbIGkytrpKHxxZi8lt1fIx2vDgc7A7wxkdtm1g7UKHeFqEurPrGc Rlc4cb7EvjygvM3UEvwaZU8hAKNtD87OoJ5tHAN8vUN+DbjgiBwHWeamX5ngcYQ0QovyDD7dFgnM Os1znxE2+tBRFjNip21A6wfl+EdZNEWZmE0KSUsbL/hpzHAYSHKM4fqtfPOBX8yX96Mk7KXPEBVA QoZdLHhpN0p8wd0jGs++JRqTACz0InOhMLJQtPPCEGpsJD6gguIT6bkW5qJVfLG5o8u9kCPbzhgQ iehvzM/beyHnu4MjatrT3WTl7Y/cKVBSI+UtVl6qNPqyyGpHzr3RguDCThsP4pjDtGnhhqQzDFhh ktsQJK6yZ8w9HRv6ekBpGEpBVfY/sL60Hi54KCHhr9lAx2mfS1+PtiyZERCvOi+CWTjTSR1r9vHx 0svh20voEEMx411AICNcaDI51W0nWKYg92xbkEnvtqOXi/hkX75r++sxCpcw7mag3i+yC+Ns4AcF PExoJWgO9kIPft4YvprkymgP6msyysGtY9IYT0lfe+jX9cxNJiX+NOcb217QMJCaa5BQGMIFjvoJ f0FxD9YhFozQCGzz8xOMjAlF4ooTAwtxgXGZUTiB3MqhqiJrtHOZooNuh70pmuPh7JXaFYwCRmv5 jLBdrA2MphP1H39oU8kU7tBOSGEb0cORgYdhhfPRBodCNPzIgoO4de2XewFX/Yca4lKSF3JjjQGO X4d6t/ItVICorMab8gI32rtAAJG49Ow9I2Nriez8L8mCiCUQe3Suv1U+/gTcWl7Ioyj+CYxBnwZ0 p6bxfAm9/GwxOqnFAddX3XRoDVlY9fh8HzUy89MB56S75C32ygGRQ/1doogB0H3e+IS25IXsanq/ EnJBW5zPwJhdIek/EVFSnr0BW7McUSgaf82PiJj1ZJYKgj9dS8FWAuKWxv+SZFR7b8ga9iYE0k5C RrTQG+qAU3TnQ8aWy/a3YVIgE957gdk5rbGFFxxs/g+0h9Q5Km+A+e/YiuOu/dPNXMup58/NYzb+ fuP2CxsnXE4+CnE1KK1KNLSxr02DDCJAtHvp7MxKsUCHSsOTb3QFqpa7KMMULNeRcCOhj1q9LYZn keWINMeeeZ1IeC/PixiATcpVHSj8Rg+anO1qcFcCyANf+WbGsG5iTBkxTCGEEqUbIq+YgKRogdgb Qe02iSve8TFeNdLz5XAEWsdVDhB8LVB1GNWIMdtO8egRCRPWNbhBUttnATeLWImpNeuZCFQGBRYn cQMpLj0R7jQtbHtE8z44PBII8BfGYAkyPoNzG4Apazgk44ni+yAV6hCp5W/n2vrH0UuvtqUEH5Js 5m+hSTN4UuHh09Z6Zbtw/eoV/C8YDM0/XlMklzZoyKDdOUe/2KcfpI0o1vj2HvMojkL97ii8bZ4/ kJAmPDDT1OYwI8TJRk7UDnSVGNt219rsXsRKcNjrWucuPEImuMLPBDe90+CQk+UqaZDdoMAtJ3zs gqCj5UyaZV0ugrxdu8t9hAqT3cTC6dhDGk5NhoV+ies+weQGqKShuaF1SZzIb/eGdXmj5uk8PnEl L6fmMucK8VYZOq7e9PhLlniPLtdkZfrTvH9QB8jN4Zt0G8VSJJPzOSLR0RvNO/rjEh1/j8fwsZdw v9yo3baKbgZc4tJb3q66ZFsHbXKM0kuS/PF4xhxqdWdYsNcrb+JIqUWBm/VG09uNYAO9SLOhvohw l3OXejYcGuLTUksqMr4ZnVLulYGfkM0Hgqw85RaZlCoGOsSZmS3nnXX7g9Xqy48oIbwHLRSXNZPy o6laZGhVlsLiXXpXdmnKPPpO7CB8eHwo5NbztU6FgfIUn7lnRqnhBOlt6DW09sQU8/In65RFkWwE 2WWVcU0RqsWWalEQn84upx8MdtrHMlNYy1Cv9jHVTAJv3G2CsOCZpDbpI1lPpwrP8cilrDovgIaX dmfq0gOJqcq491u2JScaTOt1qYYN3BLHRRXiZnEoMPmpCm7lqXSSmbVNafL+C9B/NAa1wTpDLJaM NXTyPOzZK2qRp/E8TnMUJvC8DbR06JzL8VEtD2XZ8EzZDMHyx9WGOOGGnkNtJHU4NpibUaOVGTJD 8avN5LliCBWlofJ0jQg0UzhmnqbdgSrVZUIjU2fYHB1ueBO6xX2sBF4FDBDJyToU/YObm5Oqi5FZ iKLRegXM4IsgIoc+JcnUwmgD2g3iGfhLqTKL6A6JMdMvW5DtDQQ4Yu3ETiIgPMvmCDN9roMo8amY Fwsrved6bLJ5k0HbTsDOjiQ/dmVmznNzCH11E7hnQLmFLciXkZs1Q8m+eZ/oF9Z1tkFzuwfMQxKd VHh1xA2ZNQ+Ln6evD9p8ln4L1V9WCBhm/o1ppChTztudKv3fcSeU0xceRr+3IgkA7cW5hhijExGp REsLg957zfdb/fUV/DPoxbDHqqIWodYAygjLTDYx2YYY83l8+lITQ9rZdGjMwVvWcSshbaKKr9ZH HX+0zo7KBa0EtXFjq84AGCXUkaHr4kmKLTCPUFE8ShIY1hF3KpKq1+i7BDRA+dI9dkPnWjdlMo3g QiANdB+AzlhyXsKYEZvNmL+5A6oiWhGTtwG1XjX2mL3FmQZ/hKqHKYBim7K1PEV+JdD7JFIfNEme qD2BuRwhMmL5WOsfQMRgYlJ6RfdWC3ReCAke4HSVPVuGzeNb6TB+kn8BixLhA1vuTkLioxtpku2l npoCPwNHJaSBJNmFzgivV30kDhINGTPjVAIQMjyW3baUkaWEB1yLJyFV5l4LVHJUG1eXjPtS/ls3 pTB0lWpfzLTyrb0Ye/fi1gaT8sG/aEChiTEpyM6CUvKZ7tinnalI7XHzHNFu1qccFaIfSRig+SmO w2jtbR9MXMk2m7Api69YUMivK6uBye4T2JZuV9qA6MAlLhR1rNTWYX1I1lAerFjuvAXzc1uW1gNx 3qVnycd74xbPnuOO0d/WHwPJoWwrGdwZVELGWI3fTyAc9jnbYi74tik/1w6qkKcvYAzKU01khTdT yLHB9nCQC4OMLhBa4sAUS+eWGwMr42II42GRIQCd45Q9jJ0e7MEgQ3EWZ/zDjqPBLwWhL2WRRcpU N0283003fn5nFU91L4YXjPtc11UhEvO0gBcgO/2BFU2SuPb1C5vdsQ1vcRpknDM5cgW92sbw6u5V PtmOHp/bKjd5ekWNh4JuXnWqFNHhBR5PhDmMsX1j/Y6nA/f4xUe3MIFUsGzM9zGSXx6Nfyu0aZr7 s/zxEs1JYqNavRbCwZGu7XvC52myvPCUX6fyJLOg1yPT9B478FPVC162vx9yZjc7JUPMssB/fxiG LJ/gr6PZtwxgL5MBksoHgDkDqczh79J8z4nG7XDVnSfB0igw8e3iZomZm0CNFZZNNojuUXJdVUW1 /ceb2/CRRhYE/gichos0Kzzh+zskmus6146QJW2MqkoIznJmast7MokkGbr2H0ZjRjU5xO7j0xWO +L19AdLFo3EQkTkz9WGDnnfRlwvkK1gKKehGdrXvzH/+k95K4IrLFNFmCVlVw/BIsNQDLQcOBbhZ wabeDETJoAgJzWoQ3WKgBqhWshdjT3Kos6WyniYzF0CorRR8uDZGul1HtCodV69HaF9UNaieX3Np emkOt1v7l9TqFwQR5DbnAYNWBdBp7ghpIld5D2nwzKzloolzRdyzyQeq+JTVaH+8Mz6QGQ7kC+Cw /XUjdAW0vKkRAUgne1nOwEQaobQmHvQ0zR7xnNUnKglIsM/dl++8HI0ZierlTKp2tLUBxcTR9v76 t3V4uOV93xd1hDTRC7VYRQNYSIWg4EEGYTsSPJujdhEFr9XEp5ddqWL+RiWwIXc+PNeAnytXussd wfy+OZSRRYPVYbNX/bcY3B24lCkzoev+frBHJUHSPX3X5s7VvZ+Jd2gP8XkMvA4TCgXfaVh/qhph 1zlQv/87Y2K2NF3+sNZ9KaIYkd2cvLNzBJ/1sJVbyVvP26y2HpYz2cK9nTfQt3CPzbBFWqw8whMg EsrZDpq0ij3A0brOvOvk0Wvf3u+P+bovQE1ON8gyOHk2ZV67kJM0DkvQKwZgLmG9oWyO4alameO/ kWUgxX6vFaL7vCUakHCiXXgNJa6JJtpSUPVeuKwHBc8jRsTTuIjR1x34jDAQbKoLw/Q5TKez0L9B lC/toAHVf2Swqe3GGb3+HeAdfKu8jmiXgMx1R8i8b3GrO+9qLGpWO+7aDhiKl8pdH038LEdJL/Gg k58tAYZPc0eJsiVLEy1eA9+UdQaKsSMzd+cQ3AayHmsWlnJbARrL3bpYZrYmen1UXUx/2jR4YwwL jkd3PmBcHmBSk/voOVT1b9t6H/1gHKYLM3bMylGkWqXbn2u/RDzR2eHpdJwJKVVBQ6KkbIdQb/QJ SLY39nC3//03v3YSkb90D4s4mUcHyToG9Sq5/qGSRg2IwJ/ElDiDPxIvxlzmB9V/FYUw/S9bFAz8 YiDFk5gPS4BJbxzkfz6V6GqFtSMBq0WOZdkPbolJPq/BXMznURDIPVzyAfPYjJukkLZDDtNd/CS3 pKaInvE644fiIbyv2gOJaPmmrAEDK36mWNZ0/kwZYmDeSqbFkcOOlUVm92ewNmfPEEEe5pNhaNyL ycGH00qvaVY1lNX1FI6lzUtiJb1HY86XP7StwbHB6F3sZOLgihQjcvI1KM/pSyd0DPEdpm4XAtbx R4n47d9qsIKIi3AUo8X8bFrHW/VhrWN1Pu2KdTE0CmuaOuGkKn4RLmJMtcWoZ/O5muOoF9MULTyJ yBYXLi/FN8xCDDFVrHFNJulK0sTVO+7L353FHbyIZLcHZF3dRaR97KGzQqdCAGhufp1sRx111ZbT IfsZiCsOfzb2Toy858YVJ65Ctl7pmB8iZVqRm0EBcQ3vtyXk72Zs1WxA2O0y9bG6KtZ0vpKyb0xy 7nK3OlyCH2BHJaaGHwqsXfEX3JnECmTTUC/5omlrUD8CocR6BOQQsDm/HcnH5pBt3uRt0ayhpGbJ JSmaxgvzlGIVAhlBx+CaN7g0rAH+d5dy71E5TbvvICKoPhVMFRXNnLELqRqnov3bZOzjNW5nYXOY rbmlMsVI980N+E/2+SqNDoyUz0Px4hBoo7S+/TNrRtWi852ghDGiYQEBiXyUumy900TdW1AdI8Qq /RT/U8JWDOGxqcpFwlsEQM4yGGUrOnqvH5JquZPkk2aYmU1zVTUn+P1znL7xtT6gUPxJz4NTgMxr RZ7v1y+c8p/0F1mYk8IdrCIcPImfDoVHqvlH6CjTuMlBOFMrC5pWuzGjq0hiV0qBJ2YWRYMmYf3j N6ySr9uog0U5de1KISl+LYld4S7K0Du8TYW5T7YQW16CH+7ox+T9zVx0q7Br51X/TZpM71J7onGP 2j62P7MvhG3eM2v0D3qEzraT30MUfvJA2vpYc/3EgLyDVq4FqH3+OIhWiY7oNILTBlkGsUiokxf6 L1om5aJsGGOz5aQVnTUxvXOZslYK/5Y6IvoYMevFmuHC8Ho1d7qGFkm7KRF9mco01mwnq+CzBmt3 EP9cHGSnJ8edwmdxrFTVbN8VKukXhqTs4XufdSaYWkIMLiY2EhJuOhfXOp4I5xYrxMGhRSRAwhMe tqdNmk7jfPK4kX2x3NN4bNcectqD3jXZQqdDM3XI6vM4T5tAk126I+Fb6YOtTTJl2eK52A/hCFtC YbS+zyi3Ym1jT0V/WOP9xxblR8+IGx8Br3RioM/IJ2GK2JwFHBhAchzGk1k2tio5cvmcEn1k0S1a 0zHRTJ3yaB5mSDQEOZyVqOQDQKPTDQcMfvPaJLdioB0u0cJnWNflHqtPDiJwVAft690KWNf1JYnJ s6NErGKg/uWFSBbFLO7al5ALkYNad40KASYQuo/uYnrUMLN1NkPQ1cEvkDgcqMtkL/l8Lo1EBrTI uxoTshxQR+kluh/zQrf4U4eIDjazJNsA3r5Qlqck/dieH7v4u4Nd6UjUrGsGr/oOFtuECVPUeRl1 adtN5EX8ykk9ODKWOGQs36WnXjSe5ecPu5T9ZGHFjK3TtiZUmwDECZh7JIwbcYtxp+7Bim17uXkJ oq0J4uM65xS1KOWVM09BwUeegEvoLhL8RriYRBMLSsQZ9yPGrV0CHG9h7YHHB2yRhCfIg1ZbWD2H NJDfuDrLoj4cbqXUKV+tvv+XormFW7sHCnoH53lcZYnZwCT3IjxhvUF5j3DQhZKry1tQcxeZ/M4U DPJWUVHRKZzsWMvyMzXKC1RxAs0bcOPgYwHw4c2DS/STh44K7S8EvN/WZB0EsRblnnTb4yNINoqJ B+0tAdWHij/muiq65/9hSW6TKI9zfuGsnujp/RCtQS4E9EQTmCvVlPkvYHK+HXmPHYDXqMzs2W3B D13S+q04g3ASzbnCTxPuFccsPkZ43vzSFG/66ROJyT/LHl/L9BZ7ViRGOsZTHA4+Q5J/Lh3YLnJO 3euj5pGDWG9k+5P20+Wf6U4mmgLoVFkMVNtP4yRUWSY4dEj7DqVK2/cvUF5T3b0g2deuuEj+g+kc 5+nv9g3MFGzEDqJPjWZPG4GconIRMGpTFyfEFGeeY/PDfDw5ctYFQ8c5Ynsqi18nV77X0UBc5bI1 DAfd49abP4Ej9F54ZaLHecLKqV9z0bmETquLQcRQ6ULV4Cez+TIa6MZ6VS0CcxEAlIpUmat6Yp4b vv2AqSUzQWZZGHtRbRRdlECP8BR+SHSopUDAlcwcrb2VTIO8X+ZHm6QnkoIvQC6T9+wB5wevUeWA AFDa1eqLUeQc+55YjXuehV8EL3qA3abFHH8KEo5UuBXDZ/L4wbOOCwzcFWbkcA7Po8P6anFSVjcS ARUBpe0jxkb6NSMfgPIhR8RpJNXg2QsM0AOsbPWqNANTU+hI9OYzs0EzkUYYW6Gl8/BAnOjROyxQ V1/t570Hc3nOZJx2jhZJn+DodY/d8j+tdgpXihbNFcgDejPgirSxjVidDJ78AEo+AdaRuKKye5h9 INZLgseW7tQ3zf6y5CcMP2ASu66GSDPOYGnnsJdzVvAl6j4b4lNymO97MO+od+3Kl8Xyl/b4cdCO dz6AeHMnM2yRk8Ta2Kr5fH6yfCRRhFOJaRE2SXGxWN15+Vcvc7l5Vz3Xfw+QomPgQmas7AZKpNKv pQ2NNG/Q2j984MGIMR60e9u8RdIRUdvlfcQWA3dMw6XeCR/06nfgyo0dGI+yjGpGsUk0Ni7FMQ1g dlOOYQn46vxDhtCye4gAOj0Nw5tm8sdAszKkosbPvHOW/PsTaWbEVbPA/NWf48TCGxZRQwngVNGz q0oVoIkixV74iR+t1Ybgot1W5hBOJr/YkSUe6G4mmrM+wmR1 `protect end_protected
mit
APastorG/APG
complex_const_multiplier/complex_const_mult_s.vhd
1
3326
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / / **************************************************************************************************/ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; library work; use work.fixed_generic_pkg.all; use work.fixed_float_types.all; use work.common_data_types_pkg.all; use work.common_pkg.all; use work.complex_const_mult_pkg.all; use work.real_const_mult_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity complex_const_mult_s is generic( SPEED_opt : T_speed := t_exc; ROUND_STYLE_opt : T_round_style := fixed_truncate; ROUND_TO_BIT_opt : integer_exc := integer'low; MAX_ERROR_PCT_opt : real_exc := real'low; MIN_OUTPUT_BIT : integer := integer'low; MULTIPLICAND_REAL : real; MULTIPLICAND_IMAG : real ); port( clk : in std_ulogic; input_real : in u_sfixed; input_imag : in u_sfixed; valid_input : in std_ulogic; output_real : out u_sfixed; output_imag : out u_sfixed; valid_output : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture complex_const_mult_s_1 of complex_const_mult_s is /*================================================================================================*/ /*================================================================================================*/ begin complex_const_mult_core_s_1: entity work.complex_const_mult_core_s generic map( SPEED_opt => SPEED_opt, ROUND_STYLE_opt => ROUND_STYLE_opt, ROUND_TO_BIT_opt => ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt, MULTIPLICAND_REAL => MULTIPLICAND_REAL, MULTIPLICAND_IMAG => MULTIPLICAND_IMAG, INPUT_HIGH => input_real'high, INPUT_LOW => input_real'low ) port map( clk => clk, input_real => input_real, input_imag => input_imag, valid_input => valid_input, output_real => output_real, output_imag => output_imag, valid_output => valid_output ); end architecture;
mit
dqydj/PaperBack_EPaper_Display
firmware_VGA/FPGA/converter_firmware.vhd
1
5772
library IEEE; use IEEE.std_logic_1164.all; entity converter_firmware is port ( CLK0: inout std_logic; -- Power Lines NEG_CTRL: out std_logic; POS_CTRL: out std_logic; SMPS_CTRL: out std_logic; -- Active low -- Control Lines CKV: out std_logic; SPV: out std_logic; GMODE: out std_logic; SPH: out std_logic; OE: out std_logic; -- Clocks/Edges CL: out std_logic; LE: out std_logic; DATA: out std_logic_vector(7 downto 0); -- Memory ADDR: out std_logic_vector(18 downto 0); IO: inout std_logic_vector(7 downto 0); MEM_OE: out std_logic; -- Active low MEM_WE: inout std_logic; -- Active low MEM_CE: out std_logic; -- Active low -- VGA Capture DCK: in std_logic; HSYNC: in std_logic; VSYNC: in std_logic; RED: in std_logic_vector(7 downto 4); GREEN: in std_logic_vector(7 downto 4); BLUE: in std_logic_vector(7 downto 4); -- SWITCH Capture SW: inout std_logic_vector(3 downto 1); --VGA Debug MEM_WE2: inout std_logic; DCK_OUT: out std_logic; HS_OUT: out std_logic; VS_OUT: out std_logic; -- SWITCH Debug SW1: out std_logic := '0'; SW2: out std_logic := '0'; SW3: out std_logic := '0' ); end converter_firmware; architecture Behavioral of converter_firmware is COMPONENT FrameWriter port( -- Input from above CLK0: in std_logic; -- User switches SW: in std_logic_vector(3 downto 1); -- Power Lines NEG_CTRL: out std_logic := '0'; POS_CTRL: out std_logic := '0'; SMPS_CTRL: out std_logic := '1'; -- Active low -- Control Lines CKV: out std_logic := '1'; SPV: out std_logic := '0'; GMODE: out std_logic := '0'; SPH: out std_logic := '0'; OE: out std_logic := '0'; -- Clocks/Edges CL: out std_logic := '0'; LE: out std_logic := '0'; -- Data DATA: out std_logic_vector(7 downto 0) := "00000000"; -- Memory ADDR: out std_logic_vector(18 downto 0); IO: inout std_logic_vector(7 downto 0); MEM_OE: out std_logic := '1'; -- Active low MEM_WE: out std_logic := '1'; -- Active low MEM_CE: out std_logic := '1'; -- Active low -- Control State FRAME_GRAB_DONE: in std_logic; FRAME_WRITE_DONE: out std_logic; READY_WRITE: inout std_logic ); END COMPONENT; COMPONENT FrameGrabber port( -- Memory ADDR: out std_logic_vector(18 downto 0); IO: inout std_logic_vector(7 downto 0); MEM_OE: out std_logic := '1'; -- Active low MEM_WE: out std_logic := '1'; -- Active low MEM_CE: out std_logic := '1'; -- Active low -- VGA HSYNC: in std_logic; VSYNC: in std_logic; DCLK: in std_logic; --RED: in std_logic_vector(7 downto 4); GREEN: in std_logic_vector(7 downto 4); --BLUE: in std_logic_vector(7 downto 4); -- Control State FRAME_GRAB_DONE: out std_logic; FRAME_WRITE_DONE: in std_logic ); END COMPONENT; COMPONENT OSCC PORT ( OSC:OUT std_logic ); END COMPONENT; -- Mux Memory Signals for Grabber signal MEM_WE_GRAB, MEM_CE_GRAB, MEM_OE_GRAB : std_logic; signal ADDR_GRAB : std_logic_vector(18 downto 0); signal IO_GRAB : std_logic_vector(7 downto 0); -- Mux Memory Signals for ePD signal MEM_WE_WRITE, MEM_CE_WRITE, MEM_OE_WRITE : std_logic; signal ADDR_WRITE : std_logic_vector(18 downto 0); signal IO_WRITE : std_logic_vector(7 downto 0); -- State signal FRAME_GRAB_DONE, FRAME_WRITE_DONE, READY_WRITE : std_logic; begin -- Internal FPGA Clock OSCInst0: OSCC PORT MAP ( OSC => CLK0 ); -- User switch. Doesn't really need to be in a process... Switcher: process( SW ) begin SW1 <= SW(1); SW2 <= SW(2); SW3 <= SW(3); end process; -- Control which process has the memory... MemoryController: process( READY_WRITE, ADDR_WRITE, MEM_OE_WRITE, MEM_WE_WRITE, MEM_CE_WRITE, IO_GRAB, ADDR_GRAB, MEM_OE_GRAB, MEM_WE_GRAB, MEM_CE_GRAB, IO ) begin if (READY_WRITE = '1') then IO <= "ZZZZZZZZ"; IO_WRITE <= IO; ADDR <= ADDR_WRITE; MEM_OE <= MEM_OE_WRITE; MEM_WE <= '1'; -- We should never change this in here. --MEM_WE <= MEM_WE_WRITE; MEM_CE <= MEM_CE_WRITE; else IO <= IO_GRAB; ADDR <= ADDR_GRAB; MEM_OE <= '1'; -- We should never change this in here. --MEM_OE <= MEM_OE_GRAB; MEM_WE <= MEM_WE_GRAB; MEM_CE <= MEM_CE_GRAB; end if; end process; -- End MemoryController -- The Frame writing logic... Inst_FrameWriter: FrameWriter PORT MAP( -- Internal Clock CLK0 => CLK0, -- User Switches SW => SW, -- EPD Lines NEG_CTRL => NEG_CTRL, POS_CTRL => POS_CTRL, SMPS_CTRL => SMPS_CTRL, CKV => CKV, SPV => SPV, GMODE => GMODE, SPH => SPH, OE => OE, CL => CL, LE => LE, DATA => DATA, -- Muxed ADDR => ADDR_WRITE, IO => IO_WRITE, MEM_OE => MEM_OE_WRITE, MEM_WE => MEM_WE_WRITE, MEM_CE => MEM_CE_WRITE, -- State FRAME_GRAB_DONE => FRAME_GRAB_DONE, FRAME_WRITE_DONE => FRAME_WRITE_DONE, READY_WRITE => READY_WRITE ); -- The Frame grabbing logic from VGA... -- Compatible with Analog Devices 9883 or MST 9883 -- or others in this family. Inst_FrameGrabber: FrameGrabber PORT MAP( -- Muxed ADDR => ADDR_GRAB, IO => IO_GRAB, MEM_OE => MEM_OE_GRAB, MEM_WE => MEM_WE_GRAB, MEM_CE => MEM_CE_GRAB, -- Direct HSYNC => HSYNC, VSYNC => VSYNC, DCLK => DCK, --RED => RED, GREEN => GREEN, --BLUE => BLUE, -- State FRAME_GRAB_DONE => FRAME_GRAB_DONE, FRAME_WRITE_DONE => FRAME_WRITE_DONE ); -- Debugging signals (will probably hide these behind a switch) DCK_OUT <= DCK; HS_OUT <= HSYNC; VS_OUT <= VSYNC; MEM_WE2 <= MEM_WE; end Behavioral;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/sim/vhdl/sin_taylor_seriescud.vhd
4
2551
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity sin_taylor_seriescud is generic ( ID : integer := 5; NUM_STAGE : integer := 6; din0_WIDTH : integer := 32; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of sin_taylor_seriescud is --------------------- Component --------------------- component sin_taylor_series_ap_sitodp_4_no_dsp_32 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(31 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(31 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- sin_taylor_series_ap_sitodp_4_no_dsp_32_u : component sin_taylor_series_ap_sitodp_4_no_dsp_32 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; end if; end if; end process; end architecture;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/syn/vhdl/power.vhd
4
8722
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity power is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; x : IN STD_LOGIC_VECTOR (63 downto 0); y : IN STD_LOGIC_VECTOR (4 downto 0); ap_return : OUT STD_LOGIC_VECTOR (63 downto 0) ); end; architecture behav of power is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (7 downto 0) := "00000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (7 downto 0) := "00000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (7 downto 0) := "00001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (7 downto 0) := "00010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (7 downto 0) := "00100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (7 downto 0) := "01000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (7 downto 0) := "10000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000"; constant ap_const_lv6_1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal tmp_2_fu_80_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_2_reg_102 : STD_LOGIC_VECTOR (5 downto 0); signal i_1_fu_91_p2 : STD_LOGIC_VECTOR (5 downto 0); signal i_1_reg_110 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal exitcond_fu_86_p2 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_71_p2 : STD_LOGIC_VECTOR (63 downto 0); signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal result_int_reg_48 : STD_LOGIC_VECTOR (63 downto 0); signal i_reg_60 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_cast_fu_76_p1 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal ap_NS_fsm : STD_LOGIC_VECTOR (7 downto 0); component sin_taylor_seriesbkb IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; begin sin_taylor_seriesbkb_U1 : component sin_taylor_seriesbkb generic map ( ID => 1, NUM_STAGE => 6, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst, din0 => result_int_reg_48, din1 => x, ce => ap_const_logic_1, dout => grp_fu_71_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; i_reg_60_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then i_reg_60 <= i_1_reg_110; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then i_reg_60 <= ap_const_lv6_1; end if; end if; end process; result_int_reg_48_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then result_int_reg_48 <= grp_fu_71_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then result_int_reg_48 <= ap_const_lv64_3FF0000000000000; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state2) and (exitcond_fu_86_p2 = ap_const_lv1_0))) then i_1_reg_110 <= i_1_fu_91_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then tmp_2_reg_102 <= tmp_2_fu_80_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, exitcond_fu_86_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (exitcond_fu_86_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state8; end if; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_state6 => ap_NS_fsm <= ap_ST_fsm_state7; when ap_ST_fsm_state7 => ap_NS_fsm <= ap_ST_fsm_state2; when ap_ST_fsm_state8 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "XXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_done_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state8) begin if ((((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1)) or (ap_const_logic_1 = ap_CS_fsm_state8))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state8) begin if ((ap_const_logic_1 = ap_CS_fsm_state8)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return <= result_int_reg_48; exitcond_fu_86_p2 <= "1" when (i_reg_60 = tmp_2_reg_102) else "0"; i_1_fu_91_p2 <= std_logic_vector(unsigned(i_reg_60) + unsigned(ap_const_lv6_1)); tmp_2_fu_80_p2 <= std_logic_vector(unsigned(tmp_cast_fu_76_p1) + unsigned(ap_const_lv6_1)); tmp_cast_fu_76_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(y),6)); end behav;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/sim/vhdl/sin_taylor_seriesdEe.vhd
4
3086
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== Library ieee; use ieee.std_logic_1164.all; entity sin_taylor_seriesdEe is generic ( ID : integer := 7; NUM_STAGE : integer := 5; din0_WIDTH : integer := 64; din1_WIDTH : integer := 64; dout_WIDTH : integer := 64 ); port ( clk : in std_logic; reset : in std_logic; ce : in std_logic; din0 : in std_logic_vector(din0_WIDTH-1 downto 0); din1 : in std_logic_vector(din1_WIDTH-1 downto 0); dout : out std_logic_vector(dout_WIDTH-1 downto 0) ); end entity; architecture arch of sin_taylor_seriesdEe is --------------------- Component --------------------- component sin_taylor_series_ap_dadd_3_full_dsp_64 is port ( aclk : in std_logic; aclken : in std_logic; s_axis_a_tvalid : in std_logic; s_axis_a_tdata : in std_logic_vector(63 downto 0); s_axis_b_tvalid : in std_logic; s_axis_b_tdata : in std_logic_vector(63 downto 0); m_axis_result_tvalid : out std_logic; m_axis_result_tdata : out std_logic_vector(63 downto 0) ); end component; --------------------- Local signal ------------------ signal aclk : std_logic; signal aclken : std_logic; signal a_tvalid : std_logic; signal a_tdata : std_logic_vector(63 downto 0); signal b_tvalid : std_logic; signal b_tdata : std_logic_vector(63 downto 0); signal r_tvalid : std_logic; signal r_tdata : std_logic_vector(63 downto 0); signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0); signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0); begin --------------------- Instantiation ----------------- sin_taylor_series_ap_dadd_3_full_dsp_64_u : component sin_taylor_series_ap_dadd_3_full_dsp_64 port map ( aclk => aclk, aclken => aclken, s_axis_a_tvalid => a_tvalid, s_axis_a_tdata => a_tdata, s_axis_b_tvalid => b_tvalid, s_axis_b_tdata => b_tdata, m_axis_result_tvalid => r_tvalid, m_axis_result_tdata => r_tdata ); --------------------- Assignment -------------------- aclk <= clk; aclken <= ce; a_tvalid <= '1'; a_tdata <= din0_buf1; b_tvalid <= '1'; b_tdata <= din1_buf1; dout <= r_tdata; --------------------- Input buffer ------------------ process (clk) begin if clk'event and clk = '1' then if ce = '1' then din0_buf1 <= din0; din1_buf1 <= din1; end if; end if; end process; end architecture;
mit
APastorG/APG
counter/counter_core.vhd
1
10937
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This is a design of a counter that implements different features like reset, enable, load, / set, and the direction of counting (up, down, or indicated by an input signal). Additionaly it / allows to select the overflow behavior (saturate or wrap), and offers a mode called TARGET_count. / This mode outputs only a bit, which indicates when the count reaches a desired value, with the / possibility to block it when reaching said value. / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.common_data_types_pkg.all; use work.common_pkg.all; use work.counter_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity counter_core is generic( UNSIGNED_2COMP_opt : boolean; OVERFLOW_BEHAVIOR_opt : T_overflow_behavior; COUNT_MODE_opt : T_count_mode; COUNTER_WIDTH_dep : positive_exc; TARGET_MODE : boolean; TARGET_dep : integer_exc; TARGET_WITH_COUNT_opt : boolean_exc; TARGET_BLOCKING_opt : boolean_exc; USE_SET : boolean; SET_TO_dep : integer_exc; USE_RESET : boolean; SET_RESET_PRIORITY_opt : T_set_reset_priority; USE_LOAD : boolean ); port( clk : in std_ulogic; enable : in std_ulogic; count_mode_signal : in std_ulogic; set : in std_ulogic; reset : in std_ulogic; load : in std_ulogic; value_to_load : in std_ulogic_vector(counter_CIW(UNSIGNED_2COMP_opt, COUNTER_WIDTH_dep, TARGET_MODE, TARGET_dep, USE_SET, SET_TO_dep) downto 1); count : out std_ulogic_vector(counter_CW(UNSIGNED_2COMP_opt, COUNTER_WIDTH_dep, TARGET_MODE, TARGET_dep, TARGET_WITH_COUNT_opt = t_true, USE_SET, SET_TO_dep) downto 1); count_is_TARGET : out std_ulogic_vector(ite(TARGET_MODE, 1, 0) downto 1) ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture counter_core1 of counter_core is /* constants */ /**************************************************************************************************/ constant TARGET_WITH_COUNT : boolean := TARGET_WITH_COUNT_opt = t_true; --default : false constant TARGET_BLOCKING : boolean := TARGET_BLOCKING_opt = t_true; --default : false constant MIN_WIDTH_COUNTER_GM : positive := counter_MWCG(UNSIGNED_2COMP_opt, TARGET_dep, USE_SET, SET_TO_dep); --counter width constant COUNT_INTER_WIDTH : natural := counter_CIW(UNSIGNED_2COMP_opt, COUNTER_WIDTH_dep, TARGET_MODE, TARGET_dep, USE_SET, SET_TO_dep); --used counter width, that is 0 when in target mode and TARGET_WITH_COUNT is false, --COUNT_INTER_WIDTH otherwise constant COUNT_WIDTH : natural :=counter_CW(UNSIGNED_2COMP_opt, COUNTER_WIDTH_dep, TARGET_MODE, TARGET_dep, TARGET_WITH_COUNT, USE_SET, SET_TO_dep); constant COUNTER_WIDTH : integer := integer(COUNTER_WIDTH_dep); constant TARGET : integer := integer(TARGET_dep); /* signals */ /**************************************************************************************************/ signal count_inter : std_ulogic_vector(COUNT_INTER_WIDTH downto 1) := (others => '0'); /* procedures to update the value of the count */ /**************************************************************************************************/ procedure set_routine ( signal counter : inout std_ulogic_vector) is begin counter <= sulv_from_int(SET_TO_dep, not UNSIGNED_2COMP_opt, COUNT_INTER_WIDTH); end procedure; procedure reset_routine ( signal counter : inout std_ulogic_vector) is begin counter <= sulv_from_int(0, not UNSIGNED_2COMP_opt, COUNT_INTER_WIDTH); end procedure; procedure load_routine ( signal counter : inout std_ulogic_vector; signal value_to_load : in std_ulogic_vector) is begin counter <= value_to_load; end procedure; procedure count_routine ( signal count : inout std_ulogic_vector; signal count_mode_signal : in std_ulogic) is begin--count upwards if COUNT_MODE_opt = t_up or (COUNT_MODE_opt = t_input_signal and count_mode_signal = '1') then --count when not on the upper limit or when behavior is to wrap if count /= max_vec(count, not UNSIGNED_2COMP_opt) or OVERFLOW_BEHAVIOR_opt = t_wrap then --increase count if UNSIGNED_2COMP_opt then count <= unsigned(count) + 1; else count <= signed(count) + 1; end if; end if; --count downwards else --count when not on the lower limit or when behavior is to wrap if count /= min_vec(count, not UNSIGNED_2COMP_opt) or OVERFLOW_BEHAVIOR_opt = t_wrap then --decrease count if UNSIGNED_2COMP_opt then count <= unsigned(count) - 1; else count <= signed(count) - 1; end if; end if; end if; end procedure; /*================================================================================================*/ /*================================================================================================*/ begin /* generate the count value and count_is_TARGET if in TARGET mode */ /**************************************************************************************************/ generate_count_value: if TARGET_MODE generate begin count_is_TARGET(1) <= count_inter ?= sulv_from_int(TARGET, not UNSIGNED_2COMP_opt, count_inter'length); generate_count_in_TARGET_mode: if TARGET_WITH_COUNT generate begin count <= count_inter; end; end generate; end; else generate begin count <= count_inter; end; end generate; /* update the count value (count_inter) */ /**************************************************************************************************/ process (clk) begin if rising_edge(clk) then --1st: set if there's no reset or if set has priority if USE_SET and set='1' and ((USE_RESET and SET_RESET_PRIORITY_opt=t_set) or not USE_RESET) then set_routine(count_inter); --2nd: reset elsif USE_RESET and reset='1' then reset_routine(count_inter); --3rd: set if there's reset and it has priority elsif USE_SET and set='1' and (USE_RESET and SET_RESET_PRIORITY_opt=t_reset) then set_routine(count_inter); --4th: load elsif USE_LOAD and load='1' then load_routine(count_inter, value_to_load); --5th: increase count (unless we are in TARGET mode, the count is TARGET and the behavior is -- blocking) elsif (enable='1' and not(TARGET_MODE and count_inter = sulv_from_int(TARGET, not UNSIGNED_2COMP_opt, count_inter'length) and TARGET_BLOCKING)) then if COUNT_WIDTH = 1 then count_inter <= not count_inter; else count_routine(count_inter, count_mode_signal); end if; end if; end if; end process; end architecture;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_ddiv_29_no_dsp_64/synth/sin_taylor_series_ap_ddiv_29_no_dsp_64.vhd
4
12846
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY sin_taylor_series_ap_ddiv_29_no_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END sin_taylor_series_ap_ddiv_29_no_dsp_64; ARCHITECTURE sin_taylor_series_ap_ddiv_29_no_dsp_64_arch OF sin_taylor_series_ap_ddiv_29_no_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF sin_taylor_series_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF sin_taylor_series_ap_ddiv_29_no_dsp_64_arch : ARCHITECTURE IS "sin_taylor_series_ap_ddiv_29_no_dsp_64,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF sin_taylor_series_ap_ddiv_29_no_dsp_64_arch: ARCHITECTURE IS "sin_taylor_series_ap_ddiv_29_no_dsp_64,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=1,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FM" & "S=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=29,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0" & ",C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 1, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 29, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END sin_taylor_series_ap_ddiv_29_no_dsp_64_arch;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prj.srcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
20
80739
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U b42uF+Colw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741 P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO 6eJHumUz7qK8eSg2jNo= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4 xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro Gfz9IymBoqAAtxNZZ0s= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs 4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT 7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o 5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632) `protect data_block QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0 o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U 8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3 bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6 DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW 8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr 5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p 1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST 66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y 75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66 nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv 7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3 pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6 XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv 6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA 3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv 6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco 6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt 2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3 HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9 Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m 4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l 6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0 5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia 9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/ ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4 VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/ 3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4 VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7 zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC 8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um 4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI 3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg 5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG 6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4 7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99 AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw 1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP 8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe +DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6 cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++ B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8 mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ 2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2 JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9 hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59 Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6 TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2 DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG 7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2 CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj 5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH /poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25 /fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7 t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc /CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5 FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8 GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ 6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04 KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66 eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2 u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq 45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX 3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y +R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4 gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH 7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn 3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+ al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B 9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T 55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae 3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0 3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3 QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8 1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z 4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A 4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj 1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6 9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9 lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc 9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX 7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi 1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21 fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2 sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/ t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/ suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1 CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau 66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo 0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi 04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2 kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1 rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95 Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh 19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9 T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg 1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB /I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze 1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7 G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN 0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1 LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8 rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6 i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/ 3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH 2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs 6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb 1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+ DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ 0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a 9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH 6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7 TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4 cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd 292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1 cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX 0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI 8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0 UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w 08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88 PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP 5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i 6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7 muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6 bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw 8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ 8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6 5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+ Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4 6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55 iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2 Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3 CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3 bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3 LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+ CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/ AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5 fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn 1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5 N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7 0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2 U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP 94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj 8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3 FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R 4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk 64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p 3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5 z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10 +i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE 1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8 ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v 5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K 2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7 CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp 46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9 zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06 R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV +2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+ OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL 6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc 5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85 RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd 3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL 8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23 DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1 b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD +lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg 0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9 zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T +d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8 Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo 9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2 ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53 4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX +SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP 6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld 8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398 sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8 lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/ TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up 16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3 /nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0 zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8 bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2 SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi 6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1 lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L 6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr 448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ 4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3 4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8 rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3 zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/ 4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv +2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799 wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6 dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr 7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41 g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6 W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4 zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM 1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0 q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6 rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9 E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv ++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J +Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4 YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T +eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/ ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw 4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0 okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3 xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP 41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8 YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq 0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm 6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN 53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR 3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1 pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb 0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79 Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8 5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz /vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl 65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA /Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U 160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI +lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8 x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP 9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4 ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5 TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0 sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5 WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1 SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9 e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5 kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj 7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04 TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs +6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+ 5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw 0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0 /ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+ zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o 39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w 0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/ Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R 4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6 QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37 9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2 xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9 YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx 7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/ Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw 70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e 1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5 8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32 GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka 4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+ prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4 dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0 m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon /if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+ yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu 2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2 Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe 8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x 6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe 8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3 ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD 7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb 9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx 4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow 21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi 9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+ Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+ smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/ 8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf 5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/ DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS 9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32 fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1 nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/ WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6 hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47 X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86 zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg 4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22 z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz 7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38 hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3 +237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8 sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u 6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq 5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69 9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4 MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h 0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD /o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB 9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus 5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN /uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4 NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/ hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v 8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3 CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV 9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+ JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29 ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2 JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8 kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn 9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD 3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21 z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6 PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F 4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4 Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8 o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0 F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk 6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s 0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm 5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju 7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7 2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+ Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM 96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6 TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3 CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH +4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4 LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN /C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6 VYEsBss= `protect end_protected
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prj.srcs/sources_1/ip/sin_taylor_series_ap_dsub_3_full_dsp_64/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
20
80739
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U b42uF+Colw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741 P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO 6eJHumUz7qK8eSg2jNo= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4 xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro Gfz9IymBoqAAtxNZZ0s= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs 4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT 7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o 5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632) `protect data_block QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0 o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U 8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3 bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6 DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW 8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr 5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p 1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST 66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y 75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66 nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv 7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3 pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6 XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv 6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA 3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv 6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco 6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt 2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3 HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9 Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m 4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l 6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0 5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia 9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/ ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4 VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/ 3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4 VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7 zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC 8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um 4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI 3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg 5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG 6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4 7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99 AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw 1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP 8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe +DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6 cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++ B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8 mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ 2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2 JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9 hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59 Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6 TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2 DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG 7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2 CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj 5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH /poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25 /fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7 t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc /CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5 FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8 GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ 6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04 KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66 eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2 u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq 45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX 3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y +R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4 gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH 7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn 3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+ al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B 9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T 55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae 3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0 3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3 QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8 1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z 4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A 4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj 1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6 9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9 lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc 9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX 7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi 1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21 fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2 sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/ t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/ suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1 CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau 66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo 0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi 04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2 kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1 rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95 Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh 19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9 T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg 1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB /I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze 1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7 G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN 0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1 LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8 rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6 i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/ 3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH 2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs 6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb 1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+ DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ 0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a 9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH 6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7 TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4 cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd 292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1 cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX 0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI 8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0 UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w 08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88 PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP 5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i 6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7 muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6 bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw 8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ 8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6 5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+ Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4 6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55 iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2 Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3 CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3 bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3 LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+ CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/ AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5 fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn 1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5 N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7 0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2 U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP 94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj 8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3 FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R 4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk 64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p 3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5 z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10 +i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE 1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8 ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v 5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K 2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7 CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp 46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9 zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06 R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV +2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+ OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL 6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc 5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85 RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd 3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL 8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23 DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1 b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD +lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg 0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9 zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T +d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8 Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo 9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2 ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53 4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX +SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP 6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld 8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398 sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8 lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/ TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up 16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3 /nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0 zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8 bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2 SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi 6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1 lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L 6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr 448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ 4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3 4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8 rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3 zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/ 4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv +2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799 wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6 dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr 7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41 g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6 W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4 zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM 1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0 q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6 rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9 E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv ++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J +Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4 YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T +eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/ ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw 4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0 okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3 xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP 41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8 YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq 0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm 6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN 53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR 3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1 pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb 0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79 Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8 5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz /vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl 65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA /Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U 160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI +lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8 x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP 9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4 ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5 TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0 sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5 WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1 SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9 e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5 kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj 7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04 TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs +6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+ 5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw 0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0 /ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+ zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o 39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w 0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/ Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R 4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6 QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37 9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2 xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9 YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx 7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/ Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw 70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e 1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5 8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32 GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka 4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+ prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4 dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0 m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon /if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+ yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu 2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2 Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe 8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x 6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe 8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3 ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD 7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb 9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx 4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow 21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi 9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+ Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+ smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/ 8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf 5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/ DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS 9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32 fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1 nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/ WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6 hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47 X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86 zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg 4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22 z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz 7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38 hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3 +237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8 sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u 6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq 5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69 9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4 MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h 0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD /o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB 9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus 5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN /uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4 NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/ hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v 8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3 CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV 9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+ JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29 ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2 JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8 kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn 9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD 3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21 z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6 PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F 4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4 Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8 o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0 F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk 6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s 0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm 5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju 7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7 2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+ Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM 96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6 TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3 CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH +4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4 LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN /C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6 VYEsBss= `protect end_protected
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
20
80739
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U b42uF+Colw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741 P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO 6eJHumUz7qK8eSg2jNo= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4 xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro Gfz9IymBoqAAtxNZZ0s= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs 4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT 7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o 5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632) `protect data_block QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0 o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U 8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3 bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6 DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW 8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr 5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p 1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST 66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y 75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66 nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv 7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3 pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6 XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv 6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA 3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv 6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco 6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt 2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3 HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9 Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m 4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l 6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0 5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia 9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/ ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4 VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/ 3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4 VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7 zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC 8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um 4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI 3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg 5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG 6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4 7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99 AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw 1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP 8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe +DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6 cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++ B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8 mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ 2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2 JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9 hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59 Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6 TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2 DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG 7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2 CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj 5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH /poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25 /fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7 t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc /CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5 FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8 GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ 6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04 KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66 eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2 u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq 45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX 3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y +R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4 gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH 7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn 3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+ al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B 9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T 55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae 3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0 3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3 QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8 1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z 4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A 4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj 1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6 9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9 lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc 9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX 7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi 1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21 fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2 sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/ t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/ suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1 CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau 66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo 0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi 04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2 kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1 rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95 Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh 19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9 T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg 1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB /I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze 1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7 G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN 0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1 LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8 rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6 i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/ 3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH 2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs 6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb 1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+ DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ 0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a 9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH 6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7 TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4 cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd 292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1 cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX 0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI 8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0 UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w 08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88 PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP 5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i 6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7 muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6 bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw 8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ 8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6 5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+ Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4 6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55 iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2 Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3 CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3 bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3 LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+ CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/ AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5 fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn 1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5 N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7 0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2 U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP 94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj 8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3 FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R 4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk 64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p 3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5 z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10 +i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE 1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8 ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v 5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K 2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7 CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp 46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9 zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06 R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV +2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+ OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL 6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc 5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85 RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd 3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL 8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23 DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1 b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD +lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg 0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9 zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T +d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8 Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo 9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2 ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53 4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX +SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP 6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld 8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398 sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8 lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/ TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up 16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3 /nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0 zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8 bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2 SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi 6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1 lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L 6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr 448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ 4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3 4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8 rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3 zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/ 4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv +2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799 wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6 dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr 7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41 g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6 W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4 zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM 1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0 q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6 rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9 E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv ++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J +Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4 YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T +eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/ ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw 4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0 okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3 xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP 41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8 YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq 0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm 6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN 53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR 3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1 pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb 0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79 Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8 5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz /vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl 65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA /Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U 160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI +lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8 x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP 9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4 ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5 TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0 sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5 WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1 SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9 e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5 kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj 7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04 TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs +6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+ 5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw 0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0 /ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+ zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o 39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w 0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/ Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R 4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6 QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37 9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2 xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9 YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx 7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/ Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw 70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e 1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5 8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32 GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka 4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+ prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4 dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0 m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon /if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+ yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu 2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2 Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe 8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x 6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe 8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3 ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD 7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb 9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx 4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow 21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi 9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+ Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+ smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/ 8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf 5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/ DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS 9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32 fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1 nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/ WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6 hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47 X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86 zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg 4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22 z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz 7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38 hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3 +237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8 sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u 6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq 5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69 9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4 MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h 0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD /o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB 9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus 5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN /uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4 NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/ hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v 8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3 CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV 9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+ JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29 ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2 JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8 kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn 9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD 3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21 z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6 PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F 4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4 Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8 o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0 F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk 6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s 0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm 5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju 7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7 2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+ Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM 96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6 TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3 CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH +4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4 LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN /C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6 VYEsBss= `protect end_protected
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_ddiv_29_no_dsp_64/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd
20
80739
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U b42uF+Colw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741 P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO 6eJHumUz7qK8eSg2jNo= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4 xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro Gfz9IymBoqAAtxNZZ0s= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs 4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT 7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o 5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632) `protect data_block QCuLdQnOPKmgrfnyL3TzNGb9MmGOftaeWnZajruW4TIWVJZGVEgLgjSF5wLryqJ5txV4kNt11Y4N T9luiN6S0pJsXIWy/g2VK296Rfoj1ayr3yKXft4v1ZjlsJluD23Gb2S6V1ShQ8J/LaEsTVGhkky0 o2Xdjb4LQ+LH474rcEnHBQbmNAXCZ/+eNXbXEnVyUh2eCsmZjIiH7exgCiDVxzQSJ4nGdaDwuU+U 8hki04VxXXRlCsXSccZ3WHNP2J/z8ptWc7SD5wRJW1aWUWLitFpaX7aMDQPMHdjEdfmn+thQY9m3 bR2icjUJC3wV3SXRcv9eEY/11pVYqW967Hi+qv5q0LJLK99xwnveD7GLbHdmVKQGGl+CCC98F4y6 DEOL78vCIYE8TEcb66OUhCu/yscfhlG918dv2QyPkXsKYR5nMy60ZH58WCdTwEeb+fvAkTr247WW 8d2pPWBAQjMKt40XGNjL5ndFW9XYq3vnzeG9Z06CDKWaqouhUFwhPGsUHB4sEf+YuXfSCxJSVNlr 5jHYTyYlSGUtfkWaEdJLbSylPVQHho3u1+VLsR0lDadL51LfTHJSIOqvaH1+ZiVu87dxCQPT70eD jz33jbR98jlq3v7ZcMe1oP4bP36/GsrBoxcpy5cjFnxigzIAGkLKQZh3QChKHTErcBJqApage+CF m/3xWyKPMPDZUJTpQXu22ZZqKIXBiDGRId6QotUzjgMA+5BFttLK2/RIfZlGm+/x9x6tlOOBMW1x aMggi1wEBsAyvno2q9WP7FfwCePnaIKqcHUaQA/QW9yhkszaO9hm2J5GnQhWt8palmM0ggEoEAGh nQDpiBcDltI0cUm2nyc5I9xRtCBeoIUq1DExCrU4q7WFw6U0w31DGnwnJZRmgaoUC4En3CDRFW3p 1oE7BYvJobFZXHdbJ7cVGQTfWzuO5yBulZSAVBY6jbEvWTO+YpJaW6qfF/cPd+L+Gi+0AxZ68QlE U6/slXs8k5HGAb9yMqs6Uuex34l4paxkkxRz57/41gKgj3VAL1eliLWZkhkk1TkFgE6GO+ss8aRS uWwZ+SL01B2YMt3g8Fq+12zNG5Gx3f/m3Wc62x8o9yiS6kZ2rI6FwyNlyvVDMT7C7al2Sv6igUST 66Ot8NAL8bgb6LyAzb7PbjWXo1FwzxpmAgO8ctowDaIX/DVV5hC2SrcL0NdlflWjn28nRt7nGwZy NbBCm94U/FkX/IeRvrZwXqyhnm+sR3VI1DHqmd5fMV20MUjP3LK/zghF9M+3ZcbUdFlHfzjS+t7u WBWKzW3A9ifx7O5HqGG0FKlm11jvNl4WXyjoQhx3cbKxvocWQ3BUmh6V0XzCygyFEwaJFk+S8qoj DlNGHv2ctR6VaOceXZaboTjbc8n9Zm91WHamuUfx3aQK4G8mThjABQjpnDMlv5N6b37vyRU0ucOQ gH8BmlescyRaZsz+AOvr09daFTBxysHufsUlPlhrB2DuqviOEjBNYDR3BDh9ARbPnXhg/y4QpvjP U3e5LT/3EOZhdekKi2slwCvLl8NKbU8V0lqa26iyxFF9GDFhIAPrYUpWYGBk1a/sHL55IJDRi4md ZH3xaNDm0ADzTbFyIT7QSyH+PfR/9kzk8T3fNaiLxB6M+PPTA1h74bMz6YF4+Fn5t2qhMIFgZo4k xx/YE0/AdcrnlVh2yVEZEcp8lZ+9+cZ0Ylx4zniZiRnFixF2j9grh3AUbarGr/uEkIEeEte4bJ1Y 75bngb9j+cbSzPLy0xveS25L0yrcqzig3viI2M2jtT6EPmglY1dPM9zWKeBZNlj4xA5lveaghpNF Bd961Yw5C3LNJ/YgAj0ZM+U53bqeh7/WzehKdVFwnpvRXaKeL+7KXeAxvl5I9wwdTtfc9rGOOiVx SXSmawbhEp9TF2ov8k98VpnS5Mek1Fk3taacNR1cLVgDi6+e7TsT1DZT2IskZ6W03W5tW9Hx2MpE vM6bNt3wUpduKvMSHyBhbrK8ov6MCNsmKuDTEwGutno4JmWg0EbWhZn7a6EwfidvVpB+wHafceMK LKkhUmWSj/Gc1foDOaQFEJoCyMEXXHd1jiPtqN8JGUwcMo+CFmEz+xDS3YLf70DiYOY9X3lxfILo WZYGFpHYMOmaMaSBImsm8QcV4oYBmezWK2FizGt5f8CVsx7jup1yyeGOBVcuyBp2mFeu7X8NRvKs ZQNuG+GhukSiimjwen+q9GuEs1zSj7R1zKjBtqwv3AZPhXl9a3PrllXxJ5MFATeI4ixlSIbrPS/z ZPzrZIbxX5LsQ5NT8EXqZPyOkSXLPDmt3C2IstNt/LM2jNojO5sIHrdquV3GVWePscysl4Arey66 nCipX+5tLUTTWgnChcT+D0pwFX0yHVk1Mb7r4J5gT++elSQ1ypjfp9W4B/6ApL2XiXHzOHV/6Mfr taJa32zN3qUZRgq8G7U/QZ4nwjnarwwU6CwQ3bGcKpXajJCEwk34rM+D19UcLq+r7weIRU+4Kh4V xO5361lL1sZLu1CpkmYnNgf5c+CdODCDP6PZUad3LFPJalpY6NExU2KOGICqBYMDOCDu6wwnW5bv 7594EaT/YJfGQE1g9mlG0oargTipP/Oz3SY7qBSVRAowWUtD0JbHhJ0gNsSeY1nJ4qXGtZEPtexC b4cjO3DTFftzlgH/8WdmFzVbcTHf5BBipCIpOIXmG1oqI30MXUgQegL1ihceyABZSY2x9U/m5lbh I6hi0O+9l1uVPL44n86LQaFlW8RQO5C/pViIvQOU5+Uq9r4XMxtnZ3hgBXircUmbJkNEM4u1SFLZ faIhxy3shZejztHT71qWTYq+z12E3nAX4M/Y+2kmDotnsh7xjbTRN22f9ecARVGlenoK1SPaAHe3 pzzKliOwNoHz0CskWe+EflAoiEdR0jLvIX3thfvLFsGU3YXqyHi2qZglEhLbk3TytPC7ZPwU7lML CuMD9RCA0Wn3Gf18Hg/YuyB3OgTyyd8ZrPLVvZN6BblDq3PsZoJv8FzEMoi3xiUQ+Loa2vW0ba3O p90HjF/mNsYRgWgfcAhInfbZms7TOfGtVNGS+IAEcQRFQ2hn12p+VtQME5EX+QlIYgIT8Pe+wRg6 XZHzisskV2OHERI4QcgamenvILTAjSiwnQRLxXFr8OaHLV8lypUbCipVeGmw6twXj9u2geT3/WKM NoSqQMZO6V/aX1Ahf5bhd108NEHo3hc+VqhCAxbbT1nsr2Rb+HRNLW80wNF3XSMgbrU7TANyyvDv 6UX9Qm5/kVDxKa0+ZKTMcrqaxSkRCejdsZhtnwj20TaOzZRcticyCjdPuvVSFpJsclEd76BHZBbF s1Xzpw+o9vjCTQ9yFC1QZ4LtG7zGt9OejZHhZ9XqHDMtG71o9k7BHvUMgGXc5E1kLNIZuO1Vdc2e Hzyd6SbQZA9tkW/u2vnLB4FEGgopg5LBs5EJE5MeK6oJuUH9ApntbimhVa7m9VFLnS7MIYaaB+MJ brjIO/nHUyE/HwNagJzn0ym1eNb7Xa2obcgNl57QQXVfLSfpbpuQg8PyLus8UyrLnuX0DpRpmLeA 3abzxfFLWM6LvpAuY7U3KXgWjrCepxJ3H0aWxXbUgRyrOEpoNKD8b6+zaHbYQzPYvD4uiNJTWnFv 6f9AXmLROu+1AZLnK2YndtfFHpJTYCb3OtM4a/D/642j3WcYT03z4A5tgxwcuT0gIfJb7GSyvHco 6HUd+DROvv/MkACw0fGfUKt5lhWfQFOLqii+uXQFoO6o3GSdUyx6FCPjTF64e60wShUpu7+Hhdne zhkPYebGxVDlI73oQDlHr7Ba6AiZUmyfQ2TUdTgHRHU6gHmlQEXLSq1jg6CwHAEhCiW4J00H0sih XII3rDkKyWbrdEHmbHzFoFezVzb+YyyaBS2sVu3ktu3wXka/cLyOdTeCM/pWc7b7qKjEvL1HYNuv Hn9a0xjL2egeh42ZUKEAFltbVPFlEhE0yoX62GZdGJUequzEkxweKxJ2kKmmJlhihKLAiLApoAxH Zl+jeOFnGgPUq73mOORf5PApNo2f8Pyd8DD7flDdcyHR4KFn9McF3VabjJGvzF7tHTV9PYXAv7mF oTdGOJx4SEgCv2Mm9ZQN5oplTkO/6MNnTdYTTwiELwFmu8LpS6SwG7V1noVBVfpDZbgv18DThMnt 2wePKCXUBk7LHid7/9Iz2g3MBunpD/GSWNv80pbwbuysTwaW3RtV8tyj79klBHwwScrxFscXCEf3 HfDfEBr5PZ+2BY9eU28u227VKn+lIvBoJebvTE3P/hXKiqERKYAJj6qBAUFjItd9cXVZVdSaNdsg Q7eKEgBEUF7m2mv41fTZO90CAttvV2TA9WGjZtDfAiv8oeAnEDzaZLCKlfigMRIkbPkwbTHvwYY9 Cme7LCCNPmfi3uqPDq+8f3hl6FN+UAoPZDOCbvYd5qhUi+TcXAl/JtQjkb4dVXCBot0x0wi45P+G D+3LjcDSwH1eaW3IIzP018ILKzZfQgs9/R7LpwjyhUKH0/DpXh/qj1bOSPFhhCqx3OqvlJYtYk8m 4fYGJuaMLbuUvzKTS5V+Vnf8TNaRa9ywI/EYuNNo3iMbtsyM76ZX6g+wcpeiFbISN9xGB0QbhVDL OpJzxXbh/eeom3M2Z17jl2WS1mz/kfaPM0t1DPaX1AApTK8Z2i54e9noNA8Q3LW915/M8yPuRpbZ GNO9ah9yIkcRj8LgUpF+9TAhJRGAfjV24dRN+C1LKheLeMYSOUQtZTBEoTV0si5Z1R8o6Iy/wScA yiKhVOb5B8RDH4oDlxJ31v1n6UkOXYsScpOZuRE+uL6c8glZlzULnL60pZjzBXveCTbQDmR/EDVg KmzVLFrBX9S4sA4gDBpF3ldu0vId0n2Vu+PXBXYYwZMyW19mpjgjwAwt2g9WSdsspLB6F72ZK76E T67zxqHz1AE4bcHoYn5YaxjspTNjp5ZAHjv977WZ243wkAw1mKf1sKQTqE1WlI86BCYI0tOMo9XS kc3gZJC5z2C9aUuDfG7B7WSkh0b0Ql23aBZWCSWvIp3gXF5AxsnyM7OXuw0SLtpKIPnfUOIDuxRI EQ4Ji6CgxplGtYk/7s91lX9ywMoszY+CcDjeEs2InhwYzNQ9uLUQfZ5GCX2GuHFisL51ndzka/2l 6Bo+aEAIkPBBgNkQ2j+wnN29w2cwCID/dO2NCe2/6w3LF6H+7hQ9fdA0zrezBJnrCDVNH2CwdWY0 5M9F7ZnNpKu1a4kyOdMGV5C0NZ1XjAK8S5RhfAaeb/sJhIE/ILPOKlIn57QJ4WJcVLTzgqEcxtqb WrZqBak44Z0wzyNGXys8p7kC/++92Vo96GvlZCLfKfquDHMqY9sOSL98wvt/+T5jbRuExX76AlcE TEZmvX829FhTWBAw3VYmcHbc/Zwz2Ih52ZRg1Y6kK7HTE7QDSdZLLiXImH0CMAfAWjc63QnabWia 9q0sBSIATZGMl5lVfCgl/eyKcLexNcMzj1cNc1qK4NDDKMVw7Oe+wUTwvCgoZ17L09iqfnt/ay3K A3Vi9yVR/A23im8DNEFrR73dcL4orCA1yC5MN2Ma+RL7Xzbg9/9JWBpKzSWdTzgPIwawVSLWPhBa STf2xzAJu3MxEirc/F7O89dd5RYSnyRTlFtTnMFmKLryzh3CSuiEgmiIq8jna/WXweEzC+2hwPd/ ZfsPNzZk4AE9ydywDCkCrmVJzM9tB7MBtyrHmXykrW12HOM+Sw/XM9+bInUaEQgxDl/jXMlpDMnC dih0QjPRrPezl57FuJ43PzmYBv9ZF72xO8QsoJBZ27ACm6AxBNt+5CkIUCvQAhsQPcUb0BD2tU4O KVg7dpO9BblLyKpvtyL/JGZYMqq33VB/v4z8trSTKm7Rj0L5btDqOkmb8xLNslsFRC0imdvAG7qH am30mxwj0Cdx2zjSaWicPNfRYiEPAKxwVS2LDa0OdeinRH7FkNUiSPicCjiQ60FysDxuw+Q15xw4 VnmmWYCeYGgmrAa/O2frlkE04C6MIrA+6gDs0LKgrA4GBViTHHSXs8V1YIw0oaKI02bR7CvvnfsF O56K5VVwxQYo8vhLNBb4nWX2YH2B67QoEHN13lyShL5xYQm2MUZB4aEnac546LJqeeAi5b4TB06p ZigiTbmVo1B0ka0OJc+vUa1K0Tg3ZuL310ENIa+sOYprrlUTP5HJniCf5LBJryy18V9fBL6tKarg aLFbC6VstIruz6SregKwO9BqkXlHjyhPIMwXLqAAU+fPEe+TROsCwjtf2aqmlQagAxTASzuo8aq/ 3BelBLhUsH86999EMqUns/n8US+l7HRyGY5u3hsujI9BZyo3OWNQziJZLAci/PMoIwNnTnokoCuE jmU6xchw5hHLsKYjdBfd8pWSorFaHTFWqVGRhNPiRRE20YPQhw1Cc1fuZaKVUuY9dyNmMB+WpkFp aCSuPIOkkAbOlkqgx3aLD+SnJwv9lCWtgNxun4FITuYMG42riPMG2eoPdNbC9mno989zaQbXmbm4 VWI/3yzvQ7XGVyfJ2TL0/sw8eRb2Yrx5Lz9IrIFCWJnlISSin8NwtTvVKl0434EflWuas41xcTT7 zGV+d1lqXyoOVVA/4R3NyqzTr0kbkrIv4LHYXCbIwaLV4p86TOy6TvOPuuof06vQLySNf5t7TWRs tBOgcRWSjJLRoJZVZyOc6j2Z4Zsrwkdc9ouviLiPv61FEPLgaZ/ab9ITsotfXb6XzVSYqhbZ/05J bPg5LBthd2PhFl9gz8YKczL3LUmTLEyuuXjK2ORfE1/8wwkjj2pBnE62d0vNI8qunp+vwjq+6v1c gBvog+2G7ShyF2DHdodJDllfe3g8KOh2nnDTIX8g1T+PJUsLuW1hjUR16wI+Jvk1FuUFnuhR/8fC 8VsVTtCI1wRG0gh0ru2P2EBISvliJB5QKwFxRGEhO2BZKISt6WzYv4bArSl6+J6bLrF/oz8L1Wxw pQDbFIGqiRvjP4Z7jtgBZMjTaKoheqP4C8Q4wDq43QHoCwScctT0B7fNPpD1SxYiIiH9WwSbe3Um 4teEj1G1IOdyBO/6YWG+/Zdjs06zuDboMB0NhtzfgePPKDIVRvMHYo6m6LQXCjCKa3qG83alhAes Y2GJMPCCn5ztcKBBZLRcXxXrpNjaOxKNimuR14gNaGVoNuCIkeMJm0PRu0ST7lHN3IjUr30IMhBI 3vzIGNpinAzFIPQU/GscTVBfuoArwMlzlbsNnUWT2Lwx8VNNLAAAFty4+kKnNMmqOM0kJIPlD2SO F7CU4XPprTL8Z035uuW+9gfbZsrQcVh+Ae1GJQg6zhYYaL1DrsLxz/E0y2VyU8O6eTCagl8flf1r t6Evat4JhNomT2HofNsyfKpuAx+qgMlPaz0zu4201BBdr+R/lhUl3NGLRsVSaxpc16tlGe1iflHw roJtyga319XCquurkR7UYr2QwOKg+8Xp8brB4n4aAQajK6Je8c9VQUXBfpPaxCE9YuUI/ZmBUkDg 5t09neD8QCBwJUhj0nr6wmgg4KCz425pM/oHFj47aaY3eEYNSnK5cs7ipI3964ta8NkVvIjjNNuW bMkpGijKAAWNxii5P+gyltNSGrpPVQaEg/G5l2aPCGWMX4KouSiBwfJcDeaUZjgTYeTxWW3KYq0N gtkVPODFMmnk34474GP6HnIBowad4V21ogiRck0a30IoW9stLjmwI3UCXTFDQCQWxSaPb+c11hqU uiRSasuPbDLjYDM4d086jBMzvTgbgZRk9m9FKzJ2BmAHP5H00GB7EH3D1n6kU0WZ8PKrKltGZ0kG 6w85I/4Xf7vdoY+GoIa/Vi4f7oIZVdUDTaQFw3nuZC/HxBvDvTuvMJQRQxJvt+pelmdNF7E2hjwd jHrsQKeEEKMqdOzIhbY0o8KKqQXg6cs0gujnjVjREMDsLyAZvoRoVZmdYX3PhMu+56caxFStPkGI Pxx/WPfLQWj8ae/OLxU0UxLGDIxa90tQN0jLaYFvfopLiwI5LOBA7RO1EFy8afCZgje/wR6Afjsa cm2wbjwOrCY0nB2uRCwSkmLvGOG0bm3CL2Lbgjsv9ijbSELrCYJZEG7dFsHsHiQ8OWgyjdjHLKDI xGeaBqTS65wFbu1ciUiyxC3DGjavxin2R7hoHtDkyEH3t9JxhnmVEEFnG5PiRH1/tkhOoj07Fu9x zpMnx4heiYx/LkunVY2tGikK6TZcNeqTYVkaMLiFSJuHHZ5B2NQ1cz9J5J9rgIw0g2lzo24FdSl4 7QI1IHvGDdvZpvDbRZJlp2ZxHNs7JZQAg7TqdgyMchDSDRnR9+vWEgPoUFf7SgbrpnCbSV2uSD99 AskxJsAiDnpAsQ0zaiupgrhyKWaiDVFaiV30aRnf+vl0r9Te1e6oC4Z8X6+kOvx2KOQJrJl3w8eL FoMd3Pt9Rnfl5JeGV7JmDHnsYpWV2rTGPx81B1BvHXIEccsGRFYMxUPQ3slrTXA65dm4RpluQXKw 1/r0NzxHZmdPa/gg08JaFbx/XWCk2gkvb4U1UWFAZle3qoIF0FI4Mpwz0jRjY2Vy++mH+4/3Zp7V yZ0OvbDMIyXEeuJm1lRwLFlY3RMJH5ghUeRO4f25l45EbU8LIw1aaZKqjBfsuSnEZII5iUu4B4ik KwO7QvMRTThTx0v7BveDo6F8HUrgd/QCOGgcvgK/z8Vzcyx9/0zLjMERKY2pT3zELXyPznKAObVW Lokdz8JusI9v9SyZNW3pUsD4rC4weDb1tb7VNurxhLoL6NEUPGC3VcD5HFpW8NMKjgCfGnhS4S1j Ntyv+BZRLn8mXiqXCnhaNQTvll5ijqb8AITHWPjaJz25GB9mO6tKIQ8nKlwWhPRcET1J2Y4C9O8Z qObETucJgLG+KWFHXKd+XGLJDDdgeJgBiLjkXOK074gnPle3CSNUzBGQGCO9u3/RauopBng2lVvP 8/tmiytFhO4bjS/hslob+iLHObbUJg0U7xPoIP1YyNDep92So+pOpS1uXEsqRZ032qeQct9+fiPe +DwKpDV8tMtUUT1hIOKiv/JXCT3Ebjj6n+r9raDEE2nJlpbH8p0cLgWsXCvIgxizyb3q+sa7NbUE jddJzle7EDsx/upKD5zjVK0fJi3SbCObHpUGMCOktwOSgWEDFhEEaPOY/HUhbXZio7M2gO14q2q6 cUgQ/xcLrNdbZRKwwGsb77UsvRxqdUttmNeM3MPByAxCI65NsYWJmG/QMmkf4JcFRTYVaGMYgMGL vh5eoTwVAzsB6iNBQzCG0bQ0NeRcuZk0W2e/SpG6taq5dBtjBdeYQqhGOIoI0uMyUsiNpcupnu1t VayfwWC61ZZXGY88ng4F2Ng95Nxr12D7nZTewi6hYeDVTKr1iEhkfv70XRXgn37pKTptcRNJKYKq cR8BUrPiMesdD8tqYQpxMd0SZpZVzTsFh+YnMWU9KdECCP3Hd/XJy9Pt4VqQThQehh+Fs+pV2Drv ozZCiWIDc/pdwg+zda/+EyEtyzcEIskumaareIyfbz9LjZ0x+oA2aVJWEJQYqBobm74bqA4u/R++ B623RDq0vCioj9I7syS5brazVgOBH3GfkSVc7WZ7j8JP4ulMqtvAj+k0B2OdwJkBauDvp6trwyUJ pCFfGYmi0R9F/NOtuu+hY8RTqjM16rtO9xQfI0S2kN55v6rSkA2FLox/c1KnaXue6JSmQzyKzHLC H+0aZP1ErVZ5A8Fab7+n1XE0HfE2rhU+qM1EvFROrm3SZ+hiQphqEV56Vpb/l03HvqJB6mXIuBUK qu8/dF6i11GKQrzBjqR8V/V1FwMaDXkzDlrh0gIS/ADRi0ilhC9D4U6VJ9+4D8e/39vJqw2A9ez8 mhg4AH1NU8i8QKv2okcaBzYPVPmPKOi1WaUqD8UZt7SBSsxPpDfW4HRr3/0Xq5r1Pg3mfzMLjJ2H N2iFPVYI42jDuEQPtNUPTm+CwTlinKRoppLfSLKjYsQXG7PZSKAuHuJ6ISasSIqcKeWDgKb+b5ly jkqW8Kfvuxj2AroUK32JMh48aLXdMOyTqXvoChDk4eegI9Gg1FZJ8a5BTQut62DU8kC5LtbFDmsv Q2feZdBD251a9p2C2XNPFJL0eYu2SEsOGMEMh6Wg6wAdYlCN4ZK/YxaZgzcPlAya6H1VRWqF1NnJ 2av9DNN9LBD2BTpqXAXVxr9U72aSmxjUImJTezuDB5EzmHauOMwXX2QxFgQIaI20N7QCq7MctQbA EIx4NybXrrOj6ly3sHeerRLRqGOnkeU4q6GDDETUY/OQX+JUNBUljrahvI//Dclp0o+dhuqPCGm2 JSXMWCE+wmAuwDB5QCbVffVT4w1rqh1ANjxLCFlwNlVXj86hF2xilwHLE23nn/f8+PgHuMSqiz4I l25Q7SmZLF8fApLmu5keYRR14QsiSl0hWb4SMstkWaWJ4DcXHvJRb5T6PeSd/9uk43Xq9sLNH0PU c4euO7Op1XZk3Y7XGwE2qdxWivQS5Y7ulnnSvTirHUuVsbFxRs70CwEy6RBSJAYNcQ+CKZu7V7ws g4P5RsPSxK91weCLL2NEmGgTpci6RIK7HL5QattccBSGqh015pkCIiq5BUew28FWIO81F8fjSII9 hGZXocDj8pMYmCzgUPd8LLQUiSfxGMS+zecrLSxFR8/4eSZGhNZXZBcglCmfMRkMjySEqx3ODi59 Jb6kfc0RPUmvLBrKhTPSOtoojM4A8fiSM9VBdxtZYUZfR+Qj/M1Ep+z2SmhzQkHPzXtxsGUDTbxF B2du0Uhb3G+GYcMVZ99lBw7nZ9jA5cCjtQu1jRHb3VjffvgQAMyg84X2PhwQp3yS8sTD7Ju/jxfR JZKspgWtk95OeVWqXbLO2QlKyay/lqhf3+esCtv162wj3eh1S0hyAk8cVhDb8i+bKKS58o4Z96D6 TDO2nIeWXtvkhrDpWzb6QFvuMGZljafCgtbpu6ZIrJVOXSqsobj4Ii5lc7uSRUo86yvvSndEaU7h eB3KaDVJSyTKmN0KubKjCgPuNRmXbXFOjKr+VezLSFcmBgbcAGhx+UeVfLe3kCAN1Bgu5NnqNyjT rTIxRz15j/z80nCeMINSmtxWD4ETt55w4OiQ6cUNErdN588rDzbOKEttbP73wzjnbtwdLdCHZBYI lIKlr+r1Y/o2x5eHHhgrlLHu2IM8zxwPWksmPpXDNPbnQtB+4golQ9Rf3SpoCE3zPJe3ukwtrhM2 DFFZCROkL62Jc21G7/QcjFTJAOkccZ7lPRAWMSSG0qGo/kY1eQJ2Gh5i/SZTx/NMH9n4xv3V0f+q ppAIAunPtms3viyemVCxeDW4aoaPSm2thb4nMXmLO1Mb/ocYm/QngooR+DBf4+J2F9Oc70r4BWZE nSSuZO5k9yVYhfXniGkHefpU8cWdNM43m4AN+sRvdmIrTvxrV8tYOuqcMhY3cB26CAvr00PYzjje JWVQ+YuQEzwBfFdT91FvMzJXaV+rgs3KtEwav5cPlAxgyVQjKeB4ZN5ylbZJvxNLCJ9h9UhAtxnG 7c47nSwTgMaxhxvJQFqRMWgn/8eoEHUDFvu7UGZk32Lq49dJ0J32mcnyPnBzQVg5ISiYRz0R+c3G yDfehRU01U8Yfe6glm4b3E3VSOq/kOWvvvwJMJgJzp/5D+DBwS4pYGo/PIKoL+Wb91DEbsY5CL5J Im4Bxmg9kn3jzvFeoq+aofiK9Zx6GAjDmcsQsRhRjPKSEuDbOL29HKj1oqLXdmxN/TzRGcrF5FoB cOte2gTeLUAWF9GCEXygSLBFzAGEz73Qs43LAJfsXAQRT685wjTCuaGVkocw5W/lzRfg4wvGJ1Nm Y2NuA96p0yTEbRXfSjj1MndjKYwE8WOWnJy3P8iWEPH6xx56qIRFCfSCNhU/YXm9WDCJBg28Vns2 CAV95gwfsN6BmT0v8AYGXb++PhL3qd2JRypQ+16DGMiJ1ZH0VR0YKStm3uKvWmU8CG4lzkyQ1Oaj 5OwcvOAZLXxYHkR8ST70PH0cbYc0ZKql1BSuGMiQpOiuZoejL8qizYASjvKtxKzknj2+tm7GRqcy c2JYV/+J0HYCLTwS2PraMGJUw7ZbeRBV3IDRwiYcnFUFSshCamlrYbvQ/Ps7u8/oMAmJnlXadHVl HpIANm4PB4sb/mLJhoaOBx6TihfRqym/pIWbWw4U6M6s9ztvgcECHFsMKU3sq5qFWKZXC7c4D2Gv Prnj5hk0KGoDFHc0zsoGeLivv8f7JKW7CI97OK3K8LOfP5+b2g/EpbhCQsHixqsIufN2NbBw7QHh QvTfxcZ3XsMdXiKZJn7ZGOD4Fbp7IH+cktfDvSbuV+sZgTpTwYj97a6GiN2HBbyOYhAiSEBupsfK ahCFd7WUdwMBnLWgQfs7JGYCSjyocLHkWGpBYDZfkAxujwfByhKhEI0/FokCjjBUQt1fj6cCRiyP ql0zDUdsNuwkG3P2P+tB5eCPracgIa7LTo/2Gj+jIW815F8BhJjqHVqhWfM45BGtdJkSQHKvBsob UYtDHcFrN256LRkv2NPaxSF64WcjwwK9WffZTE9yDOT2933DjH35zx8RrXeD1Qa9+F8RNoO4jdyv YlDhreC8ninvafSPUw9TrgEUf6S5z1iePMtYlxGIehbOWB5n3DGXvZdJgJwVhb6n9J4/v0XNGOsm JN0IEBs2pc4PphVSJ8SITStyIoZF3WmzpHVs/Wt/I+hho3hfgWHtFUQ/NNrCjRhymBUyp4tbpxRH DSiv9a4EKuvSfNX1xbaiLEPJG7ZWKvtdXD9GQY7bTOsaogv/AHQ5M9TgGeWeWrZgLBB4TIuqGvgw qGp7C07+2Bsmg8lTQMVMk2Kc04jPMvAIbKwneOMUU5PFnAHb1owwR9m1P5tOsMum4iAiTtGK6MKg HrI4gyRCNIe4eURSFwNf0NVhOIdOjhiszEfD6NAH5h2426ouTXjRQx3s/8EWkT6GOrntco60H+IG a1e0q+sUCrZMXx4Pkbxw0e91vBqjkvcAljJSWiyQXkJ0Yxlwf97NGt01jrF+YuZXKdtVpIflfEyd G7vnVeBmZqInzL9kmWZTdBWwFiZ/BLGfn0UJEeKzSvk+V85JQQMDBzixqFhinNXkaB+buL5iGKnH /poQBLJmXATwhkvywjLBti277m/dJqMV7SxFhRlqPgkwWNFPOiktPr8BEwR9LNZRqh1OWvkF710X J4vJA0ZBtpH7f1Hne6JipSPVnl5yo1MfPgVGEno0jIxRlgPPJRSYH9QOs7S80GT28G70gIg/iG25 /fIigR3iWBD1rzwnAVd9UKSEG1Onvq+JDHd5c8mxhmvl+jXznWYcPW4yRz1g7inw1Qq9F1Z+ozNs iIT36H4thvASbDRypvpR/2Srs6J0erEwrrV6gzYX40WcVe3OiwajpcZifr5po8lJFuNoqC+u2KUX nsKE/tqPT3v6WoaZ17/F+p4gXjvKVJ4jrSRL/wMGfBlz3jEJg3gUEoR7MkaOuv2dlaK8tYjaaOPu vTG9aYhr0q8sOl1a9FMfisWjTsUrVZPFjQTrMv/u9OwrMt2g7C8ha91leSVfYtgOnaMC9hrUB16L wKfUxHMlh2aOjaVT5sSrD8eWHWhVHnG9oqPcIBkClAbgALM9e20gvKzBcJ21hVmmEty5MhAAJhf7 t2swM89QKzFaHTxt+o8n9NtphK9erWxg+nUL7mtoUQMOb1G7tpyrkFrQ0fL4mdDASiYYomf36PwL CPF/IzoMXVztvdCwBbd1+LTz4G6YDhUl7PtybTOlRM5vm+GFianPOJ/uJ9zv1IO3PY0Zlv9FMlWV fh+gCxsS+rHI2CVuGAwlnSQgZKwhGJxVkXqXbE4SgHpQXaSuwjJNYGs7FhbMvvc8XCHqpZaN+6qc /CpbbeNkHruio3OamgsR1W+elCAEZ4nYSMIS67wQc49xZsBj2Y2j3zRtgeAPclT8x34gYNS6lkjp F/jkDY4k51h7MGxYgxWRJYliBKGruHbTvntOwEPZ3HqyIMEugnoi2/1PTYjBFNHPYf0u5MbSIN8j SiNb2xKTHfnM3BSjA3KOUZ9rg2gh3NF2QyoEnCKkI6qp1oyez55RtLUQRr9cZ0a4KRd26nhV4fnV bQOTXcGE6cHbXJ/zM0kIY1ZoujYbymkVO2OM4hpA867ngruvvSbFAfaFxHlV8+C3zMKzmaC/9Fbh psAKZWWb1mg9WQb+3Fw7rVqPqDUQRK+2nDZnSnrDG1Rx5P3KHrab3AySY7dL5mLY6M3uazYNZfa5 FdEYzOxtqERvBRI6eG1HGcyOepfcRQ8gSLm8AFi9K3Ncnx7M3K+rZ+3heQLi7giF4fzuXn3PVD0f TGb0kAbqUb0Ewa/QVqzZq+h1WSRrNkmosVbrgljqjb1FBdXEU0thaMtngDfwhCeyB/F14ty6Dut8 GbnURKIDzGPxBWOwT/T3orn/6mgErzmWCvk+3dpI1OQb3OP8+HhWZswP+SRT6eIMhw6EFF2exiIZ 6sP/WXZNEJf/p9L4rMoy7kjESUuv2pRlulPv/NLY6IHYAUM8odhnfIWh3U4Ec/B+kr5Q9jrE7j04 KxYDZtYWUrO7j6TFGwUAsnbh47ERQrcCvw/1yXoBjWL7gQ4/QGmwQmR1k3U/7+uTL4zYXPJJ1A66 eJYH6nkCeCb1wNWlplPKsDEds4rLYSo9EaVePour/A6r3BDJSInahapC1/NQvZEsPj20Fabu/ap2 u2QABxAEuCF9H7FZL3hSJig+qsTerVkjdu3egrtNi1L3kQA2rpsJ/gd5KBcT7p3xASfR76gof3PZ A+RYVCrAUH4A4YTB/33Zin836D7e3jQzsc0lvc7hEl4Jj/YCOD8BpXrwFsoTjW8S7WzDE1JEBURc MSIj1axKdGJEtQ99telLbHMEdPKUqJZ4FPC0en865AIdiONeIEFPuOdmvDkAx+VTzj3m6Z81a8eK Jnrzm0djcfsNNO/e0GwNhdg/ANDkUN0m4sSMLhA+FoaWdTypzuQ6lu4v9m3cptAHLNdB0z/AOU+S TAS9MtooH6kpVwo4WXOW7WDViGiWAcR2TCzuTxXV8qHPpVxO38fVXAV9+eITmc9kUEq8y2F39Avq 45oei62AzhSnudej7CdGqq9JSGTbS7GLZP/r+eTL43GYle73eLNjlGMzVCJNQIIKwGp2oX09ZflT vImHUE1u2ncCIVLHbcNEnsOnCllMKexyyuz/YGgiihErUfYbci3YyUbQW5KeWF5chJRnSJVerfFF IQnoQGmQDcHWDZBYU3amqc2Miohtn2431JBCOpsgLM7Ufo7zjqcteK60QLR/EeeUwBDTTUaUOHvs a/YYbD6lAXMFcwH/GK2Fu+unvI5Qlk8SktI9U7NYMw/LqP1TORKvc9E9AC7h/7EuKx7BTG/Y8T+w HR6emobOVm2TMQ5SGrgPACqk7/4xLBnDXfU3KBiUJAv/w5/shZsTpzLnXPTwM6bCUwkdGFrcNWdO e1YGWHB4gMyXNgvAYbJpGF4A5Tj08zrqgf4WRsPNJyvp0tWln2NWmZxbyluW7bsSmXdTAePTjejT tRyUDihqW9M8NXd9qflljZKNG6JVlYMVaGfgERVN80+Z80rSotSJ4Qo17LaAuaAwWQ983wvly3Pa chRu9C+4CUFLdKATEgprZZqZs01vmq568atvTl8wqigZ74EFfGgt80mMMCQfaKVFM4bNxVw9BoMX 3PYpm2uc+eoCwGukTqZkx+BBwTU1BwaILD2GBrMqmcsyy+Jzb5wTdCz7yy6zoqjIznZcfxsv1EbK zKGiSHVhxhYywHSRAfCSgZbic3ZXHSCLKpEPLmJlfaJXLmG/9lFF0HVP1y+6R92l7B+RyHyg+iPy u5yNGS0ECCAEHf1KdVHoktGSYw4oa2t6WxFcqtTf1lOcB5t1FiPEuu531/u4BV8bQzBMbjIU1x5y +R1zZWHjT7uHpJvBW6YPjs9HzDeBNIu9M/NwAXUoVBFMHzV1F244r6EBsfC31CID+CmHyc/bk/oF KzuAh6TKAqvejmoZYCz4ZXPt2h8e3fQVuySizRFLTygJ8HkycWIxHQp3U0H/UrVmwBc8orSmJBX4 gq4up/3NKL/VThnfqsYziqRS1h3XR56bv4LLiOgyFs93kMTqJQ3nVunt1b/0JkRRv92YMebMydhD IaExvFUl0mGAJ2oXvB7frs8z1U/Kj3bHMssA5OLxHN4dk7nbfIwCnM0HPoK40yjaxfndgOKoTUnO hzv/RaZyNZWcdr6DdXJNWs9RrMk7kMQ/CFEqlE01tqCs3Fy6Z8eXaFhlGUJ8CoSrbo4HIO7ZFlzH 7JEEsSFJlN2Q6RDuVHQcy7Wp+YI+6yeP0z1ssajXvX5okz3Ynm3/U8rAtJRVESzNxN+KUxmROynn 3/vyJkBEU6G8Vxpzi0Knu+ZiRnwtl+aM1oPziipPBJ8MduCExjDMn5DKdWOYVzGGBz0njAOycKV+ al1ro6ZnTjmieEsukC1yNq4kZmjlOvB6SgBkomwViKmTICYsu8oDohuJm5mUTugsJduueNotsvdH FR3jQQYx6k9/aBX2Ha2DP0Aii/nsyMNnJ6x87GKVvVR8aXofJI8fvuQQeaAcR65gnvUWN7fJL0aM VE8+mIh3d9fguuo8cWpQ/Bl17a7QU8Woh9fVvfN8+arYBP0W7jdtp0InRfE1ms3t2FeJZipnzTOo EgaOmLCkx1POzH8Yb2ixlS3DoHSr/Y6d+TKNhOqhiXjOwvmPlbLlG0b7mATnLkefZZfz07zAOj6B 9D2iYb1k1kRNmgn4JFZOFfw0F5/hbfD7a/h5dbKDl72ld7rTeNVwBxELFsOCoD958dVDwwXUvvBq VENZHdOUDzzt8hU6CPu0WvV5m8Ilqnsx6PzBlWPMzawOHxmh8EyzMUjo8XmiEffDTJqzJuxQv34T 55PLy8cxCapj0hzjd4s6/ldiqF5uMVImY+BDKOGtPe0VWioFqsRjbMi+n25HGZufFtQJ8GlI7Qae 3WbMC/V639laV8w44LJ9e5PqTiNe792En2h+SFEkLOfTaF3u8kZLqlNq9cQJlRhxmOqEe3tGJ10g M1jelMxIdqNP8B0N5NrAEreI9pzWntSw2Gax4tqjSUBy126FcjmcDL9GoZELPTS15q2ehmz/a3U0 3bVAgE7/KZy1Cw/ln2FkTBS4h8muDnhxC804OcZXMjKt1XZrrjtprTdfhq/zcFNJ3pWe5GWimdTM I4cqZmMrX9HlejqDfKGcV88NDFYcYBWshCu1TdlpNyxVNi9TtQDNEvNbKeKNJcClRgSzRUFQF3CC LJRWKa0o8ZgNL8UVzvknIh62bT6LxXYvEr8/mGgG4Nb8sSh7PAzJFS6Hd00EcneeZzEe3f6VQlNz rZANhQSHzxw4BhfkkvsFL4Nm9KSSZ1n7QVnt0kj7QnqcdA7qkgMh/I6QQ2X3h4QZ4JN1HwK+Zrdm YN959DVhXJJ0FKZUe0n90SyE0Ob7TTGjfHj7g6fP5QIk85xHV0kUytilMgi8IT1NYLCl0I26laZD ebXvh0d3X11WcqJ1IVZJOdQot7Kop7RTjYXswcBqXjriE6BZasyR3guOBppA/U8axsKZNZL8GwgB yBtWeL+XP1x7Ma8tJLgIDq09WQBryejNYVrxkdCG/KlXHx7zT8Ia8KbVGZ2OwI7dWMgwujgmT0t3 QCk80947ZZyuBGi/SNYvzzRcQulFKNZZYXQV5QewV1IF/WHUOxVa34uhSXHq6h+kScgKXK7j3Qua PkR93mtMyKIxJ1iqRrsUTVQDNvoysHLYBZ1lJQ/TxDicj6pqNur73+zhFmSXTQPpCnKQY+Kpu6pY LOErM6Vbadp5RmvgU4xpIBpYxZ806AF06kc2ilXQ7biJ6QkcyVSY5tH1TGceZZ7+kkWMcvlI1E6W KnYraCNplDjo7EG9hGSOpD1U+vGFo902P18VfMOLZd4pfKDepKAq38sibX6/xihW6pUrGc3xqg+M xLeylfjRCQ7+W87A8+soO0LlJzqzPRra7UobFcC238eakAZBpumeXO01cJFfBlceunDFmrWKIBR8 1N5zPbqYFhtR0XLWeJNvibbjuNh8lAAWxNcuVL+ohpnWyAWE1Snho8iuXzoqkaKNXjGaj9uSct+Z 4GHS0Nb0tppbrYEaYFZOP219qRwlz6EEZf1Fkx0hOPBLWi68u1PuFKVl5kjScabkwhISKVBG/i2U x9wxi/bYekkxLYOFtkZwzHIbNBDYGDXRG4O+qk9RCeWi33PWxSt4Bn4RJ4SJfXK5uvjXscyTms9V kAA7hslyhJsLUiCH38RQD9eO14GMNdM/fAgfJVHRtHfxf5A7Nqz4fM55+axHwIItHRlhl6QTWEEC Z9UBhKuTmcqszKqCnMOubgdwfSggqHfWXzD1EWRVPmLoBV3tKFzYLlLVZGh8bCABit6DEoAp8g9A 4oMI5DzL3T6DwuJ7BmO6EyIsLnb80tnrE0v0FEac6FybTZ/y82gA6VlmQ8+FLs4qZ0NtpDnW+nKr RYWeO16RfzfJmd/6nbJY+lbOC79Um4WOuFLrJvKtQCxQclRGhQB5lZS5vb2Xt3p80ebkX3tcevix kk41hRhxY3a++1DBicEKH1gEpQ27g8wBjtlJ0Kxqqx9ezJHW2qD/SeuXnyvirBqTOuY1wMzAgAOj 1ZO87vx2EFh0JsiwmAoLEfQ0e1JP1O5og1B+ybIA0oP3qipeVWBtNpdHpNLDUtzn2icMFheP0sEQ qG4DFmQGmKNQhGfTRIX9BIsirZliU78ZcY2amplohkBLkehAOuDw3DgetnZrio9NnBgxcDcVy5Q6 9QmKXJGWUJlSmvFsb3F5MfnoE1+czUE+mmwhnE5h21vbd/uBPKhcW7K7k1NEX5WLAYWN4lyOrEqz fd9J9UcX/zVxvx4iq75uoBZosD0FcJpam/gZfXF78imbbtuEQsEFq/y/b7YGIUlcOx5p3wG/KTaM pXHeVGk93wobopa6p7zQS5RqGGAg+qS4qa6atDqIfDLhVMTzbtXHnFEmuPrT1bhkNzn0GYmTdajD k6Qyk5JK19qo/+Gf8shPX+v0i0b4e21FxgtKD0lkMMIdRLwAhsxQ98VtvEmzpfRjncGRzpMpY63z QtIKkn8atSYntA5z0gmbp0tx+BhKE+F2GKt3ALFctA0o8yBhFG3Kd3sUTWRKfy81J1CVgyOX96o9 lHOHq1mvxcZ+BWq20KBXS3ZEvQWSr31YkSWAcyS99x3GWr8NvYkp1F1g5RaRGfzxuAuxeTebQt5O pzCfTFFOsKUm6+p6RNw7bWqxOxs2AZIPIOaixoT+jVRI0x+skQnkmHnYV7tyFDgyvbLQgMCpCZeg nBs1ZCAs9MBKu2tTZUVhDIWPi3ylgz8bfYGdPJBOyU2k4MSbMUApH65ZnG6sQVpqEgq255MXi3em JInjcVqbaJnSYpcukDWM7oVneDTq7sLEIfXSaoo8zFWzbWdeFHR5+RoQbPlwO3T0HpiHYv9RjaGf tJuKJyUEpxwUAoJfi+F/H5xDKKw6vXJW6+PYHRlf6ERPSZy8SAxSA1y0RAdKuEfNoiqiZW4ynoAl vfPtcNDSwjdcRGsoymiPDjLesn9wrw0/wZA6Wq7a1vhobl9HNVt4//btn/l0PhVGQ2eXQ7LPMayc 9pJaO9KFSp4WmKfPTZltNQpp0ncohp5AGEFhvJ6jFWiDobM2mQZpjg18cUrrNMTR7kFrJeQZTSyj GdrV1dIIhE2iiqt4D6kk9l/V1Wl6THRYr0cdrjIKQZvIHljr/uY/RFYSe7NXGIe1eayL8Ux2UBNY oc8127FT4bLswj29DEWh09no8afS0dXUOtJPu6BthICOl7AeByDraIAzeBz5wCnguVGAwn+jDoPX 7vqaHtuG004KoOwPtF3ZjJuMUAX1PW+rNbQqAMoOPO8pYINY7VV2XZ71Ux/QibI16YkDNM+c3qHi 1uun+TO7bFF5Sv9tC1Lu68x8cWKbSGePHSBXIS6s/HC2ZAFqahpeIrhx2uCs86yrCAuPfcmqyxDU yi9lGG+OrAwxFqb1tAth0XJPm3nv9c/8XU8Dyfc4JdXiv6Reqg5YmBTnPSRjei+MAalvy9Lq8m21 fjKWp82UNvlkEJT5E59ZJz76DgAxCyEgKaNwrnm5ASpou0aTz2VBXBU9ETCvt9uS4/Qkr/Q4ycT2 sOjPg4I7AEyBaom4hKKJEcJSGlMVGSRh6XZoH0sn8MReP1S9fxVa66h4NVkhmhiCtUZ6+2N6YCVL gYH/8rk5JaGB0w6/qxs9z8THInm5x/pn9jHj9TyqBEGx+HxVZNyfEJT3kkP3danLeLGzWb53SYKY HMOTavqTppuMCaD6hqwRQEZZOB8gvKbKlAR+pc2D1HSOMrGQHO001enXbce9ciTYwH2buTIwTUfk byvO0z/9RAT1SbRyhZOg/PfDC5D3G9Ai5vcnvyeYZvoAMsmr0xi55LLBPjoJWLb924prxAgz96Ig uW8bEd5Vu4rEeSbcljjuprSs3TpVPh7tLEQR/eOvWYphti6VvdIxeinPQIN1IQSSGS9RB9gDkmYS DosTtUw4CiTI2ayV57uC7gQ2zi/bpFA4UBFqhwmz/DsxAA+I4Wo+y2B/4SLxkVhcOZdFSb9PMdFE BKUdYatHrtsL/J4Q7uub1/P9Z+S7FuXKExMwIAgrIyQDe2+rTZFUxJLJykasGMBtWDDJNF5S1Jac cyzkBsDlumVzAuYiKdlklVWmQjikWkqCNCyM6kUPlB2RmpWspza97/YPw0uWrK9QrlNxSnZyrKr/ t4fFvA6CriNiXKD9oSEqvXaMDtSSFloQAT5DBNQJsZRWY1GhAuBG6STdQj8r9q/e8G5/DWjQam7L edAQ9saClHKhLE8DwQp6daApCZjX1kFXmQGPse3SF0nhV85GSwqqS5xZ2I26QII9tOEhT0Gi6dSO DOATpfEwSw0QO0H29hrGjdnLOAM9aC1X4bOhuibkkBc2viMEA6zauwJ/SWMQzYRuonfFYDqDohQN PLZ95RaglYerQA9TzPitZRaqum07JFWgOBlJxq1R32duaD4uzXLPRMRyp4OqwxqglVp/0RmAyvz/ suPjhPds6Rdpbzh51PYjyzTeGhS2N6RDneqpGWdJjWZ/LAkt9usCd93Ecj9oo+RdlG6istdrm0QX CSxA9gdO9O++p3GZpHyQ8JtDneizfFf29QIrwBerW+RhImUJM4VpsQhqFHfi5CBk9o5/Z6VcJcHw uxZ+qcMaUuBW4jvK0hG6p+6cr2RKGENWWPXTOlOHb06b0l4JIL4JBXHai45rNWu8ar7uCMLF6gyo JNyyeamkkAvv2AGPM8yMFgOcjhO7hLZvG11x29BlASJQUA0BMKpSITuj2y4V1zlTdCh3FI9e34nH qs4TWKAa6QQ/26J+wxTTD2QQqdHUOMBXkIOpFvBfw0EJoIgg8qsEVplavIXR3SioVVNHOW6jlMvD Y7VYL9+/qnYTDhrZiAfotNgm3dUaIkH5xKBKRLIY6w4FIYmbr3azQqL89tcOum7sFA+oOL1X1Sh1 CE0ySfecJhYTL5mhUh3xrU9v3iCygXcD7yWxBr4HrbogRebcCkLALsLuaZ3upW1TB93Cwts9nFDg MXKxP7/Z0MGNux9guVH10OtXXeeIBHuQQ6QHt23LMdJ01HC6ubgbFYXBIfoo0QvSQ7AoI/SpcgZa DlejtyLRCUlFd+/NS3hkVx14futmDH+kqzHgGfHwEDY2oV24Gg8LWvWPgV2P9L0TltdP6prGcFSr c0OXuJDnaLw7DTpYgoXKeVvtfai+ELLSG6XHEbRO1SUmOLHIENudOHT7XVWWxMzYaHvyyWQdv1MM gfPg5ntZLvbhlUdaK4LswU2GzH6Atumthgr2LRnz09ug1vJTZvMj35uixdAiUHuXZxObOHgrheax gIQtNExrJ4LFWYrnZW4yfdYkGAmB1HIz1bxyu+LkB2cv4aWENk5IcHvmzS84Cu8rSCFAEdsJCbau 66p5E0gwWrA9yr1TQmo1lttT2d5AKcyMtT7ErCrUbQMpx0JBUd9opDnXAg6WHOzo75bdmuxohdPo 0pn/nxn5mFWjqJfO/bd70ZfFSRVvUPfIQfgHHiYKAnYAd2Bwu/UedPGhZguXGWbfYlYGT7YFy/+u n2u+6cCPofh0ujdUTdOCQxwDIWQMu+nLRwUEU4k0C0yfrZ/92K1i+livMoAatmMKwtaqOPwFVzCd qpi2fENqpg1yhozrbf7A0uRa84vb5E3QzUz2M/K+6Q4xPlfzrFQqncCWHJbkRgqJm+desVZSFyTi 04GSF9Bib+Q1A6GESZwDSpy0H8VulyY7AZruWKiMprc+YuK0gR7F3ivekcPYRCGQQi2LC/Qfa4qB f7R37uR+qUC1xP/BYOI3OGLRI/61xe4topGoaU2AJyb64JNKacCrFru4Q1/4+w8eaWY11SUkDnR2 kInnR37pdBcuBbYDeBdVx57kcuq8wgBa6kLxyqR6IEZh4n+1cqZvixFI+2xqeSerLctZWcBp82os X23OtjJ6QABRFh1/sUuF+2S5R7TR6tBP10gPmqxQWXJT46H0I6d7vutcuB2tgKmLneBXJgF78Q2c eypNDiIblm8ghxcrY8WATLq7k43xs/X70kKrTSFga8nIL4bKezngvIlLjM4jXSNPEXmnoyiK15Lp RDuEUL0w3p7gODTkhOuLLrIWDpFTxP8aMM9kdg2jGY3hzPHJJZ/uvJ8UgnrTKC80v02DmyscAHk1 rm4nETv6c1bSV3LRfcOPLguBRuwlElGooU6VqgwzAFTk7Kg9gW8MVfiVGuUX1U6RsUbBMkQPDX95 Sc04Q0uTv9jnKN4j9libJ0oaOxb+9fx0q3Fd9TvSth2BhqgsenBAygnuqUGCWrJSG16dG4m5btbh 19IGiw10p1B/m66501vjtCqfP+gCy/+3+Lt1xNt3Pmnrlxiz4CJFUsTqmPcfCtqYT0Xut690uxh9 T5bBIbF77lm6un6Q8XF9Fc7ljzlloahTDBZZEGrcdi9A+im2Thz8KyTEdxAS/TSWDsHP9E+Gtz/x B65OD374uG5nlH17N/p9nJaMwkWe3SUR+3v8CKBB9AhMzaM3wACrhER730WahE+BFXoIa/Vac7Tg 1D7lnRBoV0qe/9rJQpQGCuevlOwF2u7mMMUtdudZMwtSGdTPZAosWFzEm1zWdSis0ZxBHzd2lXqH wmcHvycYzAO9n8A0+FivqPq27nPr/g9AbYitmoN82aUDrxQKKFIORgbttSL5TagZjlVEs/yCd3/K OYV2PRnkH2kRCyVCwGQB6y3ixiHB5ig2zhA4N709Ygl9cNeiShKiYUL7a3vTDeD8jVxY/p0kj2GB /I4B0A8W9tsfQ5Zu6HgXRj8cxm4tUzZjHYX314FG2SPZK3eS65vPXDMExqAeWC9JUVFnZ4qK16Ze 1T6HS+P5jLMWw6tfD4GPvHqffj2BQaU3ks8GLbwSiHLJ0YJH29TR8Za+OP5dol6H11iH5gcIIUIy c2NiA5vLW9KBwftffY2uZKz8TSJ9O3T9SNQf8wE4ulDRLxWulZvnbzPkIIE2AFjfVY1R+kwG8XOV YaKZF2RVM54hQRh0f5tBlsDaFMCcoZnHt+ObpbvM4Rl1hlaCRkyG+Or00PT/7VXZUbl74kfgf2pQ RYAuTJvcLx9ROvZs2OCRgeC3I8bAo8j2PzhtocgeipLWdv3sr4JajzTUQ0oDt/H/HKjWGJtQUP1c ajxMurWo99UehKWdvUODLjBNqecrbghpJiYXpsqvAaGdjAJwknbvLthjpLd3yf+GDyxxAMMy3GS7 G/uEvBpCdGbQZU1vRx7k5sZe3foAqNoB0cLZM7f/fuj7fKhiZtMwxjl8vCXyoIezNXLVUE8OCw1p FCkWon6dOlgMRm6f/I3uh5DrBVYZqZW0gr92OrQKj6j8/r2xg81Y+WXEwq36Jm6b8XJTpZopGv/Y I0O3n3fTajLu3GqTVfJMGdR7Bn/O7tPJYxbBsB9uVjZYsCP845hVDFEvsDu6Qg8o4AYt7iafcNcD iRGH9sN/Yrp/CvwdD68B32uiVtzH2PmcpMQYRwArgjSJ0w3dzfni3+gnWa21F9sewdtJ4gFY5xCN 0hHsd8t73G48Np+g4wiIc17xubz7nFMfGpiCGrEDrhMGQwSacHc+aplz78brK2tDuWIGBJ7is7dl zHXkkV1KpOinz/WbzIZCMei8M0mIaunj3yz/sxAjabFSjza91vW7TfOLduKhyqRDsngcFhZYfnZd ZDFunJkI7BckPw1VbxrzXEvrDtMgRNoBBonIG8pZF8XQOvhDUdgVNuXi61AGw+DHVtO8Ii9IMfkd JwR1Li/C90qnVaqnnGLS4lOOrz9aLMZ3W0SliGCDD619Md16IfW+ThvvlheeTwNs6cmWw4Qq11j1 LXgbeN2jmNX6d2lk8jkAdJ8+YUllQdXD/4tFBBuN8R7+CNGaql2yIlOUAAOVKLU/uXDo4ryG1w7w RApCptrObhIdmmPw+kSvQXzRxFaFUyXzUUnRdpfcO12vq4NevAMVT/T64MS6jNcmArTWwoeUxBI8 rtPFgAhFkKy6lFXytqiH3g9dBj9ZdxElEt4d02zImDYuU0+J64L1B83RFypr1dtoVheaxKi3Rb+6 i8WHq2zRqZ/R7PBe3VilzzJTaQn1A1Y18mlMTD+VJi/gqGAaMcB3JDc50l4bYUvnVlmn+eYYVjDX WKJ6l3kLbAIE5jxjpIFO5+AK/0tzMVhL2+2SGUZBWeAO8aEC8iW2TSWvGOTG9F6I1U03DMgWEq3J G/yK1KO/SXrPygKYn0vhtUXLVOwbMECmZ4uYkUNQOmDIB/1ooqU9minQc7RldgFRYsx8e3izfojE Gw4YxkfdTye5WARpBP5PMtCspNh18Qpaka9Vqtp4rfvObcXdZAhGR81axJg9l9oBJx7Hwj2LK76U ZIIDcsAgZbMGLOzs3sReKm6KAGmwNrncCqYhMNbTOqWroNphHG5riWFE1elSqOTN8aDCYOLktMf/ 3vpjzXO4geEOWL4R0OtDYM+VEJd6g6EM7mfhr8RXDRfMnVtGrvfcYGdy0ZyZzyrqgyTD9Ud1AIRg PSDT7lqerSNdj2mpfSgZ8QXINWEoX2sTR/D5YLQx7DgtEGppkGzl5Dcuq1UGHtvpLWlda/BhbG5N XQpmvL8Lg/fRj8xWp3WUBNWQnTLyNRp5IXnEhq6OozjhIYuCCDTyPz2wTw2/oU+A8G/eggqHuNnH 2XcT8tOJsUxuJZ1GGUA36YLDvNXyiXQYBFt4c10VcppWzrv0G4ynstiKbUWiHOc8Tv/dZVLzR+gs 6/yCzWaFZO4uPBJ9ifNor3n208+j89tOVDK3Rf5mUm924Pr7kjvUftlNDr/b10rP5eEOkqhOo33d ryvJ5er9i5C2S//cn/fmMyPURg5MRVqDTExuBE8i2+g0nRsvD8mbmgLreryGoQKz6kHVQ+t0pcKd ozkI71vNn0p3IzvR2lCpElVL8ROCW1JI82SFhvcXb+IdgzvRGy3NdhOjMs3iCVM93EkpvG6PsWkE J9Ft6kG5o7QX56ElhlJOPm3Kace5cUKkEktkydUyeDOdSJKK9cbelimmJ1NAfSRvlwLmF9kH6Dsz DddQtDozGXuQkfMeA+sHCJ+p5oWfvSdo5I3bXskcSEas2YYq92bg3200CkGxgsW41Kffd6z01Vxb 1U/pgPlTpUQdpXdCf/dj6DzOt49KtBLLnkw19+45NkeW/WzZBE6yPFHzqGs/gyO3ZhWnsBwOWOrQ kHkQ7UtHNsFhlVMAG/r9hjnES7ec594/y5Ugyee7C2NCFwBbgj74cL2C8HZZmG4j8vCCvtLhwNb+ DQ71/ZbyoW3mBeJyaGnqQQHD+9Bv2OCOKll2RKv0S8V5HdAeLcjPcLAMEy3mCFkRuvNBicvMAKSi DHzLxARGvFPDebG+MQCp3hDVO+KT8aYNnGpfEh7cBH40i3x6vMnt4GGNJk32zxfX/J4rwHUKVMoJ 0WhFV8xTr/SkNeuGSnH1e3yZvlUlmKPnVLPgQ2dAI6PmD1oVpJYFbj7SaFkor3C+PPK3TQ9aObPo aLkI6E70sdH1kPja9Z7O7+ajXp30I2VlXSW04SOUwiNXl6HqTJaTOLPDpbBbcegMGH+zUb0w2tfB ataLMFP9yPwY6bBar7BiANY6b6PRoImvD6tLjjUkUPIj9vM7S/mLXBt8HRz7VIFpy3iRvDAtbqXO aQkBOIGmxCTXKX/nn4B2iON/LAvA5cuaC7UK3lF0Bgazkjh0dBUcuj+BeBGOFBG2Slwq1qQG3/+a 9lwqDsEM22Wud4dHlgE8gvYtjPtvWlg9wddDI0gcyR6ADyGrw/OWF88S6z4v2Z4eu6FFHYjJUSqH 6eUBj1slM9Nqr+8A5UhKg/eP1Ul3DUyBkkkdDttEMB8Y0YNJejrNtBm7pW3AdDzs+kKh/aPysdXc Xzk7gAXA92ut/finoIulQE3Rd9nAMXBEOVwx5/VTTYAp7DBj2vU9vkYh3oBWgQH3zL1AGSk58M0K sbAJjVka6vSH3s5i69i8ntE5nUISxt8AMnAfgayAziqZoQjVX6YP2hXYxb/7pY2pQ4nX2M5gSC8S U6hidsMH322O2Zaq/A5M/zdW8ScWOX1K0msNk9BBbZByrQjPYStwiwOnofcaBGY0vW+p1n/FbyX7 TW1Th3UkiInDhOSqXJpUGOpVCBzfXyS4au4baso2dnCiBtQo5LvyJTyx1QQjXL1xIUStLzN1A6kZ BYxeIW2SJRCh85QV4mJ9yL2LnPhUSPWNlye2VFM4I6fJfbygOOoBqhSxq6Tqd5f523MFF3jexEbv Yh0PExk1Fzw3zZZaVJVUDxBi/UzvgqJpG1XFeUHKl1J298weB+faPzSxqYayAv9xC98vR3VkbFPf HPpBtR8ku8krYSOXszM5NKAOnxsWKxA5mr9CAKY0b6nwGh3m9EOUg+nrvXKeYxJ9Z3MLOFuFR0V4 cWHzsxQeIy/CCxiPPmdVJS8AlaleZW1Dm5ke6S/Mf/5Xv/uwOq7wU4EDPyxEGrWMtQ4bqR6I8Nwd 292c03zzdAtgEzVYe0yTiNY1UdR3Fue/jSan6+LhCdF5lqRxD5msFBloULNqXpzTWU8rGYZWh2nS I54C0YS2l7PR+zJT1flyWBAiUwXzpx8FjRGb9MzynmHkKlHAkHTQmwrfW8Xg7bGRdAwbeUNS/xxR aCydOaiVuqaCLueu0dW68J5PBDxJEB9U7VMfphrgcaaKLs8t6o6Gvh9ptBw8F3xksA832ZFy9aoz X8u8H9lYtFseil6T2ZoPkDHWZC8q4o2oboWYAmy1mi/uXtR+AuJNF/y3cRj8JWwRX7ho/LLVWjHt NiddH3PCKTCg8wOk19Z/AqKhX9rqRCkIz7C0H0HJp6B9pv6cQ+tAjsu4ygce27JrmdmVx+LKbESs DgKnZm0VKP+h/J3QqGODt4xhgREd+7+ZBrePu/A2oazku/XI7Sw0TS4jhyfRW221xWxmFfA279rm jLAcxAS536lcYhBFiHHqo65drTsrnM3odYum2gDqwGpjNdtLCu4whIsT8mLukF+hRCvohpC8edrq AiYqC9an/Eo0LRo2JYvSmgn3Aa4mqin8DT3lBWjbLSUR24fkjHFDWQTI/hqZ5lwFjDqnCbmQpr9k roCegdDPwi9V48W7wB9ChD8hdk6/wNpS33MQubcOBbRpHS37s4lnQSPg4SNm7FbRreccRypYDG0X eAw1WFcdjG5Uele35qIusq2Hz1hjdsGRD/R6t5oSaMEZ/bpwjaLipFabDZY7hYBSoukoFApu1EAE evckJ8H2yG4Nxo+PS0bRuqXWXW8cwH3GOOyCMnW8vZdHoggCMHyO7SY6nmNm7+tFWCk53OC50h1z GIAsCw60ZJ3NPpvHXTogqq6/XDCIS43FE81i2vIFyRqNRPRKiE5piKbnd8r8Y/YBRzBhJOoWtgqx uPxQQ8ADcppfSpIv7fjv1gRi7UNkhFtYaQJWWN6aCvanta468XJFxbX6llcPjpo9dAdvAtCI7iX1 cw5pmzw1Z8klWnTFHsQwW8zBCFB8YzIiXg8rvd11pkp7i2TxKpLuf1KUBQq4IaIOiB1kX+KhVaYt xkunoeOMQELn+iXRMpeG4cxeLbk1jxs4A5WbVABSKGbZMjICd+L8vaukEqEfMl0xgCV6ptbVlJoY TBs4h4Y8Vxu7zjGWri4zXILg01eNPOKhIrrIkNXcaXtldsdGjmH59vg6WVOnK5fj9Utw1R9T3NGJ XqdVHIM4MIbW7acEVQ45p3QxaWT9Ip8oNUkI3EWzD6w53f0an5s3Dol4Kvv47MoRcPy6BBOv751e g9V8W43PWDklWEqihUZp+fsdDkszkUNLR2g6peJVSgVEwVpnPn5IJKo3DEpk4893zBqt260omycE QUp6PCikoknrS2CJvGjJ5FzMRo7HrImMYu6cojB4ZWsyERJaZuRAJaCbdfQDZwQQtrPUvlboQUnE sXGe+pz70aWeLpmsjl7HnQney1uUF1nN18zj6/D4tD5Feu12DGncAGkSeRMl0c4ohVtaVjTzlp+I LDdFlM05AreGKOuuAPkp+/vEWaOjOK8T8zTB73PP5tYsYzOAwC182EnpCoEGmlfK2khQ+9X1ORwX 0Im+rXS2H2q63Jw+9BpFHugkLo/KEAdPkF9nddaTpGVNmvgYrpBlqDhcCZjPNRJhfj/YX/p7gW0c YT/9UuNUSRPiJ4S4HLb0BiH4pZFqwmsHk1hQ+anBIoGYqy+jV1qahhc3B6p/Ixex98Y1VuGurFlI 8vQ0yEKUKRKNAEuVWdWGd3TtPJnYtIZTw1kHOti90Zwa77fAmDlKFvkwHneHJxN1pjzdOxelysL0 UZqVYX21FBqg29z6ZmLF31ERWdoq8odGZkcukaOVv2kBouC/mOF8UE1/g3IHScvV/zHnrPKXipkg Gh8HzfK+rooHWvnijB5CyOl7dWYA1dbkGZeTfMmTDb/WWjBKswvHwsg6tjfU5PrUsQAqjRS3mcAx fVY221rdec2WKU7EedOCpsmLCWnPLMbRc6umdaZNrwBZ10aL3azU1wCd73iVZW7iDHUcSnHmEBHW Z2zgejlXbU+aPXuhK/rQIiZU1jEu/G5/zGEcf5jSmulK0mfIgMGLsWHXKVDT6ctT8WDxMvtr/q9d g6lz4ofKUB/UuvIZf5Z5V21ehly0AtOYGyW0lZ9vWv0HqdKjvHFVqEicSMEJCgE7TYC6ds2rP2iB u4Z4fK0cfMzrJZV4mi3QdsZBdalbg2UxMu9dsRskcJn/WLRbaQuYvNWrgU6PpjUSX0kYBTJi4A8w 08etq0XovC82T2PBrMZQJtNxA/DD/ZSdcqIzmnNMWfwqzYjKGqqCxRJX0c4+nd5lySOONPk2aP88 PDXKSSdnjNoin4uhBSs3i3B0E2utBNJZdGWcsHaMz7pgpo4i+9lyiDg8Q+YM+2WrKCm7bOyrQgVP 5UOlz5RWmYSCh4gOkSAETmbyeJhOMm8vGBkWny+hW8nnesdd6/d6u8ND+jKXU3Am/SFhE6OKs5EY lO6ISdE1PFQZVkIplyeEBUDWT2DLPt9wgdUoFq71Tu/kcvhK+PkurXuf1uFJIo/hrCkxDCmdr6/U urQVWSinegidDFmo5NeqAkO6a/lF4M/8fuxxVT91fwM/tkyVkRhIBmee9/MZHkRaFm0T2SM7P79i 6T4s7tSqg95COuLoUj61BS4kYnYRYnWQMErj9QJS1jjDnoTewIVzSLZyMsOF1tqOMdKnj4s9Nhg7 muizVa4dPEPYvPr8SMNstjqz8dfgAmKOYglNaasE5PcntghIOlF6bfhfl+wWO21knH4h8GgvLOJX WFGMQE56o/wIrXUUMjXTTK3IcEXg2UAfcjkiYyP+kUVRQfz94kse8BV5G0GxXHPvV0aEwq8doyQ6 bJa+ejFWqxBKdgz3MJF5T2b4zFyGDhzfGkBsWgLLER7EJpD5wpzFDX9aCtapCXeBSAUFeQaXtDCw 8XE/1ZBSJ39kDl1EOTr4i4Z6KStBnDaZkJeHkR4TH0iu9F7GVG/jwHJgbwRz1flK+rB/LZY1rpYI oumUOPMiRGzYLrvuyH2HO1eWqZVFLnpOuWR2c7PFjY2WyBqJI5XwC7AMTOHA0ZBsYX6dLdlWco9O opUvk8zTWSHlP6Fz/98rw265tk1lhdOmRYptudlMvYHTaVxeVjQxr7w1LoQsiYth5F/m29hLHePt qyEh29evZHjXoiOZVKtmgulNgdg+TTQ25MFQ7XLKl1x592re5AZC2NSQVNe6qTD/KG6ghH01YJeZ 8XW3FemUJjE0lcrpH8XC7VhbYv1uMtFwfCqYgBMIYgPFJktqz4yHBv3u0Qf0PRbyRwgbow/K2lb6 5EeBBE1fYaVG1NdYEqWJgIUWDxCCR3IunQbfzSrl+qcwERKbaxOQLCVzub0alp5BiyM9YGNdjnD+ Ll3Tt6NwkW8SC4LLS4pcDa59/sq/7zyPr0abEbUYZypLTGfAOOOERWdCo9LQM1oStBsvXDHyTFTd afyxLOTIuye8try+Bo0BJB7GGoy6vTeCy6Tbw+faqVcaK1Zqijr2XHI6iR9gusWvLyWJ/9ZyoUo4 6tB74OUU/QXG5CxFFVlsfDoHMOtXN2icTrs/yQtCFw3ZK+a9uM/S+RBoHStWLZKuVtkqI5nNYqCn PFu5mrWdQnFWiCW8tWcn3Bu28IDUuMoJRmVAT2VA+ri5cHz6avNp87uzj6vlTCZjGd/8Yl4bSL9Q MJq0bBN9mn1ONlU+d/dKQC4urkOPix7ag07phycD/zhPZDa625ZMVfb0nz88fNhL514tFF2bkNmr fOwwoBzDVG4Tu+O/RLOCr0+kLGcfsTaTYGMPuBCeCDMc5mdL0cr/KPc6ttDooWb36N3FcAGo8Oit hOgZ91QUvE0pUBBgE9KIJxxE+qZPgrSdOv31ELno/DSB9JZoJ8//Z0sm25fUpX3yfNf0znWBLK2B g4BjTCwTDuQ5jUTf7d9MCg5BLtyWvfjoJjsOt4wwR8o//bJEvmw7o/eHHvaiqVzbHcO3zggbTqqJ eAQo1dkY+LCOnBYqwUR/3ClK8JhVkTfeBvclXu0RH+8apevWBm7aCDWUkRwKdn5zUdAiO/jv8s55 iNi9ZPL0XbvVB5kAbBQYCv7CamzjYdZME1Le+oTPIPv5pV4F0a+ayRhCXCS2SPM85wxs0gW2++yV Rv5I6KJ/xtfYM9TCQI9AIvbmUzX/RQfHpCRMIkVZVZ2pZyfEB9h22goknt/CQ65Z0o+16hPe6kSl rpEjUmyO6+tISyOjfXHXULPaPsp408v0DmwQ8py7bGKThZvU70UkqXyvGoMXy7XHQX3Qe8K2fikF xunrxV1d5a6fCJ6T5vLA0y5CnJpUUuk3iyGmbnQi5QQ90EwSiTVxMmSZPcpsstggz+Bmmw11XxFT hiR71gWo+sFhr4VOMeQsOA92MquzXXJSBca3c2xkOLZGGRD8HmpQbUg0JTaDreI9VY4cheUm/YDd N5QQhBuGyZ8FJqdS2rts0W3beHD9fC7Qaj6+Sr2m/cyGGkvVc7lWtXb+9QK12qifczGWyCVkOjPc D0/Yk8saASson9+juW1leOY2JX1E/K3z6hpxLfI3bvFL4Uako4YWK2xkjMjAS96WRD5SSzGOtCNL KbRsXP0Yt8JhgUFZrmRb4Tz1JQalPkau+Aqz1Yj+SbX2DvfIKEdKzMloYD1qGNEhJKs4aLT3xDwD PSSL6axCNcm7dae7eDphTXHzo0/v1xi6rLm/hlMAmhdwgH4u9e7hNu8bH5a8QhIUQlK/5LujQcBZ BJrfIYDCzUfqCFzADN9+pJFpiZQfDjLucNruqwzGRf2lHAJhFmyIKZ9tSWbHbniR+/ISVvoIzIZb ioG73Ds8Wnye3ke2Pf5zRgYj4N4GuNn4CM8YRpZLNPsUTydDmJvZ3yCAeJteQ6f/0KFNpN1KPCWd w+XZVCisPMRmVeNZ2szcJNPFuovIB28RJeAapFovChjdWQSHuaFKH6XeV3hm4WSMG4P1sCIcsjkE G1XubQ3PXXMboJHusWwMGUBQ/EAbEirNJiu8wXklQADxMuZOhBzVbz6w3fF3szU0lkVhmrJgyQIh rRwEzbdhMKfEOStUutXocc/ljAi4H8iUzrFgrdVMT1F20160JBgbkVTIwETFaYLWmI3/We10NDJK hZZGQwVQJ89UHfKwUojvjKONg9UPmtlnt4bQYB0KXCbwJsiFKY/8IY/8Brm0XrTrNgVJxc+S1O6U fY4k54sN8NxW0aGfQx3km3tc6fuE4bX6XmNiPnc29U4gv/a5VJSPdR5JtRutJofhL3F4/Utx1P7M jcftnqvVt9m67SmLKYGsXN/hWAd4OgBFmrpYDWbHfMohN1IzLwMt9psFRjz++XuAedLuXq9q/S/L pm9D6biOebKOzizMnoxl6wx8pBwrj5gyjff/IvRuwHgXuaetReU0h4WnRdiNFcDbZim/jppa1Rc2 Fm7YeDSJe3ybf0xUNoQ5Er/40qv4dASBS4YIr8JxtTqKzMH5X2y/Zbil+NyWxh0AiLSwCiahUgLw jR0bO9/ZuQhfoBtL8AlWsvm2pCWvwYYa11Vn4Vq+JOFwNsy+bpzRUHEmK8wyeowEyWLMt6q8hvB3 CIeG5QxlBvqEg9K0q/BB6Apt20XxmyIF3Yc8ZCVV4j9uBVKMxtSxbYFBksaGX0Gr5qJHw0c7ulSf FPiwCuGNv1Ftnjod5pOYCGmLXmdNplOMMtkV1AOIlopJrY+sg8N1Wz3MSAaxErhbM3+7t+DH193g lyFgeIbQsJ64QLpplSfbxxEbkZsL6cgWAjkSrSH5hgYsJ2QGT1CJ+ZRPWruBrTPQ13JzKuP19ii3 bK81ihgWMkuM3+o3OdHnMeLJbubHFquyZe654QkA5sk8eAKizxXLExf0PcFqBdFWQWpuLzTbZDk3 LQXCJPRspVyiRlx+QRhKUsjxZFh2h9kQ1uvQ0e65fFa/Rm1ZRuKGWpVIxYEElRcA2PmplMaXIVV+ CxPv3x44hcPdWKZaU4X3hOlL8gUIvO4eVzvNZjoy0D1CApEZsdxqg6350N9ojjxxL5qEkbRAQ4Zd zd0U+NfU7DIoCfo0xC1kyeULz9cfoiiJGlJomKFsXpHobE/pJ/HPHl8V7rebd1a/05IlDroESxs/ AZTbIpyInOGayzGYhrH3p6ye/mteSdx0WuqX8QvRaVQ0qFqcSphV1/VjW4LbtPQtCnQyJUujVgc5 fV6gLN4fFbwcLzLNbcl/tELautwoMGsngn44ydenfYllURtmFaKuj90hNBpFgkIVwBQdErrXg44e fITmCN20oPuorvWPijVvSw3d+6RgBy4xiKUVFj2hCdGihFK0VgYWN/Dm+mZFz0VCgQEo0Vl56+jc D1LzOY1JLSx5AmdluJ7oyEcPEAlNWlYbK4xtmadJ1ijdhYGZFJ+AxPXsnukUGL+bhfhZ2vvncnjn 1F0j/yPpZO350QRo/gxZe4C/m9+AE6p335BZn8xDqWmxKw9z/XFUhA875GiTkp83le8UH+puc7sk yDR/dXksr0aBTivz//4HMN+0/NtBwuLZqY/58QnaihYcn43UVnw4oZ+QB3xXvvr3XQMkQEMF1mwQ M1xwSgNQRs4J39/70K3CVC9AOrjDS844gllVFSwZwlb9btM4y2wh+BvBy2uc5nngELA4shxuXma5 N90FX7JF0UWloETSxPQsKoxppGeLG8Gb/XDAeBv7dqYGBZhXxpGYYXgLn1dcQ0jqxYCH0MBBDxWk JuYDQAoqq8VKmnOIbDWm9nh21ekh6+EMAke0wnQQXFS3wX5ISyVEpmuutJJOlpQ2uY4kayH/ZEe7 0BAEFy2qt6aIpIfLA53LG6T4Fn/Ghi9i6wzmbiD8eamQxI/sWxT0PSuGaOoohooJko75btGaJvJq S4ahiYqwnn8fvhBnXKb7+ENa0Jn5TTzob8WOf8uMhu7prEJ6GRd7vQu5KCHZf/R3IR1jBHqYrrRo iVodnwDnySpODczgEx94r/T6N5a47LfIaJD8nf9BBPPtojue3yK/q7tzYxjtVz+30EaA8qg90MQf Yb3SxRYVyo/hC6uJSF8bYXj0si7hyRHUjzEji/qLbpsOQEz0M/CcEBf3GlcS1rw3VdiGmluvCAIA jjXS3zJAditOP9JSdszuFhulRqgzensgtnP9jyrw0OnSDx6cfRW0bR3RVF0AbSiv+Mdq1rgJlbr2 U5KyaMSRkNWQ7AfPnOfzOLpFDsbDUXYVSSbs9qSJipGmBPFRkbD/ctEYYSeQJLcKnmSn/gMPALcN rh5oHhDUnyh89DZgWH5t80lWjBooxQ28guKIIlOezsWZvP0v5UOT6gFGmBpHx7Nrn1HyCa35GEDv NKU8SFJrtE51W9RW8VbQXlBn4NnPEU7OHO5fbxieWm3zlWKbmlNoLDLUa+YQB4LFP9jICzI96PBU z4Bdnl3enM20GIEJ2CwGeA0hPwJ6xrTMp0EhyOXhjt5t2BNbKWCgLbaqwxsia0RmRCdDfp9HI7lP 94v4AwEnNHPgqJ13Vzfq39XwBLYQmwMOhgS5dJi5TpdJki6aldmvnrCXWaRDGP5Igzn3034oPOsa Vck/6DU8pJNUlATD1Dt0o9u5y/CXHa0O7GGspe81CKK+vVxq3YmiRhtEOAm38U0MMSJAZyGUeniO WSVv3LJXd1ssCgpCo7mV7N4/GJP37gosWE2ekr7mwaZvsUVaI0rgjHXIcLXTnpOq4xhJghRpnwkj 8LwsfxbNplLv2GH7ZAO2Q4vzvlXK95rRQ/cOTOR7i+Y80zQtjOZzX36WRPcMur+NxJHCuYIU1olW NSyJrxTU7pz4gm4sxu/mKwKbEvuaOFd3Q9bPuagoREIkGRNoFKIayPEyFd+DcC6DI3/BLVAVmOGy fDJwTm7Jcm0favyj7GDxdYjyfPX8T9/2ZnQ9rR596vNmHJD/sDKbYFHWBmZKUWtCaEEzBxUP1RHo KfFCPweQGplbTNleA9vV8BSZw/b/0Gfhai7G+4xGkLPSRpolqEn/afTWTJRB49dQZBMSlq7Ugjoq c1+vsVeqtkYxv6J3CIRyZxVFCBIpxsv1qiQlEUVcazLoKH6o8npcmt6lZe7RscMSz8fEA46CnthV mldD59Y/FqnH+3bboj7qPR24wRCAtfJTMTPgPu4W8napNYOE2sMYIV+FjM8JDkJI7Q4n3cM2m+PG WKS081kglXEXO4SPLzw8PcZe+Snz9I2ynveK+Z2cHElcdMLxtGdFlRnobgJolQurqYS4kaKF7fT3 FjeL+tKETrYzN8Lq+CmUBEZHxTzLF3Ir2z6dQO8yQlnhCL045jfiI9q+wISIl4HO4ldbyomRgOVc SIVozP8mQTd3YkPujwaWMHaCMGlvnf1akjT/MPQe3ipjgg77F2fYR6+Ta0koR6Z5vWNj7mxfuMix u+Yuch61NaPZZ53sJisv++uWpNXDSZY2bHDP3GiwUp4vs7VbDhxTDRiK8Bj9MVZ42iSUETcr/U2o qb/gaKZeo20f4B8hSyoul4zu1rKpRQ+NM0eM9OQ7zrwvn8zK4kThLmp8H5XQBfcsCYxa9RdBmkpG hkjOQu138BUKCwyShpH6qfm1Xm9e5ZsLabyEbfSBC6cjHHV7jrLYu225U+qfJ7G1KvrpoC5PpS6R 4ToM4rCtsC1PMb78LcLtHH54SQWOT+u+PXQXTiWQUsliB8U6N0DbQj4oKiY0m0xkx6ybRPyhd7on Euc0W8TCWTVk87Tkl05rKeQjAl3dk3wg7BTuz8eENp6CTBv5vVXbSUOSzPUyIXCoHL299jBCP2Uz ewnXl7vvnG82DjDD6w26MNWJP6fQQRpyKJL8mvCx/rG2MKsQmF0QG/b9w4FDtP4GH2nX2MGfzOlk 64J6LU82FDQMailF1ssNFGXCaiOJNLlF60BHmsBSDBG4IIzkrz8Wzl0a86wTRlbltWVDnkx/gdTZ e0KI/VuJ8pBeo7lVgpziLsNFTJeulnI5Z0CeL9FW1CTUFbb6x4a0mWUwrARDxhB8ksCohUIp+b3p 3mNaY4A8IJasQ2G66TwictRnYEM3Wz6IFx7JPuWHj3d6q1xj+OltfeAeypGr3E7aJyGGf9V+V6Mp J/01jyfRo7VG2g14MdfMrE5wETSARTMVKjaxSZSBdDdPbgzGTtBMUZWLVSwWq1D12BaVLfs8wB/g tXldZAnSIb9R/0iK/bwdELe2GKvquj7nEPx4iE+qQJ7KSD1Ou/RUKWKplZkT6uuH+Yk6lNAKbKA5 z98FYi4Rm1M18lCWG5mfGc/Q2pDbCPuFXeXa93t1N/1/3d8KsbQSQEyHa7Sc2GPguT38hHpbKE10 +i4ptRcMSeebrsys+HAF3RliyGzJsj5Dju+lsqMudZTcw077DiV1ak20gTShb6KxkBmX4jQvflUE AJVyD55b/7qcak3wbTIh4IW1Gt/P3x+TLXKjV4rphf/sKz6BexBxAMfKJFU1mgHV7AhVUREN0oND qAzxhF1R0DTJSxQeO0YXiKyggqK98qWyYpfY/2df9J3o62tU7gnUPiVWDe1sC0r4M8XyePK+VeqS iCZlnxOca79TaITTBlaoYqxr/3iktxzJbavVw2p/4mH5Wb8y36O9+MmR0z4T+2e5yrl3pffJFuQW dH1A4ubpGHfrkiC5Qxbf+VRxuHGZ8HAA0KkqRbjZEoVs7NXJni8EjADBnN1Y3qJVCG32iAwggoar mrrc8BhfoZOo8GQ4wVEUzghpM9r6cEL2fnTcmLKQpQmbpir5s6vZBPVL2o3dLTisi9KzJBoM7tTE 1xSU3iwlHBVu4ZykxaZYEq7wCAM/Xjwld5PX3yLKa0yImawpquPFhnqLcXsMFKe9vZ1/n9ZmjRt8 ssW6N3QfYmbMhV4pzMllXDcr0CYEBSoOvpSobzZaxseR9DF9nb3YhCKijhMLoBPonWxXGMmz1m6v 5GdtOKr+eKIDbwF0lNUQbwHTB28vnN5RxHssNR8t442PtlbsdZENzDBwM4i4Y1+6uAdYT5XfYqEN Y/rSgzNCkCYmvGEUjV8BQapX9rJkBg1SsG5WyXlNL2GqmmrAmku/h3m0Fo0NfFQ50J8bQg40lM4K 2Jq8hFvKsq8gJdnGKPS8ovz03/a2C1iqGVQZVTo1A5DBhQP1Y3o7/GLc6mqowcLSdmE0noo8SVQ7 CzmcZcUMrhoFYw4/uXjM/dZr5EclAzJVTfaXnYQgsmEJHHdUh3HZXoAwC7ZHnDRSrxzPTexuxWmR lNbi4hmfOn/zhgom6ta3F2QRqjsYGrl70E9DXItPCAa4DyxVhyi+OrYTB79Mf9Q+2wiKAN/zJ0Qc lOdsBQwdsGbLmBmX9IaNe3tGX/C4Sx1unG05PyiWe1Kb8jCbkDEQN81um2kQcEmonURYNU5P6xLg BXwtJ5V6iJREIFIxty7E7TGK2fFeVoddsvZq86n/IB+FrWxZzgHao8neMCnVtOegTYpPyafPdkgQ XNgPsM97ogkDThHm6QbXt/CtS7cdRezNIzecMq2qTCFu1R8kYZlG0iQI+kKY11q0P4/Ho9HvCgiH o+vo1clL33jR7XmiDgRMhydyopbQe/9p3SBhHFSb6kukHgRYameMw0i5PawFOXG8JL0xgi/CFimp 46w3cA0Edp+xPC/0VQrx7scRtw0LAx93FKOJPCyATXQNC7z6YfFxbyzevGqVZC2Q7S9AEm5E5XeI IJfAY8PQCBt2VV2AZRQeKudGUcFnBKjUNMk8YakKo/F+WvQ5A0rP/410wjmzKugtEQUPhPNnwSWy VRjY23Botqft9D95UaoIIAX0RIgMddy4O/4b2NXBRqi+7NzyCyqrTA6MVYrjXi8Ukpl75q4DUdyr W9UtrfjVcQoMUKJLNrubGgS7X6ccOMpEJpGYHTDurn7UpgPUtYP2xxkEZEMe2tst4vr3yE4+vEdk A5vk41B4tKjhoxqDWxdDB3y8JaBUjRDywbTpLo1vcv6E4n4vtYRhrN6tjX21SlW22WW04n/Ip8PB fylt4JYvcL/sfFaN2855F6c5Y7enbCpBn8scNvYrYXaNawthLWtZOHJPqmqChfCgzqpGusSSq3+G qZEP5G1JFsMeVojWfOvUTg0xKXLM/lXaiO9aY+F1jPSnZ7WrqisAxNASk9JH9qmF6ENzofkmbeT9 zFOgB+ntPft9L96my0taSt01k+d+vNJI5r519n5rBEMFIbHXHBu/vmE8u8zdv3HOsU/060MCtd06 R7xg2DkbDsAgDKP7CzUPtS4qx0yphY6L13krJhh8+Ucjsr71bHpNPhyrGdBXoP4QgTZ+knazTgOV +2ulyD8Ku9jCBQjDRAaLgozBLoDB2AfjdWo2PvO6T54Ou8FtYymOeBBPJ4BQ5+wiLbgECuxS3q/+ OSFu3zYvEzA3rAJcsWPJF0h4mn9zGk5JDAx1obpvyPkN7vg6MBfYHtl65XFaHpOEh3aKpLYiwGIl oKitCKsYeKbmF54ca9rspB69Koms+EPDP5diaZnaFJau544lWu6MTann8kWtRsWr59TnIhx9RYNq eH07TItb7dY9EvKaoHQBU7JF10ZKf4D0n3lGTKbA9/IR+IrGbKYBsJ7ounZ31j1PHQKNA/YcRG0t BV/8hCgeJiMKRJKQlCy9wac4hMvazbxdvOWZxi/MiOTCOoRWMQKqFaQasFPHCmFYL1Oi9CXsZzik NXB004MmVbzqhwCkatd+z4jhUq0bKsdmpk0PA1YOyUJNXqnrbn8K2a+DAWZ20CHzWlq5c+bOrg9h YzG1HOvy1w2Hh5Q1w3f1VmGzsfjEcS7CtUO7dq1wZjjtbVUKl39khjdnN5f+2bYGU5i422YKBH0j m/HkVlfxDyi7QE9JwvwH0YLKze6b78jH1w2QOs4rIEk8ZpXtyAE2mg9vbCyfTifEe3Rveb0wOt8J vhp2M9o2inPLGCeMIJ9Mmrf1zI2cX1g4pJ42d9qhd8wJaxpp5tJhNfbjCcNYY39r8N8eSX+gnmFG KBvs7+vTtvHjmmYsNbLxWF5GvikZywaIGnUCOW5CzvVxfMLRJavWdYm1zFTE3liMdTm3swoVgQjA Uk8xq5f4Yy68624ABozM8yrbAD7ysnDTbHe/HgpSK137Y7bozZcMUYUnrUCuG+iwYqvsGEDLCW/C w0B1W5KHSbsAsu2ggKy02AV1HRVoMUy1KiLvtKeejdk9DrGN0oyjp4M/qikPQTFB9yiPEhi5TcbL 6Ri+11lEujsgp8hvA/Kv5Qvk2+YuieFFSyAKrZvpJv58xeVknQZUVG9KNGUktD/HMv71TsHVcF+C eJm1c+jPvbPeENhCsrOyhdGTv7eQTQ+2QtxKZzeFOPJ7S9eK3rqyPiXTQKHLvBgNYICwHSlPsLNj X0L3/l2vvgcNv8UTZsJCzH3beUlchB19d7NdJ0ZeKVGgV9SPA94pfMlHTztuqq/aDKF6WhOzAu2s lH/phn4FGYBj9veD4DgBid5CCGctYGbreQATM6Usx8mKVFhXQARhBZPOdZCx4+me5wgi/WtcmbYt A6AVTJX11exygkqZ18xXqun0UhLWyY46v1EGiRlwCPTjmmXex11/TXVmzN3ZtC1TBEo88x/x17Nc 5Pk93cFT5vwh7lN1tVTh4/QkZeT5WaSqIZ/4+mzse2lhR4Esi+06/GtmcJYplGqPuBCDOs7yox85 RDLihjF4LJIiSaLbi8g2lj7chvu8UdoqCwTWWyV9mgax8YK0p95I73qIbD81yXNRSiqvv4CRdqzd 3jaeQmeJMJ37oQgjaTCHp/XibaYR0CaAoBOPnMl5wHpD5xIVgU2Qdwz+ItcIPd+b8f+5nOhk4skL 8kePg+adTPFZ/thlboe3R581OmoCyOsb+zw6wbNcBO4cq0Nl1uLeLUITnAE5rQCsexCo6ZZIjJ5E x0N7MOWcCmTTmU7XVLh96D25Cidr43v/wogHXaSDs3Iq3nLcqEGuOl28JeVhO+HT/WARr+4AEl23 DIHPyuDhf54VxCj2aXy0VNcOe2UIdemmuykAKUYu1e737z5r1SlTgWYNQOnkOo1Uid53Dg5ghzD1 b6LA2qNrnq1+pH9WKyPLB+6JWrrWu+kopOcdxKX//KpWitbiWog0ZD4DwlWVL0SiSm6YspAxTSnD +lb3f0onOsU+ECERmc514f4e1A5afiCVEqRVTYhbhV9swc/hId9uEhSkswIs3CRStDYOigYxtned eK97JypOvR/d9xOdlML+QeEXu482Z5dACo+xaWIfvJ8SP7ITeejNGDgfPc5Fh+Y+cqoSWIjJvo/Y Kl0yIzxFNL7OPRpiXwvmO1qxI1vtkFE55XZc24YevpUNuybZV7o7yNykNOarkv0pK9R8FG+pI1yg 0oAzliWm61OV6CEPaD0PnM+TYsefjwGRB+sOS3POhHSZ1DfmKuUR3sI791vvxKFPFaOom77kutmJ a8hJL13Ba637ngk2Y9UXivNnYUIBvUJAj6ljuErHV8CzmVxD+RZoAN+jAD8mqYKr5FpTnsM0bnVr K21iz1uIyWVBIxJ8/rTSzQE5/TdSla5RYJVtkdpJOw4AQfX9f51UBkapE3trtmKRkxfWk4BZOmEY unjM+WlfYX4utxUtr5g90nlf8NEs755njPpIFyM5oSCw0zdqotunfgKa8myAq94KE3syEugm8vZE rP88dWX13jOq7Zitcaw20IAI61XIv9WHc3OMl2i6pFrl5DyPo/u1K9xaS5zlOEDWqdbAXV//+kAD IwUoQzyI49WU6jGMpy82G8hR8BOEVQhE5ZM/E/iX1eUxL6UBpVAXfscgsEreaJMKt0I73y0GyKWl STkxCOcM+szyn71a07Ot4+Y/7AYcQ1+DTBpqznFzaMpPdV4b+cmd0yJC3UQnSBxqEaFrSsudLX7V tagcDkehpZlgz4ZxxR7dM0GvYsstqtHEepKHrI2TsR1pmcZ8PxRsQCAQW7URcf1HJE/vz4z6JE/X canzcak7qihbZT8V2prZ66uvWiC7d1cjoXwuLac6aceYbUGsXOrlPl8Lm3ZuUp2ra7rSbtwiCi1E so1kjIQNaxSokcFePZ/5vOGVIp+cjggIMAmbH0JDH1yfdx2AtDGZ16ZvXokqUGFL6gPT5L7OHb4z doPGJIgzxwEe3Gh8ETcn6FjPxw4DgU7l/GQOmTPtyDLZRIs18RpTbspzrcSyz9V5oTV162M0cxcZ D/3DOvJtgx2gEKQ8C9q+DVnKhuYb36kJTQwbCU2+V2NuCWHX7/ds98CQ3MBNOGvBT9b+zkFr+hKh BcYh13Jj3aD3AsIomEJRdq0Cbz/C7jTJs9sEdFnmOEQQYT6s9HIYWgW6gKkqrAug96G935gWzTkK eefCS7e6zJQlybzPo3qt/HOXdDafgg+eXHg/AE4OzMNfEZ3EF6ejrtiNjU4nas12J+6NBA8DnuN9 zgFgqmuTAI8AsY7GH5yJRKtJhh9V8QHpQzUXhJiFiBOcBNBOoE1lIS/veX7ERp4LczB6z4f6M78T +d7mYOLQ+9hQm9nRL0TB2cHeoSGc7pjvB+UENrk0n4G4dGca6PMDNJqxCzbsPmbX3aQsFbqx87H8 Y/tdcLQ7O7FOh9R1K0RSCTCoExOqlTsSIyD29YaSTeUW3/GKUCtrDfKcxBAV46WILFnN1VMk65Ws wkC/SH5eRvM+dEPbBzuY/SeKMCAlkkNcMvukIaAJHNvqmXtbKnEQKFEyQkrKNtvtygBPlY6yEyBo 9uCmY7MoJR3uJjORT1y2BfhPXqTgDx46zniwjspO0Ox+yZ25SOjxO/ZH0RKatwMmVynlD6QIPeg2 ajg3ZE/bf68pYXDggyHzTezt2DnEcIUxBkO4ajtiUdnomQxNaVkvMR6SPrF+cM/TWUh2aGykKMWu nWyATzrdlktYmBUvldvW9oGAjWHF5FLAQBs2RpGronGG0TJVZbrE75t0FNm5OUOF1d1hd4TDkXpN ZrmBLGqZZ0qy7mlKfOV+flDEcQrWEVq6jGjvW9bL0TsG9lg2enSTE+UmZLIXdzzqf4OcF9p3DK53 4U43FZXqgpZUJGyfumdQtHzzlgyuCIFYwt44LXyFk6YCnGx0/jQtcJfJpBe2QxipFwh1ZHWr5H1c vDVqx9ZJ4edQkRDQl52am8qdqy+apJEVDpmqGmcys5vcGfz2rB6IjqQfHdyc1QiDdCKtLTOhKjPO PlLbHNkF7VUkiP8zY5+oUnibJ3YJH9RKB8BYWyjxKoXmFkjp9uHkEbedDLben8vFT6q6v/v7FSMX +SIdEvfQxSgeITaxkBjfMjWAXik/zDy9zihnRQ3Pwu022U6VmQy1vY5wB+ebhtFwMNcyXawZ9qBY SNihMMMT17r+lcbUGgLD6ZwyaRCe123eoy4XpaflKCSaUYRfONh+WQgQzwcqdKw2nRG31ptfr4hJ du95zQFNlHmOETCdtEVyiODswszjxJzibBHfDs/uLqToodgiQ8hb45SYHUy/XLbZ0vT/ShD/VNPk y1e5zkQ10mNQeF6oQVmE7QniAP3wAVYZWd+pNSFipu0diDsWMMMEjmm5cwWX9FHNiz/Pzj2rTIvP GY7SMVZYo5pQO4LwI0N/5D/MhCQS+ImmZJLRXqziHxf62VeLhPZz6uumylsOkh99yIPZc3YvqBjo JfNw9TqR0noKUUKfHTrdwdoVCFWaPfewmCfwpcwCGpXV/g/iVmf2mm5EGScsrYnbuxqigIfLKodX a8jRn6eLRaowz8WsbhjKBA7waARizMFiePTE/dRm1VuXSjobWeu3SrD6FtA/xgnzygSEmRWVHQUP 6TGChOeeUnVrYyeBtRCEaV1tzroqDmNIuQvc1jJ1xQLbDlVFQkw5vTES9AC9HDqsLeMKbPqn38rG EVOg/8q3yACMzZgxu+hAUqeHEw7iXJ62FJmjqi00X+vmG5L6NFwebHoAExI3bjqAT631BYIPRJca mF7KBINGy49ZwSllLsNyJnHkH6qWk4q7/1iK2HbG2pOPgueW7iYljjTIZJHrIXZXjld2WyyxdjmK duvww7YVW/SWTKWBp3ECCYyMDg0nDjUnS67ehxdinwnmNgWrSyz5sAXk4hXgfrPhi8xdQJQKH9ld 8HOI+TMA4iFFdnYbnCf/VZsz3D7f5sAVI/lWeKojBo6bkw10b1g+yFolzQ+S5SxYDV2rSQKHKlzF IGqRu+ssIhE8ZZcbwenSaCKI7x5o4GRHcz77gJ8GNjGebL5wrX7uBL8iYUE9PmyTTeJTwmh+L2gC rsfbT9OcEUi6uVMgJJkvCmuEMJeL+/czUvvV/BaqYMjYxgdYtSuJk/zxdrpkZ3VzntDlCvc2lH4b Z3ttdsJIRd0rkDWHVnnvjOFW0ZwvRUQZZ9oklAG9+LG9IuWyS20/bfqEXKLLBp9sDb3if9HRUeNS U5gzYrLFM+ZWGuFA8+zIjcOl8uyWGBVcJwvup3BsYXHdRi8a9iNq0zZKpBTG0DPTMrG0Mhrvy398 sZ/acV9Aqa0z7b0CrkGjIL/gjRxjDRWQQHB/MByjHdNEAugXkXi3KC9ul+MNfPhcz4d8mmJ6gTdw oD+nfllutfmuYdwH55/+YSSMzoI0ErfDqrMqqIo258oPKuvnlOs1J8oopC1NF80TYv0pV0QZSViV Yhhx/PLta1e/iWRxQDDhfw50j+cjGlbM22utJIYvKPUvWufd7O6wFrQHILzzb91QDMQWGA7oPZz8 lcVjiUmXftlEXIhlpbHbCBTUHtNzBAVyTxRzr6v2IRaZGG0puEWWN91ac6cpp9qEw+sODJmFw8e/ TskElUoXADqBGtdVTfCS7ubeW6FpTYwOVtC6aK7JrIEfv4BHU/UFPdfze0dJaiIpEc4ZqZc/A5up 16rM6LlznncoOCAuHX3m1k7ljf9YbQYStBaT6kRrIcLJIqVGy6Kf3Rzpyvfgv3ObiC8EHoLVmnU3 /nVKpkOuW5OovXK1tVgQTaq3b2LPl8U3WzTrFhRRxWyzHRLoTktPr0U/RhpwVl+RFPjk7XJFx2as fJ7YBgw9z7NRGvSIPLSsIOcNcXfUTdU+HEE47TeOzBtvmPlF5lTBroIDiyga8m93NPoRZ+p+o8T0 zIm+oBmrlvh/WYiicWoUMQW6Sl9swjmWjpAaPm3unaDO8rXE6gpzndoxBt5h03nS0ob0MiaBA0O8 bQhY2yoL4OgIN2UlipttQVOcqDQ/GLgDqQYY+2rlDwd3J1Ek+szwZYkFSLiOYCNX5fPoqsCyNFlk tlp09Qwr2784+XPwZBrMBPIf19i0JTXSKoFFSC2n6D50Y+Q1QON+lZoIsF7nWObvgzVJr+JPXC6I ROHTgqf2tTKxSaREAGZmzd+o/5lL2Hx4UlC16El2Ibr1u/hhTsZq9j5mShJb/w1o1MNgMrI+kOS2 SJhr/yZGvcKx1/rccClzO9TWW8/+Z2h52fBsADGaU4BcsiarE+hMMRdLSrQkH1F5dUXBey2aMR9z GPcKNDLXNTgOdpft5ZKYTJAxhgiBAhqAFwWvZRcK7kyCKap2g1O8UfWU7bnzk4nDRx89c5UYTQSi 6y7diyVq93Y320D35QcyhYKw4FlsCai6VH1jwpbd9OyvYlaDO7GBhOKCiHbsRIzH4UtrdvtRcBLP aDs/ABuIZzuAXV/XWuBiY/pTb6NWUTAuF4aBLGDLne5cj+hih8IQ4HlSjgYdN7O3lEUY3jDG0fk1 lJ441ZcYFuy9osOBc/+DGEepv+sYg0JmljOI1tjh8sRt6uuZ63iD4UJzT+8tEDvdQrTZTb3HGf9o qFoUCTEP0cvgmt9hulnKvs5ujxjw+o+BIQm/uU8wT/wP9V+P+CSxYhhKpVGYjzdU+Il6sRNvleUu NAOjdohJzuJC25wWxuR9QZfGpzA0j+WXmWfp0Thhx1sCjfxZI3vCXWgk4jbSIwIMn0rn7r0SGRRY SlXUQI8JJHDzjqjZ7owUyXm5jk/V+M81Hu2Effy4ZE3zF2+UEbm+1OqWVYLskpW5E3h43Tj+eR4x NbFdVJDiLaZoTdrflRaEJlv4nu9OP+cgvw7I8HYrko1gA7FaBa3+7vOGUTsVLg2mKSL0VNhN7f3L 6QqZaoVjqO6X8bMfgL9hvRYnH+rKcKyO1zk6UR7eLa5U2vIyM/uP7lX40jwJjgS25yjw4fbtyCvV CCOPrWu9Ne2Cb5ySLuOVqviR1JQAzFMWeY+Rig3ml8uIiPBtPN8+uXu8IuB/DMTO8ePss8xXaYhh n2I7xfcgyd3xzQgZfa4u04XZxUOWsWlsJV1t+R6WfqQuHt3Kt5kASXUDklVex5OGqHNe3NgcO1vr 448jKRaB4jeCL5unE3xMdauvEERPIh+inR34Ivqbi7TumG9eptgG0N9syRqk+0s5nglU18+XOnnE BneGg0/ONOUizTCqzA2u4chnfxaXxHAPDtYgvdDZenUpx+8/naXi0gRyHoe8rVAPXXkKDfKhPusQ 4cgHjK1RP37CMa3668ADtFRVYXOUPnQNK0lN26SztRQb3ScTcLpDsy6xUZH+FrOl65W0K7eR2vS3 4/WxZZENLhaWkQiiSo7TU1lHacdAhDpRUfwOpy1OuvATP1+JrmSg2np4JRODEKJdWMB/nyFJ2RtS d6FC6g4aAAm9m2s1dcwsDlgRYa7JOyiFXfz54ju61fqoavCvQcePaTVqekzfz7yo1OKXPDPrIvzT JQMoc1Lq4aXSeEL0m3bhA3rWbJD4lsFFhYlYqqZe2HHnrub8pMUOc9FKnOAYEl+nqUHve8TReV9d Q1ysxbPQarPcbheU6qRjyBvCsp2xWSIfiVZmAS2e/EsGzZKkBBi5xHo8yIWMaqPqvYHmJ/JIfA/I TLuQEwGsYAxP3ywfYMpwMA3p+mtDQiXZHF27VZPTz2cJNAeH1PbO/ib2tot2ctQJeW3IIezW+9mt oguAvDt3fLeD1/E0BQqG9mdxxP/NcxcrYQMoyR7u19Dfa/dYzdt+aIqe3CGmTBM+GdK+7BEyTZ/K GKDo4vGwZk2ZRIziOjjUZMPAdUrcs4bNFmvBPLUSRVQ3ffCxkWaHw/VWBIvyyXqi3AQG3HSzGgFv Mm7kwwO/+VEBVzFa6OcgbbxkFWjEyaFY99+D/K5wOM7LL4QaVn9DSy7apQLVK8SgBaeaUgUQ0Wh8 rZrcxRdajHV4Soe+o3nOoEGnDOhClitoWYgIMQi8t6GsJENDZ99BjEIziUwIxDIZ58SxK7W9W+z3 zexKUNjGYVYMnhLGMRM0cGjFKrrfN7SBRQSL1Gy2MNOelavDtWaNgA9fGVdGm9KoKu/u9jPoJIx/ 4CWzRAm5SwyQdRleoAKMjf/qSXhQkYGf0QVjyMk9i4/VOmbc0XKGUHLSY7j3fEWwphc6c6MHN0rY vlpaBoQa0//QOFJX6HDdp1OZ2e2Gwl20jVXWDfNB9rIcfmcYriftYQn/ZnB8+JOGwnpdgqJulsrB X16+CTvIXWrG/N/bTIVlP6lcWDxRpWCQbA6PHR9yduJUbyyERFIBVy+zrdhV/w5fgA6gIusfcRLj JMaKBPs5lELHtQ6AeO78FVQnFXfxehTPclthC8EiNKa9VRbhvea/EsDxRAoyEg0f/akQfvdVs8Gv +2Yb9fQkke9d5RtxbjWViP+100E+H61e6ns98GVRX4w7RVDkVDOchpI8hREhxEITOWVxsTm5/RLe jbfbO9yjHGA//wSPUvPRjoFplsaEngDdCWbhfwaGdEg4hbzOgGFmBz+o7MUFR1VkWxvf2f2N9tvT SN/5rKeDKovk59tx8TonyUq4sJAoPC+YVyFP9UHDi8wWr4jiQnT/v77O0WSk1t4FJvhyVkAjz799 wOwWYpEI+J07+GUrKCptO7Wlw6jDBX/+oP5z7AxLbuqA0+zHvaNjlstpxw58sJ1u654u9Mo1TGdv DLPy3xyhFqX/wZN/oSG87o3O08YR73IU0DOrKPJCTTKYwT5c/FphO2touQOE4hxVjNfh8wQoSQas dHC/BN9okQJTVOHnJSK8zl//D6fcOqCn6es0jvCzHgMeCklqlVWAusFzyrgmhmBXKKmYRQzOs0aP ds7PpKGDC1kz2nKC8iuhwGqywmRS0JqNy0KJT008szL2UvonGL6nShf0pShVpwQt9tg6YCDgG6wN FgXILjuDWq63M6+dVy0D7jXlmswWQqiBnfFIbVtoZFfR1MBdHu5zO6xSmEBpbgfeXLUE0xXk5YhX HDlj9SFb2kEa4vyx+mqepcglvj74N2rpbPKxTAyRyZ7ZH4JrClGhFhGAOHtR5AdjIq7o0MRUH3zl C/11sOtciSpCJY3OZC1lHDAK9ziae4JZFecL3iSWcmLAGF4Q3HyfRIRK6YnRflpKBCPdzqlM+dbW Ik3PFbB8lgMpSnP9GydyOpI3oQhhJTyjQWBlT7T1T91Pp5FO8gV0OzzBJ8KqgdygtqOFG9j8TTy6 dSAuYM9//QjYAclTiR6cvtkytxi+CZppMQAru9OfY4KFDydvA8/aIagdXsSf2Zf1YUOfPoxpo+bt ukwNR0E9Ip3ufoGM/3g0Mq34XJx4rUppfl+n7BEfZ+hFKsUu6RH9WVlljlM57Wx4VrCEUOxmI8cr 7NLlUZ2SnDR/yJlW2F2L09KOGdglj8MhJ83CmLPB737i/vc4EF5VrbjpHfa5l6JB2jkfBrIOZmgr S6RCJnsY+tUVSXa9dkuIuYN2/PHuAhNAAuX+DwhbEuq13dHF14ZxXD8qUJxgNdquVoNcsNgQ5QUQ YWUYReDD5Fj7TxM2aRHdZRKCNa5PAEwd8i5drAg7jwV+O6KNL/CJ3j6sl9Rn0s3QSsu1+rkNGcbi oNSa4zn+2QnKx5kyLC61eojWkjXYUSwjp6kXxoKo9SPzaToVnhs8RlstcDErLObznK5zb5yj8I41 g9Nc1+I/5CrGhLOpz1n2J47/i3ohpMugB8/52FtIF+x2DRmZ2cVn8slfVRLiqiTwvCBmaXMr2n1F OxnTeR2Qxi85yDhszx1lRO6KZxQy2AZYmXmxQ83py+0xy9tWCblBdxE2EPqX388FaTfsrZ8RCgn6 W698bYdqTfGeQOIMAtaKF1rfsVolxtfDAu2K2o8v2XKtnrJq3d7MBCs6VnH/82PzNTVYKQLtC2aS sPMA46WBLsAyIL4EMSfASDtX1xx8un+yg/bndvTov6+mwzB6XTklZjopYU5D81hVpPA5DgnRM+kD Om+BEp2WhzQnaQ20Z884jjon2u2MtneUfneLB6BgbVU+BNEtG4VsmJefJFrf8oRds80a/n0ANB4Q yCz7vzqXpXSwSZPqINfQeHRVZ3CTDCVFsYKvqrB0dXv3rUVBZOHQiF79F82vvheehNKlL1bipw+4 zv0BWsS+0DkB6AAxJ/8iTiZN7pd9kKfT4PsK9WkDK3ECwpYJtmjruZ93g4c0E5huhAhQqFfaYHIP WpGVvMq2r/EoTSKUd6m/4iNmuGr3bo03kZxbDpMLefuqxMJMYsmDTn/zDmI8F9oeHrSF8alOZJ/B JVJeW1hXXErmX/RCtutjuQDESUlIPRaL44WfdNsZiTDJf8wQB8QEjQC1lfQbpjZDoYk6epsHarNn S+G3g1ECiBwWWNyjt+rBGycV45TaIKE2nC/gv0a730QDidr+ltyWC8DAtpVD8aW9uyL4/ImP5HMD gIigDc4U7d7QHNLbWXpNrKOXGq7/hpiUv4jRmXwWbReWpE//DHjUY5Njr+cEAgfe5cQGy9cIR3IM 1jkY9lqK2Aht48gs29HClx/+3k44zt+bfe+FRe7sXR9Ac8wt1lxZBA8AZ8nAOUGQiJb5gNvBMTFD WHtaN4t9kMVfmmTt1heRcCubhmjTJgmO7lhWxbMQBjfs7tZZmdQMNYanE/5l51YcYh/E3k7Kx0pW oHmAJ93T7uytFFL3LqorUxUtqNbUkcfZaeRnqoOZ8cUHCixnginmg26PBESc4vu1KXmFulwZjbH0 q7QmBJ2kwXP2SuErVDbNL7hzEjXealOzBrhJUoxoR3B/PxyE227HLSV3U9f1gKyvTXkj8lj2sP+6 rxAWFwADsNxZ4CDV6ZX+bQiBbKvKcsK6N93ddWGjLo4D5NrKU1eOhQ7ESPqGw3kPF619LgHg13B9 E/FJpDQkFueeUsuEHRXgqFBMQIqZSpAW7I0ggdus43YUgiiByJnUT4Yd4+7L+EfMcgLuVsbZ/fGK M4dMjAU6C+58kYvyJdbAGNWT4hKrwvJGvAXJcflQi2NJw8fcC/Ol8tEC1l9mYn+/eweBUhn70Dmv ++HzAVCuVXmRC/3AxIF1MOOqKbf1eqSi34YTmpOyhnNoZAMc9S/WpqKUYwOct4NXhHGuGQx9nRCd s16V/dsQ2sEbf49xWKwgtdbEFAtzVz9vet52oWFf/RFtPmv8ZgcKdIMAG9jmRKqkTCcLEn0Hrbds dl1A/5d/STVShDYV0Torz2GakWj/qXqOPceY4qfyWREduLwWZkUFD2oxFXFCls5l+g4jVnpweSoR gl2N712FCju+gFEDCX+JmSzkJq7YhCXM2zRcFoTx2u77Rte6WIwn5RSm8shX9lWF6dwqi8KNKK+J +Onz1itVNAqaAmrDkPOG8i+CCfBcTJApqcm2966QnsXN+iV7X0ZBjzZg+nP/GPnpSHcjmF5Wwu2m CoPzyNhu4RF+VwGmA0u+AAC4GVFaWmiVetIpN83WDvZwxR/6spVKvgcUHcEv3Bl1sO75L1+dmpw4 YlrAToj7iHr9YvVKa9mrcIcApeKTzZKbqfqKJgQ5a1YfMZ+SgbaDgMOS8ojW9siXDTOjvkm8nYLe NDky8nVEnzxSCvNJqGRmYKq/IQWWZ+RxtoaeUJp1RcaGtvnmO9klWPAs8cj5eDh7ynZwgvdFjeDn OBlURgPuIBuokcw/kE4Qcy9C5kuTzP99t5lMjrVDHQwn5tcTQ6UAsdTxIFYUGzcSJnqo504jVGEA pCedxqjXhCuwUZnHVqY16qR9KGWPkLNwg5fNLqN59l67vJEtrKsBwzYLZeJueCHPA7HOIXlwAd8T +eNCChbRoDlwdFTPpvLOZZ5fkJXDZ+vkFxiFY6GIvcri99uYlZNR9G3JNwkupjVcEzVn1X1vU66I HUX7GIu0hCmr57x2scq3U48xdYQ4a4wJulVrxJ6IfjV2f+rTcdUxVAMqnAP39lXadahK629mVl/h IE+6vATQZGcl6dF1eQrI+RvxZZuYW4duCAiGd6UWBSH6SNLVCQ6cz8XW2rZUatHhvJQ1yDPbUtYO IpCOVh/vVh7eTGINf2J2GEVZwGKA1ELD3dYkYTHa3unN6exaghBXmg1YOVIYIt4+83kEPmVsrxE/ ClQzsYE+MWVHugZ2Fq3okta3ihjunY9NTyvlH7boIFMnUTz9+2vXxhFRDT1uThsmf5L5uC1plmGw 4jdZrB+BKtKwibYak88FY6gNhwDd9gpSLh/egzddpFvxMefAr9wwidYVY8T4q8cGfEKn23YjMFWj QeW5A/N1gGqK41a0xDuhgjMXACfw/NHAWXHwi5BIOTLFRtVNaGqP6F3HPFUhDLSX/s4sqMSjVyRv S6BXU71KfHh3YycDe/Eh6RTU7ZwshSZ+gaPSeEvy8dGZbRSxSK2ekWk+XC5dnpbxP+2KyNwSFj1Y xx/Ht6jNoYku83ReSFIPeOlK9JfeGjFqSUjllEVZew4pjpoKqLQcIJd1vAA0CAx6CAahasiisy3t uI+ZQFj0RiS/zzuD0yRWPGGULBHdoUJGwJ8fB8FQgymRUH8MGBGPUs63KOruGHvozV/ui5rCMDuv qGD0sNJfodjUVJzIJVspAafiTCaV3T8sAmAKHhlA/dZj/1RnwdMB5Y8uoC9aLhShpJAjKwSlAFck aFHxqTi+Ur2slY6+3NLNMctbhqamVDBTuAK2McBExEBcNoRi2pftppuPr9IVfXeeRq0tIa1KdCLZ PGgnb7NhqueTp/876SSOwgSHOTi5xdcYfrWrjGhX1q6NN35+6Rdp2NRz+EHiVZoDnMrlysNhQjg0 okB81i4plRNcbyJ+0pj7KTzYpvSA9k6bG97rxu80zF6C4CU5AaKkw1mtJbCJ5s8HAF33jSY/RNrt BvziVxdwGlcddE9Zene87IEc0Gb/sYbreHJHLFLVyKG70K5wcDV8mGu1FzXrheHCjU9kgfYXwG0U BNinMExl7vXXPAsGSXfEt+9YcZDsUjgC283F1xnnGwiQkd05k8Z6YBjiRa9OTzQi8HhOLGPE0/nq E5in9Qf8fFBPyaJzUi/EUGyNwsXe01+sWqk15VANGwRzDfRi4U0FV6O5kvqWb1QY/Jw21UgzMXF3 xMZDI/gBuAsncjp8+Oe70QVtiTk/rljhPUv0ElUEZOMvU3SfWUCs+gotbz5QcoAHYh72oo3DXWlP 41Tve7ZS1pYPShd+p4by83TNNmH/mal+Nq6U1vFaLeD4Zo3ScvXvdwWsumcams8tfocxgusAMx7y qva+SjLt2qAV8BwshNgYXvbPHSWS2+bceWrAcn2iv2vmtwMz5nPI+bFgiY9cK1NIvM2oIMkmkMm8 YAqzjpsjz8eoIuQwQZvohUY55Td7Rdxkw6wDR/ONi/1TPmpUtJVCwVKPU+gWpGdgamBXcuCuw65I lx+0arubOte8q6b2dNKhLpZm2/Sj1aKnvozMsqKQjCFWPIJfmSiLp722ruQp0iDMSk2oLfpEaomq JuS0MlZlsk93xn6HNnhRGhhRR4uvp0lKbyENe5iVXPeWa1pSdPzM9u66ZxtN/XCjJA+jcWBrtPPe HlXM1/FPARwVf0aXvNx6pRTgDIiFbR9CtmXfTYx+X5ZXcsCx9Z0js1I1jAlj3NcCGxylKF+kV1Dq 0HpVwCVOrWkt8G0ulQLX0mJAjvbGGItAT5mHfVSb8R59L6Zl3uNWDNvYkm17wUvo2vVcb3Su65Wm 6BX+DekcUYL515wkEUumjwv+uL0suG9wJV+WKJ0kZBaikSm60ohwPvz1Q3kScB8TvDI95kyJR2UN 53JrvWwvvGQc39vCAkVAngT5P0JNAP+k8pKwASotYxXrq0IfcEukFsyeNGVmpXPbD87ix+qwW2jR 3qZ2WqxeKekZceU+2noVabrIxmE1J55ysozWGEmqnAa/wLt59WU++mQFqR1pXT4UsZZqxPRHQVaF pnDgM4B2nhy5wxgDCYr1ZYFY2NFSCGERZeyTuoKPx/akCCdEwxGUINIfUYAelVO/YF7xupPqc0U1 pl3JX//yR1PZ4tvgE58614Nw/Vi+47nnyrt5vQgdR2gFMdpppEyzoIwNfdeS9J58sWG/qYwA/6Fx wFrc7TANPeXCvksSr0gVQVR9XFrbeAOPSO2h3PQz25vKT83rP+75L1pMgxSsIWs8cF0q310ch/4i OFwRhoWGlI+lnYe/y6Swy66J0er/ncxbFO5uBwpFsk+si4P4tFCRYRgEt2HXpOD6j8p7tZ79Nahe QUfZFIsK1GwJGzLEFV8MpfiddDReeQKl7euRMxPmI97526lIO/YXazopRg6aA0fS/D1lddtKNn9o Q3tg0/lS5uH3TU1JJSp8TyP6UE9VUVufDEcZPyYtH+V+MuwibzoTzqPSqJ53TwV3lLJ5t5titmTS T8nXhRw0ahW3mjzhSNezH5IeTHKyzn9NKiyJMwrxLEzaCfk5faTFbL2mY1Lj7KJnLJXgQ43yrehK DyCTVd+VhzNvFTUDuPMFpgj3vRXMmILwDGbNB0+XzO1eT9QyDSeLhHlUGTqIJEWHoYPehPhHfRwv taCPD0ob8loMyUE3Glxsg/DxlRqcJtARkovTkOPjaGFbqq6H9hPeIwMVhoNATE4VjUr/8/XSe7xl GzpzisL9Aek6x3hppjmuyIEw4o/uBGsIatLT846sHXsvSLMnYgojGvtzl/Fjfd5F11LnMYhjhfvb 0fILR5xVD/is6AUrG+piykeBoe4tzpGjcp4bPZ/C0d5huyYvqlz/FJSPtTcs3Z8GyfgeoHBoGh79 Y3rh0G/BfLkSYx9FowpmTVKxcDJh8Xytpo8AYbBYTjlDeeenD513UOqhIwl2AbuzhoAhOE9wV9K8 5EpuuMbChANCJlD/NpPWHL2/G3gjF6BWH908/PfB5laO+AhfitbC2/U3uj2TBvqdMa0nHt+IFqhz /vV8RuwOnu27IfGEVP0OpttfSmLxpys42Ucq6IJ7/hvVxIo8rBIxP6Gy3EftVpxY8lJ3a8plnB6M GhuTlvGgpBuKnUc7XOohIIAMWf4Sz/UU0hhEj53XuKiwGl4fBpO7Drwh2cVpJanHsauUMDgVgVkK qUi9p7JhhQfpKPtyP2iraikU/qyKs52G2qz0rIrzj7mZ5vBP9buey/39eBKDa7DvyHOMRchyK6qT U9Kt2zXCFreKbuOg9vhFHxa4PFC95wMsMXEwf+1/55CB96aED4a+n7Mj8nUVeGEezit24DTbjucl 65B1zKZ2+RswQwpoZunpyL7ydQIalOVSI5ilQzHR+EJPUqOJjBGkeHp4wSLtcLKXTIx0HJjBXJYR cOccS2cJc/+is4LRK59H474OmeoaXLarBzEuDuVXAsPXMco5Pobi3mmf6XDYJoFUKwoMBor8CrLp DrGxHO45ioUc82ac2cDaOgjnebT7bfKZ8ITV+ReyLxRSgtfhSmrtpbbZDU9EtvTa3QNWTwq+L1KA /Y6PQA9Qn+7dB4qBGR6jOUr3I5cu/y4HYkkT969h/cB5NBOWquctYa9DKj+QoVndORDjHexOHalB KvA5iBWXB2M+lq+RQoQvvAUKRSiAudwZw3e5QbdIE1i2Kz1ydX1VzH1/DT6KlUob7Ntyd5gNndXT njRlguYkkhn2QdQZz0oP9H1rMczpv05Qnjl8PePMReRV7OHrdclnoiCyCVQ/+rLyql8CppPWaCSl RCD8lswbq4KqNGp3dbSvwcoiP+lh1SM7UN4fepomPxL7R0w6Q9Mcbwj+TGCBCdSfR8bfux5ODFiS dUDqp7RRESdT++LxOmS9Bnswz7tej9PdkfLDsYBZ/PslaTsiMJ/6geJ60nrHav46bacpE2pL3e/U 160aCEGiU3eSEX4eqMi1vQvxwCF+IqujAxP33hKLxEiBHLHMyclQdpwuic8uSwdf/8fY94EhUPku Y5uI/frh7nDKMvOcjzrGihD00g0JOKdzB7SvQS/kWW2ChorO8WWqAblStbEpJ1WoecAvjb7VU4sI +lv/282FQL9KS1k+1OqfLOVe8M6x7S+Fo7FJskjM3QC1ydqTrz8Y9tK7vojzhkbf/4RpawZTW+o8 x0zi9yUquZQOiuT0UbkxQ3C9FzmFaho6dHuqN8AyVJU5QZgH8CVHAQFWHuDMPlgypyrBtd89grwP 9hCK+WC70m6iOO9hzneyRzbkHyeh7nTWlPaljaREPTZocLYUINxGoGfH1NsEz2ccrkIs5fQoPKt4 ljAUlKZVAAm5hIOlG0pkeRPY58hOLaLOFyM8hvYoKGtq+kf/N8dTg/ZJZ6WmDbOBX81ZMOGnSAK5 TBOeo5Y3eoVkd1BNGszt4pBMv3C4qRz/jmNLztJMLtQsnSnQZ3CHELNqhenWz792KDD1JEksavG0 sEyQWkLcDISyWfna/DMoh1v6UUJLEFxSj3WLu61pdB+f3d3WOX1qP4i1WaWDjqW6G6pG5gqGeMV5 WixURS7IQwOcH7WGYm/lg7pS8aK0U+E5cXXMBFl/EQeqvUvizJseNhFgspfKJz/4q38dRyMJGxKq qpJptW5+jBteeiWWt2os9Zmx23/Pz1Vg+5eGV71y6cDXb/Us2PwT++0xGi8qsYtX/yP33+5h8umL ljLlKB+M0XBYU3m7+duDCltj84w3Sdg3IIeYP0IxYQSyCpb1ungUBPPy3O0aYuA4VlnGRL9JFfId SKkSUrSK2ENs7jxEb7/tCYelnKNbFx6lD18L/n0iEaxPoID4m+DKPc2Pm2oy1mQARUqKft1zD3wr Bs2WMGcCxHoaIboy6suuoH++bVtjSpUayrN3Y6h863YhdV9XeBvSMP4vCeOdy4A6rpFdzvtOCsMA lSQo6YPKHWQCAH52wcgWyNQCweZgPzqO2xBAzCOozHEA/K1UWQNcPWQehBim6TxkMe+T9bx8OZv1 SdLSOzK4ogii7UZCfGOcpfbtBjkAg1Q4jKPdXvl0dbDLukI3qmDoJEoKtICfsVD64LRGS+s/of8h qKbaKHqVXGiUXfnrhJa6P0/UHFfQyK9u5mHt32K8A4/Q81qOyNKm849uR9VkM5+q2vuvWbS2qxK9 e5XNx2fQgqzDHYox5R7bdHp2mv/CACBMcGjBZ6yNk+dZXijVJvWpep0IJMfbCHT7XdYUiKsrFsDD Q6e33/+fY3CI/YwWsV/ZeoA0dyBEjh855bl5EGS7Xk49eeIFrlDZL2RWzkU+n1nE92wAeKA+dI9J Lkdwi+RfbYJAtJO+uFHvdkNOm5BlYWARnGs7SxZk6ibTfceNvzjtfdCWRasfQJMXOsW1L9jWTlXD SQOum8FO51cqui1KiaAnzzulORaYpjIA7+jPLsr46jhff/okgT06+BGt5WGfQ1Htg4K1XYqBwtdl ovyx9Iawy5vGfvCQhXgX2/0d7bWbVU7iCZKBhOEy7FMJjw2ojZLL3wzpiqZxzHQGMZSTjvFXsn/J ermO0zZD5C4yybIHGMB7/dGEbcc/pPd9ul1/YpFAt8ixHiFskOCHnFwNCe1HutxcHKAYPgJ7kA0D dHDUGEgEttr9SiqXA9uP1Fv/GnqJ9/fojM+DZzaG6mUTsl1Rgy8/RYW29P0SFs7hvitW+kCgg5mi VUuGB+i4WPdQ4eN3QGN2iINP4p66r7OWnshspOa7ZdYwmS/46pRw6L3r2wDgbNKWAHW632L05UeE dTjKjGuotUHDO/YAcr8+1/XK4HLZuCCGQQo+yp4kV2lZBss1kJVw5LIMkg428g2EnSa/rRX3MQ9x EgU3pQSZD2eMA6zgzLNRyb+lAPl3U/4Yz3bO+3blHV1I/CktFw1aRV4hHwCyiQYwpKg5klC5S86P k5QCl3CGxWtWaEoIkEpuJ7GbjYfdwUouLeKXpY3ot/MKqRaF3WMobStTwXorl6t8rjxH5CZfF2z5 kg4MxUBnbWCaXghgz+V+BdJoxgB7FImtpIj6WTRQ9lUdegJGR27Vm95CH3PbU9zxWTpYn9Bv/wWd SwM/DbfmzTv7DeddGt3p5LgYTfuxRRH3yLv6Y19nmGHmCRO687eOeZWy3Zpd6Mn7h6WJnwDIvlXn p0f7veFErSZRebT+8dCt4l53j0QHEFTb1B3LZ97ueesA1hrgs1FDZWPWm8YSP541yKkDmDkO5wRj 7geHsxw1HJBsro23qVKx9TTxqmDzKaLc+UvpkUwVo4XRXC03r7o5mZhkcEmDzzWarHou84mdvMme hwrm3SMgrhHneYn0GIWEyTIPzcpRW2jPxeye3pdMwn5oB73MuJLUOnbhUyOYDmM3viJXi2trGJSi AdnNbePIJF7wdwQVt1KHb3yI5L056tx6a1rXEd9x0EpLDH7AdJ0ghKHx5qO+4UcXdCV5iQHhZrVc oJemLYnGeIhafVFvouQgfRIQuinf7h3YA6Vw5dfxNep8bYNgmbofPpIpSmdXK8aDaSDGDzRm+T04 TF0n7//chKcGdlQB/yp1ZJxiqQpK81w9xPxb8r2tVLWZ8X39Ip1fpL+mSoc1ooft4lbglpTwk5zE mYwNpTh84JnhrvnOFnzWaPXk+XlToUP5tZ9Rfe3BN8nP1omCclY97Sye6ilRhBTyB8Vk9DgUh1Gs +6VYq9EwGt4pQ5TNGOExmrI0/Jf8yC3xv8z+VPjMdQvdP76OYQb58XarrMQq0gOcdbaWnwX8PF6+ 5e2E7ThvjkLexiuFaOO24CWc8uJQjEbCpEVhEN7UdB6912+o8jxrY27hKqQchsTQ6tobJQ/sJ9Nz sm5g+w48izeq5v/mkWZsC21If0sSzrhYu1Sy9ovlVJIB39ItxY6fkRsIeQFiStB/Qj0ZZ3RCqPup ouKupMFL6QwWsRnJgZp5z7C4eB9bhZ4Mw/38AQnEyPCNIzOFV7Q1546HFLIoRycfhDP8fpZKS6sw 0+oJaNNg3B4P2hOHSvNffznS2FqICdOpLyUm9Xl/xfJ3M1W6n66yq50x594hUUoMZtGuEUvYjQC0 /ZbqPaFEL6U2vsJAXlxw8mL1EtoaFJyq5fiGyZpWEBl+VSYgONMVj2D9tLm/kdj3i1X0WYTbYEL+ zb0mAuO9IpDBo3din0JmjiKp/6tmrm8qY4UynGZ0ytUadCLIrRgWFLinLgcpcpN0AMom39SG/v+E OVrqBvg3AfbO15xDSHDwC6BDmruyJEX9AaLJ9ZsD6bxgQEA0hhDYiZC43rNOyrcWeBJbcTUfzIeS ybEAHdMgau5ozwOURMv85lJXtguGDUISiG7qdoj9UXXQ57pigLVH42JBlJzHzDuqrF0JCYeGnYbX DZ7CjNYiB487WUCZVnKRdIchL10nauTVQ1PFeo1oHREG5ldymINv3u1dhYS6YnnUX13Qp9cM5r9o 39TyBX2VOgIaBQsf8cRYEIXS+H9go9GcBTXdnljs2vnCkch2V0sLjjqwiul73M2amiW+nAi91P3w 0tapcjgIZ+Y4UXbV0RFQJbSjAjcD1YRRc50w5BOiYS0JiDO7OHv8LKDe+1Idi3w++AMUnCTvkUsM vqVJ3GzeL5OrLrufw/Y4hrIpO5+j6z6O2stTQfVvQ4d6fvnXKRkhxzU1NFVfgBaKoaRbIO5ZrG1/ Tx1uNKYhgnRe9nPZ71OjRAAscEHsOkpNWS2HCVz9ZabIyL76EzO8BT/P4Zx4jqTGrfMXKUszEfPm ZEfiY4cwijeWlR8WwkGkWjEYQ8QBpfXeYS/lRrWo9sycZyLt5jurB7wCRQw0UaxbUJqUbLJBzF9R 4T7LYVrPnDTk3YEUfdhm00S4ulQpm7GhunoPxo7zYqty7W1ImacUmrKnf4bM3f/xPn5MK5tdiPPv cnEx6a90O9g9bvnGBeuk8aiBiagMn0odQBuD3NJ4I7JFbpuLSPc5otGViSaUusvw9phCjhr2EMp6 QjLm5X7EW+VClc7icfKe/B2siBwPMIH7RDZ6AxoyZnyaMMhT8+j9ezajaWAoQDv8CgN6UF20wZRh nBwZqsBFNtTAVhkrFP7WdwZ9jd5luE+oKA2RYQ/maog7ixGl5XmiSDzGpJDoUYtU0nui5+XglZzM r2pTLiyImjnV9y10PnFonHBBlhd/2n4ppcPiPGL1rU5ROcz0CZ4SBIMzZgz1xP8zPAOVl5C3BPiL drhWVEZQBnpguHE7kQIFTFvUfcnAHMg8vzoFPLvum6+8BUSKL8SQneeRyL7LXHGP0phNBsOsYaqR hfY5w+GdzFOztKw89duyAMsBmEE2qINHCRkYcAY1xBWZVHzRxjDofdSbBYCq3AFzlQy+HhcLMu37 9uiJIPhyncceACvOmZyuI/Xhh8Bu6fHDpkCsjeloDDsZPTlp5LzgrOmuAzNMTFCvvbNOQqzB+9+2 xjggGFzGTigG1JLfiSJqf5al1gLB1X0cXe5XlsWMOhP+ZX2CGYPYuc3eiClxF8vYrDgKeJ7MGMXH apfxSMRLpWXMyiZ5DIy9/vitRobbs5CyY0UVNfysUg+b4HPCk0nwq6yNQy/+9lOtsWjlhpuShfz9 YWvcfawgAL2yaEXLZxPFo94CQcd8NRJpFemkaw/QXrYUT3P7Vg5RHvN35BrQIEZTk3Xwjc5Iei8f Rbvsgjbcjl4sLVC/ANLt8A/Xc2xrp8p6yhYx3qMQDI1L9XLrqqxryz8e+TIxSUaKxjls2hswwEBo PEWnnxlVqVVXqKxzvtnir+dSXHylBbqPGQw5MftMeN0/Wpgqh8zWxxiXLbT9tYwfL1SKyyiXVLke c6Yr/GQE7NyVKOoMDmzdQMVcbmvWnDYjzemRBVY4o3CmSJC02C8sPAUEnSFpRRBn53gQTrRah+LM jkQvINuqu9VF3otlpqkI+UDD7n2vRtCCpDLN3qI2gNzBILj/0b7KFJKprWOTOv71V/yXZmU0pgDx 7QRbMW2ojdpFVAgkRMB3JvZZ/HrpVv/vQIx7KX7n5AUHOw1cfaYA+EZXaq9njRzITW80HN8dLmrH MZTaPY6yvQEiKB6e4ESMkPgO5VetAtkVgViHMlhCheI8W8+oA34KeCcWSJZ9S4cQVG50+/dlw1PX bUCSoCc7RBtizmbFelYIT2/YJ7JcaZf8ZdIh2Otgnx5A3vL1X5gfDQghk/9eWdjfIiMjEorTS0e/ Jfs0Zq3Sf/gLtaSVh7bD81JzXu5EBHYj92MdpWmE4sFIOPsE5qM/MLY1NGelZQMc+YjANy5pYJ8o knFYKnsn04CJtnIN6uRsnczq4zPz0YPpGH48/4HSJMycBJ3dNmLXaVs/kGhHSyqqoZkJEDv0qhRw 70MrhVuK2sXmZ7Y1eQkHbHk+LduBV4gFQ7CVVY9vrJAWVf1lAv2MKIW4VgsmtWE606UENbo7GR1e 1t2okRKWxrCuzzQte+2ju0xUGpCsu3/ADVpJkLiUeEBYoYSyI+9xUqxsPWAuUa+WRjfqGjxViTVQ S7uMzYvOlFVtprRR0iodiFux1R24pwpMG8FzoAKW+9vJOsjLiZWT/Bbu8saqnq3oBZG2Of8cglcn gahkkKIkkfjWAu+gs2CILt3iv7H7by9ZWB4Rf71hvEbXNp1JrWo30Ykf/ld8uz9ohgZ2FjFdDirS g90UEvkiZZLF/JBkUIXKmG+A2vwVLgxnA8XiH2mG/LtGrrNvggEeeNpqzB1rmhajDyDye9f1Gb7m SsNb5FwF3MGR0XiWvIw00MY0JPCtJylwXhZrmiSU0VYSVrx10BalokYiHO/BHralhVwy4m5zeBpU FAq1bQslQ2eeDqCDhUH4RjFnAk2XjHRugFApspq9HDJ4WgpFW44rpaHfmuZCGcAJKJ0P7n+MXJ/5 8tb7pEgwSPuPLqlWg/b+PXuWJjBNakZT/zKcsP3C2xqM/kIeghP+1cLgjFRfbOVx7lZsdJYHtgcn F062AYxXn+8MxqBXDXDcInPdO+DECfqA/K+8/KxAkmHSXPC5mv0bHTtU2OAg/unZ9fJIsFqs3A32 GEDTGzNIVeeT2P2IX6E+CrBD3GFQOQKglLCVqa2aha6jP4VJ2IWF0nC+mq9ETkmaw2azOZiHosRr wWtCsYgB3tL7/tJWUdxS58HfMlt2wqqNKh/HvUeeEcgSwGPL+66N7iwQkRp+uT4QQSUHQhzBw92D BlG4WMIOoK7w1+LCwYdnmMp83mr/PyKbNHoXMlgxKwuvmbItvBt1uKGUsbXwokc7r5DAs60rrKmI hsS4wF4OPdZBBOug7owWGBm7cFB4JPFy1jXBSOSUZMQS0i0/oNroSmkGC20/UtaeW75gxIgv05Ka 4f5CIhpxXeELXV6g6cAm0dsmXY1v4j7lrvj9P74JRVF1mKi01C63qQnFyG7Ye0qPdIe6RiPlCW+W GLoshdIAo4MCDQpC1dTbJjAm5X6y/h7Oq2Gjg2Lt6UWacEuS8wmQp2dnj2QAWU7hHXdpfVsDU/kV C5oK/eHqTVADlNzDU4MdTIIOjZy9k0OLpzOx2Rl/YS8uPjX6IBak3Q/sHrAdvm1KvfT/EhcbURMT YcZoAMl9K3aNtpwKRe3RnPSRh5Ps/brNyB6H2Mzmcn6DECWYfdqjsYOOyTbIr0wB3Z1eVwnpBs5Y C38GggQ4Zn0uUg3bouRJGGVxCb/ExlqRyRivA3ytZDX1477w8eThqPhBAZ+/8R1GHq+eA0oHPg4+ prYUTTe4UTZd5PMwKyLdbRAvjzQ23x/+LwsX1cgdHCV1ex538JL1swJUb0/wCeoFqZ8Xm02osI6E utlRMjND0864EKdgwac7MQPdDvOg+DHhG2M2+gdKz00wjpLx6oJwUFZ4mFNRxeGawLHH4Kj/EO5z rzA15bCcdp8497O0DU/Lw5Kgq2oWUdx/sdeW6lrpFXygMAvTXFD0VXure2EPfuMWNFCTIxWJETIN FpTArvDL1p7qudSwRGzhsvmwM6M6mz6XintBx553vuJWF2D4K+6SL+H1MaEjAIo0m4W8AnO/dJt4 dmNwt2VvTc5eIoPKPA2SpNVxaeHN7G1+WDAb9KLoVRWuNJNYANER77+d20IzrNvmz4qqoCatrvC0 m7RouNJvFy9WpJaauhQP6Vee3k8IRpJG8+RONwUHnnBXlZyz0B1Q8o9/ZMHvbxsjgDoim5VM0x1J I7iPQlmpvTXAP5nsKBLV4HOoClNhC8/TxpU9K4R/gWpBhi5kZUss7/lgcySjp+PEGBQnOpLPfUQK fQuDg50sxwVMvIq74nAnQNUlYxA2FR4xIFHvnl3yO6aM/DBRNjf47VvKuMv3XzNeyfN8XNkM+SMp lt95ZfBvG9io33OzrS0xkXUXO6RnKZBAGff8DZo/pD1rqPTZvah9Sjhry9c5O+XJmq6/x23qFQon /if+g99gK/P9A1l8ruTFruxLq5iIpZ4gmypK2YV39wDyW/oBcz9U1p3ipLjtr9ZTt/0RfZKRI76C ZsTZX2tSe+Zaborzu8XhJJNl33S45d71K52++1pMJA/Uk8rcGJub/n7sxh4WONXqQicwb71YL1gE CLT6MnyvX+XxlqAePvSpF+7l2oMrrExUbgE6bjnXcL0kHjUGbzZr8oHQQ5ERYBSRk++4M7qqrmtr INzf15j7173lG0DJGMZ8d+tMMk4RrtVn1XDfIE8x1gD9ox7onUqgGR47PK3b6GqO+9bhw4rBiPdV xe22lfu5t2k+WYM7Lt+zDh3QqnLTuiSPz/xpxjeWtbDz9hvNibgeGx2SJgOMs2n/ofKNzBll7cEN kjUzES7QvVWQJ5gAMPXjTMBXkMZxqY3kwD1ZY232V9S7VBXs5S31FBNy/RuRy0kgmKC5a3sKK2UM NIos0EAWZa3zpXXqiwa9G2LwrzHW3OIBsSSSQja0tJrJnaU5oV3Q2uVwVJckpCoU3jYK10jNnwYD YkS6GzRVIpRWHhMrkHEpJnIG/Bu4S3Bb4RW3kA9TsKbk2SSQybVO3KmzIbZ2KmkBmmFJcXt4aADD eBnKMaR8ZJ4XocKu4hvaNmf/Afg7aTFahF3jqXNKsjzMRttaSYCVEI1lmVs3abiRY2I/IKcmUIJc spxW364zWIBoecFOZC6+GTZQ6OjtDhjhMJG9nUqxfmKDk+Kl6ETrlF77oL1N/AMDAKL52zSDB8Mi PCp6K0z0CDJb3zXGRCnfOiBq4tDN5tJkbTwJULj7fsOa9L/JjQpRPRCfluIQ+jEGEXskg7K3/U2+ yhcgzv+l0GtmxfYpLLQZ4IqYhp3Zmg5NDkReoktP4+p4uF3dKlDmnZtU7k7/SAtCMsDRPX5BtYat OgzEpfq/c6wOOu7rp9n1wMO7trb4P2F4sjXWoILgCcNWe1YKjvFDs7ZKmwhu6acotYv9ExRRfgZD DOe/GQRzeBBzMM1urpCTJ5LHacrQcMuHm61QfuqMCi288ReL2JRDcUS2c5brFsHgckWExX+WGdNu 2AmDEoHV7LLaUBMM6KK34ZSnOm0dsr9A5L4z66c7YdWaN3LuDPOD4j/ik1nurDuLbnGeQdjIVRjn J508iMN1QwI1eq74npyDaApgTfUFtNOnlAkWkPruyr3PobelPZ4fiqugKk/IpfizKR8THT/zAAu2 Dn2SsJr3m2jvfcKC9uRe2qksw4RHKa1GyQK9HeXv+ktwVNK5LSL4f9h2bM/HgeFAjvwWn2YMGgEv npz9sp5YnXGo4L7NizWd0NIzkH3FP846JLlNWu0ot2O+Yijo1o2dfQji0lAprGJt3P+M+yg0pVhe 8z7Qtw+o387qwS0umzEM7PVfBRBnPENFBQbqpqzM+S/blfRzaYjelDwc+rwrOTgiEkkxoFtUBc4x 6lbDegjE0SsuTuCZGIrAYbmaqsYQOHNcTE/GfJk5o1CXLYgfwN9s+7gIrJ/pvNshtwD/oz5r5AMG t1+v+m5R26SacMg+tXEjk2qQbn0lQj6AKomSMzfCTfJChaUPp+mKeFrNSEPY8AgsZtpKC91P3LJe 8E8uAu9tyqDXb6Ir5iDWoL2QSqHCtrGizGN1JUTLMXddhUolsLvmVsSmiSObk3BA4iEHicrC5juo TD0SskassgYWRO+9rYeVh+ObDYaOnb2Gaz7/46LKe6oYb387fiAqTE3v9IzGwcPIvePfoFKbkD7m pC8vuEQhUjt+UZJ6byCPDceMfd/sYt6Ep5dOzIuPAf12rO/U43ybb56/wPkmLX+TBxJFXoletHV3 ENQgiAQm8TXnF8oCNJTDhHyIesMEVKuSs0or0Y9bRGsfAGOTSg+6WIDY96dYcpbqBac6Zz6kgebD 7ySJu/kk4swws5nWSOWthTCLYbz++bbP6SGJeMKCO6EEXWNt5TZ3ixcbJz42P/HfjMcZdDVnGonn Qtyj3/9B7jWjNr0G8aIWuys4N/kBNqv/ULypz+5a+SyakuFFWEoJI099OBK/nE/DVhste+nWP4mk u1cfvhL4K97eQeqrAJ840gcZ4rzCRFPtmreoe3tSV29jrOrtcgAuAt+zU0Bcx4PRnPxgoLJqfeUP lU3IJS/F6l8yvFWUAQGL5uWGE/5DTZlKOGEis7mF0REFy9P3kTbMv6uSMTcOJjooE7wGZKN6NZJj ZO/Gvauhn8fnnyqsWZd9RlHuX6MwkGd+UYF/IQ8022B9kPJtP/3DyIJcMD2wwaQJe3xXBL6XZUZb 9wfPM4NVnG5yTwV1ULnkRAVOugTxE2wjVwkdF5Mltwh4Cg61PYqxMcbI0sh1yMBskzUQzjQ/GISw CfGpLrkb+HY6vB7uHNvTE52JncUnblYs60PPgnARMS5Q7ZORhtWDDXhm/Go4fJ205xNkd8KbOByx 4e53wHXQIzpEj+X1JMuinQ1ejPStRJByTWe5yHQykBRkcov01WUUDs191oTxCSWXiaATR4Pfbzow 21kwk8JVXZONAaqxFQR+f4qmYdN6MsPNFpizy+gQe16KvXGGtXmw36wkUifeCDx7iOo2SBcFLziZ AcLkkZ3pDIM8Nvz8f08D6CxKzSwxyE/xX8N1xiQVs+/g9LayrN3lJI4P83OTRQikzcMl0Vjaf49P bnh9Ii/1APWs1v3ZwujMqiBDqre85ihFpC1ubXGfpqmSCOC//gb07NOPLjqI+a4F8BXzADHWnfar Plibb00tV4MulY/hmUCp2PlkeDicVYQA1X+a0dTDyMTPZHwF4VO98nvdwhk0WVhmdGdEeOrsoS+N YmpD0nJRZAaxtdCVaLGz45f79bWFUvDFhiIdyQQfy3e2wc8e9cIWSiW5xE/OQ3TfwF/MAP2qujoq zQZcmQYNSvxNfmxmeloxBydZdx4gN2Q81eAt+GF7bgNtCEqMUL5Ud+fYyhlvZlIcghv2P28SOGKf c4LQemJz2aL5demxvKWhnaOx+1BuSJiQ0wNbgKfP2qhVFHcJHbcB2U1laRDEHUH74EFpRhFbKnIy G09FDdQNvzXYxJilbmR0d+F/4GwKiCDI1XuVYnY0GXuPzPgpV8Q+omsqs/kI4wD+7xDGehGqzshf xRyg/oXwI8JkIoZpx6T0pYhNt3md/Q9aEV3x05/dScbDgpMPJVKtEIxsJ90a8WJp+IAQcJCGpHDi 9AhRzOYaASGCfprUgxtsr0mgnPaoOLDhUNyP418LmRUdfFv8l1xUWN3LO1upUKUx7OuqQMJXwleZ IoMha9G21h0zN19LkgSYQo0efE1OpIT4oDSMCVCq+Drsi+y6cPUiXVSjmmm8PS2bxdLVC5odcxVm gPAUEkiXDWxDPMDShH9U3FuxfVRfgkMJNy9FQJfmgfSO9iGFfbPWOw59NpIAahxRVlpIIuE7J1j+ Bci8JwNOuw5mo1YVDwlVXVuQZ+NoAV4I3nzs8fu59yMCSBdAWjRtWjqLCAq9oWRUHthvaeTF2p9f aSN+Pb+1zb01egZNAdNwyPdM44hQRpMz+0SuM0MM4VxbWrKzzTeCQnQBBja+EJ4AhEyy737JvnYS tVGitUmtM25dUNQ9sqh/dSsnrAxMPv4VEozm2A1af50tGGOBDt5KO3KBtqG5stzrhPfAJB6b0oZq o6x7lL9FNgvjcNI+bePZB69uHOtrmRpkngTUMybQTIaJ8UA1Cu8VcxcRu26yQtql4nJvKNeDB4q+ smtItJWJwzODcSj9PwVzsiQTeVAqzkyLsXE1NhMIMKuN6tnDDkw9w3Zv5ByTxodeJk3iVCz0GMlb le/J0qMKqFikwbpWVgt1Uyce93houvqIeiz0WEnKPsA0t1BXf+zzI7KTUeqgr5gUGjkidhAN5XAo yw05OCPpeQJiOw67iZ3QX0/awTHsktF0T4399jHfc+KkQhYMO6sWLNM8nDwzp2PKmzHNW2kXn3J/ 8ehMDEJM5PAGddM6gZMbNd255xzyhR6unBGLa7IPA5/BvfBsxACnEx9TR6Xlu+G7tUeATyDK9y8y uMNueD9qkXx0jqEssbg0a80UMDWU1P64vcNLX8qlH5qHV2cDjWbdl3Vf6IJAUNOCe3C3NYO3zCRn nW50AwlxO1MsRB6nPDAjBTTodbjIMr6TFZMhZQcyc6PmXKumJMzUipjlhW8rHRM5tTpsP4nSP7Qj oHiqr1+aX6GIVTanKXMoi9uxmegxh00itjwtaztnHCj5Q5GHK3//w3W2/jBidsJwXNr1dhlK1ihf 5xcdDIViCyUjVCOdHZ+rIwRYsTC8m6xLY99wlsGEyhnWiHJaBw+o6P/XqlPUefwK01014U+u3YKX QUQFW2s/la+6LJw9WEk6d8ZflX2iI2K8vcFrpKgj9D2N7W80xnUGJbw7v8xeeowOf14ZGUciKvWB eJi0ghvFk6KIuKTQIpIrssZmIAAJpPpf9tDnIv7DnZbSkM4kjM+6rR9A5Q3StIxdvpu3EY4GjLW/ DatX8umkDPlicrqNnawBg1+emJ0lkjt6ttB2DrS1rNF5DXHJGWv2kOQspy72X6FT3GLRpWzZFRdS 9CDfWve5Zap4EufZW4OhU5/7WgaF6YvrLYIHXLPk+j0L+QHDy20ak07pZB8MnbCj4fQpxzCVZi32 fceZ4frMqp9L5BnHl8R1drwG+/V3VYn9DPnFMurF0/VO//+DFRfs+dObkp1kxcLpwOs0RkI/j48A S88BJew6yHjLZC1u7Cv65qJ+0H0i21QTrBAats6Pn3Mbgbr0khREb9lO66Qonaskdmfv0vgfyKb1 nGLu9YavvANl2+biXTmUBLYwlah7pqQlz7tONg+KYdDRcRv7QwdEtCBNbxo8C2AEHhitoU1jeuKz yil3MKmaj3jlR++1X/cjFDTjj2lzgAbXRx9ZF9p/tiWepZUmLDeLq8yb23B2ZOHJ7ltTwIZzrjh/ WPDHedMTtj6ua0UMehtZOahUJDuXoTugUIlnLN4HastAO7EuFCkeh5XG/DNaKCGuGjC+ZTfYQcU6 hTAPHTmUat1CAM5Oq+4SqA8YMkfIYO3MtF9fvN1f0cvZsurysZxcC2Q0lTs8Fb0TF0WC5PWMhC47 X3N0w1KhCc/Nkw2UQvzqR9vgiOI00cHWpzL/RI9tQDPu+WOSJ5W8fKRS1PCiHnxhQYLxhmMlGd86 zGIO7oe2fE/V5/MTiBEjMCnjnwg/4okYx5Rbc9G4w28u8u7ijOJfZUAy+sUFoIVJkEZk/Kq/922a SbH4fIHoA6U/1hX6fY0nuBcc1w1zYfdFo7pQQXgo875S8vB2QQP4ggp7ayoFMT8Vq33idI1lTs/f hJu3ndBLZv5SiNJwXU41KW5Kv0HodCBobncGfHMbP3rjsORkMIw7K9jbfdGOMAiGcqBS71CuLvHZ hWcUwPILa7YOJnLQ3RePLZWyAcd+B6NbOGqhZtQ2l9Dt9bU0y53CmnCljxOpYgo+KqUFc+wTBCWT Ubp/Ue5eHxUQ0O8S82vU1FnJhGGvUazBjdyL2cKFiGflNMK65rAO0klE25fr9DiXMecbfTolVhDN yHW40jgRE6i3M2kVi+rIT/UTv6harMs83yt9pXAkIn8kqf4XqlVFokKuM6d1LL9uuFl6VSz4esSP WhdC/i0CA3EusWnZBwSme9f25CvnaMVeVI47GFlnZnNq71jzvFzyNV6chBJ16mqpkRONbVGNaWRG VYq7f7G3rS7myJmdYKxD0Q9LDkBD2hTXw476ACEaXJREl5uVxXj90OZIswI+upLM3mVTCmqRr2Bg 4bzVh5nuOvuZHh0RHpk6m3h1FYtUwYkMVjGPIoq4dVxJ8/VCZWg53BjVOhFAwMnaN3fVJjkarNqf XPlYFj5myhRrWS9KeRjXi/e1OmH3o22sCESkhaoOjosQJIzdfB4F+4ygbHlDr6fsplao1jOCMiiH soB8Zqy3qGX3pnoSCGFi7mFcdYXqo5biW+eHWdK10jkyKv/xj2N7P2O8giBVvLI0jmzRt0kEfA22 z4039QLKB25/JNvHAsHubb9ERLBAVL9MwXhquK/PeVwe1OBo5vFiIZ4uW+9a6UemrvfwlrEsfXJw Xr/XkqbM2uyXE51uPXHqdV5UgkSuIyrhMg8UF2ScWAwWUndaN4vFb5Sj+41eJ3+lE5JRY6oW7oIa rYUBXgAyR4YGEaIKUFZ8gU9N8hmuxGXOd5Zkt4ECAqBkmTysqFmXt+Wf/WNZXuRpxqHXZyTU99rz 7HJf01jkeEdufTjOp3zup+eloF7+r58yh6VrVfLCJChl/WxSHPg2ihu2VajNSwkO1JmdSA40LcWa CS1IWh5Q4ob7+jmRqfq9b1bGVnUmjdremFH8MjJJmmjjPd6uFTV28vMj8J1bRcMTsOpckVSqtj38 hKHoT914ao5DuKAywFyc1Txk+vhfE3uViZo2cnS+76UGJskr8Q9TrdprkA77Ydidf1JTHw82R7Tt zWaNPBAdbG7CuTASonUMXS3Uhats9E7XehmIaf7DHPX3sNPuHIDgXxs+iRmZETO2LFvWNC5N7ac3 +237AFfUN5TRwFP5iplpOaz6P/+Kh+qvJ9g+wqIGWMET/fcZGa8o57lmhnJqVxxose4UfeAKaOzE R6zxcQUMDZXxLrUt0mKALMw4xaacNzckRComPYd5JEcCKtktqaCIDoWNjYbWo0eDItcEk0Bg/u4M JZd7Ro4hF+Bpx8+vpZFIol6J7GjZM1cWXXhf8mt7jcI+7uqb1X78x9bZfDUHTdjKnSgKTH5iwKKI mDslDXH7WKAQ6gcbcAZH0rFEQgj5LVa5syIT53uo66S2Fpi7UxtxiioySwaGc+301uDG1yERkb/8 sXIcIs6S/Km0zjMHsBbKzKvoM8Nrxq1yC1wQTJ/oI4R5QVuDLiYptFQ/7xiY06iuJzIHW4nZPM/u 6txUB5ZMa/maW0lvwC18VzcJfpntk+2bq3N0BiY+BVMkU2ixiiSbYAPGEDcfuY9Bjkcj+GHNdzQM vBDF+Cw7KKeC8FtQict3XiBrhYcYOyo/tA1LGtC9e7AbWa3c1zFTR3I3DD7WSKxOPmYfZ+LTyVJu dENZYYv6P1m+tYliUt1d0Qp66Oiqao8CUDbD6ZszKgLtQ14T/Vxyes+Q7xSEoL6yiyTESmxuY6iq 5mPAwmYccgDAhiwrZPOAvdfXlFBNbw4HWYujdf+eUwxisRU6tptTqgub96QfFqDKGP0yDjsBaE2g Z66lPKP28WkHj5+FxHAEwAsmEdrAvUBe6HoE/aFiSjrTaqJS2fsLftQYyKaS/xHQlMCgAEwZKNBa gJjZtgdQyhSHA5sfohTD4IMs5P2wDZogE/7wi4v0UY7nNx0SW+5o05WG3UIme97CJRTMa+OCX/Ja B/Tt56fhGx/4yQhBfzUEBRbjNMPWq4WgL+MO2XNiporfJMaU8S74b9RdiKME8S4tX3UJvrN6+zup Wact2DP9vwkQDm5EJZBjPk+K84tbGXEDMLbwXYzb62uzL+A982lUmo8stwZFFkeVotv4SKs13W69 9d3wCuEmwR3J/c9+Fj3eAQXlmcD3MOTz0KG8No6r9otaKYV2rmOulQKaQzfJYhcBJgihC9jWGlwE naFdcCAcms53ZIY6reAAw1WhPlGSdYt9ftUwEcPj34dfqnddgSpE7hIuz5C1IjyjmVNNWx89DdZ4 MA0SNSirdte4W0JdnrQMZiNe+i3cocaTQbNnJt2Yqn9Mxzc+Myaw9jZ+dyYpIioBHDjzHJcVRrae BIH5W/kcL+l5WYInzfHFvo0yH8IL3n25Ss49TQxBNLf0+SdGDhRJZexUrK8Fap9WQv6KuQCCU/ZG kUWS5kNGp47vrZG19R8s1kl7AhGJqxftQf/pY+L900HZPOe8m/3tPWq0+be/0rg8fkxHDjjgnU/h 0JD5yefd2wcjGrpLziEi+E10yExlrtIq4HgNXbqWeH4DtRQBNYIHHhf+ZvkwFfVBmyu255B6ol+q ej5EBwR9yMwyvK/cquERQkgL8jfMRe2C8HTYU9Evr93yh33rPZtbmha3TllYIHEC2a+MF5zdgDAQ dLUyZNNxme6efqQT5fJQm/McFsPogHm0QpP5H0+RAZuWquNWS4aceqwd1OMG2faaUPX77DWQ7DXl HuTn2PD7Z+VP4FDIVI5b1WGawMEa3lrUXM7srytgVMpTCj9gRApM+cyXAWKvIWjeiI34CwlPaiRb WgVe9J8Rs3Vmg+Z4lLJvWx9ianblLEEAPLRCi1V89D6ZLDXJZNTSHF1l8wrV15z+K1+5u2Nz4oQn JmPeSFSCvfvn2XJ5CgpCXN9xciFwsXPIyYseNM3OYqVGAn84CnD/Haafw+MoX1nH6R0rSr9bpLzD /o2k07xfjtUYtjrrh5OSzRt/0FtwQg7mHjDrllfL1lUZD5bRGwuM9mdYVz+P7UP/clkHTigiCj5o PPrSHZyo8fN2O5z1vA2oXixPYZDAAOhlqNG8MwgAmLqlDFqm3tjFUKvyv5REsVLDW0u1qKca4pOB 9rOklFw+/pSp+2MmwKuqDDrYgkwKenSLMOJUYimnrC4BC6ZhDyG15/vEdXWbdE7shQonypmEigcf FRGdzoi7ZbIMe+O4UiAHoYUbWf+F6c0Ya81GbpG5lQ+eC31HPPn3acCpwZIptMiN/MUOiW3w8Gus 5sNH7/yre14xihFFkwMDh/BLKuupf/LsiGvBMbjMvjwE9dELdDtKc+1yfPjooA77RamgDvP2MelN /uJxFYW4MEwuck2rASo/RD782AeRZNN5ioKMzcLzcY/Chd+GwrJ54dC/krMbbyss9kSNSgZER5jj QhZss7K84ldKiviv79VT5DjH8C0h0+UaTtjM5yvo4IZO8MJdh82Lz+J6jmf1MMEY21hE9TODoI6T ZmnWVr236/gY/pvwwIvCPL1av/1FGt3fdBnzmJj93/oEqcvV5MfZiTpyUJSjTYn3qa7LwPVKf7z4 NcicSdlvpeTHMqnWWSTkZ1R/YjbWXU0WiMaEYFbj48U0EoT9fkp4aiQzkCxJsx+ma9MyEoHAFMXM OSWWUH0yr4SeCzW5oMgFFdu0/MIfmW8vX4eNWDcLRpJ9awK23ATk9p7P6yq+rAdviMO3zIBS5bm/ hX2LkApmV0qxFxaCFFJsatRZJhtw7JS8NAitFxWnTbMcKd9nBx3LMsRM97F+fCEz6Ez44bXE59yG OA7+TGBH2HSRTDp9BzFs624SVYB7T6i3JRsfLUQtb022lUAXf18+vC9GZo7pg6S15C/sfq1b2qCV sQagcJkDUjaH3rMGaCxODSbtr/uEIYdO46Tb6uRVbFX3pv9oneICqQI1cWRQhAA3TPU5rHUJJQWL b4eKRD3mJlGLs6JnOXmRDR6Y5uI1MPI0QG94KbIhfJDjtX4wK81C1qG5h4HIeR7HdH8lGoWGePKl Cc1mau1YahC/T8jhLpAkrlzFLYeq47NDdye1LECZ+bgQZivWf8LIV6wtdjJgk3og1tGQMCtrtS4J wOQEKwoMO4n8AqV4snOdNOcBKbAgnvV+zJlvuTK5oT28NBCA1mcFlHoTg64IOPHdfKHf5M5jvM9m lX11BnoPxmDyPZbH3BT9VqX6nZrRmDnf8rbhEJVXsxXpj18PvgMhawRYFXfaZ0h6y54E9Tgpmt4v 8H9KOrjaGuWyxJjMUvPtbvDKwUgwhH7nhHxhFUnc+jhHf4veHBnokVuXW4CpGd3HvDlr42+gBdi3 CNyA9j3jaArgqQtwZBG8yoFFWLJkY9A9s97DiXE4z+V42PAQFftdbNUn8awvhpAnidha/CpWYGhz XcNmjFxT+VmGLGX3bDhujLiEbLxZVTb96ImFzPd/d1/z5D2kigxXcAI2DCjzNgtJ0Z9LiAJN1lzA dJg+XCyb2nhreuHbEjLPsSB/2HPZqMzsLJbHviURZj3k9mOHFvH725w0BjsKxRdMc8Oqz8vqe+DF p/pMpEoZJKk3zy3NLfDE1TEJ+/DuZVUFS7QbjMyKNRCa4NIuB12QExH4RdO+Dm+/X2mytBWOe1dV 9B8O0ZPrLggl5LTXKDaVMbeRiVV7W7rQBjVSFHdDB0iDjCYltZopFsALjftZiCChDqsiBclayoIF cFkdb+y8d10wgO3OpJsmZdImiL921C40Mg4SFUOymbcn+1apYZToB31RulGAoIN9yuhyKcfe+Fj+ JbihoP681v59jvlskXg6imlQpNPPAB8ohpdOfvaFvLPWXFdh7zMIV5FP31hAqH/tQ8+NEj5yVR29 ap+/VbaFB3rwdlcUWo8fDYqu6AOl9oFQTjOhwEVMpI3T5Br2HTqURIl6h9ZVS/z8NQfKWfDSUFcy rBrQavNCyI1TI+nTcNfL/vfWAmSN0IagyxhXnMBTsxNhJg6r7bh/tshfJHxatv4aRoO4Wa1ayhu2 JVgepJyWfXJV4oApSV9tnNqOL0iwPSKRKuYbRMrVQ9Ia/5Ze5UcZ7ZEiZYIhX5jg+2F7TiOpxEMz Q1RGwd+ASr0dB0Lb8TyHZKfCr0iJ8oKbXrA/2Wpbl6T3rAHMEnSSIaMMXtfdCdD7p92ekJVrghJP WupEf16ABdPZgJDrhrZi5Urz8fyKWJODcj1SjcAdlYj3pIaVChpyMVbzXDxeFZMWoBSTSa1pKPp8 kBTiGPCfgkBuiP5/ME9Piwi4hajBwB3fGcdNgK3HNmlGfckzAneVOv524fI/z4Nz0tJlYCHOb4qn 9OBBYm+W59Ouxe8i1GCuadhROrEWzR/zIPkcpMREm75SfYUumFfCc8DvbptGcbfmOOIHY8aiNzmD 3BAMXlD46MjcXWqwT+2IPWFJ56bBaSLllT6OMt7hixFRC5ceunBQJAg5qSeT2aaDAmdKRK6vYc21 z//qKJpDa9vhhqXYG0ZFjxENfQPhYIVHcoeoWYQY8ZqNOPjSov+7vcPVfGQBzE5bSZvCbprStBx6 PwOjsDlPKhgpWklWEa4ThXXdioYsSj9rSaYSSz6NsEORAq1vblG3NNv2QAqLk4SFi6wUCtacjUXh BYDe0RPbDP8TzpQVoYZNMjAExNafE8Xq6ZV4/EjTzVC0ZZWAEmFXFIPimBmX0Xo3VUWIJVPEKc0F 4DoiR3QqQh5IwtMUZtKjVHS/i3Mru+YtQ89aXDBfo1WxhMs56QuVXyQUtOkHRMJVG7j5m+4aYdb4 Nj+9ph0taUF1erd2s0vDZYgDmrKOhPbuXC/GNRv64Aqc6CTkTtymOymfia4rOp8/IJm8sUlD9KKU rJRE/zFpoODOBcHE01I185hBhEm2gSQi++Sg2rpLnjehQ4Cd39eeQsKvZXuUyly1gw18TZ6YLVOA zPAgnSNgTFbLJZagVyO0F8qMASiEdzuJL4KepQP7/mH6NbFkMKvzaITNwnbQIOrB31C9eIF//cj8 o8VcfT0ell9LDCyvxR1lMfg8wTUrKiyvLGtAqxP4Zz3SqphBwW1J/kPNUmuMxiCbVZ4g1dt2pegL U3AQQuf4GYMiygfmg4SrWACuVSBVhSScF8GmrNezLvdnDk2yDXNPSjzPWf4IH0TsCRs9OVaHuUd0 F4ZCoS6+v8MwiAHFXKnM1SZp9wj32A3FUYhw09tjMn8fWUdG2tXULE7weCkdHVWkY5RaP5DUFnOz VMXtnT8VVlcscFPITa+v5iwz7O6gbzMKF3p2KXN6HEsN3QH3Y8Iw1hh6dfr11Xa+3ZaJBPXzbVlm mDIQHdlzpqaZ4y6s2onrjo8C9v6YuBH41Behc8GNENiFtk7mQSofCRYp3XIhWW+kQZvyBZwXeFBS XJw28SAAvtjZc+Z0YSNwkzn41AFsWT6Tmn7rmC83yHCf9sy9AKGtCXHbIoFJOkEH32G6B2gFBQKk 6LM6uaZRP6IE1oMZg7SWqmpxtj5lBTv2xab94pwZ2F8Uct8P6nOn7mQparb4k1kj1FBw5ztNU6uF FMlpAhSN6ZCVfI7JlADo5YIeDrr8pEmMs0aIjQMTFxfBxqeFCB/EVoSREYvyC4Q1/EU9orPmIQbB Q4nq7Utu5/deDUjcQ1moHngwZeSkYLETjJJp7r4gYFu8t9rYOPh1StoTTm6h4MnvyfWhlsb6hN4s 0JDHLmW89fT7sDp/h9JX0TgTkEf6J+pkB7TP5ilKAJKCd881NPXG1NmEFTXv2JjLwUksv1s63dQe P3MVVY6bL4R3fDSMst95HuGrw3BXQyXj0uwMnHbKR74f/xA2GLhxrt3XnJEo/8jNOBwVTXh05RCW AxJ9fbLNYE1rsQTvZ3B1ibXTiIpfI1WDV6N1B7qqktl8kD/QzozHJj8yyCh/Dc51DVQh1WGYamnV eiCMw/vFqXNylnlM7eeoQgK4z1x8K6c9PPz0BRCWyLgobG7KbrhfWgro/FlHhk6vm4XoYdThPRwB Q5jwJlN7D5QiWqNvoLDe5kXJl4HVVfL6jFrzXkoZxq8upwsaqStKX3KcW0oKaigkdwv+dthfyP9a ccI6KpfMDmcpCcDYU1/Nziy7j99D5TyeC7rBVN2VBO9clgEZk6fMTAqLD3vyk9Yst55R1EP0oOfm 5Sy02D+blsmwfcAyeFjGYTgoF+/rxaXbnmfQU1RRiV+Vr1w6uO7tmDC9VjwPqp05RBGdhwqqHjju 7a5K2ZxntzKlRcpiLiJyZb9vH+hkVQ/J2Gt7OS0ap88Dan9+OLEobN78/dJ/9U3pZcluC36HB0Q7 2B+Tql+om9UlqHnMYHHLCi6AM5+PzdRqcOihNX+kTpW1ETGScvVgBdrePr7HkI5wZCzRbTvQUnb+ Kr2QSCfSuJ1M7LMNPvlFrpGihDDmALzLTN+dOTZeOZjIR0uJBFwEUO26qnpm+pTv6mh44JpCmDNr Za+7607WQf8zUUIOk9Cel7ZHsxFvXG+ZW9vnRGT+Bn1ESSjHWnuz2HMnNIUVFbs8F5yqsw5YzVEM 96Ve3vcvxLVT/fozwEjoSGMw9jcah4GenIcVnY0HaoLRav87BNYvZQbApEsxfiAX5siZN2wntEOG MX5OexoeWlnbjjsqeaonDfKxKmXNERntojUN8pj3SMnBzNAlpc5B0HWShDJbIlmjlnnSz9CYjkKp ZqWLrYvh5kGSGAURbrse6FPkiPMlpT6EeeB+19dYDs//EH3cYDTPD0jQUULWPtv42+09/oI27Lgq IwHtS7hPb8KtN1Jt6eI1scKQR25T5Pess2wxwIfUQ73e6+w2Oe4fP+xorokYGcyF8GOVNneMKMg6 TmBn5WlrGiPz1pcfWx21ip+UnGajDjj2cpustk9JlaEvWYtDNfW+0JZJrm7L3Fuz4AtMOJ9uS5OD zcsMzCL4c0k/xBz86cMikuHxfCjM6AM9MUSO8ee/0daLRBAKv0lcQSyVA0S0utFLx3w/QAIJjOgL zZP0HtQG4w4tmWMKj8Dsr4qooDxVOq1AWauvRrhHilwHDDKKyHjMI7+tc9X5UocwKNNDF+l12G3e EpHyXcmFfKannIEjLArBA08ZVKDixlwcgTbdphNhEp/gtW5eqbvpZZejAwFjj6WedAxRqSgVWAIO cOWvYO9HHMnY7oCBjVAd+J96o5/Ouu8aCo032o62TmQdckDw7Bfgr/s0STygaPQ4jIBhb3KZMyP3 CLusSvUT/wkhdA4+RPeeo8ciCHOmGmOW0a156aHTVaqiRi9YHh3JGgvwLdxl08xp2HKkaHqYR+Fp umMRLSBux27U55tioA93XS6mllS/vnEhhdaGy74JdV7Ug4zo8+hxWp1gdogfOZom9D0tUe2T7Z6N jN6sjQ0sdkq246N0rdrZ7O03BTRzEaeaJ+ZGReuoXcPpfOLZElbCiexNhUGB61suabksLdg4t0kp Z1rIc1UH0lt8khsdlXh/iguVf7XYiwRJfjzwlsfuBHX3fw4arTDpBUoT2KVv3GpieMQI0EP+iKLG MfgXZVK2UVwaoEYHW0NXLZUUGs7qW7qn6cuTL1CLn69g64PwmNhlemQcmV5Lr1YS1PND3NkWO40Q M/jFwfS0EkByZgIGFBVonEfcEWs+ADVCtOLYliPkcWAj2Zr8DnLAamYEyfQQibsgU/vOkc3y8RiH +4a8oDA9gZiWqmtDBPudVjQ32c4SATc1YyWVXpkcL0E3DTtkoPQAqZf2eYfTzVcC86IwipmFj8zG DMJfIngJ+fAns9Upi8iDb6tnpGfP38g+66jEcvYGIhAmtsAAiv8WJ/AaUSqZjV/XoGSagnMHx1tO uWoWioEHFnzW6KVsXax70rnP/VNENmE9rqV3gM99Bz2o70p1WWVF0591n0Oyq6Ig4nK56IkSc2ht uNMQlU0sQoXMMNTEArUQhAamhkyNKeEfOptOzJTKWhhGSELHoee/f7gqK5rUWcALFOSXC06I5ra4 LwOwzvRAUrykQeP717B5aVGj/x6NyfUpAowppbpSmnoq9zai6LoeIcyKZMYpmUa9nHRVzhIccy4Y WvoWAGjAV/N2wX/Rv5WL4S2a05HQG3CHU0fTGuPQQYmXqNQiZVi9U1ywPR6WcnOLtcrkNvAmL/ss RcWfBrkj2gZjW3Meilm0jw0+o12PeAGNo1Ejq5Yy84o9wwFOzcyGC6gyOdLVSgzV447JKQJZAvVN /C8xgxIYPRxeq5XDTUALgVE9BDq1irMclrVCoATiCqZYdfpm6fk4NuGvSVvzJLLmUDUlVNjIyzfb LnHCQr+/Nv0+4WN4RAJoI3mTC1AOXKCG1ou+1wPWe8XeYXtLvVoxwpZIMZ259Ur2tDukuOl+8f7T EFcn2pMUHf3lvyUlkKOmLIhLvaaQ2ZKHU3NglMmk52+GUbpB/4U/bYNCITUfHQglpQHqZHFVM7rY H2AQ9tATyaauEOcRQGiZikKYf2NMP9KXeY9Vt4Gc4Zt3KC2ZUCZN64uj/fdRurEmUq8m4/xMK+4f nyD8mBbyyEqfxoi1Dw53o+pZWXq69OV6j7eFbr7m/E7r3pRMd9TIybHm72H4q3KWbSCYgpx17ORe pcwjCWyBuRBejNjK/x6UQ6Fa3T1fHazoGf7W4/JMR/sAMdJ6FAY51WHz8zBun9gXV69qfvJcRGjP Vhu1io2xOp0cgi3mD7cEof5DjguQPOaSWGVC9dzzRAWbVjxnffZj+QUKtGnxwBX4e2PCrLTpFUMI NazqXmCJt1XLoguOa+A1Qo1c/6PuzFXdtPVPim82qLUKbGjiqF3Mj1VFp1vjA4071HBkC9S/D7y6 VYEsBss= `protect end_protected
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/sim/vhdl/p_source_files_sr.vhd
4
5060
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity p_source_files_sr is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (63 downto 0); ap_return : OUT STD_LOGIC_VECTOR (63 downto 0) ); end; architecture behav of p_source_files_sr is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (0 downto 0) := "1"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (0 downto 0) := "1"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_block_state1 : BOOLEAN; signal ap_return_preg : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; signal ap_NS_fsm : STD_LOGIC_VECTOR (0 downto 0); begin ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; ap_return_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_preg <= ap_const_lv64_0; else if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_return_preg <= p_read; end if; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_done_reg, ap_CS_fsm, ap_CS_fsm_state1) begin case ap_CS_fsm is when ap_ST_fsm_state1 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "X"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_block_state1_assign_proc : process(ap_start, ap_done_reg) begin ap_block_state1 <= ((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1)); end process; ap_done_assign_proc : process(ap_start, ap_done_reg, ap_CS_fsm_state1) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_done <= ap_const_logic_1; else ap_done <= ap_done_reg; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_start, ap_done_reg, ap_CS_fsm_state1) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return_assign_proc : process(ap_start, ap_done_reg, ap_CS_fsm_state1, p_read, ap_return_preg) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_return <= p_read; else ap_return <= ap_return_preg; end if; end process; end behav;
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dsub_3_full_dsp_64/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
20
142619
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fMbQDq8vO/3YQbM/AENh/O6PVtFQ2k7D5McJCX+oPFrswmPz2eNRgCyxHRYc4I/9zzQ7riWYM1/q DyNv0iWlJQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A2mPmAz9HWiWA7SI1bu5mvVgpq0jhAg/wqv7KcUzr9xd+E7XrXIW1cHf+LZRuUBo9vbA+c5IAFqa njHzwCqxwh2WHYACXSWE72aCpNZr8XEDHYh2VW80LzeH8IzZ4Khu6EToaFfxz+OWR8Bv82IRs4TL 0rJ5acueLwa4cSnJ1c8= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DMLAaGRDugefttaFRRmq6DeC/3AW4zIH8JzHTQRQgehlPjvoJ51eeDEIqTG3jpl+yuyUDNxveaY2 8i8mEBQFFYYTS3EISt5QxHA29H5+PumXJbAwWYaNWqQmoyP3RPF6kqXdhw1lKQNP66oO7RSt48+3 FIZ19uZQ9Ruz3yx0jJ4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block laQfnP5jY9oAwSkVJUcB3Vp+nOAmoIUXQPUkcJx5V2Z7qRAUfP26Quy64DwgDpEqYovI8pPs3crJ O9MQdw93uLwg9D1zodWqmPyfGZGjJx7OiSQv15wIDGAcLmKw2GDlzAPuxeRyG2I6ynh25wtII5gt UKqLq3mO8Swn1CTLFpcpqIwgHCNM3g93oxQiyFpQiXpUyN/HygfRs/hOX1aLKsmqcjqqwwfj63Aq bTjq0JXusWj3CcFzw93g7NBemvDe0nnaUo1WiNRigUFMjrRBc55ouA9i8iwh8Km1MyXOEFFGaUhy 376u5TmEh2dVG7er7JJ3YEnIPz8tzXaH8XXGZg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BjZnhdVQPsrvLh76g5p4dQ1di3VAARBa8q+zch8xqbAgBrqneORykITx8ONkgvqzUt2QBFb2zgGg QG66o9WVse9j5AMY9EQBLxYpKeV3PeuXLgUDBJ3C9GN+lZDzjsi27NyGt5EG7kklcAxws1ZgPhVt 5/0EjtFSRCieveau98BlU3+7u5TwYApSP6911mTeEPcQonZYmlQAbqtaPwtPlo4zsecNJjhPKVd4 mZ53bVx8o0mDtVpc1a1GJtr32T11p8uhKMXzOTVhUXcNVew/SYnzLKtKikmSoCSNqKRRdKrjrPYF /bNhfdf1GVjjwqMEU0a0pq4N2Wvm5rXPueKDyw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q1RowYHXd6uUlbDovuOCiVyOwwM9HPLKPl5uyepEll7eCCZmOLn6Srf59qT0Pq9iJ+TX9zCWgCtu CQ6UXQpvkdHL4v5v4z0KqxTvStcXq+z1v0ayvED5EEqnMLA82zD9IJp/nYbU5USepE9/soJ4nU4i ii8o9XTNjYK3UBsqyTd1SA31TDsgJ+VCv71B5XJSxmMHfsBUB9nVqnsHN7Uqq7sXE7jdOLooksj1 0Mx5XOHYZsSW4+kts05wBv0fnYDJW7aE8WDrXF+CBv/IK0sm23QovTJXNekdlbW5GyaB/wMToLw7 TTKsfU9hcAP1OHpVw1N8qBllTj7lUaJNBSHcmA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440) `protect data_block qLAIGeF9ouhvcZgddw+1QT89kL9bJGXECuSSdc7yQChq0hFbJDkgrjGUOXr+SVrf+40YUcXz0UWo ZA4PQSL8x9RlZd3mGiZGam84Ijc/MIR3t0jsaXA++tmfq3rmTkskNNgr0lASovTJTc5XdU4wIXtc QA2x78GQozdeO8lVza6AMISBUkQKvKyE9GTFWKNJk9w5DleBIqZpTIHaS3sIvDR/9/iMd9HA9I4t N9TEgT+Lqu8OA4kLagafMPPTzNNuXrRw4OCMmywKTk3LLtttUR0B08+jp8FGianyAsXmyQpAXlig aIk+cYk6vef0u3rgKs+kIElhze5zT14+eoKAqJESbUwOEtZdOXlYGLWPVA31N3mYySy4FmDbBF51 yMdACL+Jh2nyrCBatFCF1E1DiSnO6oMwmWktDlqnm2Ntb+wsX3aNo/u8/mVkuM24mevxNbRBf9go w9bSN11fk2P+Rsla9qz4peYhwzhpKCSpbQ2R4d7q44WwzNhyIYABluchPT0OOSMHiEpmf0IZo1ar Y7WIu//kPVuqdBvDYUIy8BScPFeCQTLe3Vm+HGiLh1rThkqBPQdzw6fQoYDvwg3rNAXhBG6j8qpJ uadzDhkJ6JJMlcIDLVrw43HLpASwhv1YwaeZ/4jGcKKAgx1xy7lk2BvwcLoh3lEIKn0PkKKWFST+ s0pfw92Ez7QkLMFP88REqbalziTLxyEDVkLFGAxwnjCe+B3qonPRmu4NWZ+uY1SajiNFBLzQ4W7J B0q/z0Bj9jJbf+tB24I1UiFbOeisXx+EkzvqOiBec+88v/etE9fFaF0/EOB7gf1shQZvQZ5Xayj7 niXF7Qbz+I2U5Wrt6rgMCg6a7O7NT1+Y8qpL5LvlZhNb3ETN8NmYsMjTRE3zU8p6nOwZ4BTkJjNW tG770Ui/J7BlpZFPcCft/WUUSwDAQJl1Xb8+PWSzR7W2apLFulTj0XbnBtKInrERmuQmyoPFqDuv Us2mnZ/Dm0b5Ta5i/It/CDMmuAWhv6BSfPEVQmreX8oUIbX7PUS/NSm3WOwrJUkeMilB4xNrNhn3 XcjWlYZSG94hksTJWw9jvHSidO9fvvBfL1RwuyEP2qa8L/UlaFLknDiedbN3AM7x3cBVsJNs2nPj 63CnsEzpQ0kypWgEVbcZxUJ2QGac6eDgNojlAOjQfS3zu4frZwub4GMGk7JJ0c7OKXaljEMUh0kY 979x0rSFvgCxgQTf2lHEgac+OM/0ifcEl2jf2mlRQQkWLWRqqG/fqjmoAzJjW93gvCUAOE4t07s+ BJC198Qs6L8LiNOqSHXZNWotWRhEc6fv+voynWtd+5Zk2hYR4jXsazwxdqJ9J52L9nMBNkDHfADT WLkCijj2Ye/ccJaVpVgkyyLxPgrVkLAh63hsr4NsLxOnhn/xfQyLJbpZssuDoGOc63VELOyBhmBs SHjQ1HF+fdFBXpF1UdWxgoe2b3jLD7JLeC+EZ5dAaeVMc3wjuCbPGqK3OIHX2UZpXPsIyowSHXVh TNM+Ksb10WZGh4LqZSx7UheGuBBT7DLCU873e4Rbo90xl6ZZEH906FckYVOLwMxKHwdjmhibi9HM NOtSasHmvNEgL/WqCRTnrZtnYDg5MvwEeG+Ae8Hnd/+83ok6pCLi0QFjjGa9FAp1QvB974Q8fII5 d0MS49+Q7DuTWwv2L0xzkMZPjJS0JLS54tORfn6nR647qiGNOY1OWwezVH4IdT+awwMk8hXcBBVK VBAaD52y8/WcyV8OnHhY81cAXWxj1LrL1GH6XH47soN69PBP+hdoTI668pLj9M7daVX7M+zIDwfP qSVZ3B0gFu4n6MXmXY4gji7uXsUrDJFdc4JY4x6Wnm7suChsIYQIkiXDccjFNApkCrZr/AOtJ0sY U4APvIMX221yNyxY8QfAf5sNkBhOQpAaWhewisY8MNuFxPh9ACkmS7WAH/Yf44hwZAurac3Jk97z Fs5utp2Mu4sWuCe9a33msnhSugASfH934Sl9oLfsUf8gPmNg++WZREVBvDeMzhWkYf0+TmqsCB8Q RqHDTQGlHz3ug0OSSjCBuuPUQjVD03bd30kYMOKI1M57A0/LoneOc52EF1JTQDyRwGP/FqExon0j 9jjo/RqehaVVrbBs+dt8YX6jZaUr60vQpBfbM66WfDh6iqeUJQwgg1v6YlbrYe9Q2zDR9b6CExBW hPcSVDp/0/Tt8ayAXvjQm893N3YDcCR/gqaq1oiR9xPuCneGrZgekX8HnSp79z2Aa0xnsEcTzlyi dx7JJgtoMZzX/KAMKZpOkrQIVtQ8T/Oj2YSPRasixu4bFCtTbfhjkKL4YYYyvtQmX9zh0MIEZ0Zh wUZpzUXhrgq+11OSYrdfAzgps+FtFpmU/EUvWfkPhbaFpSr4riQL+bYYHZz8F4WHeZTfYMatZKop ikkRThlQA/IE5Fn1Ut5tChQ1FwAWpnT418PBry7Mj75+n8lh53OVQgJEUJ8cwoNYDJl/jrd9yBwO 5xX/NyHaYg+Ec+3I1P4Y6znqNg9Ywn5ktJeSSsrQVgzYz5L2HuYHzSGQXmYI+HwKZ2o3dfshKu0t FdybcAdCXFzPzaJLbJPp1BpV/CK4fY+m1N/7kTHTcmzixjtpCSiiiFupp34Yx8oP7ZRWSVso86VS OAt/V9GEdCqGOCiiYDAdr+2kh5ETWfPwJ2kMJm2GJBJwWLo1gurreDqiU1SwVq6+0BcCceVdMNU6 1S9skOHE2PjZ6fEqjEKH/keKk5Cw+eA7RIRsw0OBlK1P7fz2uVV/FC+b7dZG105nTNix7E4wt3mw MSqGttDXUYC1bb5yfh5ASTY1gLaKzHTIqWTypPImXzcMGTRuSpOJ6CIwSJy5I3p7ptpE/agHevn2 jLWjEnskRFdXhoik3VhqRiKjVullsqUwoHx59Dubu6GfT31wTH5R5kpXw93XstlGpQO/6MN0f52r kRjxnTtQtp0eZBoNgY/BHXMYMJZRmKvfkKD/r94NmtjPciyrfwes32C0BGHR2Z+IjRWKMmlMitP1 2ATRmGrktnsqgkjh5KsarR/HCMi5T9SR+O6Jb/wF5FzJqseeZ240cjK+TXoDw8JaqSpLelbzL954 vRpV3mrkOh693+4ODkAF2H6KDD+rKseJEKyaBFtP+p1x0qzqz3ZK8FjxE8R6ql59ZogkBNge53DO IrACRBsRrX2NHxJix+JlN8MPWq8tGTkq4z4i4S3MdCV3APO10ARS+Ksvr3ElUgKXDtnaiWGKNvQg HMqUG1COpknzxXLp+VI9TrNSdsO76h9z/v2LcOQis88h//G8S4PNqLpxtpLvyB2GzK9+SfUohyz9 kuAvZh0oZYiZgatLQV2qGYBieBUZzu8ILNYVFDwayyfj+Y/qiuo2wInSr7MtvpSrcRyroL/FHjW+ Al+PzoSs6s079oF777fggVOkSXwaS/smlkZLJ3BxmWpNN9SRQgTYUTOLb0fHQmj5ckA/UCQmrEwZ K/4LK3J6h+89YuXKwH2yA+7uS2UeE3lfvOpvdD4bX0bM8cq2nCN5oDDpUw5YSut5VBSFGkz3O0o5 UedKA8YxUxzLSi4h5GhcnvSI456Ng6Upd6g2Ex7JCyumknmw/nKNHSRyubQAEY5KvCc3KRBufSSD 2cxdFPBzBso4+eqb7omhw45brzlTpq45s+5/wQz2eMp/jC5PndCavuFKvQZdXqq+tOKAn6/PENUu AoT9PGa7MQjhCLeQtVfXAcmK2/PEcmeyQ37E3dS+G74u1aP4sWC0m8cN7VF0Pgr0K4FHFm8gNzx9 3ukjQY9AdBeRoco3efDLm/4/gGHUdhkx/dzM791FQTeePVSCR2J6ftLMNUyH37C/vKDy7RIg+21i Uz0W+2kRJFQtNiITya7TnYACZEFIjHwICbF3i3FDD32mfeu2nHzgECLsehZt3SK13eiaPPBH6A5s Ao3v5cXJfGAD7/hpxJr8T/g1kvOgwKelFl0O0r8RSPhzKxV3Xf1MGn1y+OZc7vef1VSheJ0qkC8Z hUiwr8xgvPlJCuC886rzT42Ck7xvktnNwG5tDHc87eD54qzt5sftz14X5t4SzQzw5OBFmN2YCD+Z SxzAmNXZPG+OEShVK53f2jCzU4WHnJqBrk4U+CU55j+Ud2z2PRAAtXO4d7MmAZh8kAOejTvZsYyB ooUgskXNxK68vu6pGUJf8yKNdpriS9RQuNIRr6TxQ+tP6+kkxNqtebMg2ciVCMtnkGvBg97L0xZ5 vcwB1iNvpp13y9Hc5LKKaNgtkocwPm4KVmxXziommkR+Oymrtbgr1g5S34iuqiZG/Bv2TGAGpDHd l7P8Irkmx5KKNbZXpzufv/u0T6HH7S6C4FpOPDV9Qd98pV/n+v3TTe9BzC2gEtqeforOX7Qk78lq QMUfX0qq8Zcwl3ukgcwTSQJoJjn0Hko9Sz+SzG2ZXxYKeiAaIq0JxoGpleRKBJD6Wsiebq42kmAd zV/GGDHQwERMvr81OqYWQzxnXYprECKwqDPw8xx08DJMivgCkoYx1P4xbqZyVm6kqvISQeFg798Z 9a+Z8PmS+uFrvgto1k82xDlHfzmx5OPBYyeCNTmYU60ds/FheJWMABtdqmKr+TrSV/yhV4W7bVJI UGgHUNF9KtXI2A4dnoTBfYBr1e5XA21ykSjI84LaxiUV9QVeeGq5wIj0wxV8aIJQAp/A6266K7Se 45H5NE5vLPe04aWKD9qNQYHncRWvYeidKc22qGBNvgxYLYFLShh5hvH/zFr1Ibh0mSfYwXvuvkkl 8qlIc2kJzbH5gQJRkxcvroZ7W1p/G6zrRAJ7BpQjZ/GSoEU4pOYKWzEOQmLbwQGAX+g8HhcornZ+ j6nBkSgp8d73R2VQvgufGeRF8MEN9JNE3F6M5jDZauQITJ2sRfhRrF20FiMtOETPAQWDmQmfGE4k 4wkMRUb7VMHaE0koNhzHnHU0nKomjjP9efM70khkITS9qnxOfyDPm8NRARKJaAvDYSEYNAtkS/82 byT94JfcBT6SRkorxIKG6ZRhCLz6zQSy57h9HHO3S5awoy/vz7eKM6SwuaiEIVDEXV7r2Peg1nGI UXbw8DdND90/3qRrOAz1V578ilyVcVsd7+iGzkteXJfr2uZj7C8cR8diTEFW6GLLeBOBmIuKXMvv RyOwydYa6S1WuaJG6J/BbB3kmgnA2sY2/gzO4/TXpvpUgzSMJfwTgxtnKn1q36mvky/WM240JgBD 0NdrZkCY+6HOezRSF0pxRFvn4/MTVWVjyizHLWShQdQvgrjCHnowHrrcVTiY4O8/0AHkK3bhIVwi XtukneGnbtDIGxnqnhEGnhZyS2+h6ttRIRVfBzvL4cZCcOpdJaHNDU8iafg4nd4zGnY2JKe/x1VU +DYKgvZWfLNBz75qgFq+9+ItAgd4oPaYODAR8IpTph0bHWeT+1TaSU6vsMzp7Ly+Hbl7RmnVa+Dq 5RsbX+pR1QR/repGytdGlHitkVEJO6r6LxcAuwbsfWUYyVONJLXWVbsWZ/hAZEnfH3TtP1107Anu LCRRoLoqdRq/LH9yUN/qi7jaqyTRvsA9Bxxg+jeXCBHRnqaI0X+BB5I90P9nTkj2jVyJ1ATCn2Wz i1A26gUpoOpcCz7WiHkl0xOnllXa+uA5v/U+0JhubMq/3x/R/uAQRAQVQaWLYF8mn3kZumqQO55E L/o23/3AIFlRdmCkqQK4FmTTA1KXLnG5+aMlDrKmbbQSIi7nE8r2Wt30JZuWuxjYjy/mp1RXn/7H u4O0QOsoTIU47p8IJh0LWp6ucBjjB7xWRisqOlcQCfI0M9x+l9GZwT6cRQY1RKq9S5BJ1z9QcYTs JdrwbD2RUodYv2Wew8idiJ1uegf8xkWMA8mWMKGaf6bvLY8Z1jg5DU/INGDilTgGWb4YlbUorlyF Pm5CVTmt4VYjJCvGuZ2FN8zny/zKIi1ViwepzIsqrLZLVl0zb/7FuT2sDJncvBo8vaU4kYPBvvk9 EHlYLgHlvfBFraNio37pfLqE+dCM0EeM/S1FTbuGRWvaERQ4Gx6yPJU2HXWzY3tVpNkZL8Ma4PAc qvYjs87U9g94ipRVbm8NCzD4J/jc4ZF3XUX8q4b1yC1xvWFN8R1l4T1kR91NZzxAOwIcUnGyXGD6 pdZYzVZStTmaR5yqXvPbEOqYrCrOg8rHCZEFAN9Y2K4omofm6l0nfrbMlzQrRZlrdOmEcWZ/t8uu z38xnqr4jAAM1r/J0oVBQJhqdtXqnhJn/d8LcVYhts7A3RXbRScP/M/j3RjEGBG8oTCuPyvW1laL V9fLnvueX4gLK/sP8EmkxuBfWaRJ5pOs0Indjr2OExxwY8w8an5TQfmAlJ578H6xQScUsZ8Pn5n3 v1muf1YETNt88snzigCKPs2JjxI+DkvtdcfR0oCMCZAnfYcGySMneVfFMjJUhmYvuWU9Jy+hbclt Yt4bjZSbPM/cVVjs0kC28UsJwaUh1Txoj8qBswFX6YonVX3aT92OZSoc2U6EUCv5dYEVxPxFlALj URZbSocjFvybB7tn6+AMTGrnxcxacaOvIbnUcjD6XtYqsMFxucJCDjbv3ZGuGm371ZH0Zrt7qPUK J5jaL5S0SRWJaK/YkuDuKvR1J1nXnL1bE7tnus3wiuSxdheDMJtR5ITx1zQNCwjLglpq+0LQ33GM EiByQISAZi8iS8LACsPzKEhRMS7cO+8Jt1aoOsS//zr49kF3Cs9Lq/+iv/Uqb4dMMLaSsgWfQxoR j+2+Yhb6OyR6rd4JOwAXXuXhhOI6y0NWbF4GF1SXONElvRwqlv3XO0IuTxkoTu3WISb522o3m0JY m7bY5jM+RKyOBgl143aCzeFc2uHyh6i1nrDcgFRglXIb+ma/ieV3UEY8QmDLiidXv9x69pqEn87o mVJmV7IdGGnDRcAByO05f0QZRI8JJn3gTtz/DKbz/QUZB+nRkiWfaXwkDD3UqyXEqhXkzT2HRqAh L09chh9mtRjVa09WEHnbsnOHCaIMb54HHp1VuIUA0tJHH2Dyc3qIcH+OiSBNjkYnCjmMY/qFwu91 yA6TOrCIeEa+yUP9AMeevNvDTk+dXABVvGCWiVxSVCp9VeprLuGmLxAtkn5BAtlGcHKrKrBiaOCF 8y1nTRnlKuREW7u3cR5yt0fRKun+5VUUvUmsgZ6Z4fxNI2mCIwcFpL28JIKL7wNh/eQ03yWTgsn5 b71TbqnDLeJyZ4wF5GHa/LMGIu2zgEQrNnTBB6UrrowT67mw1mBr8DSgGVNBU/eN32LLAaX0FB6T tA1Ihiw0YlQjzsVobfvb8/DppizCWfsOceZMQKPp8BG3ThKg+u2MmqRdybseC4nvOVySn7LS5pUV ajsjNzzqRdwodPecxaU18mjE/xkBpAhx9vO0lsbgScg+PcDx0BN0Eh7H3kfdLX8bgClJZ+k35JUx n+RbhrdrIVaYAczG3abxUXnl2ija35rbQE5L7Cu5Z5vT8ihLuLNZPGIRt/eGZDtkhEVgkXMzKtLD 4pUinNYp9RFfyt18S8CgcB18IvYc6gXVCTIxPPu7oMQMFQDTwL4Td9Bpv0GrbHB9wtctEXp6o4Fh 5vyprO2cyr8IIQpfi5Gqt9I2KnSxW3mQKK0dmv/Tl1a3VrmsdpMHKBO4iER2oHB1BfDTwbjqGIbE s7CAFItDdQuIqR4CBreotUzbRRm9MdFsn41C0oZ8AznNnHblztunOXOGHNDhiKgJm9izyvbhJvrc APEfTiUm3aSrAVwySAzNkYTzdJt8rKDlHAzdafKaeIfIqQvfSatjPv9nr52TBEsxhVT+PiQ2KDRF 6xAHmr8vNqMIxelRsOponoCsB8A0Z1U0XBW1lg+HngBqY7O8dKnedo0J9yGX9m1h4UrZzGz6C1Ze h+cTyz7+P6B9Y726FqhCAoNbXDhfN8XIqhzle1zXjApzivXGmFRwuVuNT+WWcEIskJIxjFubytE2 Wa/Lvt4+qobcEzCx6w0v0bQzEB5kB61nT74MawjRIuGrv85eZKk22rrwBUJ4nI+Sak9fqmFZikvR e6WlzK12FvNWSU1Zc8H7FeWKfindHpy/w+rVhOZoUyusNu91F21axmV2AN23YM5JptiYI51agBqt RPUtJ9Cp7CCmM8gms2QV1+BLz/thIRctwrBftZiCMiqoMz1VsdV3ieJ9oxpjOJoaOfSv7uCvyeFx 6MC3elwvBj5OuhMDfI7O8h3i4Xrmpqd4y7evpaQlqvveJAORqfrg46pUDx/nFKuyb10eztmRPTmE A//gTWBg1Oz9vYcElVgoME2rrVkn0DNTfvtT2bwFbStruL6W/wS1SNPoaWyNbM9erII67jZIeFZG 6beHcjbfeTkDdH+S1foxF6qrKUABKrVx1S73fY79xsWjnuCrJRJRdUxrsHvNvaMrNNNgCHaaJASz A5gSq3TMYRrGFHPuHqAPavqJNE6Ef+DdioFA8L3UKS/Iaa+3HrA6DMmo4ZLHX3KYYI/rRztPNUaA yI7VQ6a/uBbfNGs3liVe5MW4IWYgZFEsIB+C4Y9VCccgl7gqy9qbmd10ULmohKXvoketJo3DnHwZ TaRK6VgHFrqhznFSQrPlhelKS5B5/R2avtZ500zzlIHUJNAOhlntdM0ogCKD+63HrC9+2FQ66yxp RhRdrH2DGBFd1xDpErZ2B/N394f10GZMFO4QXbzdRHvUTebTa4blgafys6xIRgV8LL7Z0c5bk3Qk XTOfeph5rcRk61B1C1w6v7UGzNKDhkvcJyefOBVwyxydqVEfphs/GENnub0iFetBrWuqkQn5aejn 9YSf9CPBHwqqxxYd2ekv52LG0EFMyOYu6lvAzp3nZ3PY5ecIw+bQnVWzQ2kH+kdCMZVNWNbiozLB 32h9LubZl3RGXR0/Imh5wWRnsjhONEAbqYSP/MMvheo5gOL2EVKLHAXMNUiEphf37LVwGudWSkTg nEWWr24CZgRVfqHlClBAfHQphE66Z7JN4V1z+b5YR/85PIzc7qAWm9Si4dv3M7FQEXsEtzU8lVTC GMKYFXIhkS6NbzaxmRwRjb8wo98i9aUfLzmsOc+YS7nrwQ0OXix3g5gvgpHE/QWy34UNeCQUOoqZ 1GH2/ucLijZTzwjP/yUJ1Pdpk3FMNdfXCqggN8+kVWf1u0YPl5UM1QrO+ABC3SeF0fH1GgWnExEZ lEwOUIbr/XnhCqP8XkVViYK6OaJrcEmvlcrww9p8nGkxRvxwIBmMn1I0QRzXKT5pAkuj79zY29VZ NVRq3rFGHpM7qPqC8XI9c8jc9PuuJ0SddvOobPpzaR91mruMAvG6meQkrx6YL3Ujr716MKGXL0d3 CC336Yf6U2rhcxtYNVGLUWV9U/ozbin+rEu6JKrGZYDYn3b86qEQxX0pkOtYRRWIOw94P8oBkd7o EXF5VKsMzUDF6Nn44yWq9uTtZjDBaPqymaDHqaK4ALkOnzeHuv1TGOk2Mx1FoLMsys/M0cz5M50z wV3FM0OslX4Rmx/MOCNPovgkP7EEuEHzkwGfK1E8xGpD5iAjEtVvzRJ9efVSgPbqf7pa/WRtS4+j cBT6h9oCXSdD/1Io+e+1Bgt4RgiyhnJAblBj165sp6RfPd1J45BoK2HOMppW6lbmNuctUQubGNjs Zv/k7B9G0Db6hIp+ZCbY6AqE6hLjCgYrFKG84KylTtiadU16D1CSbeD0w+IxiJd8SA1cf346nltb jtNz8ysghBzCHfrMzED2m/QgtLMu8FHPheWGj8/ZPQY9VXOzEs663V9lIOovxjZRPuquzwbgTOQv ZR4/Nly9boCf36NyP2ET3lrTyXfx9xoGVMt6H4GtOA9PIlAOLg3N8qebz3YN6nPclGHSEF73g2d6 zEhu8IGyz5h3kTRED16GlWo566jxwI7spTtIbQwL4BrOJMWwi/A1CyNs5g3iO3iCXOslDVwy48nF P65BYB1dqdSSQ9ptdY7rXsVLmJrDQrpgZtsxnGgZjQMv3WWVmylIgf77vlDE8Y8MnSB4obt+WhXD e6tiIWNdfoWVJh8m7KCmOZ6ay4E8NPRrMQIj9F3P0na+clnd6QGMsByv2uteDg+QodZyO1503Svs BaqowjELZ0QYaKp1GwT5eU3ZjhuVECD3rX7dC9OD+4BOEQBQ2McRTADxwVx4cLQcXpqSSdZI+7N3 ES8IfBvkWvUZ7qR4sdWPL+WyNVcbWTTSWgJ+IJPcjiCgurdujMdMZk8FhbAaaLpttpmyo9OWoR+2 MExawHitZC/B4a0yx58copLM7cZT3kaEVKCxmYY0D466PrXuIs+27E4Kbhdl0xETlnP8LVZygw1X vcUL2CHFUU/gOf9Ac3LOm7P0Blzkwkep6gPZDF+TVHmvFcT+d9MknqqaMTYsVIpStIx5VTtFcaOe kh9h88DPhHFETmQMboYeBB0NClEfZkluGQFy9AshA+vBQqGF9gamwlJurcEJYCKEsVaMLD+4ix/C WQ+Wz81GOlAFQinasqius3ANYNAAdV8AIxjWG+qQ7hh9TeCtwtX9FLEjBoj0hIC6TYeLJbaXOuga xr/PSqPuv3JoD7SRlty+rKNDoNxIu5eL5eKjX6P2JY2p51D2hogxeH0w3UHKVP2VXSLsSUDyCgtp JdRfEHLfGgYIJfaon7BzKupm95ipTvLg1PIhTotFPGxrt9lAQpoP7idsoOwo5mSCIzlm53dcZ6XH ChUsn8AEdA/u6RlWCjJ2N/8DmMNqmg1pVAgeVH47z0RyBqlzjHLeDuLh/TEsY2P2mQKd88/5JRc3 +VJtkflPnAwMNOpKPN2I70qt699ztDwDLXtVjOAEPTf0wjnV33PyFx59CDGvMdBGoNQeE2Yu3xIh BxOD4lJRE1CJEWaU/TT0vJ+XpsldGCkOlHI4NkaOK2usfx7enoO0UXENf2fKc/MZ0BGiEFw13Ba6 A2XYgt0b+CpwRS17uffx0rk5svrur2LG85lBt8oIIXtLkKbNht3jLRZxdzhLWsU2M2HMGoYm3Hye ilM5ePB9XJFHXCiovWKvqmIq4eYeKGvA5vH+b0OMJDV1bwZ0Cb1MoaWnNdt/Nsw1U2LpKOtFFIko A9IzGIx+b9Ly4jaBd2TMS7gJla740vktYGIe3XO/XiMa5S05UIv4I/hP1+CzWXWWVMxKL3oycsvQ Rm6Hsp/KXkirpYyWkHKqTmtMV6aF12cG/2jpw6dtkQZJs3e4pA9voFxRqaRpXuoA4upNFFpFyGhM 6h3vVUOQC/pMVyJPV/ZoMMdIBILFsBmDCXQoevDghRkcRVJrqpXGUuX91A8K4Uw2Jh/cV9Rzaonw WRhI+HJn08fPR2XN+g2j7ZS0/AB5lJOXeuSAE4FXZ+G8cJ1LRUtk/4e7qJ90A5JsWp8MtM6y6b17 25DK2i/MDrbnxwEjbDyP2N3O0ZAfUvfbo6MHYxwagAlUWKdGYzF5TEya00QJaUsFaG3Wyz8fCaKs FcmrbG+omG8msMpaUM1CPs6RX6RR48DCKGGYxH0SQ57C0nELFsiTq+vxX8AjTxHDq4xrwjVfMIF8 4oHJfuxC61fxKkA9NrGOkNQFQ1vpwXVDoZmcg85AQCYaeV+/H1mxEUn5f9/vX00R3PjwO/N8LrRx EkUedOgAyfdO5Qhg0Ly8VgaxcF+f9U5G3xJLAdngyigODZxUPNmgmzhyTlqg/UZ3zohUmeyu6QjK fLKzSBXIVTtTyGwrRBvX7VmiJ76u08l62j3QkxuOSHUX1d3Yzww54+JGl3dBhJA33w9w535IXyyy xWeKb90fBhfQjylutdSyviViuFWmI44QXTPfG9Xs/3/YhlTmqGmvRemE3kt6miWL62TWsAz7Md6B LgA7MvS2nmbuaH55VT2Uodf/+MJZldVIQkSsdED9Zj75INDHDzjU0cqFn871xmNaDQqvXmGiuj91 9hYk1LSdGmoBanzP3IzqOUtZ9HqlfZ5zckQ86wFAwE5K0IKhgON/2bZK8YXYbx1nL/kj5rjxdZh6 dShycaMz+OYqe01HldFLCOHGQrFtMEWi9Pl8EaouYf33rR7ABUySnuxzZIDVayHa+oY/OUeD6A0j d4UXGof7z3uV/vR4wXLorV5E0G7fM0RCTT1ydluzHYVioyMptWymjrDj1vB5S9gOU3fBKMls990X CHurNe2JZto/60LNYcYhgpONOQYA/wzfaCWLn6qn2bbyglKZiiF3HtWeMDICRfZ3NJy3qTRQWMog PlUhGePCBKy1A/rCwkGBJmuhjFxDtfj5DsVdZId6gb6Sdbh+oSD+3qal5JFxuhH2KYA1Gty0N7vh gyys8uxR8DA1XCPHRtn9N9kg00/5extHVjSqhVuLUmWe38LaKxqFvrDsXt7xHBMj95156d4FFBvW EXKY4xQxzsehTfyHHL3UFxvtyX2kRT1jtAaNkAVE5lkl0L9rk69NoOxm8T4UFCs0ilxdD4hM52xD 29KazLW7K1+ZmS8KI59z79KwfdePBfnQh8PJ0tODt7W0Npmy5uAT8DuYgcYMpsdlBDspfc9ify0+ 8pxAQUFQpaJwyILfzMIVjVkKDu96NRIVyEYR2M0IrpmvHUBYthHY5qPg+kls0djc3B1gPmSeinrW SdI+RXygIBwnk+MYsUa2oqitEyiQq8Z3GwFAik6NTDbN3KdC7saz0ArNvYevbR/oC5EH+9vj6hsk tSzuiEvuQlEYcKHnT91FJG7U8OzU5SXG/3LiXJF7TvOzeKa1utfUenkJr5YDTdrl6QgaJFZlNZE6 YRekP1D6lg1KQ9UZShiGb2aI5grk9lnUr1V1Vo5CTOV8n4SVf+EPjHVrmnATNWDIXP2Qqhohkthm it+K2SCKu+O+IfEwYHfcaUPjYNcr2qPHdDmWiHsI9qJsw2fSTaP3jCOYuhYHT59JudYkh4m+gwj/ IYzSqiYwda0wyNEcV0t01wesU6fMrCHlCAZTikRi944cHxy/mgsaSrX4FDmCxG406YfGu/Adl474 OJfiDfqBHY7A3f197M97oxv9uDJyofnjh3JUv1IBjdBMamBJrhOTlgqtuIIotqoIlOgR6o67tnbp RtPAbfoeapEFuVPrPMBGvy+yYRq5ksVSjK1AGFqaX6HTMqG8V5gCVoaVtWG+0dQg0plXtpEuOq3u G2APwAQfVCV6Nt5fGYCmJpl963DvzVJJ2GW73L8sN9gLMs5V8ackPVDPT+48Vz6fCyU79LG6xlzN gc+TxZKDbBGyGzU7EIJQRQ1fMiW97YpiWxQLlS44FkIMg5+BscJA38WomgODyia/cqL2u/p1WPfk hvYYLjQ6u9vem5hKEPnRCBKjTWcEKciYK2oOsZlgyanDQFwn+mUDHC3KnpVAbdaRioeLPJ/DoipL 2wV6xxZayd0LE3IBpRjtgoZ9x+gCY55ZYiE80MJz18wADB8O+uSIqZCUdiHjEIcGnPqiO4b4b4kx DtCn089F8I8iGPQfNf0ZLY7d23e0OThvnqS/j0gu0gD+Bqa77GkpX4Ha9vOU3ELK8GvgTaLBlT1y xkQbj5V7b3pUmsvDAaaIKUjGaQr6YLc9WM+1wnecyjHVf7WHfffHUD46kAw5x34r9dD+myrF6X/C J8Oo54mu783XZsgaWIc0tWzKeWVDuCs/+j6f9gd3XpOVTeD4XiDQF7InTv7BS1FnPfLMiGiJVU92 kdBv1jpZXhm4T29xXnPbQ5Fjm+zF8fsVhHjCZvv9Vp5s5nBjv03btRmP5pzK8CqJYrKLDd3vlIAn Nw4CskQvprK4Ipj/1oBPTsvHvXk0CEoZI3s54fr1tZp61wYH/9EcnZnlFSAMyk8qOStHrPpl41NZ brqxO1YVhEg1+gbNqOx8OZzkqXRnZ7BwXZqGbtmD1qtwEYyHncSr0Pu/PI/kKVZrxs2GkG/VVkGj Vf7HZ3Ycdr9pkf7Pek6lnthTwlt7/kOV5CQZ7H4pQhhUUbGbKBA+6IE+GcxmxJJMu5EpUOb8Prr8 wOb4dXjduB914OJr3mwgMUTzZd7zA7DGKTzyS8Xa4USjtzgbdaikD0fcVpwu12fm8Fto7oVTZN1h eemGh+KHeaTPsUDVNczt0aYUkT/zHUiU5f5kKAz1uZ3DSPj6XOzlkIDCts48dmiKnCRkPkm7TO9p LjJeO/xSN2G0hK3WY03aBu0YlqQrremnZONKYpyKUyOn3jOb72dNhNu/dNkfrS5kYpY7h74U4PoN VNdpJA0ADDOoQBXswMIgmKtbKxFMjrbCNiDlClKiLm2HbjePRdlLELty6p+jcTL73gcwXYtgylkE ubIOCZB7iYRLBz8+pYAVLWpC8Pih80TaV1iFR8WVeLxjAfX0VRWXbndbiXruiIOufQ/Y3ISuzp18 O7TQfnOhiC4PMaBkWrdITq3zzRMJ4zfEVL14248IUUFqmgZJoKdBQK5r7Ut+fe9x3I6gKL+M/OOE d5LWfniiaE08SwBOH724foI23jO8T6YTP5bptEDS6QmSFDPsiOPDH7Y3UCcHMprZE8QF24rACZMq OYyVCQSmzQxMZ/BGlEzOGnmplDxnwEFoO0kPtLRdROeh6pJochPm6lOgZpJJVKeFb/COZDOrGdta 9y1Kez/+HM0qIL4+HWBPD3MjDb+M+Lyo2ofiv7ivsUqJlqCHKwu45+VznsWghbhRTyWSNT6srsLv xCd7Jwzin1wG6Gc6FyyjdfRzn27d7WuQ+HprcWr0oR2MF2D8Hmmr4P+kqsOL/FJG2hsPlfLpNyYf 86FwQjJ119AlJPiQkNaq4xbAYjpLifUVHk5cElwRCR3Ce7tYfc/dcf0l8gHuKJV24FkYl8hPAmI6 l4+wqrKrl2QYTohTq5w58vez7d1r8cmjrlEZrFkURiPg1vrqqjCJpcvQC/WiL7UEVPhv6youX12G GaCdjVxGyKXPynLpmHbOB1MAjnIJO4WO+h2P5b7DD4mjSBO1yYSJyNMtazzVQEI7Hzt7tNm9ZdXu PX79+s9ADOkt/nMLFtrqhjGSXQC4Df0H3EQ+fUBJDf8FsUN922vyt71FP4y6mGWXMN0Gq1AcS+44 LqEmFXe/OqUMB9eyLU916T/j778M/IPRCIBI7KGV21WJeyYX08nxlYDk+hs9StIEdul1YuDjAqGy rNBuRnbKux7G7r4FUy4PxEu74mdhMR3x8U4ihLL1GSInDDDsUyRmJcOnovhUozxG3Z1Wu19r+eLV 3zXGc3yGp+KMgRaa5gzVqqp8UASMWQbmvNd8gbtPCxxgt63Nss7Q5edvNftIG2tLdqNhjzalltCC /fQ/0xbpFoceONVxlrJKNK7iSvfZZlvQkaxI9qwqYnfUMfS15s9wNdeYbbF/Or5GAXY6J8L3eYn5 1s4VNxlsdh1GwTyfY1fLTuBNb5JGbbUjEXty8QjeHWZQ5GOS8GOKcByJYt6LkBO0W+bbor4mj8rb TNg8BEzhBqU4DiGxq8rtBGY3J2mVrIE5PnDGZvltYp2FFTTzVf+4F1mu5YlzI8M7PZQzE8+yo0CT Wymfy5AHIdNLsHT9GXE+nAV5fr6EgzuEvpvL0NM4omu+nh0z3tNzXJOeApO5FGkHj9HWNwwvrb+U SkFpyZmuBdOhMxI2n3vpzqnXr9Zf9dzGjoCC/8euWTcJW8ZMBMz4YGdRaN6FCZlmjeLSVpETnvLt 56xO4iu0oCCWvBe6jUWbpJ+q6ELpVITdsBnWm64UmuMD4cG5nSp7z+OXFuFRHjD9dMloKf4Feqkz ckgIi44dtu+Ngr6MRSj9WzhUHO6iu3sYerSwL97J0WPKMh2zpbe8eqccEa4qa1oI/X89A0c3ZGyP q4phRgQtLe8fw4Rh7wl+3GueZ6bmh7RW1PHi53dd2nHwotm+/gjhXagPU6U5IpJEQGBj3wISFtTs B4ITMz1CDHegDmeS0j2uMjrueNHY8Dz2kI1bjBa77o3FW4glTMj3CRtUmJSTsHF4b0MGCJ/RG5n1 Hrel4GwIuU6SG8HNlf2n2JRVj1vaF8OzlNxNvUCv/8BWPueruRlzn1JrXNnscZQSRYDa/1nm2kNl imjo/LRrPL3s9Im0sToRSpynOiRlxRKm7v0a1oBTlkcuJSl0AfYbtIsIPrzC3DZ/yobgXi6imQDR ZDcqBGpVMwy8mQdPqrroZKCdDDOZ60MfNuqBrdofScUE/qyK8lVyhoWLOIVl55Dmibh+UJ+yu9iS BvIftby4dWBHd5JDiW2uyxHC1Aud1XvX+AFBkl/pTih1TUyBYS+uyGktP7GyAxEBcDwcKTC42gUg H6fqJ2STfNidb++xbbfs8cTAFBPvORp4GLjGgMTJhEduEjIMdSdm297GihYv2nNMzU3NS0iIFK6S TjEoWdBMIKuXk8j92FGVMtT7v9dIDsXH8rOSq7Cgt9b3dnd/1zwWBKzJXPjDNCDqxx28aC4ltSeP l1VslTSkYLkpIbxYSTvg4weNjNcQ6y16suS6lF+7D3k+bMoISZqmgkUp9aiCnHwHF5x+xPjcMhk4 P9GIgju2hYe5Hh7TXfElf2WndDASgGn7HTYFvz/XsY5HLeU+AiuH8lXjWvIrFrSFLVaZr2q618G2 WHcUEb3SbytruWz2QfK6sWSL7z9NKD3iF4xRnKrnZP2V8KBauXRgzT7Cw2uoxq+Mf2rvlar3wF1T 5LKKJPlwIe4ZE4IV++5+z1y30WemjmPzVgifijOR6A6H0Hugrb7Qklv4EG3bsjstd1E7UyqJ7ri/ U3p1FoMB8hXKEpD+ubjP2X9bks48PgVnrF7CGtqIalVFars+U6nnYDZCUC9qRh2U7Q2seGEHxweF JLcZsHpCRfqFW6oBK6eUjy51jnkEXDwyLpV8uPgxJPzIPdlB75y/t0p4fsHMnsS7WDBlIhAdvXMZ T1dgm/QkqbDoz9WoyCp/gF6WIFvnxD82f2+AJDHa1r/+TASyE3h41agxk1yQAHzcq9CBpcRm9Qrr in/jBUgJQ9ub/uF56nZfLh+poBgq0KlLp4tyVCN2YMy2KXl7ltMsJq/yHsypeMJtJWJxVEKQref/ nHj4XiVWyAoOFcLvcpoJd+DCcMfHA2h7JEpIUMb+gosOkFl4ASyf8ZjtS94hM6UGukX67OLP4Pzj 2Xp4i86FKOCZmQ8VjT9gnoLhzp6Eg9NIk492kvcIc0zdPm6MZGp1QTpqICQ/c9SueFuDB/6lurcC 9m+upCitx5qA8dUfZZysBtqevnUy/o1gAZZGcM8geFytUj1J/jJda6BlaBTW2DGAjPYmcRDupssQ UCU4iKPN6Xc7z5HUseG3eQ4x8Wbc9yR8nHU0/yf97VNn8j4d8U5W6bDTniTfo672gJpiutXfcC+g U3tU4Ru4qB9smyvS4FbeMYE7HSVEp4veMXRYP0dokUzp/fKA21FW9m2yyIIsgmlX0/3oXkmZwFgO HNVNJhNXEbiMapTykYc0n1Q8GoO7AO++BIuvfU7Mwwj+6e8wiWRWfvJTVQjWVAb2h+91VSMKSzHc x5vGmoC7aWJJYXUcgAIbypfzFl/P/2+Zu8s5rJxDiH94GZB58LTW52t0GbTLR9yFIthJ2VU3nSEK m0pqSADoMzAd1JtbhBe61fDGq57zlTaK9xl6yrAbSyYgSkHYTpxnStoaGAcCxMaLjqs8KWaDpm76 eXM2ShFP/O6a8yKb87dEyN6r707RmohK/y6IhYzCXnXuXAK5LihoLQ6n/DdqQJ11aOBf+m6iV8Mc iZGvWIX9bzjWxKimdjirO8ffh3HFqsrh7l7XibVa97h+pswvtUxCY5dzIrgcyRf97hHKBJf01OoI sYEheI6qS/+1sa5GtimiUaz215+WDtCsPl+/dWKJWnIFnpmoV8tvrpVfXfkWr3EIrqmUJ7py+kBK jwsXot/p0xcd4/jWuPg+YNlkDbXHAtON6MMEwdCM87qkVuljwVYZs3Im9FYnNR4SUcY3g9WigUUl qXsuUnmINWm6jOTG7GB9sGmHwgaWUcjxTr0Eyxm745HbgfcsQabTXayOxRk4SHoE+XnFroZ7KE9A vFynRWVgHYjVlkO0xNKIcxDLN23702Hi2hjxCo6r58dMemcAuSmUdh8EQGQhSYR1vYgHqk8uiYlz YjjSyBzL3105kRFrSKEKuS85UgJxuQj5Q4fiAd/LqSWkF1xWzojphrX3BYLeBx555ThNl8RcR0dg sv/d8KMxQMMRhI1kj06Zm01tq9/cAvXY39ctq4Arqulw1M9VT8ZHvYh6s9V/+0ZtNKMmo757hHlh kP082+5eX15GVzIQJLj5lQDzma+xWzyPxcMW3cQK5XF5v6/UtRUb8MAnvjM03DNfCEe+roEtYYX4 5tV9M1cfoYgm0ou961aIldtI8vhVL/GZlyLbBnEDRL6dbfudn+GcSE5F5jCIOfaDpm8WBKFPqvjB C5Ob+tk9Zb9nn6wHf7HhcZTp0Y2m9k93/44SiwyS01ThKxXk3kNA7Hmd/vN7pyHSOeAN1rs9BZcb m4N/1H6b5g0HdFPm15OXyGESzKd3Q+0eRt6Eo3k2nMgoa7xgmGke6qLszf8eWBG9ue6G3J+UNiZn jCnEiAFrpwbpqdUupX2cCq2nr6JQR8bqhGVosWdUYRRYu/IUI9/mY/4MTWZSb9CfZphxXPOSAh/H qXnDpc16t204pMtnCEB9J4YTQmA+XjcGqj+LQs2vgR9sUXpWQpxPap3zIvyL7jIjF53UmKx0Y2iO HHsalaOQ5jJhX9crz8eK2jDwJQi8395aOHT7s8Uxbm6WCrO54wEL34kKojhcEtwA45UNXWgYMoZL edws/CsEBCbcNERo4J5oFFVef0x0VNUnxW35hOLhU/I+J7OAow2hUs0bx253gX2qUK4XkBfieVXh oEIWdPltd/UNcBSPv32CPWk6KihB3V/LzE8rRjJOFO56/7pe3fvxd5Mm0R3NlAI3G3q6e31nXSYs KtEghE4Aca8MrAQxQaTUFn4dk+3uOFXulLKtljtB9YR0eLkwoK+Lna360NpHyIyxvNBfudcO+t6l QmJpwiD17/qHx4jZ/hp6/Is8Y4Jj/az5UH4JCBTUNOYCiYevDYNhKV27pfIBj3S2yUO5tkgc6p/c 4iONgleANmwsmw9E6v9YcwaquxOvy4FP+A79NFCuvSB5oJIEq2YzfKE4ofOC8M54xD4PJzIpIgD1 pDoud8Iy36KOhg2Cw7UMck/+nwWM/dYkF+dNlovCGgwpWTUw/QRRtmy4EsWHLHSsGSKYdSD7qkPx /6LObHT9NgjuIyj/muI+8/dGYoT3b/QbFioxCeK5ZgX7ROLuyPhPbapHFydQsnFj+gnORPKfZlbs JEd0wHPtR9f37y7V0Xr9EMJtuJ8ywIn6AXv9cDJxrESHTFaMFOEIgAsilxEgt9t6+re/22otlcTQ 4zzzAMN3dali/2w+T2ObpDJ6mKE/qqdOVJ+3zsPO96CU4Zm0wh7FltTHVWrQYmo/Yqy4E2N7p1lA 338fp9mpbo+epovWe8XIctjRqLh1oIe+MPYfqznD7iZtFW9t7Stb9/uj4yogj1bcnU8cI2Lg9rbE gmSeAesy7UAVkPxIBO49Foxi9AGO/cyclnfrbESoEc6kgW90cxzNpRtuyeXrwLCHPKxdSmEe0cLa JEiD6Bk4qccU0Q/c2e3a72sNgxTdNBbmAG4mkncmZJK3itjy8oyCVJaRUNRS1ojxJp52sXogAUo+ CM7sTC0VVcnWzv23kNHfd2v/Pv6FJ9D8jRDa7qsmMdiD5bXIlM8SlANBHazyoQlv/qTjNMZ/IU8c NZVuQOivONFGfe+FeTgY4wvt2oMHAgpLO9Tmsmcm16uTUacLhK6Mgn4ehSOxwl0ueosuodWEvDpn 6xziXfdNWTcJose75d6M7vyz3ZyfXt6juX+WBhWNRf9Z4Rso/ixeZ2bfy1jb2KNLklVpbY40RSwS c0kAo8dDZz3NgdB1BKH9gTrJthUHE1fRxs/MxkfwyKST+6I/2ZycMgpDfrFsiWSU9qVW3wkLRgLA SuCJRhIgZS0p/QqhP3KiZGnvixal3pTtT6pFQ3FTPazLCoc2pi6WvX3P1dZ9MN1iBT2tYgrH2dNs 7kMEfZdl/kodi0e/4YCWfaGHJQubMPq9V4EB2kIhwBLfgRRAdwWOU0c0S6mkMT0MQlbgcexEr9bm rLyKyFrY/nk91YHqKlWBGTZdh3FtHrJ0u/g2N01+B0GfSJATURNJWbhr8I88Y6b68i5px4GAzfxo ankRixXXgn38wTX+1vTfr1GDPpbLvvuE8FsuIY+sbFG0sk3ZgWNtZF4cDtZBsnfrluEgxaTpbzcY X/OeZuAeQw+DYAjhbFcapORmWBjMN1/FuiO6dafdRynUGIZF0HlwlZrwk1mRX6Zhm254T/bWAn/x GHnHspZFt5bvr/Nbla7EtAmKmvs7qy8ftiMVHzxHtHIC4VNmA+hgk8OL7NWvNnyXdaX/NTfuNFF2 miN0Ywd7Wlmx9ZCJRQUk2ofd6InTjLeJqhZce1VizdfaRK/rUU7qrnUgooSdWrY2s6CUGXcwT4Mn o8ot2w4kT1D5bo+0+7qUdSwz/O5RVo0Nmg2lMGx/yNCOPhJBSUKcsmsS4SmLGTU3PLoqmtrq4M8g 5exMUclnt6nyNrqiqltIj+njp5o2z9A/Sk2IkwVFIw+suGEQIWLu9bPP1avxGTxHq0EdBV6xAkOL E7Lm5HEyhsyGyl6mgqYhHqsfR7t68qDNcev2AepjXYacCvvZP5V6CR1wVvNLCxN8Frsg6pAl71X0 fT9JrJ2yHl9HQgRk8yOYo6RrBJLlX5yKEzqpiznGyONuvAx2BGarmOM/QvtacxLhi34bHkNwNS4O lMOV4ytm5IN2J7weWdzcNL8mLvLXSGEl8my6w2JHZOIDeUvs+Q5xbMFMh+Rpvs2Db4D73hckNdei xh1ATlK1qcdCPEiIiXkt9DbQuCI2tniv4XOVUae3L/k3vpycVv6sHPFBzzZbiSXyMRxjBdqOhaKL 6pxYIu+CVY+RmFIWCQ5+VxgAaBPSK/25adTaK8UsCfwDRgVItdHP4cbcnB3RKY/ZBYHh1XZq6TrK qdFW3Ckzkx1wIzKhKtXcKTd2U6L/o85hmxJlTnJ/fe8+rcwyvK6CIHTTmmbycMIJn+FW97QTEjsm RQTDVId6xJIov3/GlwphukFECXJfrdyrjlE9GZ2nVx4oGIQ1p97w90zVsfYFLJXt7B6ozumYyEqE YjfNDiJgLQfOgQIUPgUpMkCZ4LoCafy1J/Ulb326Yva8c54QJFjpzM/XO82XX2oMWa3/XMrrOLTQ 87QT1XK58wYZYWArHKrjpP7ygwL11yjhw/flzkoOuT5nNxnfmJ8rAmfmUrDbDOG3Tgb3TYzOB20t 77DCiPrt29Z8tSEtPYpS+NPglIJIJzm6Rmi3XF7cO5LofhDYa50B8Z1hS0JTzQ+Xa8ruXbMOH2Dx MOZY/ePbDeP9sJLj93fQkAHwkbNHsbYDOxCczp9lm6NuKP+XgniFo5ybmYWvgR4NH+cvU3ZFV4/J 9f7iG+6QuLXJ5nP9M8ostkYjojK6k2bYInXTAZMxRetIE1bWdmuxP8LOqnen5Ko0U6XVyv1VrYVm 4L0CJWJKgLoHb/qhXL7x5xjQEkgZbMD/2CR0gYtkNpAv+D8DiMkKsWBpND8+CxR1ZfZHgrWkOYBJ nAv0kFI5J9hya7y8q9Ky7ocq9JJKtfkAa8+u3y6KWwsbFqnMhPpH/HagNZCp7EwO5n49b3TMJ9zc g7vh/SFaii91n67IV78ktkeapCFyy4H0wJn6WbMI2j34kj5Zewde0u/vJVh0mJtvn/fkmKiRjJtM xoItwaTelqwGbKLJ70JekIJ4FldB0EXg19ZKlOrlUo+raYI1z6Tf+bUJEG5gltHU7f92ncnBgkDo IIcPPSHDrvnLtkFN/r6MAHSsscfre4NXuA/RIH3qfxeuPhC3XQBeVosq8p6jr+Wprt6U8CruT+8p yuDP1PM9pvB90Wo3V1fvnprWQUJj9LWkSZB/aj5T6TLD3tNDYqRFi1+1KTQjD6QitRE2GLs6PvSZ Wq753HfzUpFFnrjhhuyQ+n5cRoGAzOGn08u6CRapy6q3QB+ZlLU0Zi7iiK879lFwQeXvsfZLZznR /cBcsVF71kO0B+ZHz/nNLdqvG3rny4n0bv/WK5G7g2n5yrq/gX3SqmZAGUcbqEnk00Wj+iJXoQi8 mr/sNveNRQ3nJBlPF179zAUH+zqBTnfpl6czj1QpQ4gNWFQ5ow2Y3SU74t+AzVio27AB3aJdlcNl YiAKqfp+ekkHzqapsx4tYVWMqcbXhwxVjly3TedZ5QZc+7smj5FBphsyR0eCt5/LZVQXlByn4NLj 6DfpEdL1f9LZlgrIhk+EM1rN0ohHoTtNmFe/pwGoi8v8PnTUy4DaskS1YX9mYyeEDKnRkM7l3raR Z5sIrSnx1HFMhL2ROTjw2KmbAboR3miFONq6Is6o1nzIwLLwANsvr2yAselOzflPWwHtRXAUL+sw LcSgYAP5vbMoV4mk+v5nbS3+AzuxnaQIPNS/H6yauf4VDoj1k2bgZIL/thDGL4s6Vip7MbrJSHtt dhe30+lGCLnj+0tE5/MjqowLZX0rSRbkGywJMu8Co+5hTUnJlZPRuoemNojKnjb0mSpnwbl44Vsd oyFWpy8/Up/42ZURiKhLiwb2sQ2q1yH4GURnXqA0VhXNVAdbZR8HHpwb6QI2zAcLzcBP2F0t5kk1 ZLVm0+DNpzgqM6gur0dgI0d90uo9ZPWmlMNLARUcM1EpzQRllrwZuCFH/1oO1ir7uwhrTAIHh3BB hzQyf5sSLPJdO3+FIUE5HaE8kQxU9lOUXEk0GPzq8DL9r83JXq5FDB6Kpq7Qryh3EdyC02he/jM+ hl0of21/sBTJ9TKc301ChXBKigIQmNBQUqYD/esKqMPIDuALqTCqjdPX6S0UOkc3ZJaPOOYnTkhX bO8o6OTWm4gIWsaRs2keyejJtErNaqCQ+mOokQNzujbSfbbYX+fZpQMX4aA1+Rp5WevZcME63/4F PzWO28LpLRqXtfMA2C++h6bemn1559WBHTu5r6nCYMYY44W+swPDRTUUsAgqr9tlyzcAyiqFrWfw BzgUtpJ6mU+ealFErDH+EFDrCBvFRzv6Ui85Fofo1lX4bT43RnepZBNWMVf0yN6le+EDnncPhftI eU5gRoh96sdlxRx9J/lLRQp6DAuKxIn6MLtk+iPCdfZlke+Um70jB3wdK8jbSFcYtMJ/nXtRYwui gzZ95ZsCC5yuD18zglsoNnxw8qn1ypLrQTv3e64HEAxOc/2JRt/nAxQbvGjG2XeLzxV+RpVS6nGy 2z4cjO0DsFhlItQ9SkOiJn35AXZTqBtmHJYh9z27PxyQsyTm/0kgjbusgXWTCkWPDQyU1XHYOmYY Fy6qNNDca2mpiq9JNGtgE0ApElkEHBiUguVmB5DD+Mo91X+QIfqlxTqKEu1CRrx1NAHf+1ySNeSV E7lq8TnNJD+8r37rA3YEK8GJjgYCtNPcmuM/tPEu+7dPgt9CORj+uSJ1nKZhzuQcu3UphGIW6Qsz JS53YqAeEf0IaXi5QjKph0sUGSOixHT4Ui4aZG09vk8bsuJrhcs/Q+NE5DVkV0LpqwXS8Zuu2TQ4 kUKtP1ONP87It4wYawJnkN5hH+G0SAb1Xd1baBeFZn+F2gjDO0DqWv5/d4M1qLzncRBypclkup5O IqzCbiPoTYfEFtwPnDeJ+3Bk33nW40Q20r2OH20XPG5ma0hcq5o1qj1dceG5G5ASl6ggZK8UGAI8 dqRNCE5n8RIU67yAptqUJRjIG6UM2PJOXKLbPe1auA9gCBkfucsx2jgqrH4Yj/U+7jxUFIZyFQGD sRfTHWxc1Qkg2ijjy1ssimQ4jyUGdX3ZNyPGic19MOoz/rFfxogP88Grpx3ZOQN71e+36IzGa63h AnzDUeeDIFxP6I2CibbMy+tqA/OcQKi00A6709E1KeICYqg/mGwSqGka2q9kSQPAZTvcCaNk8oxy AAgc4pDMSJsmjRVHZ4NoScRgu2y90G8Vqw6GntjgaOo0UH3uaZ/F+BOSj5b7n9UJnXTdSAIT+aH6 jV7aSnTFE0f5gwlvTNihn+NT5Gb+8vvSrgifZDWc48d3ZoKGsj8LX7o2/MdpLE/McMjvI9ri2nhy 21OI6y4GJoiIbmlJbYQht2FJPo/4c6BIOfwISBu73uBb7nTV0Cqdwj5dGyUvtdtDvPAvwpnsVqbj TCMIc92PrZHFA82uayqZ5tFXW+phUKlOkXKW5c1Jg16HbKp03ab/T44QgO5xUYqIYLXZ7c20YSmo 4JvC0oKiYwoqIKZR6xJlxhgvqIKHkbooQD3o9NE8ZU6aEb/OpRGICQyzKKZIbsuEoZE0a64RCGBx x2ibNZM5prPG8Ghq60E7I6rQ8AlXb7wcD/sT3Mb5qvybmYZ4UdPliC+AVB/1hsTWYXIufilLkCxH r4fuYk49zIfLGCOP3r0J4Nl2lezf+vit+7UnFONq7rL2+JdC2xlUBkVLac0tS4W6//M4vEfNPuLu aJECj43QAZPmqMnETRPO9j8DKqsNPiQLEJR/bYJ0WiNfut7m0SLXgjp8cxsLGOpIRE0TJ/FtgNig qtqQpDASb3pb0+7DT2Sd1AEC9baEu0KWCKnu7rbj2xoVPvb/fm/v5SnDf1pTQblpa4jrW716TrPL U/59eqhIjMzv+s5WpkDLa7JMydaEmjoGCZCBhGfypTzPuEVWbhEifhB0KUucw7lV7CBcXvT5fJeN FZEReHBN8iEWdDBvNZUYajgDauC7owgrmHVtqoHKynYapDMAN0UWHnqVqW9WswPHRxmRbqb+gkle aitJv1O+F0IbCm9peGaWDBKdL4tSHd5aObKfLsv90Wxcbv3mpr5CxLrqiuUYBjGh56z2687Q1Lfl gXhz3fYuh6o9iZa5ZlH7VbDRx589iqdt8zbdT4DUMZDWmnZVQ5PyT3VUC9KhWuGneiPI2M/oxQV+ 5lnoZN7LTBwDP/o8qdR9wn6Xbq1yCcs2owY10iMTq+Metw/qlo0GQSMQt3jUbjF6fCRqhjVfCntz h2mpm9URMNJH/MOBRNvLYpUrHVlTFV2ws6qRLY3QIqsXxI/9UHKoO1dLHeQaTHT8PeHFP0Wm1tnK fvDrIkk1AiWnCgmnD4dCsDnJOZhtAvSPgAHQywcWz32LDIXsEalwlHJtALLhu5I01pZh9RgiyybP IgorBXP/Hs7l3CIkFK6xISeK6veFpsFcCgomRh/WosZe1p+eGa20wtlRknFCGHgLMu7Xg7Gp5r2S j+G+4rxv5zKdzxYeVqmcseChBWiLF9duNHi5pRTLlao35u69HzA/cKmQnByPssKBwLkZpu+XQ8Mo DfGLPhnkmCcuEHKS8L0QEpaCNn+bXfOmDQDwJVjdimx0C4sWXuxwqXklMDBDdtzH5q4HveWHV2JA 6WlYHw/FL7evm1z/Zsr7gOMj5NM6nVZNkxRNeO58ZyZk+BNOGurYrciLKCQiqC/q2d5LZZR8sBda MW78AatnX5KpLMXtirpfHt/hB/M1LAz3p/M9h7tenCvBv1eOpvPv34a7KceVT1vcTyW2Np/PeTUH f3IepezMSLdta8Ispq9wF2IkuYHkqndoI8nwWLUARCU9lGrXL4oaG3eiXr6MU5iB76LaZH8NxDfx 7PDzBtGQF76nP6ix/ZReBSMkRwc8JZir2F/R3H3xplENbtxiiHiP/iwfSzLzNhz/MDkdMZbY1VfN OIAqmvwse7HYCqmvayOBPv8sDgoEzsOHqKDy/pM7iMArPxF2Eb+3z+adRHSzsQxxPloPS3Yy5lDN SziS4PJejfthahHBt///jIdz8hfSdxmqqXfQby6Ja/wxGIt8usAynntgMAn4t963K0WCZjmYkfXd C+KoQVOs9Jgc3v7HIW1gASflemF1OiRn3oElFEB3uRn829oWhA6enGt0aRcZn5GU8Y26qojyF+Fh uZjI7PSGxPNuqw4i6LrI4eBBX8l0sUpYuvlvVrldfhhU3i1bV4JRkKFb1daDuEItdEYHSvMGcKWG 604c3jrgDc2NlQlA01OU1iLiUR6JrOwRtaQuFD0RGKZwrneCju3wgB8XDtYlHqzln1eAh9Uecb1d lAxWWSZ2tFjrwlQH2ABod9pEx4o2fGU9jWNUHZ87QQKyCsvQbET0OXfCGQBFsBh3OpT/mu59c8zp mOvvcTwpzsBlxY4YdtS68J8dOInhDAkkBc1+2XkndX0nk5nAMSWInZvVtIr2FZOq9+3ja0C4EjyK Khfre+NR/Ilp0SqDTh3QYsJLKxz0ggF1cCpb2ntPyD4Yhd+czzh9ZnCRvTAKNtdJlNckzeTGUN90 UXfo5i9tRMDlc+aZ4BD98MoP8KJW+w2WDKxiHOHsQ0rgyUg4EhyqVob/nzqWa6HTkwOH5SsWhnyB YK0IErApwmcJQ8B6yoAAOOtCnvJLsfMmnm6E8h7K+RnKXpgd1FLAqyVQO6JDlYD2m1EWYOso9CkU Hxqlidfs4D+0JE5zx/VeHntro5QugZuhEFWDTlrSScz15qYN5bOmKH0v59CkORWaJ1due2onhGuB VlF3qr7RGQuybJdqH/4afh0HYnmQsN7OozsmFsCnWSl7YdFCVLe3JGwz03bmVSXvy5Tua+Z2KVXJ 1Nprww4mIcyZIMtHophFQ6sErF2sINbyXbgrSKrwMnndpbLVf2TwjCPye6ePlTyeYaxk7VPE2RqQ 2Kdhd8ZUa/MjtMDtr6wpaOEowLAlbaqnrPRvIOZwBTibnUFSbd1Apn7n3yPUy/FQVnLu2c6n6X0l LM0dHtVOpWhxpWKNyJTxfsJCPwLdxpGA2eUmzjEGA4H6Rzipf4xZ+yJjK+ATx6OC4gWUpW8GPYTZ wLUNFKXF1jhL6wbkdOj88PnKiyksRxN+1sDuaz/8LYxphFKz6cEVwksktes0iIyEzFRPlMcxAJvT YHLtlLOJxsJTkqFyNG6rl9+uGaa1PpLpcp8IVcBpOFqg4KXL+4GgdaPOWD/Fl0rzn1iIbIAGlq5d SKZoXU9gndH4N5hS51/M5niVH7kZ8iTMNZbo7JYUUTCkl4SjCgdwz8KNe2W+Lh5YQwd7+7+47aOk zFVal739ng9XjtTLAulx3/ryNBe+xHXbUvLuVc/J7OubzAws15zWkKs4PuInuYroHBIoanPdd7TT lzJw6yNCiTCc+4NJ3D6D0ICRzc9HjhmZDWDiyqzsSggIIOd9C+CCvKAtSPJizCP5eZVqN9m3qVbS OhmBcck/75gUFYmCpS/duOxJB3/ZmdEj019XFtypgH6s/lTAHyMJAoQ4UkGI3Sikjk5FfLFx0qoY Hzpj33AOWwH/nnzT4WS8MZyR4xrGKztr4stNj8DYEYV4wt6FhxwHUGZKQEGWqr9hm+ar6NHzRhJR R90Ivp4babaJ6f53MulUmBNVQBraCgwzpp1l/YedLZCUpdcdkGyCCLlKJV628e+I75oXPR5XGbxm rDJlErjCHIieFZ5h4WLFmzkKwRppsBrilmHdIrp6VfxhILtwJEs0Z+feIpUGDxRIAwUFWLGNlrf8 szp7H6c6mW7jQaMK9mJKivyFMGWsLzFK+US5sc0hT7yT3kMu1L/s1vxFpquKXi7m6cg262NYgjqD lJQ5vW530I3l2KZTd8YzeLwbMnTg7HP4e5Ik9EUeJbgnGYTWRoxKlkrDtdXxfREBH9vMg4Sv9fGc uKIPr5qTrnknm8v4GuhUGPGuzasppYsOk57hbqTqxoXYsjljN2Pi7Jc6IjjFTKidAZXiofCBwcfd abn4Oi+B9FINuvW4FOkQxtqegNIjTnVXg/dLHQCaWlzvzObIblAxzlBeMlfYgjNUXHqFuiOpi3mm Vo25FvM4+wgJcPFgiWj2VP/8Js0K0HpQ4Mtbm1sC/nCUs6ElmpGbXt+MNPiktMjrvPNVP4C4ebdl 5FsFq3BYAV+qVjD1ZFzPCFI6ui7ru9uK5URy/7JR4ZO42kQoS8GZSbgwxhhbtmJ4ZDf0TTJ/GAQF 0qKS1oK0gg4t5YIJf+5KJz+OPhv72bes7xpAdEIvezn3B62Si9QyXE4DcnTn6yMBEmkaxAre8kZl TkUaXbii7vL18T3K/2xa6nvoFCO1TS5L0esA8OOQq6RaZ+Qu8b6ZO42rtm8V5rVmi6wLDvdESuTN Ppu/EY2Yf6xqjGPSvwlypySNHqnlfv0125DPiGw/krsoN/LcWWauwn59TBdcA6Lc8oe1onhURpcD 0wR7hv0D4ZkpXZOW6rhfHIXSgdU5wK+Td/8B45O58G7k1Y7fLLqJMHHORZgeijBk1cuTWOThFQ2o P9hhvnboEggBrpkiPVEwEOLfLrHRdZz/0wTKzgTffEZq7exWd6DGhtkEHpylbwVrZB5eUtVJpkyT ci8YhoBrJ+aS2mdI9VP2LM0PVBbB+SYcLF1de8Wz3S2AaJEl4QA6wiJu5NO5NQPIesQ2Ajxtlq+5 ZbQ2Goj0s5sCr9MI0A3o0qNawqaebuFkvOsVAEbTsAn+9RocILjv5aK431t1g3+w5UFvJh3E3ryW ksS7Bm9V/5oTZhm3TSmuqbenSdSYduEaNpyU/VnfNnTwiOoFqfxWvseGuwWcn+THGa9mx55N/gWN YOLhowIPG2MT4uYcv93NidWMOZ6kVJN4iFnzvWg2QImhBUWpzlyXAlME9p/SB2QW35zBtQmeKqF1 p90VaPCaqUX41cR49rd4uvwHPwUwUZZ2s4dWSD3v6mtvCklaxeyBpMYzghiogqZE8hyktwp1C+1u uVKAYWY0j09ZU5OzBqUWYmo1PZK6OqqpgEDvZh1AVWwnB4XOX1ttDi/D6rRPVNiAr9ZN3EtMCINx m3GrjEIWlDPMFje2U6w0bFV/3b8+OI/HiHwwxt9QuG3HD6rGqRFs675s3dA7NraKIGAnMvCbbLVP CH+ZlnC0mcr1xE1hfJ0Q3rwnn8DzUjY8urFRWQ9IJWy43LcQEe/8Xm8/cyclDLe2R0gjpKHMCP6s X4rEyEz6d6fi9Yp5fvufgAxMqAszC+DxWYWADhl7MpvG+XuTD9Cb95Q3P32hfzBKJMOjNDouIfVQ zX6iRlcE5On2NWNXqAj5q5vHvNQ8SKgwKxnmPEnWJ94DVy4ez0X7XV0FtSBRrl4HS4zV1WsPm1oX 9hJLGwMGwnhjvYEVVZKmLp9BjsS0L87Q8HrgLxoMb9AnW34sN+afe9lmDA6z3NosF9JDU6w/Lay4 ACuKl0EvMbm+JCFPsoo1eDQWOIaKbaXk4ablSjEDqZ4ja1uYhYoJXwpjttGF+J70j+hAcQKpecmX +A8pJy51nn48gNjMXr8VSMNDwyPuvGYreUAy3eVAYE6TqntS8/o7r/eVagHWFPxeIt2YwYFCdF5r 7OxKQ1+Rio83NdrR95BAhMFi9rLWbBe/Br6p5qo6QVpQbOuQf1ogyoGcq1F4qfn7k93gaLgCLpdy KxpGUioAc2kcQeKIf6Ls/Ae2K6M3+HUjhQked9x4gHHtn2M1vv0d4Jw5f+9y6/BmkQ+DxMfCcsI+ xeyHTF4nnN4wT0m8xzMtNjM4jcvm98+/mqz4DIpdV4KoMpzI1qenztU60UUFWAQGZQ7S5/Xpw+js 6ouhF0SE/HzqBEBO54/e9nlRiMKm6PDq+EfkN1D1T9tNivCMoVhmOoBU5Oku0Z0Qij0cFy3B4xZe H5vDM8xuQ8tFcNFwr8LfG5FEq+ms1Qpz6V7zFgU/wsDzAII0Bi0r4Y4gDGLlyAaostt68OAL4cPV krK8hT34pnrAZszQjmwiYGSGQ73LVG/LQYY5td1VZnQLRi8bMEXxEmbyNahg4Qavsr4XhWkgMJHA CLtaew6UhWeM2AnUGGJota0uurPP8Z2jxBX7LLfZRH64Wqxg9Ig+s/MutQyYQGF40eXOMddgUEeM E13fgkuNq2+e3E+3ib4WBQOQzmb5zu8mWdqWjZlVckjhrIaifB/wNSqZiIOZ0dIGozbMBr9Pj6g0 JzT0VcvaopBsLzHR+h/CgEi/m7227Ha3RT1XFcy2WLnRLGehLKniJ+l5qFaoVkmNUDyku+nwB5tU 7h6AeAux9MH1LIWDUf6bBjMvfHYzOARIuqfo/GfaEtEKnN4FSzo2vmmAcH0/JjcRRiyyMRUQs4Pk +tZCZMFurI12N/uY+lQViKnrzhGlEggpIPkX8uWNpIK25qQx3cPACPco5c4o/4YVnsM+70Bs3NOv eobyfKNSwoDMmsdNM+PDsJIicOod1gqqdYrbkY9Kv5eUhqlAAiz5vrA8XpcwLqzw9BPpZS8/Suma 4fNOz9NUcA9DtFgailbG6L/64bFr6Bl6MWIcGhCN8CX5/IFaeArw+CsUkwVGIN7HFxmIGksspD2l UDW0FyQMZ3sj6qoU0MFrUAn0UP+inezZqTgNgxCrkM0BCx25ouOhLF5NHN6HQ/LxDb+AOloU4P32 u52cT4cH0MAj1aQdIimV6BPclMDBh2Gf5s4lfKPyIToDDTOzIKOv23dVloXj7io0hnYQ+ZRKijOc +Skm/4TMzKraTfTtTqpjM/QEUQkiyF2ptk3HOBGQF/C7XmJ50bDzZcLKSRN2Fi0qjenOGXFsIBlG x/jjugWXTMc34vcbBI1wwY/lBptP0nnh65qG4eT8rY5MbxOKn+tIqZxfHbxY+tpGANP34MtTMPuC 4D53XUnKdoDWJFvUU/AVs3rqYVNUQ9O4P7iPO102rg/Ri6L1ibPsZidTzDSHqFD1LfE2CNv8j9Cz DwcayZ35vRF0gnzjR/9B1TWsHJgLocPQUWkEvF2poajuPOvW9Enog2td8dJ3WwfGckZPp/gtA6Er SCHsvI3DU/e9wq4d6Vqduj9MxIvaiueXiaPNzcnLnxbTS9M0t7KdKAi6k3NSpdS21MNKwe0oaIHP C74gV4Cr7/wM98aqHBTkWcmxgL2HZ8kvElsnP13aUKyHu1JhHd+/P0PFQ+EDD9GOm9Xh24mlYo1s RL9Uv/4q04Fpd7UwW8+AMqKidVboD1n4PCmZe+/FFsIwEtgmJ8kHzfoAKqc+HMtaY2EUcqg7jFYK R6BCdDne1e1mzRWqYRcLP8lgtJ1lCfk7B97kBM4DzMeB062j0VbtRRAxqakVnQWqu9AWPljyQILN HXUmLrQ3Ndw727AxBFy8f7b/RgJgc7y5KZ8kkX+lkjJms/cpjsTkBBzhN7884BixIO8RTklWlx16 3UPXJliLXqbnziWrZwcLU0zJ1aORNQMwgRCKdWfCeedhsKBHwEi4O2E9XyI0U53F9QBnCGa7Uygz IhK3HG8sKtqSmaQUSW3LbSnKCUz/klGFnf73wRMU7KXG7xwiCL04Z2QelqWazUeIOsHbQj8JcEQG G2gP1yWWZ0LGlRbVsIHwwZi1Dc59VRiXJQ3+xElf6HS60SedWEoPEsPj8CKgXb5jFDRxUJL3CZkF y1nuS6dWfsL1tejQMTwZaUm6ylYbU33NeHxLWM+zs2DTv6ielmSQsP8x7Gfd6ClI8fwhwWZaOFbC 1Keg/zXDRWjM0QOVxUnmT2lLAmkKqnzt3nszjbZpQpm7OIXrUKLjC4NBcGyyjGtMdkvPXU5vSX1Z bDb81D18sxFRiS8atH1Kla7ztucYDu9TAT5C3OxXaEwOHs3kSbbb7jkJNTj7Hm3cQb0we3Aizzdb vjubBjWbSRRZIgU5cRkHXEy5MdRYUTvIvGqcenCD2vIkRk9x+Y1aqIqyBalwXG1Q19B+CcFVzTUr iJyGSvI88sy2I2AtnJU/TaiGzPZwY2sTgpkJ+7b6wR1PcuPsg+1c7AB2UkiGLOkFhSMww232+jUM UZl7+CrummPf1uh9qyPnQZDbtasGhBUXXL5Kik6uRvTKr8PB40ys9MGBw5xlYNe7OeuBnexZ4byb BOYV9Wsg92IUH3gVz9t7HwO3XYjJkfwdDxW5m/wb8RiYcb1/QZjvw92a/t6bs8fr7gWewUHDDJco o3U3ed5o/LFvD8uIO/ON2SqJFRWbQQLyDUN0uQzOhObQbTKu1+HU+OgNkqhLQcsOB+cc7mWDhhfF pXD69yGNbWfVNfJO+Zx7KTR64h+K7fmhIXCewtzAPjOQGQqZnxd6o88uhI91iAut+JeR6SqSGHAq VTCzM39cb2f9WG6V9YmE2964fYiHW90bgl8D4RvQZ/AhHzOCv4Ux0UOlN8AQEEWokgplMcDsKCeG 3AOCvtr+go2iMBhfRRH5hZ1z80uAHqD9IE5a/bJ3xcp+NCVEM9a9wbq4NtTUBsRGhQP9IHOw7VAu o4RPGK9jLYko5zH09IiS4oTteXYL6A5zs4hhCd8Msl0I5LEN2Q7OYbIlMC4cAfgABj18GoULeA0B dwcNQI0oI9tgGJJWJ6pijUwIUA7amQegAFBMd53PIR/SWUoUcK48GZhP7sEvmVn7JRutJh8aFojJ f0cIuw/jR0c3FTwpja1USjqkXV2E13aKuukpWJeZxLJH6ORHiSr5vv3Rs1aj275eAK9zhbJvELo+ c8WQoWgllHYGdFGHf1YhmBMl9sz5kHpqyjSc5IkowCjFDTWzIBQpcdle7a10uebVOm6qNXsn4xLZ NUFusBulUS35tN3VE+3njXucd92GHk04Tok11WKtx4Vaqim4iVtYa7plN8L5227xkNKOhYWWgu0T 0NothneiWNxBqTrvV62s5EBorqDuuLuiRxglcAE3vXnsQbjJUdf8TYe++dKfhuCXK1IkFTKKlgYh KmYf4dJ0YjMUPwWopAR715cS7xId7tOYrABlX+whu0MM2Mco2qlSFEyMDt6xjpp4gr4ImLpYShX0 ig227MJWnYn67hBSJ/fb3CjcOfs4rYqCnaiV4YjwNPN5NT7crduaslwBdc83JevqHLOPKYplN1rF xNUzbwU2otC07o28yjITHXK2WcDyL2CABctTcYVR6NCJ2GHxSDMIp+cdZzGIZnrkm+7b9loPEEEv FEznCfttJvESXJLTw5Ubk0AWeGCB818q0qoqONCv/Y7us/LMMxvwca5v36Bw3SqXEiuX1lRNilrH 29VVNQOiWudCgJDk5iv2D3rsdSdT/yub32D5qPduSUVe7NOpz+496and5/seMSKEXrJUJLSqIjm9 8lqDb/f9BG+Y5wMZgTH4eRNMmQ0KTEXrqPl90FWErWErJdLuxrx43TLP6B6+LWW4iSGqyQ4Q3ij0 bpxXzKmCoEZWt2idvHi6wekm6yhfaTPJW1Fif5JDPEbMXC0+7l9JyGzNGHz69uzKYrD1I7famwQ7 UStotCLScG5zXYQEM8z2Dx6VU7L73nwbxfcLdyK8vhvUCbNVH2mIm0zFVdgvg4Ob00T8LZ62htV6 uKAgnHGnMYVVPsXYyL9XIAzgPpDaHLW3j4DtFO2I//Y6RkAyBKUiRBPMr4E9z07PdUV34rOwRTN9 T5UVemboYGY5U1msQvmWBKPBRJN1SE8Jpjn2j1M51D++Ct5TCW1mIEjxW6bFT9zcS/HJwIO+Mu01 n9q+3N3i8xWjkdJEtfxu0JU7J1pO2QMxYlS+7qDbqNI48qoWDJDZvg5TJaj3Kkk2MUO8wtawssII 8nSsRgH3DtWVY7lY7VEw3/PMofIccQttLt+P3+EYUTPydb33OoGbnHoAVpVAy8EE3ZnW0QWLp4nc eusRIiD4YeBpgl+SZnBMzuHXrEimu9L/vnNzvLCkbJ7QDTjlOqFJrSbf+ijhiOOewHfb6fk51wFC pGVl8Gmsfmhj3q4q15PgwZqxNZm/XKROzzvDy2pnik2AezgA+7vZHjpYY8AZtMxwQwC+w/sQR/Bl /CbXacBkz/LFke2vd4Mit3EGFYMy9LmsehE0ZwzG+0aiSXEBM11fpn1gNaMynremYjiFUj5DXrua /vPOHzIOYCH2oxOSwrLdj6iRon/JJfAtiy9efKFQR427rr+2WWOU1CeSDwwunt7l8fxrqhCapd1Q ffkslA2icU3qnGtdvhvHRkNI2zyljUxYN9fQuzFi0I6IHYYbXKIjfxALdeKVyZnLRYuOd4DBVNA3 Zl+ySf63zQJFGeStCyneTfkGNdqoadfeDwxt2j+Fv5F0zQb2UYrW991plFOIXJHswwPiYGX7r18r 4P2z82hpTAf73p7c9jDrGxjHalo8wIKzqMtcKgD0Pa4yDNHImSjVuagvyaU/aGa2mmQMVyL4beuP OYlebT1WLUgJ4UbnjZzXs+qX03JWKbaMgjRSwq7amDlS+V4A4eiijhBqaBU7VEknUUt469d+wiTg hIUS/SIzZxOD98ZGPoRsIFjHyCJlmO9nuz/dNMMj87ZKoeWwDrJuWpCsXe8oFsMuIx9in6Z2pRKS OQHHJ66OyxB/m4/qzAnJwS75YBBW8r7d6pt6tNzhhc4ahIEgO+ysMcAedn8gF6s/ZmcOC/xsXW2v 3dcPIkd9UdyqqMpD98kJaL69iK9loKvv3o5GVrkeArW0ZKbpuCK7SfoXhgt/7XscAov+i1f9ibkQ foeX2etTNrzyray3+VI/AmygbT9Xxl7t7tQfkc3fw8YBEGqzk99bs6af4v+t5xNH05JrktUhpwfI EO/xwmlcnyDtbU75nPYt5qbiGZHC/+rzgsz5jmEzmAiWeHi/rUYimmzeBHxKNsTdn+2FE90djRZs wG2fkSI/iMAglCW4XYBdzLC7PKWJU1PRipfpnv467RStfJEtf5LWrFfhv6AqJuhPF0JXB/qaqu3N 4QAjZzIFbWHtCeDz/0aGHkCyxdbiqOVLnD6KrqLUgwuYDDbIyRQ9l3SxN+SoUK1MxcaeWdOAnMlm iTVwIe51XOUsuYh/hwKcWQmLXOiMtZQTxT4sl5iOBKqM/dlsZu19G1Y5gBQx/1K52RDD2pPk8Rt4 zYVXI5jZY8nsZLCDahjf1/xdXKrOwgv1ifw8pwwuPo+fmbQDlKDR4F4dOrvYx7UngR612SWVuwwd KiBwJmRCsdwiN3MImQlJ4MLckc1mwNaRM+KVrHUmgg/53IDse43yXoETMVb4eDxQmyBcoqT7c6LR zGPpodLYMlpDnxfYO2/Ovy0Ts0QLUd9MHRnrYT0MWLswrOOvRHtP2eSrbGmQ/zS1pN+bUhSDtV5a u0fkcbYosRwAtcXAoSb7ycOvQJFiyaypiU3c2387n7IZhzHA7i5M9VpGeVMKEsz7CsiqaZzEhcSa T3BaZHwMQ2hvSBZGPwlkRZpBrV6B6aY0Nlw8ERgGf70iPLbnLbeAxUofrIuAqv8bIH0Mz58x4ulT z/EHF+ecjQV2kDWHiXx2XIl8ZE6VxU6HzhyXp/jbjCTO9XW5WfRmTk4jao84sZuDe2e26u0G1DjH SvkHA2zmov/aL4IhhIHle0GS3GuQHgql/QpgsXfUCSJNdN5qnYS3JWRZpwvcykEsxKTLGHXyQH5e J2dzg2DcnwQazST1SalU9VwBsvimLsfo24mr4wG8N8Qp7ak/6qvj/rtGvD6dTkU0ACGjEBxegM/R fGdVdeDHooVs5TqYONZB26FykB7meByOQNHgeoK0oDZkNbng18lV/rmH+3Dj5yUWVkchQdfb5gKP Sm5Z6kYOK/nQV5fOGiYg/sb+SpgcarRbO4UW8lzGrI4yczHlxMbTX/b0RoNR5S9tb/+EclJAo8/w 095jzufvFW0vSJ3rDmt7xsKU+SoXPILj+wMOU7zErQNr+t9tK2/g+srzEnRlBSpPnFFr6sPZdCm+ CNos08+aWqc6iPphezJEi6GZKbW98N/Sh8CrTBxkiR24qTxa5EWrFM2D5BHG+LQ6Oj6qnl+wTuht +m2shtuW6EhMzGEsKgISDLCpMXosDdg3ylzG5gk05ee9W/gzBwdMv/ehPdb1OdVai6y9iW6grHgt UCfy7GpbGqPdShpxxJJEx9wWNCKpYpW7GyEIugi6BA8MiFUoULoagVruhvGSfRVjpu3r8BsGaUUJ 3MVZ/VzKRJL8kxn+xwgObEWS947ctv6gSsgtdqUambk5fCMSbuOQuiSNAslr0KXtRiYoZO/TZfMo nD2BBSLEOiu8bTUmNnZUBLz/3FLMACfrphGkz9LrAmugIAFJvsPNPZPsNJZbWplPcsz+xoA9j0Bh MKf/xoWOXrtNE4tl4yKDHUKA0wLou6mLIUUJcd5C5AcrCzs4v5ss4qXKcfMI7QBIu+OhCNfR6MTF K1+7xyZLOym0IR2LzxY/17h4NDaC2GOiJPl0NKiQh6kQ+o2z1GoWEKW3Y2MimkHCca8Xz5BGYwiK qW0qGZarbApaLUkKsxxovbzypAr3LL6SPPDJD3MiHQ6534vAXhYgZGjZHjqrxBbG7GSs8k+yFmEd 5QgYSu3zVDT5EWtorQbNRRaDMh/AhTaklXwWLZBCJ7jdJ0Eg/6o5r3PzM7q4h4BNtn7pSMmHEjFT fEAwqZCFGt51k3iD6oIf5Yn0lpVbCo9sCAzlMtM4KqPnYp3WY7BxKED2mtmWAK+23YwHHc5zrT5j Wtyb8kJwcAUiGa7ZeTcJKjN+/abqrfNDOVoTb9IPFUvgYmrkOhkm/skVINy9OxyBX54mhDHAj/M/ vy/vWgISSAYWSQGPtm6U8tKC+RkF6CKJxac3fWkxd48dGshR1gfm3quvQCiObjia92EWNQmln7o7 78x9jPOrkmWhC15VNyY/qFp8jUvXhtWBUf59urCpOHDNEIqOoQ92oN/X1YK2W7oNVAiLqrjCWjKD 7XP+uFF6fww5hUbKI8SN/35PmKORaHSipqSNbEV3NYVb7jB4WmaYqkdysO7c7QuR9BgHEJSbMkHm NPHYmF9CQfEv9BX0MaE8dH5OIVMzlKvcP0K0FDkKPv4JvHtLitNbyo4Hhz7Ov+spXxDxrgAvDKUj /WJ0lI7TMMTabXje+zCW8gcuUQM+IK7KU5fxXtOk7Wc6EbRb7fK1fHHvrqxs/U76SPFkEvIIXKyq xSwiEwHrcDdnVCH/XycoMMh3r+2GGwboRwISCAuQ6e0mF44FLQyPzwfnlIMlD5GswrGCz6gXZ1d+ hXNepEfe8lrekKPgLeMf5ierz2IDD3DDWouRDtwBpt3ldhp1v+bdoOdc1eokY+yqVcdiAo3JFoMJ bmZoHE2ydts4Deq3S1SQbQeqVjAhV6fswjMv+INFDX9IZBCQO0Qubu4DXJLFMi1wUIqsIKEtN680 C+DifyJK0AeOhkTArOaXKQFgyDWNGoVVEVaTtwnpHTO+1Y59EIidO0RqtDhksnIjktT1nzzSjLSJ pNZboWIxLWrUACUgXKJfk4HDaFLr8d5XYpKEv73hvshjRv5XZTrOSL5YQUZFomQoEqDYA2bI5W5X TaIiHkg6n4Fjw4bg8H0fHiNzduKOLx7ydPIMfypZ5xuDQQzfVbs3U955/Tc8psISlBeCNxb3CGbR sJ5Ox7UkLXqfFPNYLxf32GTi+g6ThZUaxP6Og6+o2pWSgfptA0/ri92iJeHSRj6Q2utN/lkydwTj gKDGmkNUK/1s1DNvhd3cWcb/oUCNPsLHWQymDE1ehi0jUidPBGm/4RpFg8QD5bVJfW6YoiVH7Uha U31DsoQcxsbv9s1AgPNU+QB3FI0amI1QU7hWOQMpzB0d3OVAjDZlSlPssNZNpRxdcBt1306LdQqP p/lw3NG4FznyS33NTFObmhb6YX2POqWyN6O8bKr4td8g+ZGrI6elnWgDcGk89H6LELOLeEZ3RLaB 9NkvVcWaVr04pDvsCvLtsfqx6ymecZKlGGlkvvN1aIJLNO6SuavdwG9mV6ZOwegVZjSLouqpDsfp HJulGRvigdy33wwbYicuxtFsCLojYSJ0Wjo1zLmPWv6yxXW4Xu5SqL5IhkdxcNaSyj8zi+8wL263 Ecu1v8A1/OCjeF27Vumqyz1naKFKdzhuNV7FuoC9oDhVBR65n2sk6flbCenB4BtzGdXMq5uLGx6i Il0j9kLXZE2inV544RpV9V7y3QtSU1ys4sQpiKV/XzuIImDVDEIIIzXixTBhnX8jOS0QuZWGTbhJ WQcXx1uUpS8pRPdUpg1LP3hRZ0csY5mSxzW86Z3lUvdyonC0b1qSxudSHIg0cR8j+WIlxLXeeU0W fzcKT2xQzpUFOMQ/+tSe1SNA2MeJTPFAx5SYS2D2InBSMpVLSd2zNehoFbeQR4Ik0nqH6bCtLYmt L52WGXCM0E0nNAYjskv3c4HCcdoMVGreOfWa0W9Mf2XqPfFkmAO6I4l8fayztTbDDM8oBqjZt2TH 1qO/ar41UBXgKJsI11p2DVF8RGMRgGMjbtBvcjCZHA8t4uxsS2HQe0+ZlYkrwPlZ7hSBVrdkahh4 cfDvrvTXtcG+oC9x6Pu6cR0nNhXARuWd6OsnMcFKrc++Cf9uf5xFnaqBU+I23YpXJNy2Z1FE5GV3 NrqxljdimfF0AuTpIHQwAdRB+tAEpKyhRwASIzv93FuKb1EinvYIGUagsLV4SyuZp121V256M8tf pNI7ogzcNVOdJV5xAFvzuxKx+J51qZtV3ZYp+mcuraBprHF3yr6EdSKagFM6SJcSp0DuQnvGFA/b NLqTRnbnnkpMAA20g6/ZxdB8SZj8EDP8gXtYsAkoM3Ny+ufYfkMSiP4Hzg1mD2hg4/e3yey4jQSx bQV9k2SSKcdxVsHOenyek+HRTEP8RxMk8USVtGOWYueQIIr4VdvgXRk9gqgfJPXGcUANYxlSQy3c gQI+Ir4xWUIRIZLfzZ+g3lHi5/nl73AhQ/a8UAmAsaLPzpSpOzwVQtPIZ/i1DVVJ+93wnXXDVR3C fes19FMxM8+vseJsHvCEwRUKvW/nmNvNinZeaOBmDl+i5h5o2VKvIGIV/FHQnN+zGpNvT1u2QDGv 6SXIoS46AAnajoYDgrcKiBrPmbDLVcWUE8PvCtkJuTjPBw0XChvlYEn+3K5GDqMhmCuDz8fX14p8 TGei7B6e3XWOHapgJUoxkCOH7rec+qzhv+N0EvSGoeJYt2BhKP5zdA4pKqwYQkFU9pT24AdR7ShG NIkAn0/Qyw5z7M2AN9D9G0nxCBOV6sEk1OcpWG+ApCM72oze6ufD3EHJp2Qc+IXAyX3rm29TGy7T P4NxD0R+bOdzKmpHCSdXNmSHfjHEh5YZra378+Qox4+Qw2CiiK1h8n6533Kjw8UmX3d1OXnphuTN mXC2iV8veucdHzW2z8/+FERkKd7fmdVdwFVNlmOUzINyev8TWrVqewW/vmZau24jdwJUYI72hY3M 7solMuSMqVWpzEPdfD4jKz+4pDM1SRGpCMv1upqXteQct5LtQlGxbs4/4ShjH6+WAxTVCIpz+4wQ GsRsLx8xEjTDD+kdlaYR56u8udt6JwdoVe3yoZRmULw6NcH0VMYg2XulqfE6t3XqtSKYphCetqMD rHr7ecn5ed1SETft6RtudLVR7prkjOAt+FDI9nSBx1Lrdxbb735P5abHQLe67uVdKiYBjejNrqJI RPDYHOAjp8Ao+GHlaTk5hjOgWuopUKXv0FLLwbUXWwgkbzAUbUrSuZGhFdafa0Q6UnKyfirEVQLv jGdWUayFIC3t1dD4v7opcNPO8ntey0ToOVgI+pEPjnp1Cddt+on30Kc0E1d+VAbPH8mb17KqQCsh 4VLM/ChwPSeTXIRg2A5WuRXhCSapDrJNx6EwMdc5hykT1FtTIEMJ9J8lxNws9vEArj9lAMgEPJ3s Z/bkoCWbF1qaF3moHsV0dwsB8bampd5WY1s5jU3uBYDcUJtqxafDy0uJWlm5NdAqclIb8NZPauYG WKCDNjUOq0BuqueHZOkCeEytYqKBzrzGByhRzAVB5J2d4UbzGOGvCugQWe+NxRb2ox2eHaXDogXo Bkn43Wj/lvv8FLhORFeO01jZoEbMo+eOb34O5/Qa63CW/dh68pStlPZWDeb2LtoVsvPqH+RPBdBH UzFSpNioMcYjkM8vLf1uhNyheClFJoB+H4jwM/jmXvKBNEeB5XXXwqXA+XkRrIa9zmGM2y2dC/j4 rMZCCkJvqQcF2hBp/r+WZ5z9aFpLX5Gyc6jCJORTszONIPdM9q6mOILWkuoEzqzjv7yALgz+v1Mt nwl5YmnCAJMZ+X5Xec4hvfWoxSk+WFjc9SdVtybpNGSxF8gcz3mpEyaYTGvTFMUE5Ei+PlrZ1ZCz AHzGvXIhTunbSjLswbqfWxDPLOC263ot5I564brXaLEl0Ex6xRXAdUrmfDtEnpq+SCaGclu1Ixlk rS+adqfxi7nt+mmKg9yvtSzrep8CW5tRnAQp+K31LwSg9ZIvUQLD5zi+xJ0nGV7Js6tzmk0jHboF zasgYvJvFWd4KrCdH1M3TI8XVcj5YVMGoBon3CJLQl8emV/pzopglVvkOBRIz9mWrJGPZ1SdEdXP WrRGQoGLLJ8s2DtY651W14KB44RKVjK9moPSwTdVCCkX5kMfyg9ZyHR5DyegdKj6GrQrBQvuvT4B eWbeXnpjThGOPsCz7pJgO0LySicE9CNGQSaTvCgqJVW2IKudGUwfAQy84CJ6ykTrXNgU1aUHY05m hXFpAr5vYfqIwlEGgfbSHwwCWZ5g+pKFTAACwV2U2fdO3Q9Xvmz8PtDsjqYmSoS6tx7IQ8j1SNPK NplHXi8mzr+1qUWQgtvtkkPWA2waYtAFi6bNqvk8SNQKybHCtG/J8LA1TDPRvUy2uBaIFBpFrtkN J5WtwLqHwBcGtamDhpQm8HTnoMUzPP37XVP6us3sBTi6xSGQqoqZOBF97B5MtLOGYC4gph4MK+oi rAhRpfBI6FDbmu12BB2uBLAQewZYq/DG27YEqLMRNcljYcKnniPRPsGU60L4KB0CrmdpJm0r8o9S eIzyImCUzC3O2iIdzaBPdvt+C8boyGZNAZ9Oce6SPKZZblEuG1IhLAP+C6p3NIXheFlb2MDgrLX2 I50E+CuHlliDdlWQFlKILeRlQ8H3ye5GhFtfWonnrsSejDfb0cK5iYA/mlYv535cnL1M9kQ4NuKG 3Bko3n+WzIdXip1bJxj4qSZ0Re9tOnf6K9shvJVmoRv2wYzfWTsC7UVN625qnscypn3o7S9bZF4O fZBYpyNhDhmGlyg0fBPZ6tgJOSa00KXUXIuwU+9pM+lfP9tE8EAbMrYE/irtP11291xjDL5ZPgdh eUX514qsyFBYOAdmS+CTZ0vQLCvWPfyayyWWVz9F0Ee65B0uPUl81yUWpBHVkd5xaow91wnZXve2 CUzN3F8Zp9/YQ2sDAEmsrktlhzTRZc002f5ju9ilo0vXoHGJRzqGzSQM5bZbNtH/VdRSo+AaDkzS JRGlLLykGDFYFAs/Ac3DShwdUnlhQeOaQJidUR1yEP+HlFjYD8K7rqgwKzdiqV24akr0JzixvpAT m8Z8Pz/pDemkhnSG3sXJY7Pm31wEqKjeqMApqYxOxAr1VPu6n2Gr8fDUryU+uNtvRjmXTdBTRA0y yG9eoDpzkLqLOaoSVWKxwQjWwIXZ0nNhIlZgYvDDBli5wAYCZiR/VBSkqq+vX02vU5Ig4GOXlqER 0O7b8vBHfabjTZZ0c4Q5Ob0vlpZA0K24G3NEBH2Yzvp5ZTD4ih5i5RPFTNNpjp0ZEEbSo3rkyEaM w/T1L2eRx45kvRfnRUDKf+/i1BxYWeDau3eqC5X/4xI+ui1JZcOp1d5Wn2iX+I+zJTJ5hjYiPGt0 DOnV69fqIqh9ifRPQiNgDQjgNfPmBVA2ZO6z1nqg/oUiAbTjTX8Dc4hIn09vbv4Fba0i3i3mbkiU aPLGt+38HENRgd5h2VI3pOssiohplo1chkaQEEfqQNptQDLNJumchx6RiajuRRT7bR+HbgmYvgAr 5/7kNRnZTWSbYfawaxjOrP9cM0aRDjVg11Dwm9KeE0faA7QQMwKyjhlrEP2HjDeA0+VwT1y7sVK+ UlVunWvNyYAWiLrVMUhso9epsho6Vln6wLqTdZepSfUO7VHh4waUaIbFouF1NpXUtiKeWHAc463P Sr2eK2LrhvTZRAHKTqM4nsiGmTXFsf3DS1MOzPCYW0iiO3zFjq33xTUNGQatw5Uptl9H83ftpbJ1 zMJeH0xC9OOOnx7wSy0LZfhBmYgo3E0/xo0AAVjWJPc8qG4/CFZnfbGLHP2+ezpbZ5tOFhDrUUuK rKOUubLuPcTNxjj+C1/QsKqdG5pKjpYopJm9OI2zZeysE6Uynoi2ICvFu7sElAg35ySRgQoZmsyS TzOjwNhyV2QPMNxTgQIx4foBuMJLSfAelARQIAa4DO7W4RVtWQ3PZR/eKc1nB3DsT8Gb6Re0+qAK Oi9Num8SoR9PzDwa2QW3jH7GmRKFDVL1fHY6ATO1+MxKqKl18ggARkI4zbJ2iK2ua65mnbGWfY5B 1ur99rTXHbyJhalcDDfVSZDSpp4W8pzgbLTr9th4HS/HTHHtLuAp9Ha4W7ccOxj1rMFjQGZdmFHc UW8KOU2Pml0PCdLdQRnZ6AUVB7c5xgjYslW4o3PPB9MrddPssMZBlVOz5lUOVHRQF+ZZatFXdIM4 oQn3kQg7xV8CMiaA6qF2yQ03iKQzvn4xVvn7Q2lE75EM4OHSiNYDvoZzJzRLM0efNb3Y9e5p1ssT 3KRLd4TOix/sfcryHZXosccfiBKrYvu68hM5lFQHEhG+4F3K75verNa7Vi9akIDxr4BuZ5S3+jB9 FJBA5E9TxRG39Q0/OwrGECPxRrKgug0AP5+tQwOkfJBk/H85YB7CO0P5Bus52/D7yE199E+H93LD wW/OC2GDZbSKxHu6NZrrWyDpFdgOn4btSBaFP/QvbByLXUf0hOwkiJ0h7k2fyByVhD+XWe1Hh80u ZloZ/9k7hP31Fft+XBlX931ijyf/+sUL3ikcIB9Ldr1YuUIhQy4l0I2ak/syeq03uswZNrLIHEsC xGIMfZdqLShRxXpC6/blyVmpY7RIF947F4xnKj4MrWtOF7duTFW1nBY0baHofN6v30mmPjqoiK3b uVZ9KkRIDqIMfO6A9PeZk17GBCvIBx6hBMnB4/oTHWTwr/nnLRIMLi0nRG5DSSEEgs7IwFoIbr7H 39Ouvuh2yKJPRoWjEqm6+hYc+ApPrdIqXnJ9f1AhTpwpo98Kkyw6PpmQ2iMPr4dc7YE/3mWua40n 3o680RmuGd2c/Z06n1ck/OX8BWaZzL/7ulaOqQtb4E1Ied+m12AuUGKoAXYXQ9bJmlP0rwbO/Aw1 jl0zc2VKR4fP7TVao8w7JkSVgNo5Vdx6ICKdkiBF7TiP0G25UA8ZWkWtMZ9Ok4xgB86ip9G/d67a 3c4YmB5/OLZb0v0KSYMbmpb8WE+kQwgzLRA3VYNCXShy6W4S4x/8VJFHeJLSGGiSQNNMVFWS7V/Y /3GBXCDDj7TpIOgWIUgfuSUyAtJxbu7ymLRs6Kheg9Gn8t0nmp/wYJyoDY+eGKsaswiRGwdU+yox Z/+4n7xAYCc41EgnRbRr9XZZ2qLgrbTt80PgQ4RBjOe5uAAcTTbYlFQuNr2HLi8bixelintzUCI4 MHHVxNyl7sKDmqnJy8vpiEAfbMu3Qnb/kQyHbXyOX4wmnnPAfVRZuTPD1JyTIn/5fYQ9yruQ8K5J SRTPYx4opNMmiAkNuWzyUdqCq3MkRQ0LXKsk29wXsMsL4DY5PFS9addfiRU268FgMDRz8ee9MRcV 4v8gqXtrN/RjyQKUCBnxX8RMuBKzhgPL3DBDKXIqQyILqs7FpwF3Z4lOQl7CRyOA7Z8G3v+qjFHo uH+q7z92ZQ9u+BFvT5MDHzKFGq/wy7DwxiqUqvgVDPcMIMgmLwC/B1DzKWjLx/CgrYJofShK6W7c RnRQ6hjGMWdpcK+oPjIX0+P9c54+fSfL45AlP3P4EtnpPqW7/Z0Vh4tmQ/HxVxXSsM6FT21mGZDK rrtcfKNox7H5LaZ8kP6SLjoOGOEKfvQu351MsVWUSmuRPjMiPUhYKkDsLz1rYrmmSDmeuXN8GB3Z gwPM3uUuPuPDeVu2omX6d0SCyb6f1MDxwieNvIchSuIhOtwoJzbgpCk6l27b2P10OO808na6aCEa 8BWkDdhnVViFb3tJCW6+AKjFp6quaVNR8SwyQ6qLxBgD2KNnDOn4a3c/L54LW/qm6X2ou1foqNyt 0xY6IHqBDUE9uhCM3jSs+/3ItrhmpThdgFNADh0eg1GPosqZRSnQVSeqYcGlEBk498x47nuuvVO8 l6vioWeJ1+mmQg6zj5IQ3BGyM5warLT5PpwhQPcITYJ5+iCu7B5UInhTEVLJHFnQa8it2c7oVVNh UIU77fH4B3xHWPBRdo4SjNdVyxoscdSnhHH8X79StGvds2YL09QSO1AbOOhYktAbatiujwdMboT1 4nj4s9gztQ+FH2sknKJsUWpInnN9EsgYUCa+oejBDdQR+6KJt0+wb7BPjQowjL8Tw8vGX9CZh0So PS7r3o6MxI+HGAPUerA1gwA6JA6Ft8fywvhZwXpoO2jmKZYq/CoOCaBX3jjcrc56NVsFvMhUKG/0 itJ+8xhARKgSe5sUIVIGor/ksRQosw4FgE5s877GQwbRlLVtR0KJTiQuOojvxfesQr7DdhaVOHxi dvukUQ0dSG+vQ3jKSScIU4QJ1hkt4OQ56ALNiWw5He5rYXext7Yd0a6osXvvmEahAsONcAw6KcBJ OeyWee5V4vYDbwkN5t2cj5JVvSPPR3PobEpSU8zj4suvLJdrZDxwfM1d5e+eMC5ThMncMLhqnVLZ mCfqTZCOAJH6UqJxyqqIQKzhWuG5mLArcpKDH3LENE/brWi23j0lDqkSIGdkfn5+jEjMwoFS/0zF VfSvla03GFLHpr5YPEwkr2MntTnk1+4axbVn15OLT7e40W9MxOUAN7HlhjZRTu5J60SnCI+zbSVA ZqlwE1lLUz+c+gu0g9oe2ZIy4FVRdPMB+XPGtZQf6sK131US0SyPwepFWdQ9Thrn4Rk6quio6nsK 0+UlMz5EFdFDRnTNcWt7BicmOraB2PY2v60GkQx+xA1Kz6zAR90Qh4F8boeOWmtEg8MxS+zjzG/a inPmTzwo1SMfrNpMjJQOD8+GqhmlNQcklzIPCaVATvu6yl/xFiLFjSs/0YZuImabznCnpwutQWkX d/J+IfQa1vHz6qi5ob1Fx8vC3WEZcwC+Rmi2k5BtphLzKQd+R/BssxK4Rty6RiAc1IIYGsYnt/bb NZsNffXEIknNF5jkg+7iUv7VDhEhkN3MZ/BnQBBtAy/veCVPj6hSC4h4SOvicRmou6tshc8iBRTG NHhboTgPvzBN1gIXzIhusgkJwoiQPmW0/M0OgVBOjvg/kGrhDZ/cWxDyBw8D/71ixCaLIpTmQX6L TlDqWG0Z5WhpeBrDP8xvrQV0W/2gZPyfBx/Wa2G5GrIKQzd7e7P0+SoogTHp9IpbU/bsgEGrlPum YcRsDjdNjuByXbQQAqUT59nbbDywsRlSVNUzou3gpJUABi0K4JMPccccxTE+msIVlSlTITaGoWsh /PBau0sGUFLzOlcR38sYzpGM6hPp9Tf6j+eiGGZx8RIFZ9CpjmlvYhk4SpXeCFEWGlc5+EDf3FiD L1yC4O7zNQTelxu0SSjetwUFmH5Ll1x7QasLRIXc3BREr1fQbRbQF7q+2FjhxasxUR+oCERC1u8K ugzVurcXsIfIugd4xwKkarIf/Cx/yxHky1tiT29XpcwCH/mILV1P3up+kEX+YmMFKFeS0CKW0PJj 2tkhEZLRdUB5BKDwSDUq8fxObtweoW6aryHVHURaOhQLPdjU1T1xr91wqIs0pM3zEeb42jVchIBZ 33PgV7h+SHxMnXA14niOQ1+fPXAzaATonP0bxU2QAm/iWNNtB1pTuZU/o2usRfO5nPzmXkfUl4Na JnJmi3DAJMP/YuOSiU4/FlLCMAEnC675kBW/5PNT+ODV8f6gtGBdwVn+9PPvyRGB62LT76++Yg1k ZmrYuc9NL0a8fpubBsGVzYYdSo/Gat92oK4/KeLBEH+QF5kL4gisUqAjDYIbjnib4OaBi6U3Kvwx tLgjNDEEgDqNMt4vQUAOCaarqu26JamLmzqhqQtMLVnNCQl8FpGiT3OBj/GTylbb1dZljEBOKGnk XJ/u/0/YcYyxnfb7DH51uFpCABRbrLi9qjCjD/ReG98+W3DNogREtDrKREGyCU0CAik4+fJ7ihF2 0x36zHhOOICoZ0IuaedrSbzcIwS6fCRR0QnD7EJFFZUK3uqCt9aBFr2MX1x/C+s4CDrZ/SLrFc9+ V9F9Nc6VUhKRMF/lmG+807PSLhe08cqQMLbwEzeXHd+9KA2bQwSAhb0g21UMzzI8ayz5Md2X4GLO 4ptgsSfYDy98Fh6FuQI4YgjQD/HSSkVPcBzVXpg+dw/TtHwKN3IaAq+YfvGZ8eIvPL4hkB101x9n CpLffKqtCI2+QmsmfhYBRkC+z7mg7YUYU0Sc//pDuWejg2HChHMgXUdzpn/rimQIRMHoOmRHPxbi sEfTK9aOWpaKAiHKjnRY/sS+0+damvI//+bfM4D3jU4rEAqJuvCUsGx9c+BmEsuTTj41DPG97vTd vPMq+JtPNIjgJtNNPiR7u3+QgTV8y6pXTmn9J3vHAyJwVpGPotBb5IbFZROzZkVxfggqcu5diVSO U+DL/nEeBRxNzCxmScmeACeW4eSvlSz3lLjT9IR9NZhsWti7l8l/AsGD2wSWita/QeH4crP9e30I NE2Cxyp7RHL29hDIHKPQAexp42SaJatiBewyHo3c13hqRHNnzYdkE7sfNpPeRTpKImBPzQRQH3Ma 7zjv1m5wzrz9TLH6VT7FR4Th/eLAUiPft4mW9ObKsOZ2JZEYXAAm3cGVos76GP2ftuC7kSXGINmW JpipzHmE2aMUKsRm0Dn0HcBCH5TwV5KSFjcDKZN2RsQFzYGCxOKAmKCdVAW4NxL6vSHfOinMZiaZ lia1SK23hCQRlOOaZSDtzHUTt9pVZ/lXjZmaofSvyj43ocOyqqUNDYq4Uw0eS/OPQwIAkhS78lNC fUQH7NqS3SZysoMNLyputxlDJ2tsPu8jXzRzAjvjDvZcK90ZXM5pohTGYchX5ADBmEFYU3C/0QDU 3Y1nJa9jZL08rCIo0hvBD4CqVHo/2cigHxD250xfddii81sWzRwLYfiJ7p9aGGHh4mcM935jW62V z14owSpop/Or0DVJcmjA+GnOMfF4TEvDZMajwGGaUxKZMjIMxfbxQqFCwFtAtVtxgSVIQMriUmgP HfIJOAlJLrQbXufFgRjO2QsR3m/ODV8LrkwTU90/pMFZHRymejyfuweiTnZZ/BTCSZKngwvgG6Hw RS8ukvtSDTrabxAddy3BdaKyZrGZLhMItlqnt2ItP+KErVI+t2iJ/Nn37PR8uXjXn/fZsAAzF9Ni f6YLQB/EIDtaWxIdaSGYnU4GIJJvFKGjXjhVDw/0Ay0H9fmTurIfFYt4itwpwWQYdDan/9zVE+ly 0oElv8SxD4zMqngxEALZI43wwuSoilIbyLEN2X20PFJFYD02AnsZElVCb3SmWWJoI/yr7Am1oR36 zsqMrX9cGTncg5vK/xhwr7wgUPMVekcmTn2FIkhofgShkI+tkC+OcDNKanmUJwxY49kmh8cRv+Z6 21wTw1N9xLoaxQBUrvaHp+zITmJ1IVAIhnuXa12SfkQG81J6qsaDTRdhRUNZGxhTr+tlcoUb5znk CmxueAsie86U77Ib2x0cCAr50LI+amHdw4pX9H/JC6FUxjUfdm68iHgoFaFAkrKUk14NYhUoUT4T EbzZZEZVfPPfZUbg55DIfl/3NqXwNPZ2q+QPNt6yblM0PT35G43F6OhByfIXEJCd7nxWOgCfoOvA fkUx2jOCyEekE11TxYtESrWO9xm/381pdEcRoMg3bJ+Gx9Eck06daGqV4gsuCj3u7dy2Dmslw1zL Hxf7TsjTOI3oECnZdm72+w57JJNrvuPw2VSg2Zp37oQHDwif+R/lO1Ul0GZz2gQ/ErjPMDFALUaH CwhRfCiuIZnEzGr0EKUP0TqDgdqMZyEHnnQi0TN+kQMQhafrgw7P8jlevJNYgcOu8gt7eHZkLfJG UEUtzvREoR1j1seItNZn9TmHebGgeHgraHRG52Iz/Y04ssXrUhkzojYaK47ZsgbQo+KsPswmZLMa sQWEcInn/q35YfUlG5x8JonND1NO9V/nxfY7w0eqtHpHWsf0UGF1hh6gWwiK/9hsRiSs6EfJW7k7 EopOA0jNYcACj6PKdKYQP3/Rr79Qu2IrRaaIo88q2SF5goD2d0kl30vtiukwtNV829OlWNT0YOTC tDY5hGG8IGI/p3nhjJ4+ar7zSb7hyo5ZIRwXKjOF+Up+sSL6YYOgc9hloUQ2eLxm3E4ZNMnZeSd7 xDST7ibrfdc+jAZPDago+14XKJvo+U+fi2oHHICj0veMwstWj7rRGcnbxTvuxDBoLF8jdA7pMNZ+ zBJMFqMTv6D2tpJDZeTvSUJl87dz6O0zi3OUaYHN/KjqQuox07gW3S677hJpNNeVy1VmYWQqWc+u 3GEHf/RhLC4klV2OZ/sXSva1SBHKhnYl9tuOzhZQmn7D9sDj4XJ+VbBEAp5so5ELWVwZtsQAAgUj YoABhDDQ6znJ56tTCaXzuXxQOAJte3Q9rsMSTakZ3TE4wGXmmX6ARLd7cAu7LCsw09YDfLeiS+wm BXiKXx/0z9+82fNNU5Sm6k5V5GpaPd6GtEifslDhtSB9B4o85rypr4+R47VNc3mR6VulrgqV+vqs XZ/732A1K2+rqy9l/5QOMYbWfJkdbL3sGAg5xkhtOVKT+zrjs7SM75/GkYrqMQPYJKBo2b6OVmWT taaATAQI1suPNyvvgB2Zuboph4BiIPveE0z+JkIas1KFPiulmnDDXCO5/HjJ1kzLTTyNUHtWtFPX IAiNvYR7caMRFf/qB4lMGXrK67yTGYsRarNBP9JBsH0XWdP9QnHSj13OYiLQt4hP80t4Hm4So/dN F/rQXf1Er/sRCKpC0ykyq5mj5HDLgBwjHxf8CyCC90ZDfcNVQcTacwql/uLMDRkosAJHMfWSiH2L 56GtX7X6LVRkAbAb+qKxr6NDH1Kedviq0/yj+VT61RFR1i0o2YrAsGlY8rGl71NK1U7DISuCbDQS JDCd2c42rYyviMWuj2hLKVVmtX7DWp2XrqRS/JJn0jBf/SF+HntopCL6rGeFgT/Ze1Ewon6+sxZA 3rA/73PXbwHhwYJoADblxZfv3mk+si21tf8QfOAwxd2ILowWJmYKZZ6deKv5cJqnI7I+fmXwXsq0 68q5kYEsnt1/NsbnFL5zjorb0YA/LWiha+nzJdHQfw4Iv3HAihpi+NJB6ygIWADTfphG4ldt2xjg iBEIdhA1fQ4dgOwVBcLcbNUXIPBhBr+NDsN96sSHdYxjEeXO22tJHmSpyzNLOoyxJbb1W5f0bRg2 rh6oY3UhXm4fJ+wSsWCeXTMDU1XwgnZySYvQopFe7JJswrTXtvQ6bm1xQDqzXFRj38OYriGmt6W9 V9EM26YF2xmEIErlWSM9BnuIEYRK93rI22hoc5iNpsusxHpEaAm4YyjmNUYuTD/rVmENsXPNW4I+ AAmRlzSY2W8gNtV82kTdCaal/VGY38q4/7nVEKAuSDInnH3DTB7k+9rWr8n0QozyfHmpqzebmncY kN1MgSwvDbuDbwyW26dLKtQxVWuuMWZIOk153sc6U27lKMmp3gYG4fFl2+KA+MSjgf2NxrqKnI7x XI0uH+hgwIBDmr09W8NTOe/OiLKNIQFTPDeBevA29mcRzEr3aKYlzis4vEVWT0DOM/3dd5IsljiP qYBoWGptnRwkcqCwBrl7mQodHsl2QI5cZtLl8bqnmdZdJKDKY5bPC968oFWKI0ee7MayCjjDypNP gLONYq91nEXzndG5Moy0SiavRDVyMdbGIqUu/81PTvDcbLYrfDTl/mS/+62osPJ5oEU9MMKI061S eW2t9XJ27UnPVQN/fDoL8j7fxeH8xka9eKkAlDIBnqRsZI0f6nIPmtKwuJuFlMa6dmMUm/gXNzJe 0GEQe5dB2b+c7BDYsVsoR5RiN29YF9argirsgbp5BRYEVomwy3htqoONTho0pIivBD44Awjz2rCO f7DY9oDHS4WjXa24HBteIubxB/g+3R3rIGTmK8+JJHY0S1DZQKZZmCobq9Pn6VkmYU0Iwkcx+Dl/ TbsHrjn4LxxpN42JZwGh55Fm2/bLLg33pd42lQf4wZ8qAaOQbVdaB6/VgJnhXRBaYHhMrH/ezKfv bfbphntbN7MkFPLWRDANI1lIuAwxktHC7P6GSeOGHW0hysKxc9E6VaodrmnH3+S3e2gM4iacmJB6 vmuTYnbLDHhVOvtt87RfyggWEnRHvn36Fw/NYocetui27bMKIyJZ1SocOXjhPzHwb81vXnjiKTwL HO/2to0i2EiPLyDI1eUqiguVGIGqjvi5rDCXDnDLEd0v7cRxCdAj67HM7ndxds2iNcAsSkjUzRkm mWpS2ODMID/WQOshREwv3mgwD17oV9zy0TTiPI20eUY0C4WICp9k9Kbx+0kwOzN299uHfDx/dD9P Ynj9qfxJ/Q/WJ+vWE9QeS4v+Fs/6Mmiy/RcCveFr7fyN7MepBusE/PgsqpLH6qD4EzbjBbJyLM7n TvJfPbj4dLMIzZKcn3qt5LfUyYNnDf+xTUsLegyWoeyklfrpBsS8h9CXIyn9Kt47PzybkAHEyaho AB8Nh/tkqGNlgeKUC3LARbzYmRHqW3FGBOPMFM/iiq9bYjLAiMH/C4bwj9KuZizh2EGjAK9Xv81H BfPttRzum3rXcEkcdQuSxO0CYISrkAdHHLNKGEIEqHDytlz/1KpB0dT/C+BTcPubkVtZ/ZrYmhXp LrhVzDcQ01EoXho1KecHtfNwQ1Plb9z5u8d3ejbW4Dq97qF21uN2Mf1Ych/gQht1vCAHhP/+wrNd J0AoqzJS/ARJrErkEvOVz6yVHBuLPXDRhMm9UmytSQJw+1N+S8NcdrqZD8Zm4tpwVFXX1U+YopG/ hydOsQC4z5h4C/WZheiEZwzH3THhoxyzGkXSh0wIRs/w7fwcI5oROZDHfgUwGmmV8Z68nATL06Al 9NKKU38QC1vkpFjBWv0iKMkg0X15mAYEG4YFjiXT4s8ozS1qys1rX0OiU2540meGbGHduiFJs+8W jSvC8d62eyPdlmPOwvbisfO98sjKnARgU3KNXW/tUDpfuubyUFEbwJY380l/C/eHXczp53HcdDyb CdE6Nb0G2CGkBzCn7L15mt4tyAojj5w99HsG+44lbElC7NdbkEhvArYFEmxchhz18cAt96yESVGq TBWpI0WHNcz+KUESalBbpoZeDEEwsSSEDPbzqHzGLVstRTmPblNX/UxkHUx42uQxTN2H6s/Ljhwz /7Rh6Q3D8S/QcoTfY/y/rPJ/ilLNFsLVTE/ZUBzUUIGTsB1W4cTGhQ8GmBJIuDbF4rt78fy0Du6h Fey6QrzWHE8K3kC+2VwE6cnPCQcs3kkysbdMX6Q/sjbi/eDwg6RPaUet9j+UBKfBDTNVyeZR5OnC d9JSIhtUXJKFDmJRY9dPhSGrLY8hNuPSRvDXXPiUf0iW181g7SEQb/Y2JO9XDTAYZP3aXpleDXcl mZm1duIx3H2MDh0zGLHmKg7H6iQW2ByI/VUC/ywoqSFsyJ0fL2SpcUoPcKFJ2T6gEobSGvMHrGsC 8Qhzwc/ZaP8dBaIAIcIZ0MqJxYHgTVB37fd8+fv/bHV4m+01P6E3LLL5kWNT0kEHdkIfi3W7p1r9 Q8Td2nE/kXJXqS/C1pWWiP2/cuxVcyXlkgWfXFcs5w1Cv9WfB7sn+2PCBLBLBnnZDRh50Db9f/KB Q8vVsm85Kn6A/kFhwVcVfe9VikNsuUaYvoPRikqeCU2d0bnK5qnTqMjQtJehegNZY9I4qu4pTdGC Rt3vRXgtqvGeu6rxxotDmoLVHz1jzcABDKhPxzca5pzhb5ta7AMYSpqcIpLtFHNQU7Alao47rGIc 0F1A/WAwIdMrNfZ0hskORUaCgAPUV388ND2HuwgQhTTr24Ge/D9Qel1WfFukiJzUU+lvsUlxrU7H uM8ZzifgEv67lsEHsDFl10jXFndT9rYiOisZ7SiK4gOYDaRWoojp+iS6kF+SnsTNtu8ljNzJ8w1X lLy1/PpbCem8fSyF8yv6j2hWiXBZxGZzOeAjWxFIwQYT+Cn87jFyH6Ly+x6tIKOSMkfxQudKNhqX VRd2hOBt7mF0CZ1TaZ4lqYlUk8u70n/7N4fgvTkKJcU2t+FmAWdhVDDdqURwleIrGLN511RzF2nr fzDdc5OwMVCZBw6ARRjSbGdOtFbqi1T/3yclt/wzygr/Pk4uPejMLcDwnmAZdfi4JsiTOCFEUeil WKQzQuMAajAzbjz0P4zZw4u1kDp5YnjAjtF23E1eOroT5EmDVUFE52txwpo+5bTlkkCplpa7r9Ys LO0JgkXn2DsO1HqDl/SrmQNTP6csQrVkURaxYnRGTk5b33A5OaYwsr2AZ65MP1eCeWkjZk5e0Jdp V36QSMZqy0g9fCQDMPqG2Id9gtlw3mez9SkD2AUhGj7n83DzZ4bGF/l4tdHJULwHD1pHwMW2UQUb BEUU4A23efvj9fzz6jMMsaRI3pGCizkZYn7yr0mLg5Y7pb7LqLMHNIlpoUFzqX2CCXfoqXzXpBVC 1UNsORjGHKerhEWEAX+G+HytCzmLyw+lgW8owmBJaRcL0Hn1NbnmuERhRK0N/Id3Tjd3NLgp+H3e EbsVmkG7Nd7toWV84kNcKYlHPGqN3k1+maiRtQkMvWiJG8Qkh+W9sThzoZ2sAYFufhw5soywskSA cXENopCm2buosVXcBVFOlMPzBxVcf/xGbokqH7VBLnYlwmtkm2GfICe4z5wB1fUac4sMXFc5dB1S R9i2ErYvZKCimYC3m8RpEcBhPxMJyGWPwJ6yemL8yL5SI9y2PbozoF9hLj3o4nAma7URNG1Suj+F fb20aK9+414P7s4AMrUJkLTKygOcnp2M8JdJbxMBf3DW5iY7EpmfBepHRDbY9Xd38Pna/Btykwev 3dQl/NWO20uhRPJPRUNMhbqttwXPo3uKAbS39TC0dDb+xMpKBNjJDFVzMvDT3mEWwrX3AmMcBqUT 3u5Msy5RAmi3c6jO6st8lpfCELFEpq798tOeUzkxSuJFBgOGtxjCzPJs1+iVjXiL/RgddoF+/H2X BBD7gMADjJXBMU0YuWrEzD4rnfiGlHwzPuXSAm2/iUBQH8icpaQFrZR0OTLbTpZCzz2OdZ7Np1bb Gt/2Ss97HQjChAfQtuv06mH6vzVkZpgc23kqG3buWB8NJNuAGDqDzQwVxLrwaZpKAjeHtEtKOlce iZU0N+FHHEYpDtBRR7aI13etJqlwzupDcHPTvnja8+QYtMO7px/54JZ7FpVXWEsvk+2KwjQ6ttY0 2xxtKwhiyjCoiKHicH9rXaUOfaTl7lQHPq4i9U3yG93xmbYITRtIbhuL//HH4R+XUkRmMoWLWbCb +6Tjv0DDZh9Qyz3fp+iM2ESEgbD4f1dduqLwi0RiwBqPhjD1FKrQPj8tcJqLmkSpNbkR+Kxtm/gY FBv6HZAVtZKggL6H8f1OBbuZ/atrz+TxmJwAnRjS0A+UtMBmxagE4VK0Ymemjml7OYL6KvWrRY61 pvMUPIoB5phAsUGcQc1A5jWzrmPymKBgIP3qdxBuNEoRwjJmlu7PCqc2GhDWRD6FsC2scXRCYDNr CfiUvxt0kTV1OSNj0MWDBdI8uRWBPxatbzo2Z0rPdpZaeqoelZprV4rJ8MHM7QH2trW53bahFchK UESIA9QPJ0tkINCwmlWDjXTUtlOrpxKpnFHA/ca60AUsMxxUcmkExY3DmwhivBjhWjXTwC9lZjZV CqLpYKj120IRxcHz2H+rRVMTxshqhmvPnh4uUsz0k4tnSFcUHjmHqFGqPXg+SEk6+UhmWDYDjJRp Osk6ZNO8joeCw1as7EMbRt31dIToDTWlAkqFmvIIAi+2Lg/X3nOUDR/6HsoZgtQx0JRYO7AteX05 g81a4mYQj0zkCvXU3A8khhO2UhouKNgtvwSOA3EGuW+G2Z9AYhySvz3lx0v3jDGMuDzK2J8xo17w xKKbIiZn9MQMM/kHnfhR4s3d4+2uudk/LdWtQNh9SqnC23tZdD+4YxNID5RuRnCHR8He0zQiHyE7 03whnDjB6dggrPz8N7v29Q64xovfXfr99jMzh/3P3s4C0krsW5fSmopTHfkmDu9jT4jVgTHS91zQ 7XXPBGe1HR01miflGj1IJdWLyWkl1bsZRN6zWBmm0i74ezXIqLiriaxoynFjwSgSe4OCpTHH7DGy fSBo5A9z884nOKmEwVCv5dvmexCc37wA+Y+g/AtSVZ0o4uk5TGuLlIA7Y1bY/7fOBx5HGUNlbwAX PjLUxZF78UqvW1F5VO/AE2yR8A+8BcTQwF4yGPnDRls+DoRwaxfYJqOMwDVQkljTcFCN7im0UVo6 Zpx+WXLwPAws6Mlbpa9vrfKux9bq6H+2LmAm68l1HDmGcmf8LVwOWet1TGPnlV56SDmX8zB2LX2p j+Si+EgUCAiGPpiexTVLf9wjcJiAr4wopJxSaTjD7oFuv1DEax8GsxVp9WZvgQc+dH+vlYnTipxR u4SoaT98AvQNvlDZHKIjm/HZRn2uq8Z5ioM+Q+Phzsyqp2JDVnVfGG16/z/QGkYNPe2dik33fD9R wVVsIt8CR/TEwHm5AbO7osOLcUA6z4mYNDE7xMhuIfZxdzA24etjfNk0drG/GElaa3VMKE7xDZ1O reN4GsGTn6p3gdchKlz3NvTDFEkFHFo6UIrSnGiqAIYUeiVLDZxoErRcU4diPZOFSAve+J4QJnxt IiDo0r3CWQuDyI4y93N1t7yca4SJCjcUt4HlPPerBDLjXM53K01GyKNxCO1bvMfUBXZpZz1Telys 5sK1W+N95k9qwku52z2WCLyEgQMEdaar8ncXtv4jNhUGOq+o9UnvdKxPgmz7rftah0Zow8HVZYE7 DcFieZdl1BCe5uSLT0MdpYFriK38ExK5wJpRoz0NWEP6Hb9I3zttHK56vCQVj45AZf+K3rJyJSzj ELZKUNNGJci/dzFwBfjH00pvhRw5Oh0JDLakiU1NaRPp7RidAtemCnXm236qZeFDTIlZaDHy3Dqf 4I3/D2PcPEEg+2DHbqJA+gUKSimqw4dUy0aqueROfg+3LcWEAj5iZ7p+kEmtAOKJ/TE3xGNjngOh se7FuizIVXJWy4SNAWltUKcOk+YEOSaTejgiOYPuEWQmsb7WkEp8HRc1UDgmqRuuRF9NN92uobkN kkJQwjBom616PuO1gdAHZzQEHnbVfSdDP1R7IPyDydRGvo2738AB37fGmTm+PxtheP+zfaYsj9ws QcWy/qfWsNH6B/IaRe/T7czYczuHJwiK6MevW8bRpqNE122mRTnk4rwbU1v63EwQupJx80OV/DSX ceXcTMWL6TXmJudn9ctq72Q6+F+0YpXCTgMPhw3N2J4JxseVUwwxV3jpnUMYw1rGF0nDXCr/6859 PradEh0BiBNJcND0CM8++TZQiHOJ0XQ/9QrhEnIbwO0sI5HRe2meTbeuSLHQMIxnZbri8YxNxuQz UUa2akhqz6OpqcWwQfcgHkVLcFgoUd5CnMpvo2wn5DJcoscBWerU/8qxpd9qkkIyh2V9qVoyDKlC 3Gd6vYbDtSr7UjQV7kh4IskopDcnEhbuGAE4v4SAUfGWVT92ZjRAPtTlR8ukbpGaSFFGUpjcGO1L Nbbu4+sUqdpfL/9lk8VpcRutScH8POQnxrCFRNewT3iU/qjRrxk37FF4r+iy+EAlkjyaPqTuQTvS sNUg2K7nMMIaXr0HG7WUueslYo9RX4GAtc/v95pLkJlYjJhfrZzmlG7smnWaEL9fyCeQBq3LKW6Z ZhQ7b1HEUdCwz8ndjYmyoMZqk/g079n6PMggoIrQ2Phv/bcW88JWezjm7qOhOzIQXIbYxgWTJ8sL 3s1RcwD8/hLpEi4n9Ik+wPVkZ96L/+ukZ4iqKh8ltyaLB4gRKlGrr7uEN4mWG4EgHJsrSmtp/cYJ ehIlAokB7TBKm7Klu+bPZWyPLa43cBShoe09aEciMLACpAqvdb440lHdMsPWjTxoAs9HN1aCcgsH yL2BBk4z0Ts2aMe9Z8QBx2QYILzMKG4TnzvPogQWqOeUaDK0kxGsnps2pJS3fwpH2HI8Sgh1ODTi E9H5p1OvIteXpuN2Fg2dhI9tWQLQQmWYSHrFTOWXutHsuqnWRjDg8Z2DYqe6pyNcPo2rMQyOtNn7 V35KHxtfaykq8KIuCHYISDoqVuEzS/Geq+bEve84Kd2LL9zf7qOMNqoSCT49gEcDeYL60oeYi/AV 3qTtrk68BQt8bWtumGQvPIABbl6uIJuE+66LhE3QgNYzeV36yeSIiVQ4Din88jsVpai/h8yNL8u+ hidkt53wpxBFxnvPu5DJCT6hcKo5zoGu7nln1juWXJJRdAOn9hMI57+RvbsZyEZUKF2MgcT8OOTk f8odU5lGo9Qh10egrjyswWUn1gT5+yEsl+wjaPG6I2kS4pST8oBMqrpSDaNZkok6yH3ROcIptCui ovT5F0NKgPJZFpuH6qVgEuaeSLKcmidBjHlCB1aByh08KPJbvVkwGDLQDGsGjSNH0RMm/8IQSJ4+ GcuYSZ8z9zqaO80FD9CIQq/svTyPFmsHRFMrtzsCt3r6ZxfACRmiVAfZmf3hfRXKFiyXhGU+9wsD MEWf+Gk37JgJQRUj8bdPR3ntqaVSzfi+Gbvx0yZEFXUnCdlb6+s0mrfMlJ8zVvUl1ayWjYsxOyY7 FqRvzd4RtPkvC5SArv0F8mBquzf83fespvmi2f3F36VNUCL54OMAo2OvDXzOQXSDYvDXOeQRyEIp +OzxBDoFON2eZSsN996m91E84f42ePnEh3+RkZ44mBQPON4+OsIgU3fniNKFjHbhqW55aawZzjQ2 7x8IVPRO9/caIC8V+TvAKPhqo50RW7YUl1Q804wuvISG/mpNb196RlY3qwhkrDMLbeldFN1tcBys YMjO9bvbAwVBC+i124OShLvwEfUEw0SUQfgInlmong7A0BPAQGH/+baZMWUlQfYnFppuvyR4PgK0 p6IKigJnaMFYKJM+kGQnmi9bNh8iMS13IF31NzrlKvDYVJ8bZb1QTD4uTo9JFeFm3AExn43+bbSP IFNZ+LrFfLRAzYrv0MjQslLlp5nR8/3xhXHTJlwa6Lx2i//JwZvUUGokp7aKW6jRIhbyqTmCpRK8 ybbUJued+XCsxbb4AcOIgRmwUZn8FxkB4+MQu7k8iRXYqGm1NQUqrT4HW2oNfdTlaMMxz80tDg3o 5T1sqXxrtC0cUO5xvpeT0Re5CStY9AiqsxJ7C7s3nDliCDUc1AhEhlMHqzfCzIRs5l3vyMigBnaN obMw8N6HStyaHpFdUZxO9gSUd353EcWrTyZpuSoaiaz/lR6oGNlO0a+RPWz289XtxZppdFyLb2rM 9aIfytsdIrKdqOC9xaMQ/kPtcb7HR2Va42meMXOApH4VZWgpr/Mt/xTVFn12qHP2W9GhoeRLAKHB QwY1+SBw0VVjs9lQJn3PpNFqlja2v/Sd7wRzghiH5p/S+zPhtokHa4LCnFeNWgl2VVa46yIZ0hn4 TbzeSsQUFwo2Df1swamCtAyLM8/BHx7+MpAiwcThJxICOkggm0WsrwK5jiR7k1HsaOIGaNh1/z0N caYwW0PjybpsZeO0pSJ6BS0ebyzyzFEx61OljxxhPuf5HueQoBSeiNLj9NmIGEUtxVy6yzlvXOT5 IRZpvriRw/2mhbMmiZy+MroEOhIAVBcdo4cCA5PakVuU6omchbNxUFNehVgPF4jwt8zzpawjLZq5 CHTri8me01OX/KZaKo56cQuMAo4kvTlpcZsu4c4cf5gBTCCWrm/6GuBux57Pzck/bkJ0M4hx25+d MM1MCYcR7v8g5Hf3CeehiifRJhELi1a2pPErnF1R7imqqoiHtzmFb1o+n+nwBMVE7KCpppgAClhe OE3ub8uTGt8amSJD7XK/6MyFwINsA+y+Q7WLQ4KkI1E+9U5g4/hplMbkQmjHc/SetXD7Cuh3KDtU kv5uuyj/x9hxvGRgvOpau2IPrzWRQgg2CbBcQXqdO2Wp/Gmj7mf8iwLt060VM2UwTlMHnXA17hl/ exIV1pYJhcGh1xIpFHdlT4VHsRbe50VP/jehggXh+Znwg5JOZsbbxct6Rebedoomg7tzYcR3KTdJ srH0wp9uKkOidOPwmMBHzfwRUCee3pGvcgU2Db8AXvBk2FetoCCDhW+g8xmnw09RnCi6iCvk7wY+ cFcBpvsbXDah8NcVAfI1Ukzu3eaUPWiBcyv9juneLPxJsCKka+yWDXUu6TsDS8ax3dr5itA7M5aK Ad+1jtCrxgGhvMzHXnh0EqN7rnwjD8IElolfhoIvtzqKsabGGhF5d4tXgnThRywETCcKf2O5HAK4 K9+hrkVyG8nrCYZzULpqypJNejSSUs9FVGS480ZDUZMSlvsXa+KUUUnG4IFZAFsBGQ3+M+IiF2f0 q+wksnAywivXZfIT4iCbSycico9//QQqlZnzksRdhqcaO61TX5NFdptLFzIyp8Sq9uekUDeiOnBl p0QEY888esI4WiDnRPoNs11EcWGktoEPtov8jGgQWCcT6mW6HOrMc0dLicefGE1QJ0F/gzqYDEnP IlVA3zByRCJA9CPwLqyhf301jYrtLSKI8zR0Owb3uj5jlp4IHg2FqTEjCXwNxKPBidGbhhza/yzc qQHMcEA6dRrhImSZupAKQisy9BATXTy2SbE7O5m2Di2/6ens9RIo4J6rLI6H6nfiJvRqkhy4Cvcz XvKDWSycqCurFCaaaDXFWnnXDV5elWNy1RQmOwckKE5VV3hyZmPHiOYRy1clzDGa3qoJu8s2SdZf XOJF3Diu9adZEssl+0bAmEWbPXdQRhlHa/ajtWeo8hv+38mC5n6Q2jzHXWLAKXpGxFpxaGB67cEh yBl8lLtDWUDxolfrniDwat8vMWCkzeA1JzrUUy2lU+2El0/yZK7jhbjq8Ht4YZnBudxObH6hsOEk EK+02sk4ZTCdyaWdEAz35kJaDbhnDzRuRAUCijUKQYht7eCj+9rrPWtF6JbSmOI9eo80/xUUJhAX LPbftj1ggIkxu9g50OgUDAWFxb0Ws02j10X9s05JRsjAIzX+3pJ/zAqdY3/ph5FkqYjXowY+ungO GJuacO3o4tMGkChTUD/TxeG8zctRIJQUaME65YXgKYsnMa+oT7VGrN9lwIoRBtajfqmabv6SxbpO WEprzK8QC1AGbv15FbpZP3dcpA8CLjJDmWXWV3oNhICCib5vqzBZ6MS77mEijwtqYuS5d2hy92Yz mOHhDA8mla6wCdiSnoxkEW/faZ5y0sSvYtPSHhxsbAY+PkANoM6PsEm7FX2+0LE3/8FyNAC1CmTY zNcL4vDYhQ9s4rdYOf21cXpEo+dvlC+2rbSH3z2NbsHnTMmK4loj23QGiqYd3dbbnf6qROgMLol8 EN+elHsYUBVByrLda6Tj9XfkKaNgiihlGj+1IhnaKQX1qttgbZqYfFbxjAEpbqFixxoTDahhzKwf W94o+KUJt81n+0slSdxU62zKJhkTSCRKCqv1q4glHEjUIdmEOD1f6GN3mzmpg2nOLJui4yOwisno csyTixsm7IJvFbJy0kgtkiWwcQMS5LeALty0g8JaNRdHd2DGdOmvIp5ZcFBF63aumyRpsAfTRWFv Vh6quxW8KovlsICBXWGUVRnTJ50myNX9bUYxs4p+JrDahegdLOqtG0r+Oh8XC8f/oHTKi6eGotHx VceS4TDHf7tlcBOU1MYtzTcOiuDO82D1SYX/PZbIk/o/aISMo25v10n/PfcvSnxfbeS30B7NkE6f HC4pLlLbR8qfUnvg4eILM7cbtUEHdyi8hFpC4FMkkE3VOcF7tpnukZ2R2P+pdhNGllFLc0lIPOw0 kIKwt4AKNUfZBhY1k3A/uwGPcXzqhR96Dp/TJgTWkGA5fDn+yAuDWG6OJ+gWo38l00KFy2BfvGR3 Lqi7X9V48b2JLJ+zCRMN/9XEDgyVUbpA2EqaMN2M1LZF7PgnLo4AHeRID9k7+syirdMqJO3+JMTi f9W/tBvogTyYhVwlGjCtLRzYbwd7L+lZaT3OkvGGb74GKMUfDwZdLzpgh283timBoonAUcgK3oW6 OAOtmLQ7cns/hooAOUBP0Rz+yW5ZpcxP9eEOEG+HfUUWZEfd1NQweRpCkXJneCSR0sHgnA0vn0lT hFqVe/a/J7BKmquzMI8WHfwwTCD655/tl+p3LY7mHGHbaAqyfH9ycVv9vzW/LnLafBApdugM5eTD 1HNGZE7Uxl0bf+lmBV6ASALBzO1SGBGwxh+zKBqzrkXbvD6hkVUV4l0yn+8FjbbI1M4irzCN0TJh m2EB5+zYd3YujQHsyKLVULW9KkRw+oHymvpm8Z2lq4gmaUHdVjHzlPId6hcp8/AapTl16FEZvwcr gihsN7jGDE6OSk9ZPQgxhP3zqBPFlNT3+fuXOws2d5lv5jvDLEBNkzsdI61vcaLjDX8zz6BB7qH4 y1gjLWoxmldaTcDG8tmz8/VxVg+7pELHBlgbGXDuFnXzYn03IpgQilVe3IPgli9ldUMuaSf5YdII N6Dfk95yZJTh7ingSm3ajLlL2hkFAoBBc59oUMMYNG+omX2fFDP8Kcyi0aIY36ZQL6lsfn7zdPnq +Pj/LQ+jiIdxhMazHqcQEJMiRj2hQaza7036EMkAg8joQQJmvRrKZ00kita+OIQZJ7IiXKHi58Cj 54NilFPdMDi7NDQIGP8kwsa0LtD1XHuWxR1f+0pNRtM4pml7XGuFEcEiVt0y1SK/mTyATBhjwj6W PAjxLCWYb+WOSMxE9Bf9YqgdtRtfuyxusm/8LS+Tb/nW5uZhDEcgsabK061fgxqFyCZL/2NMsGrp Q+vHcq+pzxv6Jt1P4hfZVXhrGAEe9nVbXrtx/6Vlvdfra309CEUPoRWqKcNFN8U/QtBG6VIszw8s pNhdFksFDWm4XURrJJe+ZNunE++6JRSYW7Ky6kMRQMtdvvhgoIl8m/ayeSXY2nvwyCeMN5inenjz HGG2+OWEpS71F9piidWdcxvIySJAp5trVZFiIDsv6DTFlrCpuhK/O0EVlOMRqzlZ39XrPqbBuY8n McBG1fYQVZDBo9dXqo3SA2RA49Sg/Hh1PVLgym8zfxlDS9A5E4j6lNyeluOsCWzouWeMV62n92wn cIW2dDTEk0+OMfHgbAAVIB8OYKx6g/fundQGNqlYcyp2EHOYFAY7TGoUnxyYMSwjxdEj8UZxUpq0 H1Xr76lxCrJYmFLwaZCDPalH0qUNB+thdMq76jxlJFwNm2tR3T7Nt6edCP4De0okg1v34KJUzw/A Xt6OEBn3NtC4C0Sahqf27Ppy8Xn6SyPUH43nbHcuyMlaZ7L0X8DA21UqFKkzEl0MF8TstSV0bGOQ I2Nmnoe6sNoHeQbFZbxerzqdlaTiLntd+LDjPrPvjiUYXxGIKXm8gKW4z3j4i9nJ8Hc+30AVbIEe 6F8RblhEgptvevRIdmdmQ2OGnO10qOzrQDUNvW8oKJSRVzOsiW7vHeZHjOTCVhrsjHE196Z7ByXE dZe+NPTCmXyHYrjq2Kx7gCfcjBGXbygWEi8Fr0GZtDHf1HGVQsKMfmLGkBWDWRXYB/wnYTLpOIIl 2MRuGXLJZ5aoTnlh8MmERIva3x6xLckD3wSG6I+mONXq89axPUhK3EvKwUbkj4sWDPJdK7if58NR QX98gRom9shj2o8JJvKS5wy02tM5vAtWkbgxdibmUT/qmPYsU0QyiBD5t0D42TWcaw56pFMVeRwo ITFXuDFmFpnu9LqqdaHAJ7heAS7DFwnPSuskjuzptqEv7WLjFexBO+xFYAcz9G7x1cQ+EK6dds0x UCFUXSYaIR6pECX4bKUF9kXOssRNSduvFMwqSpRp/r+DtU5N4se7UBQFC+We+VsDBF2w+bRfSQXJ sF07B1E7e9/Z2UIjNmLwgJ3gGZBy8XRJzwCJYc3FAcGGEBNXkjoLJYD3sYFMDMbFYuNcSpWi+M+3 IHLr6clKXamcNvNYyUiI6fWD3RDZW9gb12Hqqw/unrjLoqQNP93I8aLkn4RE52JsF21yfiI5nW2Z 243K20EXfNJD7qEohNvVTeJYytnyP9OhpzrIvh8S8J+coxUr8QZTGZD42QlPv7CEt9hXNdygu5Qd ULbODy2aX/wgnGLydQORF2zKOtYM1obpvOrmk7x7JRSdQoRr1wDSycGPZJA8x9GBqyH15jVBVJ2U ViXj8SOk/ceG+ex6T3jXaa88COy7lEBeRFL7lnXbwlCDkAeNMn028E0Dgv4hsbSOzXanc+rj6LPR +U25NBU7ffXZG9tdPrpUM8L4qFdiaFr73O0v2PMR2xNEOyusq3CQaX8t4ZY7EUYM+QGGrS3o7zBB XyOUuu9NrAUm8Nj/a8tZOBaA9JwKOTyggxi5xq5+vvhYiGCWRCvFKE/IclxVR2BFA4Ye9Qv9CxmD qATX7d5nCmKjN7sW2EtCgG71mD1DqHHKT8eYlfeIXs6UW3QggR429B/Geq8vvcEejhG3iIb8ySOT nz5108u0bWAOCY1zpFVny5pondKbg9nX//DFtAzekdc8Oni2reKAfiilFSi42MnGzLYE2osF6KMa qcI6rMO23yH3c6GAJD7k4QzOf/arZ2kj3slL+K7cIBmd8H7NLQ0qu7E97dnjhDIhvHC9A2bEeK3V IYGK+3CEDYFtE18OkWkcV++twCuUXIcQGYl8Pr751Wklfl3RjsFpuvaN9kp2TpwTpjCkHbviOm9f RY1PhA43p6D0hYuqJBTGW5OK2Jl9rnjUKKTD/RCnTYO24wmzeELwKxQaG5bOjGUqtIk9QLZr+4Ke bwG2LW1KyfrgogiDpaH2trmcvUhnRRjfNIwhRbIGhHa//WiPH6ihDOUA2/mrKwfSabbccwE7JcjS 7dh2em9ZgS39pHieqnPaWxA1samEBW/VwAeZlYyNiE1ECo5mEI/2QIAxFyRCoABUV0Ldr+GgxGfR EHncLIQ62sT57TeuGasFsU1Pe1SM2zDlT0m5rvSuIYgsOYLpinGcPsoxxJBu1dR+76OgaNIoNooK nfbv+fawAxgRVSWehAjljlcEH1OekA1C+2lgYDHnPEiLAUUTMS/x0u5ZGV58ZVljSJhXeN84RRDV teFEvJBZMOyXFBdv9xs/Lxnga4H/1FurmI/rruNteVcxH/NcNuATqrd/tZ9UTWMukmu0JsnCCFtK OxINW9ZJgzKVvzaaZ8zpdG0cU9zNNm1ZFpixMV09oA4HFVBDOAtRj3oSE55its+XoLx3qWxVC3pl zwIjqJUc0Brwi8YMygv/Chv5hcleQ1Gvhn8v+d9YXmllAWLLnAq6p0vecvL7PzcYOA3Kv9SPr0it 9bKFgwKt4EKXWpF2IdvCFufhZirgddV6Hn9ZIaQRg0+EtwixErtYT43+rdz4DCgzq/cL8gzvgyc9 k8e4UdRY/E/hTzxTGLjGaajNuPHCUtN17ikjvmTWo14PmjS8/49xEorljwsnM1UJm6pkZUE8JnnI qwnk6qulDeLfME1ZMXUdJNVjnoTH1roq1xNk2iiNmLsc7GTr9GWJ35AB4lCwujrsisRqQ3sR6XfA +CHKfl+Mi/j1xu5HkkAO+v0jpA2B3ouH3jwiTIUBv4lK9z00akSSqTG8eRz1Y0/uKvDbBekypYcq kVC5Yz+6Hkfj1EBc0Kdtmv3kKisig7QFJ2QGnfiD9jEC81HmAiFWnwddN/2YohjMaQtZuUv8oxA5 p+iM04Xcp9IusV0CkboFUpcp3fKr1W1W9wQjtQHEoReGM+vpalc7TotI1Qfw8V0T7t1nfORmvIL5 I4J0Qht9lZrmayQi+8WcP5ZlkRWEZNzLUE/JH0hesfWfAYd3NvcgAmPLfSRO7OQH2R3vZKR3Xr2/ 4NSNgp56WYLNoQ7FhEHn6Od58NRUpv2U7Y4O5BLoz/mKtmZUEBVG89oceEB2dPgzGwef+Bg59wQX ELHmh0cEOEzZA3Ex9jifdb/UlZImfqAR7bqQQVvh2y6P7/+2pRb5ffYoBCf8USN81brol0xEhkCx 6IomESixz8QZtGQAnLckfLUArwIetiMZQz4LZACygMqLrVVKKUz3giDYKmu0j05NUJOKo9W9bkc9 R+fZmIPne+qto59ek7ovESyHOumkhYaMOmekTblan4sEBQ5H2vGDxhbAScecEfKRPYBYrvsBn9XB nvvjpyBFBGcMVYqlMxvcA6lrqSM7cKwGgIX97ML00Nwin5wUq3YEZ/f3zgLdINqp9ivD2Km1BP4h Ao7HTwMMJ5Uf3QGnQrnTI9+MkqsR6RxEbNp2Iws4BGXFdMsX24q22EiYaKDr1QAsK+mYzZPBvIkI BHsPAhRLRCqgIqLZsgcZ+cW5+tbFLdEeeSlxT9Zjc6v+Tz1DbDbYcOkvU5P83aoKSE0npucu/rT5 CnZ2IAi6vY+L/824xhD3UWtk18NMfvu3df5ue0Lc3VBk73YP6r0FAL/QjZryHqLO3YwJzCOQ5KG0 hZLvPBx25wW1ODID54obk68Y1RUi2wQpxC4w2pRzMX83SzXltTznFCzDhEQu/BJwZXqrlwLpqQ7m 2p+0PwpNkTm2NwDbsodwhoNzHsKtdDOf1NiT1oRCLF4UG+xRUTpMq3pdylCMBgebXkOxcr6Jm1fa XOVLiE+Fhk0nRrzmWAwGVLqNxuu5URhJOxtAl1TVlTHJB8eyFy/3Y3PxAVmz0wQlNqqmdRhhMJz/ bsIPZNnyQCeswP2CC2fW6TCo7aFDeukz9yzOIvY/iENeFhBULIeUsuQ0Ar7O0lSYLY+xJXXKwXpE JWHkBhYQaG2pwOs7hukd2iq0yiMRgnYd4mLCjPLFTFVKRZi/7YcE9VAsfnsPWlmZP/XY7TAvtDHd 3u5vZ20g6C0M9NlEM/a2WWWzgNBZoHRnBY229yaj/3L02IgpBma8D+RracQ3FbezLaOu3JyK7wu7 FjwD+aeq0fPxea5Knzu+il54VhX6xgqDbE/MHzXj8gnsM3bQryNaQT4t1EY2yaIB04pho+dvRo+P VwHL7oByc4cet5aK5X0NwFsg8JEN/H6rJ/oszAvloz4brg2wls9lVhLsuWWkes3epdgzp7ywC/Ar tAq5olq15DqLinkq/sQDTc03te2Ng254Wv4GomfQPSF0JIwqsoNZ26sNh6wub4Jk2FvlT3yUk0OT u9XRZgNawefPe/3kquQ7V6aASjxXGxTgE+jywfWvKssOu1/UcE4gBEWXPed2fm2fxWt/1o0HdvE4 HlpzSGUEXrzYI70BVX+T2X20KmjvIDsdHDFOuJkFHMKPHGoDHiLQ+vZPFtLuiCPbkAlQfaq51irb gBJy0GaI43QmP979qAW6PvmRRjaeYG4x94NCY42OpqtWT61RUAINwc1ULvEdjAXT4PFoFsT74gdA PZLYp20GZKf0HW6C7WvCf2V7tNDhPUvLwcOj6dt/7Z3v8TdFXn0q27947dWOZehnC4f71iuyri3A 2QS9J/5fE+GFb567AIIg2it7tAdQcJ9P6JuOB1sYt3EkX+aexo+jgNPeKMJGV4zgIQt1RPTDHYXO lGmZpJh93NFSQJWa1XFPU++IIAIeSjljmZbR+Oq8bX68+I1Zb7j/tWkU8PnY5SHMrgJUyAt4P9vf Z8fnWdPD53F3W2e9MTlUVHeRz9j4gfzVffjAMI5YivDfkKTbFalLFYYMRNk8gUr3PCHl1/PG/Sql GHdLzMPciDBf4ATwIX6VDaVcODNQxBNWZQlqeuef8aoR69uXXjroeunOBrBd2TDCBjf87tH2kzxL t4mS8+vdSof9WGVe2k5UYwbrU15UgLasgBOh2tDZlWOWybqw52luHfMI+JLW/vPag1iKKOzXBra2 lc/xe3R8S9lrKo8r6jbhkpbAW7bBHZLFah+uE5y7hnITn1lnitSPMNCO6ocUNFOJbkCWM+T0B7Cd HLFbjlfntBmQsMQFTsoyL1OMIoKkGwTQzKvBNaIjc6Vh6CbHZyhpjUK3Lw+pqabO38tYoJsYsgic gDSPSyad3Xe1tT/dP1a+sgAM2ILA3D63g1Tt4IdOSSVk6r7fuUqVFdGhBCkXaouDS3pzE2xHLpSs vHxD6sjKFgF5dlCLyZZm5YzeiQhlTc1ygf49VxJMR2unV+ao9W1BytkZ6XyzuhARjNupDYQQPh0P IdcY+3TdWVsHrO3+n1k//jnde/64CsH5sseIQRUtrvymFU0APYI9qAbSD7jbvtt5j5sSb87NDTTl /Fbgm8RBxvJCq+pkOiuOGWI8bd446bW8YV9jBaOvptZd3NiS53rWfVOnTQz2OaNWD4kZaZq/LvjN pnneKJjFYNteZV1jAaT+bnzl75rzbUqgQg2UtLQTsdtw4jxALp/H2sIgUia129kSQM0Yfxr7knVW WWLFg91Alsrvp0hG8tYkXDa3TIbWf02OnSIbk8eTdmy50BgPjj86qNYsVYWxzbmZhoAnZV1z0LaD ABXHeA/3zdPWgSKMYgm/GDilmg46/hpm2qWkxuGayxK4+H5Gm7uO+mqzw73Edri2clSCGG4oBxyR FLz8O0JuqThiNTFlyhM+8noLirnj3S/2Hhai4S6hO81yW8Pjhh6muPLBqgy85h/H/I2dMLEUbOa2 wHINWic3ZnnDFI3xtBCqYed7E6hGJSnhaDMmDZboXM5AeZFLnsH4VQa4hSII3swqOmB+1gLcN7iT HPW/h//FZ4PA19MyVqUYA7ivMSsrhpFggp5b7qTJRfEXhfdzc0M/pcFn1ri4nBKXpOITGQ/Hb5O6 rW0yO5VnWdJ8DLlENmiXOmyrNVhvkq7hAt7EroyWTyy+a8+mlBNIehK8AFlhgWyGCfAvXC5rvTtO u7Vhf730OO8aB/0ezRSL5f5guVMJJdVZtBKUNHOPt3A7fT19qmbkruBQfKLjoI/3CXE8aupf/4/D ZJDYgB25MQubuTw2GAsUDRa1C/O5LwBo5BXQcxaUlCzyicQKNMZU8SWDznunA8EZfJur3UzbSIk5 VotuV0SIMHX/njIS1zQXJETW0oV35W8WGCla+f4ner/56OuNz26jTAWCLFWYOf/Oe/eypn7QPGAA gXzXLw68MDJKu8JQ/fDNP42v8tt627DNvjv+HoWX3K6iSgm5Jz3tCaXe/pFM8kX9WZNMFW7XN7n6 kYtAyMHBwZROP2qPmAip17JBmyFIUv3dQ3u2xyCJqwjATWhmrSRu0GUSzKwcCbvu6Es87BATBAr3 SaQ437bXRpuPIpAuzDprdFVCUx9pkRcbxxryi/rwQbWQdaJ3MPxOVCczAsEm2LFFqoH9rb3BByhJ HanCHge10W7seNRvWWptWfABGJw7TSJQ2KUHcnVfqYlem7c07vPE/YZftrwW1sNItPHYSVhv7bI5 TsD5ij/bqnJ9m/ode0F3ebk42Z0i97oWyY0FD0XIW33nQfvntCPoCugI5JgPGutvo0PQI+RBcwyg a5hdyW7in4rWhrfIAdWytyhTDGr9x/tvcV1/G9NDxm0MqtJkgbubcGFYW8VybzZ9g2F7x8aAzKLn g8gmyC9CvTOtveQues2Rc4VS1wv8zotHep+f8f0hksM3vb1z9yLQ4B4tL9yy1DB68VMTKyLu/PX5 pAnpxsrWtgsWChWF60LjUanVbTegYkG2pjREuPV3nR9os2/Z5260vM0GxmSvoxUuXcgEuQ+homtr eOoC2udWo0bsBA/1hSRy/jnAx3qWmztGJ8MV3oAfFoW0PG9Xwp1NI64RNQ9ixiCEbMDNhddvWN1f QON5gR3XXG5nVj6isWIC9exQtxr1Nk2QoRHXG/Q9GtssM+hgEtvhmMdHilyaUX/HYUfb+nWAZY+q YkkMWvpKIoyqlrWuyEgH1rMXHcrQToAqe57BA+reHbdXusEYcMTKuXPeMCvNPc9StMpVtKGTLXaN p0ad6EDiA2NDkZfsKISJimD0QzILsqlJdktgS3H4wVIbPikxIiVZepzD3JR4kY92Neoh0XE4c5ss neutYyXQ93i7jNeGrjItJ7t7+c1FaPrkofcWA8EzZXtF4ZxfFOxrXDxajjJWN3IeggxJ1v9RwR4A XTJpP0W671usOI8VWmLSfGRw6oUUqKZ5j7W1mG4NWGC+kWPbtleesj7tggwlz5V8eato/NlV9Z4o DvUE32vIA8xPdscZbdS64YU2+TgKr1z99RW0dWFyTouesyubG5qzTeh7azwN9P0ol6ZdAFYfE/9R RXSpJXR14zKHb/j/QlT4G8sRbB5FeBwILs+/ZJSeTGohYrFmLHstx8kSb/Wmz1zSS5s6U+cjUna4 xMgBmwxXt9FD4I2XHTog1XQXglaKAN7mxqzsxsTXPxfH+CKV+9MOZfCZs77ZO0XYQ2SnZw6n+noQ 968XFDKASjfhrAT84GDtHSPPzgn4TrlBhbzfiLuIZ6t3UpRgirDMSDBvAEwJ1JTIRlinmpMSUcpf gBj/wEZqen92j65fPrV5ZQmkhtz8cWU1j358Hh97FFYgtEET3e0hJUa/BP5DrQypb+XF/xwzhonP 3VoPsmGtvq/KqYPR4GU4+0ChN6b1frqkjacA59zEkcL0IL7W57ACeZ86WrW9OXY8VqV3x5a0aNh2 UkPqR8yS5QFJSXu6+4xLejgHRlbaZ1iOyD7wr+vXiFXcdyJxhG9fnR6enixzJ5lEgA/pCw7XnBeD VQFIMDpOsC4lFlQ8lpztj0G4ulZaPXQl012fZw75UblNFtNeM9Ak4AvOAJV04gJoeIdfrQCwn4T/ yicLs9UQnVQfOVMqiksJzGjgzou2ld/uVaznn/a+wHU7Gu3+U53zHuDTvKcYy1rM4q34eSqWEjN9 AWcjgJr1XoBftO1IY66vc7VQSDvtwIuKNjfmcIXG8uA2MD+S1XZwx1VwxGF1DEDDnvQkZ7hI18q+ nmxzgYtO2WfK3P4f8GkTYuZBL8Uld2ceq/Rbvc5cGmcBlUJFNCUwYFOlEEM6v4HwtITSohQ55fzg oBWxmwuZxhIn6BVmZZjgVCxtCBC8bSIYyQGTt7FgcB/am8vhgvLPglNS32fgr4T/j2Uc+JDG14DS bZ1aFXokc5D7zitB7rtsDy4pTgnwrHNrl3B+b4jS5svUxJWwpIAZy9xvSckwRCcVInHnTxUwqZpT 7EXi2vuGA028z+Qk2MC/u/ml9+Sczz4OQtkDw4NzrDSlDzLMd+cDLbS46WRfDZeXFJ3xc5skvXsf R9EG5xq13hVmPelBQZDVbeUBT7UHu8AOjAPRV+3AFgB9Af+njVYsLVTmyZoYwtTi1qS/TWDwQTgM 7zgnlmPIVGhON5MHJ37dNWD8CSiJyHG8Ou76quFY3hGu5qBDv9lqMAbktkQRJZzUZKEynor/tSG9 Mfn66NFeNbA0NugiLvUuRpL3ahucMOw6aGI3kxesHT2pvyK7XyW+4q+wTxvMroTWaU6+qZtuZ/ea 9l2aX0VzBhHmACTR02eI6APpij+8/MDVpy9oNeV0LJMQYTQDrkNonI8etA/SIDqMavN+Lad/P0mA 1lOhQtTUN+bdqlmUwKvPXUU6ZLiRuAIXRX8dHRUO0uR2WaHkKpcXcnDLXm+BTisPU7j6qTL8AVpt zCYycQR12bchFH2Ud0TV/gKtEaOq8IO1NEnrYxJfOXzAMo2Vhd4u5waMqRji2V4+gtTTOG6UCWct 2cuc08RzKdf4Mbot9jw0GmU+upRsoMIbXskotHUPUhLbspwBnH8vrAsGmd9gjltwndHKGJA4aUg1 ZwsmDlZDR0lgS2KP6QEL0LMjItAWutdTcxrDmUHxG1kxI2f3TYJk3XKvgs0GmttJUEuExppUXF/O oK7brbxykKx14ZAi/hSFFiKdHM32W3AGrF7IFhwY9bY0YsulJsE1Vk5XA/geT0C55u32u/ixMLkh Ig5gKHTj9A6H78LDaKu7Mpd2f6SmHX/TrUp1OXYNRcuUkDBPtvq5j/LFq9ghpC4FNN3zCcrk3nDD +chm+yCzti9ciN09wdBvO48wfjMWC2DMpM+BmAN/H4URtoCMRqEk55Aq/62LgcwL7zomTFa9RpnD QKzhNvsvtIBNEauwfOYmIoCIj+tG7V7ORRT6/E9ir2qoekqjw2T/aHRTNmen1Bd5u1z1HBn7IVk8 84fTYlMUDhHdiRtHe4Xljzm3Pn/5TBYkOE/gtKaHpbD964G4I2SU1rLNtGGZmzCJAAzyeGD9Jbgz Aw+bF4uhDWEK+JyBxwwT0/jBaZ+HQs0KDQPdlHHFgWYpaJYiLZG2lZXaBrvDWt8WZ24ZJ4aMqJyD lrwH1oc++AUpk5IWFsBqzYWa7tld1kmrsg3zmKbfKWZfKwsnKLCknpxVvhpbl8mt/Tmx45u3VT3/ RgI2W7meujua/dWbeDZP5Og/b9z/hAYAPGZaMhhrdf19n6nmAiC+jiuX+kfj1FdSbwtG47aKqroy oZPbwnwmAx2LA1IDFBb0DVnaY2bQkmA9qRcR5DVv+HwhtzhIa6GVkl0Zp4muPpTji6EhfzhVx3pM MBF4AZOYl6HelOv4ZzMdNMnLflgY5ZAuvEC9tNon8GSzDIKVLzFfB7mpI8gY0cWP3KoEZoBx07S0 Dc6obNERoWTnqL4PNrWvpxUkWWH6BAewUbzyptYxy9D8AP9YsZJiUafh6LGJ0E5WmOkTaX2288e2 o5hTlxucpSkUGGZ+lJskjGW+OXyFEEKcrvvMLe92AO9Hsq8aGwNWvxZtceMYgRktzmrtrGHnJrpt +UmPkwU7ypUtH8JcXHHQBiWlSk/tJd3qynIGZy8izdeiTJC0gvrW4RiX/SpmoNA4Tkghh2d+gFG7 y/FqtRAr+GE4f+e/qiicRf0i2vuhgvWvtDDwylCmddJ1r+GIMDfsD5OHDhtLZIAB3fRa74H00Y4X jEx/mtim4igBxH13tbq2d+k09os8FHPkJqBB7Mpk5rRaK5XqGC8qPp3iIrvvPYJZxiWpWzTOke8E WRdZqKDsm6v7f0Vybb2N4rB/Jd4V+i2Tj5/5cyVt1GQzvdioiypJFLt/u0LEOIfrZdQRAIhnzpAk wukcB2owHCQJsJWkjnDcRMHqWxat6cXPEh2RIYozXV5XNdFOpttrvf2fI986BYZ6YOtBuadk/WcH RdBaEooQ9e7pd2j4zdHqmGVetdoySmyOK3H+z19JHTO90LY0ZeavsSdnO9EVqrXyeSmZ6I9Xq0+V N2f+i2yCLy5qY7Zp1/3PUF9dy7EzE019waptKHAJIIEUg5LI3bcIOaCtWr5RoAPzNSouSNw+fdMX p4I8iKtTpxVYyDGc7ZFnOtIlFbWbdwU1s2Qor1D2gaY+7ZkgZdt2p+OYvaKNCJTRR9aLQSAmF514 6jR/jp8SIp7hYn0PHjeLBvv6UNB+/WEG8jiNj2IZ0Pe7OAEAMq2FvT6k6j8iEPLabHld6vDH/xkr gagj+y00bUyTx4nvypmu+0p0PkTkAFDwChhbliFXqn0GwWhsRWvZU0FScVV61bPDFuPwSiFWqlsM /SXCG6nk5zPTnYKCKJDV9+xCxOex4fmRrZnsXXxZ5mYdomGufuoAoqmPjCSnamkXqMCgFn2TbXOX e8QFM3LRx/tKxABre0BDkcRuqS9qRvqqiLc9JlKjW7i7Yy360N05ozgToRRuKI017x+3hPQsCNTC j85mCwylcgqNQj6WLUuQYmR5k/P0N54ZC8rPBsrxWCR2qTqZZ3vTdxjIimeigKRSMM6jyYkBHMkb 2TUt7nUr+ysQLl8bhv/fQqGOsvWzTSTOODJX2jH7irK8ZU4QKy8jC8AWulXaYpSGqDSkITPvZ+2U ZKJ7InAbxYxzUSeJpynfBmFjo0zgF19kKOtMbBmPXQjyA76ZWG8IVo1dzHhHyGOhre/rz6QCSjrl A3EOTFyHqfUMlPln8mVEL1O5S+WLl2pxcbZj8FSy6+yAayuS51Yh8Lb+n+ZUGXGLbvh4wot2aAZc BCpRN5tYOZ/OYrM+MMnEbhifFC7Yn8OBg3etnXv7LCuGYsK3WPro71PeqNYg5+BRT/UQpLd1yUWs hN6k6m3tvCg8Dspy6CmrfRY8dmcgTDhG1coPo5QqldhidjRIZI4qtQN8hDf7xCOpAysu7p+0r9zs QvE1fNRy08SFuwnEk66Wh09ng2KBzn9VyRkBcH+s9aBPrvXacUdMFrmZGpuQvc73qmQ/m8HmNXSN SRupAS3eWhOXxu4yoircYJyjPWXRiieI8RUh9OpI/ulrVmsRmvrs/xpCQogK3L+C1YIo5yJOH2EJ ociJS92OyIP4fgUW4lUi0WL4VJB4emj9p29DdA5dXmNN0WCpB8BGOAU1ZmjL5q+1udvMSDLfKyxW 5OH1N7/0BOQbfhGETXVd8QZaWh9d35VzHy3SotMPsQdJKpmYJzGa0rkzVeso55Bd740mWMj8L20a VwKMxm3OaQdJ8cfZOyBagCqwfWKaGLK9iw3Aiv3Bep8TKI7NdmHDt6exjlgJdbWn9ON/5oub+b3c H2nidAxqka/2FUgBCOrnY78I7cb5dgY9L6Sk69FZe4ZGWzvzaGLPBlKZ2EbyOlMJQLO7JOcpN95p cCuic94TJQrEY/fSGEH8rgNGADTG9TbCYK07oGnSivZzMWbyoMVL/dg85kNLVEbak7Tduc6pCord Rm1P56xW4ijzqCHZYhX5KIdWM3w6syMdQNrHt+pwKbzKEOM8Tez9TkHyHAAjvo1aJZQJMb4GNJJx 9JtZemSekMdPApbsGNUsUpJAwXkyfgVu/yl24peqGRoKBAAGLoirgY/2Yj8jmZKatyYRRXkp+jxT f91TBCEg2kU+tKVkcsmPfDhKBcln1Y4CYN0puSuMzhRMqkxF9cZDF0h0s98Kn82TeRc8kZSld77H 5xJpmOh/vcQ9BBYwL7vfv0O93Gb8JIDlPvWg2cHD/4gU+uGzZcUoOv69o8vZD6l8Noot7SM1SVsK aufyNJ2oob5A9xUUhpSeWM24/e7Kei3W0ELMBkxP6wOKXqtD5XpNhRDjt7b4Ky4gI99qMDwHRL4X LSQG/6Fsl2HkVmAT8XJOISI8avnynYehxJMMzNGPhoh6m5PlF1uZW7EvdmP2bq19rMKhRyxpEcEF yE0FdhtyUNC2duO7WIBDhec2eBfGvDlbGlp2YfMoDA+1KCxksJMZvNiRdvVTHePO6Z6z2bZzdqC8 dAsCu3oGkE/+Qcbt89dBIUZxh+87eZgWnIZ8yZBbU0Xka4KGnuGlTuNcEpwFXsXdIDDc5japH/2x p01MB7APebtbRGVhxA0+dMWdNpPgsBz/HOfPPNxd5ysd3+vHXJfQfvlJu2uskhaxJzr+Q1m4CZ1f x4WEnjm1/oCmP3rqUkGF9h8YFuc2xSW7tdP67j5YUH2I4bRV/eGKfxzoQCmm4lZpHUGqCzYCaJdZ 49n01nDdiw6oylQ5Os3fwnSnGxYbqUTmwKVIpqU9M3SwupjxW5RFflEPtd5g5S4Mw7Kj/gLP9ZaH E2qvj5cDFsoLdY7knTPWs3n8cdQj6POEgMHj4wLsX5ClnC2ovGfTrbUSAZ8wHXd/0Icmfe1wwLb+ nXCGzO506wrd8uLU49MnhKHa4wxPhSIYaVhnr61Ifs3SFGAQXkYcCghydeggD8M2MxryQjjlm9dj hLJMlr1MNJ4UsWMtxe/ftj+KyEJkEtvqEREeSrFSLzSsu2n4bROkj2VpMNjrZUm2bINybZLTO0pB wAuyBEMXe7RaVevm47hC1S4SDu0oQhD2M/lZe62Q256oi0G8IaGn9pucyFoyvzJJEP2YB9ZQnZ5t bEa5tRNXs92x+nw0QsV5OB5w4dQcdpdNzP6DVNK8oO+Rv7tTor6GvRat5MYT+uTT8hOWnGEapHu0 4onCc2AUbHFS3JL2S8KqIG/LAGxO9jBvf6fZCpGneRs80OnY74VsmqRmDZ3t50CIyovMmThjVo6M CqvLWvv3Bf0w39ptPhSrT4plMC/TH3hUYP5FgAlnIyiS0zjxdTjIOtxMsXvw/7cIaR2q4iNgERGM UzhFBQBZvlvqTS+Kd1Zq1z0lakcxvyNYYDcvLA3xNn58IY+2ZyKd9I5OIhJABQ2M8QN7eC1PKdQ9 gaBycVd3ehEwwTNoEFDLcY1w2g15FQ5dZtP+sGVHM88u6Owul6qNVT5ZoH/8XGRIVQWMgzy9xfLs NpePuYfyREgUk6JgdDo3gmc0Lhl6l9ykUzB7wxpn0DbC+r7icakEpXDDfbpmBS1gbLoECAZYuoyV xup0uwJydqSnQ1OqmAW2R9d8ChKgoIK3qUYi+tYNqKnKpgeY0O3ejvtEBQmRcDKAdA1oHAMc/xlq BF7RQwmfsqaFinflxlITl30DacVnHt5QoUQdDMOPqY+D9myfgQBxK6TTMU37znAUsaPa+aOb1sj4 nivPFunf+oiKsGPbw470oViOwaO1RsUfhIhDq0vkjdrFOkCj4WubA0Ui4d3DlkW8ME3Iajm2BD8f etztpPv3Qzq95NLHCt2P5s0SlnNjpiOBPoRITyXk/3WXSfrR9wwA5B8XWlVngYNoBl/H+dK9N1ks XFBWcOj0JgUBDD5/CgdE0eWzjpPsXqgBAsSdhsVopttNMDjQkt03kXj+x93vyJRabJSV4cNDh6DH +UzQq80k7BqGiXHmeU3lM6IfGCogVfRBaHp0YIQnUEFOLTtrN8VstCIieh/tDDv4OgeCfYAFj8eo Ly6S0d1XYHB+VKHaalb+93TYtMqkKbycek70BRHNjEbeCs3VEqkO/hgBMSjTfgB9psMnefY19qXu AO0peQmQ4II6PTIBBHT009JrzrhlZYysh9IQmZABMBQQmHGvV4O4GoC/ulAJPnSBhnmzRy3w5/Vs enrcBSNUux+W2cEWisQCuLT7o0i1catc6KEoAsiN0o0rEWgNIMZYa30I8YQdgj9Z1BvaE1QePNrF 3I19BkrPUxF9TZfCvTapfs7bY49uwBM7qfUDM7ZfkjkaF7ZDWDjic0eJGiAiUO/nVn27au3Tc91x 59y13aKDRCw2YS6LMIUDOej8PsBXXF6F3LxDoWitad6DiJt0HFrZC2z/xSXkR19EWrlsDsKHQRLf HywKgu/s2NjJM06/pTbSc5o3EFe5SxBsDB69RYqK/4Fb4zhNDjZxOUn0Gg8s0HB12XCUpEtcs/qe VL4yjJLHsTVqMBm3d0W95gMYZhTqGvuV1Hlo95oNuc8q5l/dyXgV9oHV/XIRjV6BZIAXtnuKW0PH yBXj3z2ZD98PUheCQiXWx4v64pky7YVjkrLG2RnO+15HQ7Ndh048fU6LptwE12+py0V0gWgcvqlE +F6W8/WauxD+eD3tUspChoFndRBRqXFR+aJfAv2Kc9ejF2l1xoMiqJolGKedX5B1RRGqz7fLu0G7 kpLjmdi+Jc9J7m44+T50tPHazORZKgjUb/uamAwbmI1150YWPUoHrkctxcLlTwFD/CDcyqiWBjTt jfX6h5ICd8xyr/OVk63RumUdfkbcR5GZm20c5dKsxFECiy9Dl/bF9pikAGxvXF+CLMgCIbAtp/M+ V/0qwor+8a9R7+XneB3qCaZaMeDcXwqC4V0ASwany8QLtaIGoUlt+sqJhR2+L+jQlSDEYr6oRAh9 wbo5/9JTT//9oDhJcgKze8fWQkcefOgWFaHiEh4sZBkUbDRgRoHA1Rg+JrQhMO93P5kbZSTpnJ8L 5HPnEMjzTszy56gRHWMAv9LBZhaCNQSAu8xiuCE9SlH7VWjV74dXPdD1lGsVvNhePSaEOTRH4sCI Flsxj/nKCouO3UCoSDFPYGyuv8N03zz9TVBZkH9HbWc9dvcyQbYaf6Y9xaFRCSh/0e9ielrXqaoF ZEHei644xGj1bMNjJrL0gkUIivLv+7xzC3jpe9a8y/vl1PJvMeiCRMcKcsaSQ1Z03LAo/dg7trUV Jqoca6tNUO0NkSHtif7Xp5IB8DpEak6Zo2UUU/Wr6rYimj0GRsCCV09w4zTrA5vzdqcAmnz5oTZ7 y+wGSLmxgNh8/XQf4pcMXEyiIFKJ/aUkSBQuk2M1bxub3Zxq4aOL5xkf4OXxDXnfz9JF9qnhyMdm OiyZG+sH9UySaVWL0lvSsOWBLct1AYfKTrG49WoGwLJR1j1M0nd4RX9WDQijnZtWC6mwUWc727+P X75XpHNd6VfRXZFuftrpp5NvjstLO2eUUIgDDoM4cwOVubn77L31VYXqjzlEKQiBqdE+MZBPmSsy 2tWdMNnPoLqdaqZWx1L/qiglwsdkrpDesc/yDIWGQIfSpF+dz4HWA7+IAW4NdKMT95vIKMPjTfCK xRorVpO2Wd0SFRFbIwW8CFKDyovNqTZIL0KtrtoNpH0ZFWvAZIc6dERIpaJBnZVRg19wRRaNtM66 xAIPxOaECxjGvwcBtmu3KFtzP6j3pxySC9H7qWz0eYsA6NtNZM3V1/QHQqSNCRRV/ShXF7AwCasz myS8orDji/5jIwTfj8LaeklBs/sBHDcLWQI8qeuFQ/mnJfDsxg9r3jIFMPQVqy53Sk1a2W1anYc+ JNRndNNrMwmhV7Yg0SGnZO3n6E8+Qm+So8TkYu/pIK8Lrf1GgWrIDeapTZg5ZxrDUc3r96D5n8A0 A7kCy+NfgiV/fnuki5Q1MwFV16R+9rVyw1/B3uGxw4lxGcV1c433Ot4HjrffzIQXkBl7rFGs9rPy Vdi5dLIgWz9upjrAlZFKyC3dpbbsTTC3sp2o+BamigBMyBYiPcihgBK9VdgagCQ5eafizKuXFH4Z 5HoTyvIlS5jR3GcB+ApV9yTN9qIbMjPHLBNTldkxSXrFJU+cfQc3vpOi9vNcw1A2nphN9Wd3dRgO 0yoaPOOceQY6rvvPEsl5XKFYCYNNer2sfrZjoZkN2hhFh5YCg7iSwjyNPKI7mfAoerJBNz8uuxHY 4owAtAf0fqGOls7nfOYFB6K/C8BzlKnXtwYv09DVzuiNjPwC0OTnOI8JtmyqFjrlZ2sC7ZSFvwRw 5Hz35uxWEpTPTFtycBrLSCBJy4Db479xntkQQWNk2yehFZ8PczMwrXgLESTkxQJNrpA4O94tG69f xmqQJGuqiDx96vAO4W8UK0AINqpMMBx+oU3nZNVY+Tu+P7nUp1oLp0Z7lpoHuO3i7SureSjbSmlB kLIOd8Tdpw6SYy0k9BPGo7mUOaX70wXxIKO3Jv8aJ+DrR48uvO9u9J/K9+6LjQRnk6Itq9qhZ8r4 BfRi0vPIUI+7RMVw1FIOj+t7oLqpHcfEnWcGzdpg7h+YsMQ4sD5UJz2MQU8mXTrKDLaO5CwBKlo5 zkeBuQlTSm3iq9EAf9HuOfoEqs0peCZ1aEwn5+1Leu1XPHdJtfQkqO9QqCQYr6gzT6AUR6eECrZI JRhquC7FXcJcslIyO4r/vsAJCYSGezYuHRaQqI+RAILRSEM+9xHTiKQVNbYrcOEb6ZGNFVxJRa9W 2AE4JiOeqv1+yz3q47qKpR9xsypb10a1Jqo9/pAQjn3BtUcstF7oBzPVpfbzK4ULvVO6PzwgvJ1r 6NUwRx9XmnuTrXVeanmLD1w+I1JTGUMjl9GYmSveEK7QE5f2PWetN2FL2AhrxHRkx9loyM6Zvx9X sMu3A+wtd8Cyo0BcR8xszdUobvyoQ7bJOh2QjrZ8F2cAINFG+cx4qSzkfuP5FJFIikUZgcCCTLE2 ao4SQLfj6Zxx1zNbjehmc0MH6LqfgNSOhRS4huuaKqm24hy/8wq5Ez8VB5KS/NC+WA5GE3Rtt+pY j1VXmtyA9ZAAQCZM2DupKt/eCPZMuM21SxYmSAE0NJsXdHexxW0JtDJ1vFfILYJ/NaRpXtUB5DDt K/He8oDlcNrgm4pX2LCMJfUlu/bIaqdPvzfFdz96qOT1j8xOkSXpnIscZdl1orT5guMrOnZAyeUq MwWg2G8iJbmeE/tazjjUgnOEgMD/xWC4ElXHLDGCRT1MBmwYsF4U9bTppxI2OduKrf8MxJDln0qt xlur93EAuDot7QqhN3+CdeJjyv71nUxxGZkjx9asFJ26ad7y21yJfA0w894aCLIw48+kKDQ31hNa YFal6yiBaQLZa5WS90OOna6xKesozP7aMY37puoaf14GUgb2eQPtH0+EVlLLbvvqxaDBn0Mw8suB 3KyzxQJbvQXf9Y/o4DkRMYBdtK7074fE7bSVAQ8px7TKkF5xUHm5HeWVaFfEwOPL0CZFB5zMTsOA tG92Y8V/yJJGiuG6jNcm8MNXyJoaTtpd6a00M8hfYNyPD78edZQfoFutHNbw23+GuAqUjinlWYG7 4FMgHMPACAnyprg5+/BmJt6zLstD3VIE0I2Zu0HEFGa1Nu4K+408/MZ3PawEFrqvLeHZHsw44kDC f1hVFsAHneiMVuBlt+LoALXs6a+obU+tIRJsTzq6ZCIwMkMk04FKZSmChiosOkDPr6uOfbD9A3jh /LnOXPAbyuwkD/griYk1H1yj+qwzxxuyFzqTTohBuykApyR7sz8N/L+7ekudg9GgQm62Sq8hZw7x 3N04vbA1HnJPqTX/l5P9R5utRQyBPqzFVLOH9fTYk54W69R5WhxAksNLCrBBkni39XH3Bmx7JlQ2 +zc+D4cmEP1zWgJjdodcLYculZO4oSbjRT5nEBq6/56VeB089lCXdrNogE3gsai6hhcZz6ahzNSg P8GbQtvFlsNSUPjcdqJfeIRg+B51Rjr3YgHb1Nb5I55fOKJEXjj4p3/uzHZvaL9FhsYTias/GwUk m+7CE+LHEQnhcocubqkO3of5Kx4ZKHg/RL3HVT5h60SQ0d3wEmGq+dF7DgInc/ZIYFZi20pKKvio xK9dsNkA2Hs91+MICQknt2SdTkHQcuY2zK4BRPsVSZI8UQ7yK3sEQsGCQxhYwqyQCBRJDC8pFWhM Lbd4A2yp+BhPnFta3tmcCqMioHOOoY2HnGMhnK+5nmCZc1FyH5+5ZQ0yaATvVEhFHLcWQjYDZYIy B7JXf1tJXGTZ0vaNjwIhAykiLY17AEvNXI3xBfcptKfjXCv47cn9h3T2xN442KHQQ2OWFeOuxnPr euurP+QnEVzBjywIQo5k4vq+3p75VcRS8yB3ibuFP6Cv/29hVPThOJbF4moiT/FHX2ZPKPDpGGit OThkohor2+OOCDCt9o38zCIzIkjr5gWLT0BxWD9Kr1e4KBjALnFJRpaKlS1b8QtpjZMCSpjpso7B kMv6zfApwF2UiCjpie2I6wGx96NE7FzgXMiOaLCpDDO3d0NQjnho3kAgG/gfQ1gPcFMxqsIlavhX XYqFKGgjV9GqoLh1YkyBxe5qPMTkvLDblx6AsgJoRQ0iCAUrzk9bu7iMCoSvfF3qj1FEgkt3d8AS CXBfT19n0J3L96h/cTxzFQFMyQjoo3kcqkkq30tzBm6orA9cTa7nnTBHUItI9aC+0Xffb4iRp1uU g5WCg4oslctp3hwoUDA0Nm4mJutGrsGnN8W/PFpaszkT9jmiGxDWAGxEgsMzajI1UzYheRaOAFJL k5lfRAzcti+VOKM/e5TxvBK+DYU7KhA+gLw5BXhde2I0XTGcANbbFG1QCumX/1Z/RVPF1tcbu67h aRtBD8Wirh3UlR5/SR38lqCMlp8brnTxpLJDck1GsghFwhuby4vtNRt1IXuKaTjqonxpDs+T9+mQ hjrb6o9KKxUNESBENbOtuDlNhry56o/VOb7tr9qeB7CDQjmaAo3DMkg6ktEG4riug5AQ8zOfChrb J2dl5Tv9ToiwkiBZKWkMUQaNS5UFSLo337QAcndYTtunzffKCd9JD7663DSLMEd/wdPwq4xPupKp OrxQqRZig4gP40pbFoY3qDSR/n1W4EA368p6Murrts4OTS0BgESrZ62MogUoFJsRIAn78UTaeoZM QlnFWVNduc0BgJDNFx5BPgCv8h+uNw4gSMg+8OJsF0SrfBM9OdDSQ2ciYDpGVqI88XErQHXuNEu8 nrHIZBqcUgQSWxri5JSuV+KH/Oo3xZFp2XwCGDcmmlZyMQbs/85ZZa8xk6VoGn4Hh+p7Dl5mskWY XhfcH7URxtsN97MKMb9mUoqjuIj1rWygYnIqmM09B19EyUCIVlPAsTBaJiuukDRMuUVQGMveIeH2 ga7vNb6MO1/mA6svW9WGNHuiZSLqicnMXvNes+/O8SgLcw0VLZl97XJJ+0+DrAbCj9ILScDZ6Th+ zdTHwS55exD4YMdI2Ya4ndrXbE/6IYkKVi+VqQfNZOQZAvR59auXeTeFHv/CgZV0ppYi4TPTZ+ew 9X9XOP4VL05GF/zDMl16l5wj59E44Qc1NIZcYwW2Xp/Uxy40cfvTogU/doHCpCfVLG6AfXqwHXib 4Skdi5VUQ8xW6INgZm0RsxDEle8OeX5btwyJDt0PtxkVATNVz4Qjz/BIb8GYPJuKti8n9s69g/Qm ptPI7pMjZ1RW4XG1SVlJOvtF3D2im0W0wgzaOeJiVP/gKJJizxr37ysKSgrQ9OpBESbp53RB5mRb dXFLGvipTgr+eBNZowJ4q+4WL+cs4TOgUUSNj7uX3tJEfyfgYW5BdnA/wGVvy6ixSWU6eON6iJa2 a2DhlRhdzQyZykGVwe+C81js41DQe60RNrimSEKsTMFkUlg61rtwCGmKoEbdCO7h6KezyyCt+ICy df2qHartTr1rmNVf33MA2lf75axeVqpQDHEx3GQIf3z2IvcSvqCItrQdY6aSqKCx3Zya4/2uSaln EpBMyNcOICSjAKkufYhdjz2AOLuWZItR7LEiDgvrz0Bpb5R5ZRwgYSI+nkVTIMLarVqi7XpPKNPk YKagKcouVtnzH9cv4Im1+W2eA+wjBS/est5coOtD16NuVmR6X06S1m+4YgKie+Rqan/heibFUJYe X50RSsbYO/RXQvhlb4JT4ZHBk/6e0NfqWUmE8GzDQyS107WleNmj3HwbGrzfaMlXeQ5ypqi+rAvS mxAMwFON6FHT9bSwgbvHnc7JfVl8Uhks7UpBD8olSclZ9PaK08OJ9NlQGxVxls88I7NRzVI6ljqh YJUgcuh9bpPJINCacxj8/wRqTBoJeqIggDDLXUVl8xKn5DBGtErGcIZKmOcFvRvNQT+5Qxt/XmXz 21KxGh5x+v6oIEEZNJqENSxO0kpobFn+V/qTx2LjwKc8dkrW6Vd7Fck2eF5nJl3BZm4gV0/T3mWa 3wm6GK5DfdMzJBsHaGEaWls7j2xKt0vm5eSvCVB1uKn50oyAoZ+RF8IKxyZjn8DdOFxocpWEmM9b PTTZ7+WmzpJmC7HKG12kSwzQLKK33/8wOff4waxzDBEGy3jQGKSp0spdiOm02nZQbg/2RsF7GKIk Iud/rsELc8gzLWeXBZJaw/sSZfaUcApqw82ofyG1azFpOnhgQs92RaZIDYSOaXnviDIDSqn/sUM8 p6OT+qYIdwkM1O4pC/Rerttb2FxVcce9xnwcrKN3marqAd3JMfcsA0jEJNHwz0Ikc72c1SCIPHUR pklty8tPFEQgweY/RNCHOVri50UUDqUKiIeKCshf5OP/SkTqAa6ZWAVBxzrlZ2lPVnaLoRgCf/7A 170ePv2i3p6UOPebjyVYP4C4D5X+r900nEC8kB4Z0VRwLxAbJGzHFypWMWvZ5wMZ+RR64wS1mlys cxAFLZmDwBLNOfUwgfaKqWYPhVLKx8clJ9mip36vMWv7OjPVB/xUJz948bTLa3aX4xdc69yA6P1Y sVHuKfm3xBebF8q3Cl8Rh42W9r5VYWYOBnM54J00NcoA2A8zQcJGYignHRsSBDg7PnQ56O3EbvHa XnmM5WdIx4UsL29vsSG+zCLo4++Uhx5dwXamTyNQzg/L9pQEgadEScUl5lwrDjXHi5He+R1QanGj VRKaOsW+k0NX/AWC76+zOup2ULcPzFLvdhYfjk3m/5b1sG2crJKZbIxKqdtcHUdgXoxvQJheJUw2 ZQr6QF12ClJICBp6GeOIkn4AJDYsaWFN+wLYTjlw+N44vMHIY937Gn1POKsGgsjQhYzX1MKsROAD qKsThNDih5SGFlwJh6zEUXHOVqIJB1stsGPoNgw60/EQ06ma4Fx1tWZARea7kFvnOjnBNenI+0ZV YV5LzXhPERLhYY8AG5evt1MVh31Mbuoj7+CYBQXWa8M0fD5iav7x4YLYMldC2Vsq9cDPxo0fxtYd 0Ibz2VaS4DpWs2ajdXqW2CaVle4Y8x9W5TxK9b3yLf/03DiGZb7W19yGhwC7wJCd8hFtZ/T7zzJZ 1GAUO4bbKwYBvJ5/LN98fUjmXDqKM8bG+rBY43KdzCmvODVd1GAHcB0guw1CFXCOIJc7Lb5KDqX+ +jgzsG6x6Z32HtveNlTiNQ62Thh+zkyZzTk63Iv+Oi+qwU6nnl3bqjFU09jzd3Jwx2662xc8J/Ve COJmOAxs3ZRC2EGDcjMwEhvUyn71ICYgfWiZtMgIUzCmxgI97TxmCO3H0ey+ncNXyX5XpvF2Nwsq 7yhMwkMpYf3bKjynCuHWMXP6/RAaYG8dh4F2MbU9+Yv5KPKwaVtrqA3ong+0QVvIQjBLZxr0d0sx JtMsnGGq7jTD3OblaBecxJplV5Ilng7eSkl9652qMA+ayFCiij63XVHJxhgC3GolQ/iul/slWHhP 3vH/AI4G4v+Js8HWGBHO+riHHI2nSeJ33bVFHGiRWpE9u4Ha0Tc7Sqvg7dSqAXTGvcjfqCfaF50l 9vDSqGRgTr2dMi4j00zAcx6SO9/aoQ/3uTTQWzcarfmEZv7In33PyAzEzi9Cs/m8AQ0Sy1mOvFQw 83eJlu8r6BJCgrBr6ViujZQ7luYwsB4jBjvniwaVpsJgmBpUKx6L6FJk3iyKZL/3P/C+AyKjiZ98 Kn5aml01hBmkvAovNUwbkeT5HsuAOLnMRnyzN9Rs5NHHWxcdWE7VPYEVFx2v5ekU8m61rOydZYyD YOP/PBCYn3uPfShJYYcTDW6CX0nZGG+T9kMV8SKx+1VQUw8NQL4ha1YvCx+F+O5v71FT/WnqwvWI Di+DzxXqGUB2A1/tSNb5wIyJB2DYDWfJCe5hKxCwMnHL6wJ2H3oPwOb+9iAxTlImVGGzsIr66psd iPUg+mXYqSXY6ed3+Zv+ctJY8LZVxUUqESC3LKxMWA0mejiXn+oY4fupnUodfSADe8Znr0j9vYE/ CLXfxCYB1PUVm5MyC6p9axitImZ2weaPMhnVggBEr1DW3HvJbYsOhnxU1yuajDsgTezXMz1Mijra A50DdgQK4AwIXzvIXFKTUUor6zJG5ILuv0TzeynBF7PwwQISBYSFguuZeTDgJN6AxulnejQDk4bR sUUcuBIbxGybuUOo8B3QzcbjnmW2lSLMYFCFpO5BdkK8NjxLL3fVhAwYBLudmIeDCLaOVXVjlu5r c0p9cPU2aHmfXgkmjzX/98McYxsjbItXCkRYxxeIeS/01HdZ7F0L/lpBkpYRWOGncNNrHonv3xo3 qsam+FChxUHskXNKlU1bX2MAfiI1KqpYwcHDEzudJg93pqd8EsE5kK9AHboiD9/Y5UCizKhsqfcB FZcfGM1CsndYoLgbOj6CYq4fXomsAnZ1gpWJhOtg51uiLaEl/UW0ZYkbHT22vcIgcB+7v/0P04g7 knY9sUauTg930viUauihmVnOKqZw+KpIgCgeljNS1JRW1nO7lclCrSsKi0sdaU9aCcgmveQg4WWa a2pIRSYhRTIZXSZWhhd3sH4+gmW05XjwHEXmTUDXuAw3D60XIAitoWsxyfvZMEnERL4u2xGz5Mri 4d8Uiq/kuABJfdD+he6ZTV1yWS2LtIlF28bv1Sj6b2FhPCOhXSEtRcxLlarJlP5KWSpCNeNOgQlx vBjdToZ6exhb/I2dnJxI6Go3ScLCITwOjDPcQNb2R6cCaueYK5wOrz770oKGCZPW7M+z1mhhQhyu aXneyjx7snJ5yv5vOXaxtT55GPIbsd2bHusczQq+8HvVB0D957Mo3wKFU7R77mRvv7/tW49TCEJp aGiuRfwRWi0jvigdAXMh4BEqDl7AYG5Dv3t7wnWu9FAYbXfqGKqglPthd2rk5Q35lA4vFBWdu5lc vJjoHzI3b/MhO6Y0mrKmBFPnZzq6Mkgktl/53lQwCrP+4komyvu0LJNRASLeGOrSRhup2+9PmWaR HjU22lkUHT6RyCgj2uqenszZ/dN3IUdF7zQwwFgaDXbQZOjyvxFX3Uo8tUue60qCrVlJISwrJLoP o5yPjAE4G2vo1syHFIU4jxzA0k/zVZDI74/+6ZaVRdR9ePVi+71hLgNAWBP7d29bFvEmXqFYvAyt ARxv2sZye2YebfTDnrWHXYS/T/vtWVFKtJ4yjkK8XrKvDAVKIffQGeKY+z9QXEcsVaKagioWzz5J GDshKp2AF776hOpkP6gK/DIztj3gzKD6Vk2eP7hDdw7+aEfnFm6HAwIzOzhefoT8pIUERiihLvq7 wUhakjes1Vurjz4rdh2/7W+4RhZld4wgm+/OHQGZU/UEtf4XYjPp9+rfOGHPHbjeATajZ3112VEt f/g04wzpiOWyxD/kD04xsnmgvXMOceA9lmaGHnuBM6QpQvIFvgYatDHcbuecRj6Uj5jIg2rFQVMk 77b2nGVZTJj9S5AmxW1CrSed0nxXmbJsxz6hGFWDw0sWJdd4AXq4y10JoepaTRKuDC3p9hY5/ilX ZQKW1S7hAg/RCzrLPh3/3Tf8fCmDH2FfzsM9wDrJQ0HxLaJODnUMRpQa7trRktVe4vxUOTIeK+rl 3QtPaucWf3MHTsCK1MxQSxXujuMGlWtlo6djHQ2g9JkPaY5GUJSlddHrJGS9Ulx2hBKc757X5uAJ JIQZoJQNoHA0bqr83Bl2MMX6HyywpD4LTHqaZwyELK8AVfcXqjb7AaJ+ADY+eIBHLXiosEk/tKOn yfxkfktnTqrj691opT6WoiAYhFpHu7I0HwHxO8n93K2fYkt1vE8gqe7Jvx4/RZOfRzuvCl9y2SC1 J5PxV+amTptndVWlFbaGGDwEfeL7ghkbO1kkdCly1goE3W1BGUe6+7Rbj7iH+vnRA493JnRzvMVr C06thKDazFU4shldVxQT/o+DUD9UukdmhwQTEFxGwf+11zLsNht8t8teSrSldZV1IWAGsgV78LRu YY1HdjlY8mNGB1RZK640DANkTxypdcmsKEWukaLRanhgernOlYvIiZXAP3KNy+zTgNnIajeMWvmV JnABRjO5AdSdjASz+yvlSx6d/xEwaUDCc2aF3pe1Yr4gjDxx6yFjmeI0S7VRggUHWu9W5c852wgl z+7NqnVGRhwLeD1vqt4O02EcXBEPXFeaQqy/CUN5yIIilxLfGD5O80j5x453C9Jr+lXkTZPqg2aM zoSqRFVnruWONvn5kNXwDI3VgbX1qS3tU6rOq6CDswea06MHt+3cq/H7L3p2HvdTsH/UqsNgZq6L agsR/d6Rom83gsxDAJDlH00w4s+EOi22ansrQE0zG8rb/VYEntOGhcjNPaN8P+qdVhyVh07NZ2Hi /eIka8RWPtl25OTYgk8DYTEItgfl16ysSfJiUMyQzvVixPX+jHmxttRI65SBPeEhWgneDSD8tyHc rXAfG0XZKVb0e1NAS+ga3DWQRxDA1/NNvaHYC0x5qg1K5peKyCMFIz6FxAbpWDgRP6D3obVWm62E fNoZ6cXLyi4maFLtFUKSqUWg5nBRDWSz+xRgY9ifZfkAmSdQERYf+nKEuJw2qvfR5l9E+7nYQ1dI l5p6MdIhHooE7DSiZUPeN//+vYWWf1/XisFlxJlylpdUtyj9KMy5ckK0nmLnjBWVGw/29YKJbAgI z0rIG8iwIWwfT+5/mD47eLEpsawJO+WiEg8b/YkcjWhTA1XCp+QLWwpPJfyx4rnyhMErjiAI0cc5 dNCV3XI9G1HA/7vWMrm55VWK+HDsJ6Ln9lMp/Y6Hftmg34VVELevS3ZXRDxt7nd/CAamwMlNBim3 qVpzsjTj1/Y310IAcjF7d0HbprnS4TCmAUChylAxXlfan3lIzRX2tmDUKoFIHRJhNW5fgQBFaFjB G0nLFuGKC/pPrF7NOP1MA7Onu74Jefoxq/2IXPEVVI2uVrM6Li9fLdu9WBt809fsC5EDfmOTlW3f P4+dCsKAkzMNHm9wdutiI+wEyzrMExK4Paez26fzYMJjLHq9fjw+0TO002QYb4HNZNxGuMPeU32m s4NOpKGBEsQ5/luv9YzilH0tx49AZWvmO9id2HpjhyrdDRHIm+nLfNSGRoZpnoWT+mQHJNyxpPx0 l63NGu/gfxYSjRUpkfRVu8f7QPp8MWRoBCSFfTOL1AkhL3CJs4qWJgcg+7J8L/Tm1q77cklgSnt6 QzkfUuPnirXwBUdMHr/jTG7iwuzqd/vOgSEPXfC09FRnPFUXzMaPpoKBZGe9A0g/GD4Z85b6CNn3 D5HPAhhEM/pklzHbE8tyRV5hPq6Woibr2Q31aX78r/mEeNvlmpEn7hq4vzLJc6xBtt0/arCGv274 G9DiohLkou1vwYchFIS+ZKfrlJVEVvgivQXc9bzzqQ6a0uQ7Be+EcAHyilnWa/uOe6634ljhZ9t8 lbLQuZFmbmhHfUYYXrY5kTq8PeGlWKhoegPi3XCjn/xBZPE1IevXUSDAf4CuSbQPbbKfsncMtFBQ lZrdKW7PzgKmgzw+UZE+QUnuEGFAWWhMewMWkdWrIoEuwmQAovcqIUuu06hD26Wc+3AN5IAmKyzb BaYf3+f1qM2iBJCVItGODZsqRUdOJ5q8scApsjlv3Bzs30X4/6YhX7SYNWSDs/WesZn5dIhH7Uc2 GgHr44cAmRNGvfqFxkRjgTQgsn6S5w4knI4FoUW5466EipYtBxB9gwSRR2mmzRM8Rn9iKsASrcV+ 9FBK6CkZhNZymsEwddavf3E2OGt16y5wVeSgwMK0vmTZzkVsV33WJIX6kFfv+foq/mFwy9Ze/eu0 ZDw9ohZNCUWyBTPpMCwz2xvXATwDZ9Rb2AMyq9o1zbT6LnxfUxqiBQar+Q7qh7fvF+2fYTMmhvGZ LEDixU7nhpV3l6SRcvvjo2NsSjz1UzEPjoIHNoEASq2Y6OOORJTgSeuUg+Xyqv/ZoLxNSYrwc22y a/m55GonTvinmanYw7ar4sEbu+BDVhYbyJry9YrNv5RKZ/z/VYz+8foXbRka8Jm1E3RfvZbiWeCV LeLC9hlWO6CohjaWoaVpSZNEZnXk9r1BbTv/J68aeLYKLxVrWBXU8cuSEPLbgP0yYvajNc8vBOjj 5jpylHooHo2GkpvANUP/Iqxn5uEu3nj7juBMFy0PE66V1ij+EaIPCGPUW7PtBCUwf5KapUfajhEs cDMHLIym/K89bQvN+hgPl3JwF7b2DR1Bu+0fGkQelTfLkw3PvynNZmwwPwpLl7/NToS1TjaXG0jI 5Cc7r7Dmw32RQxUDeug66kIdxJ4ENu2QL22gnEQRJp2zEWQ43ap/ZH5jkEcLJpp3/gsEtp/JY2Th ANxzE7iefRTvpy+EB5bWCEgZa4xR32SvUPb4h9q77xw5MRxtWmPp+I0eaIhn20aauUgGMFuD+0/E Hn/J5d/zcSHK+VnSZsfbgOwIkmPhcSPrqFCeUHRZZQR7GxsBcujFWr5Aiq/Gq2EvxqAZduXwW3Qf xMl9hWsCrr772GfOnOKjt8dYoboY8zgss7DeCkQNXGiDmDQTJRNC9o5zrvpKUeHs9J7zgTYEbwiQ /jZ8gDOFUQxg+h780Rk1AEI9+7ME5mhDvN/y7UYvxyn8dqXp/lLUDORksItBrCFSVKcr7DVXM9P+ DgC+X/aBjj357R7NyhVlTOIn/ywETURrGk0n7XsQZGkGwgdzmnJECJJPLV864E7vS5vruxHtZOen PdcuaxnW40H6rRKd40Rpr2MzjzKpWY3TCVeDmNan4VNVshYlzgHSr1bcI3FqKWeIs14ydq+WVy60 ULrZ98wErTmWC3ui72+06lGv18V2v5QIG8x7wURVqLsYmio/rhXVJ8rDHtHzMPvk4AS2qVN1YQl6 bNz+rO34CvzHu09l4Z3Znw6Y69f8gfEQhBgh8VkSSncypVlcry55+waFDWvVP3BlHGVDbhu2x+dF cbv15t2P7xEgUS+4LnmaRH6JKvVdy88w8hQDfVtZw17CNvYLY00VUmCXjDMKd77bdUNUvXQP+Xk4 IWs2lMxx+qY1zWJOXjIqJNjRv9hlrEYn26pKawt8BTSFrWjZBippxptEeo4vBAkorCjjFmltejw7 ejbWV6bd928CwTThUARakHZN2cMb4P6kcLcXOGnrLJzGT6xG7YcVCuDRUq4gZ6WBAY5RHgsw0dKg J1xqx3nfB9Fthd+QdxsoJtcOPhaRFiCUWNoqh6rfuia3kI7LI218G/nDPByYV2N46WdernE1qNTB llYgYFerJ0nJFG41uMR+OZ5ctaNCFUNR6KialG+qlt/F4mJ68Vyi5DqhOs0Qn+WQ+bkyDFshMYes wBbZF66gcF67cpg0J9wS23J4UYp9Z25S9iwNggTIvEXRBfHOVAANem4lWafwI9+MP63mqZRwPLkN Ay99vWQodr3PYac2FNiiHqqWHPlPBi/m83ZwzFRk54QD5/v0i0gQYVL7hSvcRvl+doqAnCCYsYaO LaoF2ng8Sf9pA/Uaww4Ux95J7tX4Ofb5H0Aj4JjeJlnMZOuMs2M7BG4OkYL2323QWig7ycvTvOfN xK8Lc3YThjrZVziaqos5L/h2Prwk3HohN55jX80N3H2M+1vCtIpnJzYwB2Zs/25QLWeTcmD4ZvD6 mi5KoMOZUum4/SQFiuZQMLziCm0YfDtylXTzcHcYZZaN42thYOohOFos+C535x5a00Nxcff4o6g3 1D3lsBEchLNh9JtZWlEvI3ThUpbj2AmTslv9Y11Ji1aC/zT9hxnHJ2dbPIyBmk8boF0pwnjQGFkv dCBXi6NN5pT4K2ilub/UFfdoblNohKm1N7eZIQG+rUEKVOkt3UkP+JkTqQboRXRHqwDgAdartOeY GbQuSD7fsSln4RHGzxycNk4L7tzOEvE4opPgZlDAbCouNOE2zbgtOw6Qfb1WkFiJ5C52nh0OQtj+ WueKrF2LUS2toidLUSzXVCSzdkFUpyRX2SkuLMGzCyCjLByD9eoSk8+C2cA64FnBZIetNUg5e+p9 FY9R+axQrttaF/5aElliOGl+ECmbq5pGxuI6O0BhF7zCbftRk9Aih9+GG+u0nQlhjbiWuH3gCQFr UfWnwcRhJekRk6RYmSy/MrmN/9S8sBQc/49Kl0B0rTLU/KDbAxLKdadG3bdrLTC3ri6L88iHX+WP Gr16YGLBXsmecj7vA7ckot8wbqi9CttOkmt5RhUH8UrrcahKHgDyYFEEcMlj3ujdXJTxgr8uguOJ 7IKlaVf3s5GlVgQ2zk9LLZ/3SL94Np3MvQQVeXddcLLIg0kjq//N0buJHyT5BsADsdt6s+dZy+Gp 7+UTG9OgA5QYiQHbXKLY/CIF4WHkjGw1P89EDcvxDzNkwPBHIZi8rSpVnsLOAAT0vbpL8b/EIamH RL2t2WqX6Tz6kdi0gduxKTJrGoQ7iO6mLBfT1/mVk1kpE36wW8Nz7gf99DBr9nnr2oHMymIc76Hl uv6NayZ010tLdnWipKnfjrPd/WQxPeSfErxrFsGSfBxxzK/o7wBRlGqmdLQiasE4xT6zpULEOo8S /b4NdpkNuShU/pJI5kgicf0J01jDgWhBim4ZRVGunLJwnz3ix3AD8BU53+Q1+eEzv8NmbUUjrtq1 MYe0mT/3NKwpw+OSYE4o22UES9DYy0MKNVHT/YIbRJS35dxMBN0XwNidhZ1iEcy6mMYSM1r+Vlw5 q5AummIqokPrKgbrJfnF52BoIqELZYuEOYcSn4y9ta7qsVjuI2PUT3nmlzxRH8ioNgm76uU+xrjW mk8dFEvkB/lpIPa7CY+seGUlX/S57GLqPxvs/1iP/EK0x/DYNhZlb0gfVbx7UmwkAyZ6Ppp0FJ+q 7DnVm+hAopcnAiDdT/Lu0LvXDRS5QvzbC/spVWnZhTz7Jt09SLAhV+VQqpVeQS5KIn4LTS3cdcq9 XkQj4GR3KsRrUx/6Vuc3kLH1vCCLBMj0z9uuLzLyti0CGnLnjKlPI/vKiQAwMdBz6WIoXrp6Xl92 5cK+NI3CYbdpn3a/E4tQgkE+B6R9wDw+CkIZojfdLgtTswN8y8ogYNtn0pQmrqDwtTbo1RL/P2UZ /Jf5sssyJNyez50fHqQvcFD1mVUBO4+Xx4npKZcSjCkeQyUNagiKhhpmomQ7BHVMRA1jf/vI8A+7 ctZuN7ecY17NDswZ/WPA/aEDeKTwZyG5rJ5BNj6gidqqM0lXDOxk7pw0sy0876kouQ0lxJZFbjNi tLE31qvz7OXrjiIN/Vl5DPsQ9trq1PscoUO351+6/qmljL6BnHSHTBkZs+033JPb/TRBCuRsL9rM VJbvSwmU5KUe+m4nRHtApFBZc7aOF/X1Th/lz2gKIIe6Dcz3mGjjil7moe1w8L4N2VpIbzHHUu6d nyX/UeQ3raRVrg0EGrBE3cOoYPOwqyB5HvrPGrhFHeZL6PBZHPBl9Z6m8D9g2lhic+7ZBx+YlifJ HyjnwwPiV9q0meXwj0br1kaBCDcgGWLSPEW/rRFtXu+wYmRe2cJ3bzSUAVcP4/5rDS3aEt+c0JES XtSxT36y4zakIM9zjFsTpZYLaUDhL18BCLUvDmY0ThPAjELatZHBNf+qVWjTKdOtFm9talT/t7Ob E05CcH6WowMLnWzlNPgppdM3/Pihm/sF/xyjWI9ZHbLn/7TFq2D6FNvD+pA0+zCyPhfH+N6Q01dq k39+7vjICQ+TYr1yYTQgLEyGMYy0/FrS0C1bBWVY616ftqciKqxm4vGfvDNAVYQsOXlnG6sgP90o TdjkDrreGNRghau5OgVMBl936bfp3/Lk00z8HDznAQojlQrEaxvIQSYNckNpPzeBu9q+qJbSg8fU pr1L5tc1hrjMU1WLUrcfwksNZC7OMqPrC+w65A2QOnASl/vxxdCV1kyiBSRZxnJwpI6Ao0ItLUmU +Sq4/fxzOLGNH2QsbowL1j5N2UmGKHUGfwvu4oQM5ZJ9DWokxFJ33NTe1jOF7Ee4XLuIN0GZYFBi HbVqTjxEx7GQPVQgoPnJ/TPQrL/2WjpBVWtFhApdWMxGLbYIqdfPgpCS3+N4mT80jhSjS6jsT7VL +6Fhrfta56O6D8X9Lj9uLMRpKKpbF5FgYbObzXSZDNpu1NGqvYqzkabluOh8W6hFdqdaG9kCslk3 0NxkNZ06vxXe4K3hQmSF+unxFZnuBjNY/rPF3gL/q85DqqNNq1Vrmfi1HJ4F4aeYbKDXC+Dt3jGo yzgBYa1OH7er8Fkd+Ualedl+u8XiffmfZROucZvdsa/ROR2ZDK0irdVOVrv1RHSvuko+Y0LwIMAa VzN+ZRsKrVgM3fObVMObLlUwRfAxRkJD197+YCqIz3Ng4lJFK+fZPwhS1IXHb0VvcltTCvtUQc1+ zCOr8ukidCHaoftjIdhK3QvtA4gMlx3Jqb1UozOmkxGMNwu5Tp8I1VCCmZVkvGFXzP5VVy2jRHdx /htxZngOzTRjC2QAXFOvBpSlu3fHgmdeizdLSh1ZZaA62DN1Q7BKG0d2pB6LSS8Zfa0TSrmKkBqf YkuYewVIwHgAV0vWhWNzTaIdy74hO89M726+XGrMFLbmqTC7Wy89sRvMGfLim/i44h8u11ghDg8u UotAKD5BhMzGxiDOHbwTfDdMMy3jrx3owzJneKLMLKSuW+T6K00zzOU17NbD0YX1bgPdpy12S4QF wFUK1FFgXCQmCOutaqNf/tZnfkvmoQtiwtzy1BwwkqnUDGWYmnY26PxGN2GyRb/R9IFvPUeDFoN/ VhUrIUeWIm+lqS26gDPJfNRBWhQlR1wFWFds/jun0EbOf747ynkAKXjNATeDIfdyIZsDB1M0lwQU j+UQfqtV3nKezXdgDwei0vYB7UIJBgITduZqfJfqyLURG8ilEbqxf5mvyNky+o4Y+99VQFlQiTXt 1OknoF/7TbZUCJfc3AetVr1bziJoJJzXiKxZeXPBJEXwR5LttaH16x97t6q3RRYXBWyYxlEc66k+ 7L1na0DoaeCnHUFNWuaIUi7IRfFljnWA8A5UtbNf53Hf19S0KffQ5l7nVpSoj2HPIwYSY9i4/6Wv L9Csk6493vVvaNMoFvS+x0qwGEclSOqN1xwI3/7q8K1GPPipcVt2gbKw+bGfosCA5/60By0bn3hj rat34Q8dpUAx7c5NMkW7zAoT/MUogwesQIje9vYHVmHNeM5E4vrv6un2qXb3oLzlLncbVb+oesGW JE2WyrBQZ3GbF9tOxq6wbOQG3xE1/SYfPDvyXvpaPc0UI9VujUCRdZ2ChFTHXDWFJbJqlQtpTs0y 5DhYC1IdG4Ce8DOp7BFkIJPs4clCXeQK7jzAhN59YXXNWIUfnLXPlzE93mdwIdc++NOQKQzthSJd Ukkqsbe8lPBA6bcpvDQGnjItK66womI0+DLbBHvmbt7ugHIyDb1OblXEK5qM4T0Omqwp8uApKNfG 9KQi/dqNhgxqCLbZ1PdQ65I0cSCdk2vtHflK2nboQDGJxAC4sXvRWfTm5I+ylhvsv02JPWwLjUtf E8WoVIQ6DvhE86GvIggtvi/nSs+5lXOswHZ83RjY92SqKCEl+7YWZoZHiAJ34HRS40N1oWzwbyOu PB8BP24mI88cREwBVIvVSUCq9HxovNgeINs6xwXCQP4fohYpy1Aam06ddsJBRX/IHK/lLPkbuTKL gzbinTvU9fodBCdHXKUMJmggrsdvl9pyRavkBTLFroQva1Ffz4FqzzapKP4UW0dDbgIG19iO6i0b tckX5ogOERaTWrpG2G+gf5HcoSHyfP2leapb9PtkjJpcTF5wRo/lYJ0FaZJdEowmRJM5AR5Padrm qNP1IDTn80lrTOFdKyWdSZ9KTyHH7j71CX+eg9KW2TUp8CE2V0v5nc0h1fkPVfVTLCZx/3ZVjVxk +KMdOdqU4SZClBJrPXz7lYJ+yo7GH1HDt1gqPuyjfkUREUn32tE7ck7TNOY7/0ZWHz1UgI02zFxY cYmnvaWr9Fwvwr9CbIWkBzjzj8DwI5KqJdcuCHLA5G1S4teFdKvH0yUct+lVWrkR8PKa/4VvlvyK YwaOYjyVeAh/c42igF8nop6Vy+nLCvYdFy2UK8krcqyD8ucETXV7UToJjGumPmkKxQw+SgGJP0aV CADfVSN+JOEGNjTJeDTEr52H+yS2WbEgkxE7d61TGEdD5bg8kWSlyla5fyhhrp67Cl/3vByP/Q5Z mP9+Lin+Iv0lzGSzpYLJDEdfuo2ZP1tRw0FP3mKLJVb/aeuJjIIGIaLYMp4GC0pzNVwn5PFhJ5nv FhgOOsemzskxxx7hczBoTsDyeR5uThEu7gV4tU0r1zA+174YGF1stQGRJyGdAyOxSDTUJQWZAXhh RFxQpgB3M2RE7dwnr4fFEflWGQzrJOdhUt8/aNG7ziRyBf51PXFgWV00BF2zNvvDKHRo19JXyCXs XpgUxDhGScSqEkV9jbb56z5TB5FQIlmOmpU8/qS1ozuY2SUaucsCWXbU/+OXt8L1R01vy+fIubPA 899m+xtK1mz/UDwK4e178OxN6JkFvu8wcklP3O/1rUzE7IimS7ADRqasMO/qdZdrGPH8AzQmEuSh secL0ObwE8lbsgkgLUE9fSTnwnPg3+GNkXUv8IhT0ruTnPi662JI5OCyGt1m2Wlq5uVwPoX+u0xt djkhowW1yT++nedKgxmiz1a/ooCZ6Npm7/mK2IOS41iCoDnXywYxXNY2D1ZF1Ai2x8x+9SWBztXp Qc45/JElGNJonJxzF6dqNAT+0Xg8CEokbPD0pgsUuxKX5mGWDR9Nq6kiPdVmJDaGJY557WKwEq+R +RiKI6BYojXax23zvEuW6B1p1TMMSkKZyrpoVS2MGku7iWBpAdmFDiGQoOHwuWENH5KsxmxwoZOu UW9XyKPAEsviaYMPCQwQ7XyOy4es7NLv/kWvcmJz63YWCXXl1s3VqOWmLCdBDZMACH8F/JwA4xKZ fF3iSoNIyOeK7lxFHKRf5WUFRqVrG7YV+kULqn4Nzu8w6GSeR6w6kH4lrmFkh11pxZOxx2KWJulj HMzcU3WHDTMie04tfHEaLgqBONgAXzYGXeg5PAlk8B7CQVRzufgZEfHE6n5iIhc2BWxUgMXwCGfv D7nAJMElC4fiBQrLaMKhytmVM8y/txaJBW75B2AJnFZh2i8DtpHqlKXlEqX5nNGrGEOY524yQP6P HsNhDBo9O8PI5lMimKafR8zevS+5Ls06cNpMsVvKValpo8CIlKLbUbUsN/SPJzZCUDvD7nud3BUZ zBGxfqXO5c6vnjK69WzbiI+ULKvXLtoPXPLfIUpQwNYWUWnL15EXYITf0phzfKI3dPoYWX3Gftfq lsN3vL33qWIcUZCOZ468BFeNE7yN6Fae0iISqTP+A1dKJQqtf/+FWVxJFZTZE3iTZfShC85JHF3Q a5yYVd1CHNDvgFzNREyhu/7xkoZOFAgC/vOrVXCbB5scMACxChziETnxjRoPqoEihToxTp9G1Ahw 95Gi4IZycBiHcHtbQTcfkmKWLvULUg58Plmn2s+8ioObe3ai39z3W5OyIqgTJ8FEnRSUedHe5qvK V5A2Y0l83KK44Gz2h8sZYaNwwdwD7OMZ/eoz9C2PwCHaJQ+84kPJ2nt7fuCeafij4l5t/UTFm80Q cVG9x25bkJt5MwChG8aWu9Jfr+LvlDJ7OgfeOF47ronTtdu4nCOwvCbrgWuPQxswU/yiN0wYxKKg 5bIDUO1jiDW3yskhOrj80vAWyflNglb8Ukyr/gFyoiw7UPLW6YRWDxlKJZzA+SMXsDXi0W38OFQ6 YkI87SAORRsy4rbWnsISxoutnix/eGJePuHL71Pmu3f6aUMjanUxT3U12RqdU5o45QpIgZDirsuz x+ektIggGp4XcUzPKJ2B6LnZjFGOTM5TFyxxCq01FOv7R2p93EGJbN04x2E5WAMRjzUObY9Y16jS k0ROQAk1W46IdM1BGHqwwLz5fmRuIIWAPyiyTZ/UT4cuKEbpGYjbEKtLKGDQUj6dbNNyBDWhw6FA S8semjanTDrm0cyDUpUxgR0ReeqE2lzLF3FVP79JGd3X5LLps2w5aH6Cl0IuACGjdMyb58hfEJVA 9MIsxCyUv1P/RLCqOwPVt1bRedZlzYcL1iCEHlsIvgEPJH6CcCDyByBGMLo2jZMs/wNfZ3AOfKvx mWQIL7NNGFjIDVGCVoqCUrNdpOz2pDEdJ2I1sAjS9l/EF+oh5SnqvtumccADuO1BEb50uWsuP2OL rL0WhtIJvlH8NqnYVS8GqSj9vKbsCyHw/IPzi9Gx/KHK5jUae7oPdhw6mW1XmPht9t9qKHiin6fr MYexzRQi34HVEUBSXjSkixid85eyOQWdXZzZD4GEcQo/Lchja6QNybJgDr+ooSL4aQAcVliY2Olp YqT8XEP2jD3Gr3w82R2DAFxg7w1FBzkiL+JV6CPft8K4n8TKfgC2KWBzBbI/YdT4VyqEXMkNhhIt VolWA/iuwXI00KFzfFB7oPg07AINFchal5bFu68L3iUN6ub4JnrUsZoXBu+qZ3xPAWVgEESzSnUP IMkC3lSc+edxs0vUWR8uaXKMfZS/7+FJnLayWreFTeAKdL3euZk04mcwDgZRA7x22JU1H9ZESkQK nlZQYf8ZwlbM/O9x/mmhgeqIcMeaVr5MhSXBwWcwtl9MpplOmGoqpi8pifj66TqQuJAWzOaTRcjz e6ekofupn4o/lDwWKUrNvo6RgeNOWI1aKZxho60aV8lzbBkPZdYxnDPzmsNY4ooUHlBszQq0ifU6 iH9klO/T6iooUydGU9XGA8Rnp8R04O98bG7JPmQE+x0RU4ccWPyNqM2foSiI/tvONCyIOx2elxT1 LSKzpN2d2F88v+5De4T+P66kc6cz4H1VWXPeyT9nroWbEkwudvESJ2T7/+7XrvjI7oFm+b29pf7a RFIhLdjrj/IJjF0xxiWWPj7Sw0MoU+o5fVAiNTthMcb2KbbaVaQDtvsUoCJOBP3SpNI5jkFEPhOO ahlA/QnkFA4Hmz6Cv7XPHQMLz4j/oTlglJoi+3WrwVLdmXs3dzZ1BnEvqbF7YRYpkgb4wz6glHvA livWpySa7hZWumsjYLdvp6s/3eL/7ZFau2KCV0HYIucnzWp5oRXfhVEIX1PxKqRHrPA2IqkpMKcs 5GxDtbm6uqUvORQo+SnMynTgkrHpCK2QPVdfoMQZF2zu40ZJIWrT9JsIjZQAjpjUvkxQkA9IKnyd yONKfsTyLjmS6oVXMp8PQJJ8fou4hVXguFvDYTEjXa9pI8z+8a1xMaSTrPq+Y+TC0X0QO67XPtzK 0wIz9haKje39ADoEaEKNTGPtMaYEEQu+WVBNr3jk+CzLYjCixjVszRJoF7yEKCHXYGxnjvAJYf48 2s3hzyrddWP1/kfC0Inkp2lUuUqwtYlYckxiIAYFTSw2KzK1Oc1yj+1V2p6VKx4RE6Iz5k9BHaR/ VsiSRLo0xXr5ajPvK3/8uPp9y79tU46VnQ1wMe37yEuaIan3BZ8Q9rYFNkVqpLeCzGVxEKpXm6yC 6yn4x4D33FxObRc0EoPGKGmELF1kjw728mTyGBroc2lHXDkHbm7HdWwahE2YFH7zD2AHB12cNCgR lCZJN5L/ZkEh7KEDn0HZUPuiP3OVBZCBzZnj4Gl9z7tcbSHiSR7FfFGUBVckoFbWPz4V7XuWFTYu Ci7EA5XJtW3Dt8Vp3QMAPg8GJXhqZFTPevjVXysFQFuSJzVTigwdJzo3uzoC844+5N/Sl88Q8VtI QHkkt5FSkTL0bP1o4PTKs0Mlb0bH5d85iLWbR5oyE/y8GR1gvYaEoLkPrRBOrzm9LWoe/8htmYDy pniWiEwy7aD63duVCjsjGNqkD3iZ2ZpqxgFjaSsX/Kp0hxsm5/iUgMoOu8P5OD7y6SjyQhhIIPhj /4ER2dbYmLyztga26lbhcGLoUHA5sWhGsZFjR8EjbdCHkYq/aID42OGmVVdAYzt7OAu4h4t9z0Nr sVzgZGyS1Fqs5xbluSXLnhMV4lsPiBhz7MYpoRUHMNXXZxIkFn5RguHYxSZewdX/hQU5j5IXNkLh 1J6CSVRq2TTZyjXRM6QrpbfBEpDk0A9i+LQDBD3uA2y8Hqka3xlbwJIlTCCV6KWYn7PwqcSS7dB9 u6RznpoDCMUQ4/ti8K4B9abt/xOpAr/k4F1FlnIqCjfdPjceVO26DEU8on/ikmfnIwEGAzkXbVy5 HTPeeONutoXC7vQ2KKq0yFQuzUP5IxIcL+JXdoOKyXZWCJCyWHHjjXz8CfWxe7YUWCS29ZLlNmgv miZUGM3StGZYEsaoS0fAPuruS+Jz3vSlTZyTJYiWCFmjj8+v2fSvcZhzEHzTsk0WoA0eAKy2oeUV 0dnZ/Bz8hRRIZmEZG1K3nLIr+DjGeUSlecCGlN7mI2Ikj+dP3RMCUHVOQ8ZU3nCdmUjrLgDydU+u 3GGBS+lPK1QmJl7PTWsuh6TNzRoXTF3gT+CrxkaMmPQF9Chz7tTvOu3NE3ojdCi7/C7Rh3tNuwId 6Y8Q3QiFCDd3ySLZa4uV+qVmKoIZGzUCvtrjVtIlcpobf34RB+srUm6+bodviulE52c1BVkwNHHK BQtGOYYiLPQKXLlEyxXgMDXULYQCzQrqSGbzod6lYLtCufWITif5DvxPMWu45qwkHHR1D7cw3qSA 6G1tdrUKBhrf6KUE5IaBb91NxuKA8X4V3KogBfA7slYxglTQfPWlO3ph/UNhUXTaFO7wmeoSM6/O dGisTRsklqHvS4MQB47RZQsGrVJIO69DC934dPYP1XFAbFmOTSX82rPO0tQQxvT94uATj6ORwfBp 4R9bt8OJWvnKXGLrDByzdknZX/5nqGrsCrMQMIyqdE0qEcOqz4lm1v+riOPAdeMZq0UyfuvoL6he gb8M8Zfl2VROxIbmObNKp5SXJVGyp0AXyHkbct97bXh7G8nVnQmz9NZa2Qdxg/omiZfOPrxSZ7Am Nu2rTVgx2Yb0gF6lz2kxfpCq8ifPi/SjjcgD/smnPZ0ILxwwIXU3A/dAK+A4aIIDrTW8m2qrGybW vPXOdIyqNyD9x7zcO0kHoHUoiUp3Qlz442BuBL4bYQ4oElKvReqLNqzZYncByDVhA+JBG3U8pdXC rqYT01uA5Qwy00UmA3L6RpSf5J4uJPljxsi2QEUxobnaRr1Jd1w74SgHkLfD6gfdf7XKm1DukYm0 h64cAV4R5ZIHX+rIRI079j8tpyPR5KMYG2IY2nA+v95TLLG6IjxCAf0tiogJdxl4zOqjGzbjHuVG 1Zxx+DJ6Gk8GQj9Yy1pj0CUvQodz+DUGoWxt7mtceAMnjtb5JwhSFyHFxf81Grs6WCSGqyf7892R hjsT8dQ9sphAdmQoRX/zEcg8Z/KpQ5d6I1yIDl6Fgjtp7ySWfPEGj9SdhALVmk91ZSB57/k7MMkL 0Qp9kFeRwwbdrFPOjNT5tOoYZXgHvJdcfpc4ybw0Hsr4a7YLlp/vnPE2EBdgXvY4FEr7ayxeSIn5 WZ6UUjbhED46da9TKPiR5lScVYiNzg2koiy4LuyeyC66EkwMxHHGPnEu5+vXT/2eKdEBUsnfbmtN n9zDa/wiHrvBnvB6QIM/27il6s9sm5J8EwnNDInvOB/P+tcJ1W2p9284nujv0GRDUGzT4V358b63 YzqkKay49E+ovMDRM1brX3U0Og7N+d39LpmouQz+Jah3e/0pP6ZxQLJsuajJjKR6OZvZNX+vjWAp XkeFjA+K8vJljdZZR5IYr80WVVTbi6sYgRhf8pCZsojNOl4iJ4egUC/ibl3s8k7rFLjuE2br5sff ObkGFf6MODB124oJCyC2YBZ+irwFKi68W3RybcXBIMxmxYfVM7sR+XmugCX7cmdwR00rK9y+1x8T YrR5yeYulFJ4gubrMLP81cIJr0KnLe5opbbjwkG0Yhtj9AyOD2sMxSf6cwb74YOPN0yG7bee/BS9 hs4yZEoyu5aIFWvjmQNkfupiD9l0Sfwxyfz2tkECVp80OMzQY5TGP80RDyQdTI6CIIuppBfOSshd Bb9nw39li60/wi3y96bZtEl8YJr7cMhKeHYzHPYu3eLyDzbEZXSuHBo0WxAN3lew21qBGoTVPBIr v46kNmvn5JRwX7DQI7uEkO+fMqP2MvFKb5suSrtTzpaZFztxPwQAWGZYIdbMQkKyIfOrsSupJ4x5 v2pk0zC8vd3waIb0t1yqltg2ZdKTt6EWrBzhhFadbFX4g0rm1Ybgw4YcG/DUnjSe72L4m6dHJz2L bRnULCrM68aLCCYPV2GNolWbPvJK8xvsZCKD63ZpCiVILNHRvO4C7HsxJH9Bnvh9pONp5/g0WOPS LKW2wplg/Q65Ppug7jTtRIh7cI6mFknZ0MkhH2VALR5oP1UtISXl0bNsAGYtZSZxvOk68JwRY/NF fCpk8pQsPKmYmrDCgWgkto5IOhGz1g87GNvloQy/RLfx7JV6uFg5CYGovZ0dGdHz2pYL7RytcTnj SG6rrIf1LJ59/QZZhmTXdb0BhY/g6cNgwktfbJ8COQ9dk54s1w0kOy4yBmvLw+u126ECZi/qNBdQ 8rwWqbcejrUCSq23I9igOtPk8uy1rPNYV1wLU12eEn0NZDuqngaz3BD3ygAwju7wo6hrSZxb1cQI 1SnSMdbWq/nnMZlaP8cEegDlJ5aiBtwsYs50xo7Wq0Ra39830KmVZVP065ILAiegUNqdZom0X2fO OxygYDjmA8EO4+nTWUhBVtNnRqOdJZ/+bf2KWqDUmn3nTz9kMB2Z92fjCGPIecg9uJ3Krk2ps/DP Z7GO8O06sbRfWZXVCrk+DNDBI7gSXq2W7flj6xkJrczk2Bt1AObxGgY5F/8r+NnbZM2sQLiDcvo7 hBJ4b0jdE8pNdJ1l2u0m8drl3PsnEdrZ43acB0WuSAQOVawEb5GvsHXljN40D1AdDO2jBKjuidUu 84JhBsa7lHvXGRvf0Z63+JM1VhouPm21KJySv9ooGBedV0ZDg/oyU/giHg0RYQUOZU2dfhg9Jzjv PvmoPZwNCYhdSJDqwPKapRmnsLSCyNDq2VaCdrvh7XomPvyT93BwXQ8RkNRX8Pi0fAbMJgqzcwqR iqgJfzGbsHoWXH0NcyW2214SVdwIJCxopNjThxicXiwW6cU3t/g0SgDyV4lRa6nLEkOsQO5+uOw9 X2rpEMXKackDEvpkB0ntIdoP0U5qPEKz3eiLPuTTV/Y1L9b04OUbVyn6T3aoyheRUUXQ+ZTLzPRH 2vnoPVUSn4tqRL3yR28YPEVFCkpu8ZCSpW4lu3NzPPhNggnyo1ZQQ5yckqOVkJiIbIxSq5YJ7EYW BR8Kv7jm9yUx6U8UNLM/U1Gw4sZm7U9hO/BmpnIDEGGaEnBlT33UUKHR6ARrb8QluGntEsMOY8gA M+ZPfvHdnZDKRg6tG0Qpc6QHbOSLi/9Awh2B303p6uQNZwALzz0vIRvsHbjMmOPHG0xxdKA+iZyZ RDK7zw4+yYRoS55Hmpo+qxs0yq6U+hH9Gead9i43XWogGHwj8+usE3rIS5MPK4o3XbUFi0X7LfLV VW6vBu5O3Hu2Fsi4EC5YUFNsUcNNoP3OWkhMdDI/N59FP7lsa+Nm8vTBah2ni3Ea2mK0BZ1QW6Q5 +sXKsSSNhULjWv4fgIQuUo02S/PcVrZEOr0ktgzd/ky+vayxC6M4lzRIs8oxsnPByOaOghxCdI34 pXV1DgMZxNKWRo6WrE7KbHb70jdHsCntOZ4b+xojdKBMZnZ/BrWkMfRkYzwYHx3Ksn7gkhyr1NbN nxtjdMFa2S2zLxL0eD5XuwVIRVJ2NsOF1bQNbllvaQq1D1G8VwrxfXdsA/oraG6GOQDk19DEjvJG ICU1BtWnXOzfgC5IXCUMR+UUfUmrVGFqzjMP5EZ2oAbrDB/6OVmk1t9rmcJswrTis0ZR2ei6WXep XTsF/9Eh4UK1rH7LPBNyadBIeEq7ehogSe0rhIDQA5+KNNTP8NDK9/ScUz00d5cYu5Ko08lSs5VK aS7Eh/CUxwhjf+nK4NGdMrN13VCQbNpRUpRvVAjijXEivvj0+4qGgoQUqqPMnUq3+71yL8IvI0K2 2P0AFcwLgMR9TKEdEU0yEo0RS6mQnpVzIfRf5W5/K5GuxEYG4UfW1OD3QJodwBXBwI04Ns8W82Xh WQpkUhbDCkb9ODhPbG3P57N5qmtwkaklTlRCt/YRNC7olQQwK2fV9qeA/3aD6xEsKXm73VsPPBCG NeLNqI9MnfNiyPBUeIp9716QIzmEec6q6cfvoxhrhnnhnJo6jcc/xo/c9xcn+sXL2i7pRrux1dlF rloj7OPPSvg8QFJ7sNDzZehCivwe93ZlRH2jgN3X2OLv+z6/5NnaxydukSX9+Xq/LVAxoncpScoa KO/bT8ulwJachaOSMuWeqGgDiIvauT7AX1umIDLmoF59wER+IWjAhrkYDXKNPrAedMIp6qY0xaEl NoT2hK6iuSs6+09byYmaRPmFZrrcTQkXCUzPTpwUV8QxRra2+Oxi/qFGPSdEyDN2h4ER3RuqE5j9 U2hRSBn8T1LzAr0c5vZ3YqVH/XXzFjuBNqOlIcGtrDtZBSvqhC43k3HoPaKhIA3Fp5TqDghJNmbe S28IKDIIlN5hAqo/pTAdq42DI5hfb9SCEQUTU17S6RkfFX9vIfOEdYUfLAI1zRCwF720sfRXQ/4P fmMFF6d1Vy6gbIIDXdyRhU7PXhKJpzRuiB8zscoI33JOH2u4P8UqkFW8GVZa7IX6ms+KARoAwhy8 X7I80frQQ9TtJZJ7MIcyZ571tftf/sRgwAimi66zGjb/tvXjspQJ2EmjzkG6td7yBi+c0sj4kkMv L1h/sh5Tubbd+kkkpwa4F5EYEnvENbmVTW69nZlhTbPklhwpnF/Z0Da18/2ADD8GKz4Kufh25UYh j8MNXbX16dNwgHa61h5pRFdyTw1zhAMa/impby71OXBY9ukWx5aTWGdUD8UVGzFUEbGPxdgZl4WI F9q9TaAt/pHMJs9YTX/+DUYPxF91eSVxdjNzg41Dp6lCo/Bhcim6z/Yvax4v000zOTkDyQkiHZ0J LSA5ouYw0GE0Tqtmsc750LEU7x106/XiQ/FF+P9C51JtUMbXBR1IiLq+mOilbP5bZjT7IzGAgGpr UE6q+5CI5FpnU1HazptOv/rQxPQ4nN7epggivIeiBFM5r7e63ta3GTP0g/PILerUbm/tf+itrU/o /SBltiPgqD3lanS/n0taNClIzGdLh6D7I68MXTqXDTlDKYE/O2USGTMFjAUpdmmGsEZyiOxOMY0t Np62oxwrgLRAs+mquVO/jgyUZRxip9FN3B1esp4KX5Dlhm9MKzaPe9/FF1gAJiKzGNEftCBtKAUU qA6qJ8tQ8WzAIZj6JKAUGwgU/Pq+6p4vbE7Qb1z55OMXIvyV7AkUERxa/k7vzKW7pJfKvIHMs+eP Guh6ujoGjHSF/JVw2f19T9aiF1AUFiDUCH2jX4bSzQ17hsN7ZQ5kbH0IhInUMhA25ZCben1Ghvts OBaYjNZ5ZihU3cvbT/Kc2ID71qfNArxbB8fjUruivFN7mfNCEGiIH9N/KEihYqFKnxW5iIFSV8lt snKiluvNjskM2o9FqHci4agca3CvkdSMW7qMaVXeF0pIK3lqglmvi27HL+eWseiHgh8yOhinxqf0 ggBedhZH0RORDyJaEecpun11jce4HCfwRtaMtIK4Ez5FMnfR31UB25/O11O+3j13G2YKymhe8tKT 4RqRFyEeky3s3y6usuoSMn6kePTqNXzR249mK/gLmfR4umv87l/pVIXkdw4ULdneLpAG1GXZNe7d snRj1sC4jrRjw05Q1sb0eYVVqnlNQK+43dr743P1g9iY+6dpLCJztSVlRiUvMkLzh+tM+iqU1U7z +7CEbm7d8VJU/shNd03V7ezZIIcGf3FekAxFmCf8PnHuJoS3oauh5zrJYjfWYW0H84JQe2fnHjbO mmBVfcccvIGjFjBFHX0gglO+Weujzx1z8f+WZwlwcQk1ApYyzITDLywR/ZxSEO61iquWPx8pg+8q YIAweT19OUmPcwCYdr52q2gVr3Q4mqAVMsxlzSaDHmuQk8+IdwNiMwCLacjQzYcDljvxLVsAbGjl xF54zF8fVjQAzO0jgsr9JG0qILARJeeHMyXKthGAfK0AN4f7vDcI1ck9IWG12cwtsY8C1r2DpJ5e QH9GT0rYTyNSz8zDBn7LBBgE/eiZVuAcyr/E4nT+rfVWVyPrEx47b4qLBlDE1ZTzx9F9oRU7f2lM dVxx4fhNkuO/a0v8RdmGXDPbRnsK1BAAS2pRusYjb82FIdKuwgSwbt7L1DbnKoECkvQVSDckhgAS mTtXfr1PFDbBxqI3YbYO9HHKxg9fMrsWfBt597M0FuQc1Xg1vRwr6FpTq4x44mAuPt80c6LgYSDy PAUCNihNmhnR5YsOu0UV6xrogt+YwvnUhSSpu5NXwicc12mJDWMX3QLGi9SV//wZLMRfAo4UwwHL 1MR+4NWzgIKW/z7g7ZWoffWxr4Tyo1nN+AvNfAZV37AcIZOQ65sIlVDZ9YbPqJLrGuTi+l96zWEv 4k+JNPyxiTNtNScBWtPJFpU1Be3q2u9KViAvxaDcb31n1h0UBJhR39D8WZEvEERzsdEgawS3nQU9 3xivKAjBGVPvdacU9nmnX2RyjSI+EvfEyERxJV2QDNaWWYPnIMb3bkOA56UuqV3OyMSynYkBItUl dQFUJ1zF1aD4r6JKLsAXa8hKLpNMHRUys+YQp+7ZGeXQcQ9L0074tuAsDql9C2K5stNYQkPWc6IN cCKzgDN5GhhEbgoQFS1dB3JTHpCuCIXHkCSWoVhP2jAAbs2h9MMJ+KUZou2iXP0nDgNj0mwjjL4m MMvzqEsLvfuyMLO9oTcytvSoXrTbC/RlL95SIe4+74YVl+OF94efa0PmURsdK6pxPRDp7tQDpyqC 9+EFRMlgoaG4HES4lnMMh9W95La11GksDTwwsPBD40IjpXcVWQpBWzewHrN1SSLCgTgDQXpqIupb o3x++d80I477fQY2Zowbau/dfGePqzcN6m0QxlCJxh2JTGQ7uz502wjK7NRu5JmN/6IkmW7n1tlZ 7EV0cJNOa3FQiMMDYY3aeoCUOFOHsdTOHsPT87ieMD6ZMFQmihbZhRI1ii0nFb8bXE1Hn9iqPyF9 jG1Nxu9+yzns73iGOqe1fPDBg0hm1JgcmNTonlwMKWX24PIAqHibrJq/T69aXDtXSqp0Z/AmrKJ0 0g9AZPWtWH/B8J25AGlHzy2U2VAnlzyQ4+3kNYNlY4eF9tI7/8Ntf6P0EoUKCknmc9RXna6ivxNP qHv+zubHTU73sK+W160IgTgPOzbh8vp3qZwIqboBDspfvJJQLa0oKDqcZ+Y7QgQpvOGFIdQhZEDH STIo8DWN94wrkmmmVrwFjMaov6NVMtGTJGU7DKeXp1L52zM1Bz/95zKWLMhFSSc7k6ddQKedZE++ 0GkCNvvPx/Vb+P+AEEaN7JZUwRHk/y96JGF+hOtWgGJwQcS7XtKVgc+YwwQ5dFAsX3L09OYMd9b2 HkxqEnxrp9oBtI2wEvbwe/pexX1cOAuAire4Chlzc/IVcFbK4en2cFzxHDCKyI3WUfdH5Vk3YDqO tc6bIvzNygOTlwaqU6+uMEYsM1xL7HF1kXbEGmcurpaLH0i6KqdTANVaWlYHufaZFLv6lSB0O9PV urwvhyhUPsGKr38nKC8KzK7My0T08IG6oDNFFHqGyXmfo9sWvKRK2J/P4ii87hNa9XwCcLb+v1gH FbUIXQBCO3FHTy84ApVsCKEwL/7yp5DjYCfFVch0qpnjaGpu+2ot/xSk4h0fPtdWNedTLjYF3iqY IfADSF8o/xOf5OIAen0mmN0fyzchl6Y88mjWbyFPrQ5BQ4ysuly6zLCtVtMsSOZ8TmOYW0HLR/Ru CBvMQr+/MmAhnQKBFjV8YwltulXXeH1K+AY2VLYHEGxyMeMAUo/nFhD67gh3kGhxWwKT4nJ2RwcC IkvY6rIQrkR1hMayDa8DoGqYoOx7nrF5hzm/WtQoQBReJOiAZ/D8YYM7DUW/7X9A4PJijxbV7PUK jf+VtjsfVKhco7AFhP41tJBT3EiujXlF9lxZc2DfdUoGavBKOoDJR++8495CDR2fBYDTybpJzGLL mitOJtZMq6DowdpdO9LushTtfNU/GI3PUmyNgdpxG1kl3hrHK1HnT/YMq3UDKQ6YIEXmMF8QnjVY dG4UvVIrE20S+qmlgrgEiRjY2gW2j/aMCf2hIWrSqWV7Hc6X6v9xsYDHXFpdbc719WN4PBvDnZmA FdaxNP4T5DPqzkKSHILjhLeRqAkUGl86EYaifgmCF8uC3KE6GedEhIzk4iQOjdHzBuD0oEaLPnpR z0iJmvudPu4yIbVTTCUFIRd+hSVsZY1ZmLUmaOmvNi3y91r3dtVGsSK5M/x7a99WRrwZS1BZi6ox XioxQqB5xRqOMTCwVpt/ot4i4OiQJkFfYtxDzLY7hveNXhS+EiM3HXcsb3ejVvj+7qAKtR/Hudny yN2yCbA6ZK6yGtdfgz7nRxJQJJevEFv1UIGMeYqfU5cdyZiyLAiBIHwJzRn1aByGT0VRH24z1A7F YzG/3CRaxN6XNBHRQllazkMti4pA/+gTKofJFFzbw7ohdZDMgMZ94exJpapf1tno2cXftGtw63yK fIQKxDb2B1jWVRfu9CKS9e20JuVaFNnouft9kAo4yuMo6kim+82hh2iz/Cs5KtcLwCGOXRqaD7ed 4/os2ZxFIyu+/trhdobct89tDEO3atHfCWH/40wVI/6XwxmgXgENhIH8M8X6+TEd7uZr57vN0Jgq heNDqe1bEAl3fIi2GlhDrTcQvzV3L/1W9n1/GpsORicbzgm5ekKEYdiREv8fuRwt0QHfEq514huj QYnnt50QeOmwnsDn3KIvFbKkQ5vLBYEjg+SwgF/8g21CCiqBMsGVQEQiBdTfkKdCTtL1QO88KKFe RSdsoN5P1mDJ4WyQ/4Od+HBeUpGTaTvufZOHGB5+El+FqMCrPYElD6PbVkdzJ1QxUpHLG/Ohouek uoyTzuCiEWwCUvWYDknuOaisazMgV92aOAc4VxJo6UrJG8F7igP4USpBm0gtM3ml8Y+QndTlJISI yGFv3IjyUTaN9k3eUtXM8anW/uLs7RdOlnRWpV7g3crLqH1Let0BN02GFtYXB8ZntozQroIgtxNn yxJBtzjhp5xKhVaQ3nDNHsivem7dzuuwaq9Ua69H4K/r3DrRluCT2A9ksPoPvFc8lj1fC+QfrwSt jg8G5bwlGMgCPf+J6YP92IBHcSkdDyBr33umNO2i/B+WRHjGWVCbveKYwNQSjbz9s1lJXe3m1H+W 4uGfoj9HfIoNCtA618WkJ/TsaoYDhAyCFyiXJGODrWLCRBYkKW0hw35resOlzsIhT+hdQretyxPi CDrA0K0c6qZPxRWlgbQOQKoX904fkswCAyp0ptk2EdL/645jxlY291oy0cx1mRetTyuyP/BBUxzU LND3InC7xZfPV4Xat2cfvXXmJbgyNbusdnLL0AHpIQzS2QzuPqheHOZhGLoG1U1XOXIiVA4ivdOz JlbPLDX4qHcntXRCG5dfWO3S4UgJ4RQKrv92Rop/Y4984Y8GLokZvnndcwIm19P/nZC+iK+GelMx xZFd7iDdkiwwrO6SjQInkZaJBDGqOL8npkgXghJkB81TaaEM0qN8Ant9EFoZQPjgDDFVCLCPA/H4 pAXJJfaVJQzJQO8PBAFNF70tXKkj4BA2ZGpTRuogOsW9hQRfwKBBQbli59+YCRBnPOAvxDV6aq7x 4LNQPyi4hdSPy7j09MCUEzCVmUGq+mfDlmb7D5vLTWxtXQVOFqk26+PqdljOJpbQ7vFuVoB+xtrh bTroGnodbSSZiy9IHalAL9ZeXbRMyQ12Tx6Y10SH0s8iZUsf/15P6CEmbKFxLQEDvcHfdi/cr2sB IwUc7Jb0kdN1WjW6BIkjlst0BhvAnrME2o8PvCaJtmfhnE+hLHJytewmnbaJy1lTSZQMFioGE4GT tuZ0ZRHkHY4MwfWxxlerN+AoMN1KynRzB2udG927fzwDX1da4h5yoGaNhcmkbp8YF0+pGkUymBJQ kYXqBhptTI2bBDzxzDBS2R18U97ZEY4066Gx595McXpKQ+wrtCGkEnA+Q8SXWR6V/PMpo7V1G1cA AiLFgd4bYPUaAEisOuJC/QYHloOwHnv8LA+gYQURwgS5NUArsofofR5o53l8UgX7rEuyrQpUT7e1 O7UZctzp3Ldx7XHdSP7PFfqQ/g6LRPQKqCissUimk8rS7T189q5xRAzDp28ckYy2nYkGdyxHuidn y02LQq8XasxgcPbF4LTQ6O0HcNNrqjfB9faa06mRuCNfmC5QC9IBrvjwuVOazy6ybTZZepaWKc9G 7y43lRDyuQ6Kos0Z2PXckVTZps/OxC7+MBjg1JhOaASnrAoPb1S8AKEh00b7ukNf6x/ZOuRRe5pU ZLh9q05mw4ijSc1axt9RybS+ET+oBd+ZWGEB3xrAigWIesSwcB3hEiRZcrmkD8GcD2cWGN807W87 I3GhoieqoWpgUaNihgsnkgbiC+EE0ODbkMhksG+DkNaN6LtIRXQA/6mutqbFUNAg3RhBE9OMVJpJ P5kaPlw5hGu9UlBHNOD+aYhFNSwkm/7MamDHcfw10+7vWEfprjeHRhg0PDy3mbHE+AvdAVE84eYL ElvSo3NMu4BcOo8gm4iIAsAoRDR0RKmAtldVHwIRgFsOtARj3gD3ixJ0cBkYUQ2mKOrr5kSUptmH W81nf5a93y3gAcVH8n3I116bpdd7BqQBkZnabMq0sPaYMdhPjnFM2rOT5+FEqADUHJLpO+zvSfuO EwsAeoAn8yqxxZtw/bsrvZbCrqn1Z2BahwVEnPNRhJG2kkZr6bstOC2wqugYmjaWVV26UMwmE79C 6iV6Z7QdJwwsUWPUc9Kh4Hf322SJ/ui1uoy/Ru5Vqj7eayoiOJKe2Za2xGYeR8SSSKXoNE1mlEGa /Zwk2eZkT+vCJHzwMLVA0sCMzL5vd7yD8N3Fj5P6WFMgXV3683YZzm4Wa/Vk/GpOtdtyWFW3eQ/c OxTWax36kUt4Q7V0yzJcEEHAoWFh/Atbznx19oyioZFF/S+ujEDSuJc+GZYWtLnAi9rQDqstHlM9 ewcq0R/GYPMgQfIJF/eSOLqQALUMWdCC06ubYYspQB0gBZ6IDFFS9MVLvPr6XhtUuD1tRTC0sJ9+ VeMRbvbFBfKXfZryfLCpL5vKstu7rMfmFOF9AL8vIuC31CLzKkxB3px9HqpuCGsrQUfiVyVKK4xe E1a3K4c7WoaybWl4HfpNC4CyMxIvb8+O3mTdWsYr3u9lO5EwpEAiyg53KfKIvMr33O1GU78CRIxQ YNhfHO0hfCMhGHmFM7XGb1+yyiVPo4fi0ybN0h+ld0DD542eWxTVflLU94yxF/mcrZYED7RlXLOS yOyYIyzuSgaDxD6py6WilnUTkbtoNr4mp+L2wAHHOychj6nxgZmyFep34YTlSFUc2IQAkODM4tUN +nMLi7CpZyYtsysoyxegZoBQPNXes9qrQ3rBTckdkUrqn1SBsVFqOafFOAG7arYLATJcPQ8Iib3J qdDW2MYj54mpRsuww7in5JfbXJofgP7wghBLd/bBPMIIFjeI8b4Nv03UthpKvgVcTEeuM/MC0YSm WbQ0DqH8rqELCpc3r9Iv/A/DXBBrLejAH2WGln5n0+/Qqs+RS0+jhPuMrC7QE0cFOKjSoUi2+5rH xax3jrDrXQK3Z8WK6bq0TFX8enYb+4MRXxQHU6zTfMIirrsoQ/lTgc16FLvpMDuFvyF8LiKkiEhe +WUacJgVShH5c0GFBbjBJu6cq/anK/Ts+jIlvrU/Sp5pfIiV9I2V6ZKSOS6dUJ56F2I/8TwiHRk7 lZ0hsOmzbBWUqE0TD9Xra2K+5zyePLlzum0tQ9VwRucr0S3jpZ5NcSB+oRADOdKeFBcqVb8NmgSs uh73kdePbApCmW1POjuTsKpFiW0rx/RYTPwqxvQNUWQkU5ehKuipIiCu5JKSjfmTgM5LqccP9Yfe jb/fN1R7sVMD6B3/66HEwaq9BqD1E4mnloabhmpQkVjzHOL2ftp2qhKp0PYWeJ4mwjwhY3hFLZdG MqBSu1a/XEWTVnCR5KsEfl3dDINcmryvzwxbR1w1b5ySRaoFC0iR9ge9gjX6EnjPTgtYH9jeBdTg V4kdoRsBkPW9ZfAFbPEYaXz0LBaZT3DXbAM98vpvLK2hZoti+F1+QxdK5s2Oq0C/5cs2OnDlMom4 KJnaUIeEl3JnMTCZ/ZeH3pG9hLFkYAdO4+PjXbH2SfsfnT7RTWCdB5857WkESIwx4d0VFu7jOL/N 7uplZ7prGHZugCZ3Ianu840nA/Yd92YTAH9h0pinH4a4Vlxb01R65jxVbCclnLzGrubBdkqEvlej BFrAS1aEIDMG7esQGVgwAGysLCdaKNU89YhXbEoCx+rFpL99UmBjPhxPmhQoHZofHhXVH16280Au aigaIo73GQi17R6sjE/B5WKeZ+8hRqQkoyxrp+kha26Bj2v/2tvb7TABnEApWCek4/VEYlyiy3vV wo03zpWyxjCFlGHrTVVm0j60PEVS6iQ5XQHpycAwfHxuR/EWH38q2jBvtjed5tx9WtsgZAUBgXsi UcqFuz18GTeslFvHS9phsx1+p2cqh6mITZp53tHNZH6253QfdHV8w8H+wcmlhN3G7UbRS2DoqNtq 6aLRE+m+dj9++4aGyhrMlYA+34/wnWXkyv6aeuyO4nJlR59DD3JIjMhUm3ukdb0TGJXEr4dhqggu Yzt2gVOC6/wl6Dr1WrcydhQv2Q0dAytKUFLAP6YyrlHK+D42F0p4MsdDcD9JjKJXj6SymOVbdIrJ Ea94M0TQv0KQ3AfSZfEdJiNlIfqTHqPj7H+h4oXmw2iurr8pnActd56OCnR399DfJ3r1RcFxINgf y4xiBlZA9O6y/kc87+kheV5mS9hZwShQBqYa+6JncsDZA1bf3g9FvsYhDavNqB8xcvlIoWnxKJIu VkRU98krTLJu9Sf+NVpRCWdKkg6aFTGPE8PyqrBU9rh8MnspLEOJ3qPlnmPE82M0dziYAeDoUiZX HW21HLw7hda7pck5pOMUKfJydxr7fsus1Gm7NJBr5FgOBPiZlQ0zmNDkvkwhflPgk1FOjVzY1nYh PpnWOQXevIahle2iDwUL7E8jPrchklug72874M9PzEN9laws0RZm5jbiztqy3/kABr0ovCOxTIud D2LS1p/4wKOXyLX1gsgAoEWpH7s1xErZ0IzEK3t6d0Rcbc8lRn2Xe7qQ15dh0nd6GHaNNvxxQMBB 7M4DN2td7yjZ3IjuWKog89+S5yNMDiLz7TT3aleu0JNe7hEHjwI4q+wilF+eJQDyJEQue2cd/1WR KVh1E+fpJ1fonmUxaFJLyXr+ZCHNod16kx5Enc9bXSGcnTv0SDOg07U0wwpqyFJXL4Flc/+HmvD5 Oh6I6rNb48K2ivp6D4G0g0iTNPjnXEPhChuvlz9lBXMWCX5wzTGTJSkUU6nv7odYpcFfWOxwcTFK wXiq0wXQ4omgHJHLGW1vJ7J70RWErhNwpBzy1FHm0nHvn9Fk93EBU8YOc3Q6f4b1/iGs4kdctTHx bKlWuqOHqvRUAtImEHa20spFF42SRvqTJpG7726OoXrSCrVoHlxueIEb1R211a6szxpNxU4UmyIK RHewN2h6cnXUkXDT4YgwylHW6YKtH6HBWghMb2KJ8rgm2+Ntoe9U81uAgK8H+uD3S4f9YF08mLKx /4eSq8gbaP4gSIiO/qveXhvceEImthsy0tYhUhT7hPwMubEeHld5YDI9TXXsWOwQrCvv5tPX7ZHa anizRUE5iDhw9fvw/20mf/3uXqnkcgDp117uXubIsH50YiY3VK5pf8KOPQpCGOhV2T40MbaB4Bg0 codnViRyaSljZY729kQg0tCc8S30BMM/4Ay+17z8vBYfkK+R03fhjmPU5K69u/rAs42o4MHh+/CE nGreiPzkLvrkOxByVl4ZPrSXyMLfuBb1fVa4iGvtj0+4v7YFY0VYQ4MB5mcQrJE9fLgAg0IJg+xi gvY46spzxN9zJCDYYhzKglYK+qLcxANbbmeu27X5bzKIga/LeS6iqThCpCjJ8+HkGf33wEUODyg4 gZpLiDGwhPUlfOSl1vi0ra2QLrsxpalK7Nydb8fZHAZUa5gvd60vqLktXq9EUO4vMOY+XDQwl41z IdC0cU+YVGShyW8zFQPEVKwDyXvhNPDsHWL44wthrI8cYgxvp4BBkTWB1cUORG46fQ5H+fmY07QA mjxaQF5XbLkDTvcWjYmwOulrHd58aMd/x+gCsnOKxYP/sdQ4rsFWwjO9Fq9bfQEqvdmEJTJjCZI9 v/quYbk6UwGaVXnb1LGMVr5zGhEFA/if6vd97TAtKPWdo8seFNXKUloqG0WTL/I1fZW5O4xn3x6o RQkv/JrHoGmNmQ6c8ECJ+37VAAYaLU6E/PrH68m5wWzcftJv7hv9Tj0rwRhK1WCCHm+9dyQPzA7D B6Z+yoaGMBzXtmaC1K2OCb3g4mQdIpOeTFdGqMPQFl6X6gltbMh0TCCbCmdtH07DyHA/cUrwmVOJ yvtHa3nLBDxQcGx3LpUP7RiDxevm7G6ql23/Hh42pl6aTZv50f083IUoJkucPd83Y2ex5oFJFzsU mRCvMe8PaNS2WYGzGYpmV0KjpzXbYmI9YDL54NZTz9SAhtAgHcQVSsKlw9GRpacsNCH59sf//tLJ gqEN2Q+ce6Xqg39ZOt348faW1Zvf4cjVZVF5hmLSyJasXaG/nas2TnXgI6p3zZUczLlQwnoB7h4L gsPTAr2f2/Us3LZ7MGsn9ccjNv1vv3vdgMSzvZA2JnZN4h7oW05kmrRXfcREcGT56V+K4QhQr+vu z14PefJijqtJ9BHT3q9edPD59Xq57kmfClKwAlyNbOJAy75shzhy7NTn6lackmcJRETxt6DJ7hhe koT1LN6k2cLWyziIcsZFSBSV2bExLT8Hbjf9Uk4jH9BLSQ1isGg7kxcqiPCNqnZODsHeQ56gFe35 Vv2aRa/SxwIQMafFPIQIGBrXe9ZSYpz3uWlanKs7lrEY++alHOoPh0/XIeWe1RJ3SNNekC4Q4sth bEBofRp1XUidBItcXVIXGt0CA/SpS5ehkJJqBbXrI1VLAMtoNkxQ34svOEgR/zeM+Nk+OcUqk2g1 dW2mnsWv5gAHJgTGsP2iQ1DH8/5hLzPd5uJ8iQZzIy9a2EcRlZhPnUiDik63OEmCHPpWR3jQshyW r20Uz/no66NMFDf6OA8M28ejqebzCBPUAIXfRQUxAIj6jayAUABkQXw1s5MJ9hGwlNYFCVtC/kvX NMPbeOTpIz+9C02xGiFEfTrBQnQig10QSyNXbn36hXfql6RJRs+AComJ3xu9WT7c9CeszaDJryJl Lx53c7I1VUiAEJU0pBo85L/AhRMjFcvf0jDEk7zMF2myyju9L0GL4BM8E36r2QQHX2pFB0y1BL9o trK0Iuvx076TGTqiKfZRbyocEFgNRD3x4/5kNxZWVGMRbNqJ4riZr5V4/8NsZA/Fc4oW8sIEHVpH YPLrT1I0pydR03zjxnaGrC0Pzgr8ELbC+VVzLT/16z2AANyY7xGlf670KTLLF8UnZv0i/v2bdv8k 7HHimW4x6dIJVxxiolSqdW/HAGpoZG68N/phc8F5gCo9+Jq5bObWAijhAoRUFDualKHoFnIicjAR Ft0lNS6mdyFgCGnU0OYqrAdqKqSTCOTseHpWo5z+x1h8L5tAwEEYIKcnHV1knlm9ZMC10n+qLfj6 67mB6agrNEtp94Ra9eD7bi4lRHB3J17N7OtpBLB3kGvbashtBlpi9crM1iEOSJOaOTkpHdIhvDhB lwWhKXQkbtEjyqCUvZvDTUm/RZtRReC+Tj4tLbffsZ7njrX33L7ElCBHIlzmcvi9ZDgxSgXAa+Vb H8JYhHwZdrv6Q4rrnss2kbCfFEjhrZwGzLQiMoHVvzVznLzPoBW7durTZL7uPqZzlu8vruEwWIVS q0WnaknqVDmdOBjN05nELAkII77AFbKKpAgzz+7QKusbtX+9NhKncVWW1Lbk2thByb6eCHhC+2qN oXpx3Fr+vbOZhpGNF6nV5qcwsza6yDM4pep73Iu3CHdKQtGHVNDUhUmDnkC8uh4olV28ADvej7gM YN/LTLRiSKSuIRH8Lqq+wurVDve+8DDkFAIraLgSyLDJexFlygLCxF6JP8rJ6w39dlLqLHGmufB3 vkgc1zjqSxxxT26/ofT7UR0JNfyUadZiOaPP5WoYH4XnOBZwJudoHZoOY2LAH6HGz9oRXPCprU1I CoDy/7UNiM7iocAq28qtrVZJF3wjnd7zjhqPkgwUnvGVRsQOBwa7mQklBEVZ5HEE6o7V2FArRI9h tlqANMuJY6qWVpm7477v3uKgl5qq6r8wmaKQg8zDR35QJJFbKqERWeUkN6poWHGVLclcwsfxja8h LEcDPKUBZ4YcpONTWIMkNAyKxyBpPNxtjNumXwIWEwtVjDmcq3omkhdxPUt5/6eQOG2tr5zLbuRY BFXkcC69Fpa4uBHs7okSl2+y5EfPWPE/igDe80XJNjG89XS+DVrSoefGAGJcTWTaUctDpMjLANBR DQ2dUur8mstDdBU0clpMgVihCxsRq7Qj0P1DpaYTSM64tC55DpHia5KwbsKtj28S2y6hBvN7c2Ib kxK7IVL9SGqGbeP/OsnCpC0ajihsyOJvJJnCp1CXZ3idq4NafTH6FCIqtgUAqlCaNDel7+dY2ppG aDHzygaI7TKudyumZeoX3wAp8gjs2vsZj6ebVWjb54J+0yOP/GKYZ67qByF0LDG+BTKVXbAXscmY DwDKTgsGgt1/PlKvQ0/8UJcQujf4RYvT66u9wHbxp2/C0NDfaN7umB2b0qimvG6U2EzBO0JGTduT VKPsTWev5lBz6poSXJHqNsGvlQU/9a3WxqaFuL0TRFT82Ffaf0ZNOq/QCLwm0WiTKVPnbV05CaRZ nvFz6+2i/ouEHOE0x2n19Vvac/RZETaQs+wdsKpLmU9CQugna1YkgBF4AvYZDrmd5JJ+gtS/CLv+ LvPSzCSHU+LZnLMzLM1Y8ZyBPdy/+X0x83/C4l08fAq16MsBq0pP1sWZOpBONRkptmsWdbqGtYd/ rH8iLcv5+1lqWeZkI4fmDKYibm4h5b/yb7BJD0Pzd0+aQrONDNUjP4VsBbAVhTaZ7xQq6HnCin17 s3qUwhzZFBLwOoCkJ/UvNVIUdinyAmhMsRSTNQYfo4+WpovUfHxhrQ4C0yHQy6k9jHCLFHi6qaFN VUz6B+SWOUqXsvV243jkEHhimJysMwNyYI6oijd/HNB9wZCWuawtRylTqL5U7hUaaKc1XgRoVe4X pHMan9Ur6kM2aJhN/bav+Bu9i+KEHn/ADxP/qsEF1qNyyMP7qgq4N6E81J9LcwDmM+64mSRgihoX VkGNs3EsryfuIPRZITdcd+IWjcg2viFz9c7/N3LUHIVxrSS/a+nUfbkewmDUOlb55hPeUgWj0Ky2 kjaO1rVQNgm2+DIWtKvy2pAhH2WgtCqz9iQjEmhYqGTinHPRZ6G6XeQCyIW3DboUVqrFwCZ7aIvp u6IKC1q7IB3fVEfMCy1iebD2c6OJXy+g9Tacl4sHKIMVXMcL4/3GhjgJ0MnqCb+c/KnSibSr3PgJ DGkUILDOsdRN2NcpRKDLEDeGzCi0UYYZW12SzFNglY36UzJeEhp+lP3HvgM2iAMC0shvI6oPZwJ4 Up2Ft1d92pqAl0A6lO+CfqeFGPMUvyrYhQ8mSkl57FbA4/O8i9Cpr8/AhibWZr68gD6OYPjOpre7 mSkfpFHiKP0x2LpVM3j5KBYUMkuJ7vKlB1akAGonUpsk/gB7SE4tgDnKHf+fuI/1YK8EsD5CUF5K qkUSArN6mxpd2vYgUN6ChzRp3Iiviq63oqVddTqqRGXuE2jTlpVjmbKu8kNOi/RBy9mBvSRZ2iTm nS6LIZWQNShtF7uygvVB1N8wky0wRW+zkKgolspd0oz1V8wURugZ5pTD+xq0FoPWnIEvuXgUKS45 POxpE71x+/l3oAjOPwIjd2JHVDGgNXw5DW6737m2AKgY5nBMAj4xo7zWBdETzUXEnF1R/D/c5XJB ESYI6pW78JSiqVMq6PGwM+53XOGyrpTOryJyAScEaUwDXFZN6To9Xuah5E7vH3lLP3M2o0MLE1Db rFHSnj8SZ87VvPLqmhdqeXBaTeVwQQoF/pcDegYKCpY3wawMEyiHuA+JsJOnGdganS28ZfABg3Jc gMNbTJ0BnDZrhm6GK6iD8rjmWedpTmoZhCF9KlUztnblms6lj9aYy9K7dEW+WiJ3f/TbgewUMqUd gCo+BSRKpkrDBfT3UOxpOIZDE5Uh/CIOJCDYLZeOWiB0hY/8I9Kh3Ro4F7wKUZ489prOvdtAckND H1h666tNY2Lq7wnLmqia/hESAbMyfjHB+J113C//z+rY4otwYUr80bMBqbKsxQJdx5bsxh2nJ9hT EhIgWL9URTI9pgdgInJY1MMu7gHyNMT824AnjjdzGgcG75ZvyS/hKyz1Vb8A/GaDOp5XFnexKfX1 7P9mArDb+LUDAiPBE6rON/4q+RKtTWa3gaPOAmV7Qd4SlXaeTVtuUDP0PaqI1db1HO2BdhMQfgo7 tv9IN6gPO9NdWRKmj8IqnlCLeVnpizso/lhzw8+TeqFb2eVY5qWXPPIQVn1LzgyJ8v1YFvw4m8nZ J4QwWttidaCxQ/Gm9fPXpFgho2AMhyi8w3XbZDHijyzRzdiEg6VkrgQ+8ao6iJdmoCoctBYEToeH tEUTGiJKfJgSvVKRl0vhgttVB7RVzenYn5xX4K5lN9lun4GIpX8QsGxlJmnB9mzQZWcMKZp+IYGt PVPxccYNWzzjn80B4nxu0h/j9azU/aOFN08i0NsQstJ8IBZ/h4+pHx4EuW6JGVUAyoOQYnofb+vX lVcKV93uj5k4d8Wt229GEpXVaeuVXuXRFGUTrSzAeQNhlNMB8XzbYV+5lEpbaRzB8segyuOjeZxY I1+Uk56h3UvVl7PEfZrrChkUVJjZmRH3YkdSsDvXRDfbSJNu2mnwME7j6qxh2CHk/RmFyYnALfy7 qW+vGSdeE3uHHEAEZc2qj9zGuwkAFXts4qNnpb5a3ULcWG0YSCH1Ip0P5nQV+1UCfwX1v8E1p9lR FWqD0s6FUBK+E+n8pFkuMXVPDTfvDMo5iiZyGTIKg/nf8Aa6CcH0qiVJXGhNy7hMmpapbXtyEK/7 WiWm3s2AMpm92uSrtLQAFJQrliMfzcvSGYt5H/hMQO66OokFQlEe8uGUelc3zQm6X9VTh+WTXbQx G3u0OwcX4LcHPWHXDmngmx8YRt8Df7z1AetHhTQKfrMpIW9cCL0RgJkZ0QhNdIhB4rU7F4yhfIEV 8fFrr3z6NxTEhtU+6zb79vADkVMSN+w7rE+h2xCKso6JfsbmHRhh1yIvRHj5kRnp7USTNOv1+osQ LCP3U4sxP1wDg4/LZYeMN0pmoTTapNbdMQ7XzY6hD1UO7xfreIdnpwBBGMWivNtggD0UiuULx+dH BegD0hd1gXo9cTiPVL0Ct3tgfeQ+R7TdQEQTyF/toZPweIgQ9FYmeC8I9rFWXLAKFGLdqSho29p+ 9A0zSGve+sTLf7tLIn9GznZydVuUMwm7nar/Z6NfbM20Bcow86kWKSAGHibJFDr76TAKFTb6tbtT 9oXs+hqw8P0piioDx7+zwa5JDBw6br03X/BK+iX3DdJnM+fIM8xkba4uLldapNnpbkQ/EMF4EtXX Gz9QvGYD0mPxSKckuwH4mCAi5CjKp02MHFZFplz8PnHPf/wANQ+O2p5E6h3hkjQ/c/5h2D/f+DLw UT9hflHbS6hIUSRvVoM68Fskp0uWVrqQHVZBM0y+nrx6CPq8f6hMVlsYtQ9+DQ6uAgh2d9z73Rw5 tkr+Jy+KExgwDPAf5YIc0GaqjtrCtaoFGuFgyskZGgz2R3mgLpH+QrFNEZe9wghu2OHOWgidabeQ EWrm527z6KGnb8sMX/jszOSmJSloed36jo5c+sZOubybB2THSOlRsNdDLw0jp3ZNQDAi1V+tpiiL TdorlhX0xDstRMUTrHT5BQWmwS+UBASFxMwjTPvf4yksn1Z2zGJbfR4SJ/yTJv35BXT7uCK3iLUN dbMWQm3N6CvFBD38W691EKUFw89VO78LZ8PeyLF0d+8xRiGLvRG9r5IrokrOoqTtDf6iPXrNc/uA luav3zDqtYdXR6ircXqJwPP5l1UARX9f30V+7SzFOpPEP8nj4fyaxvYwDpmqu+f0YTNsY3cwJxNB lFg0S5eBMcuTdTZDOaKquHak6fL8wC/EAZ86CXO9ZqA9An9Uv1OhoIuhQMDdWinfHzS6HS5TU0f3 1cER06YGlXGfPA0prhFXgenrZ6uCNqU3Nule0GHvruy3qIRRraeYkNBwRddq5jbRioxCSwL/ZtoW zSUlIdoYurFRq09kp5rMfgQAgJuPJGPowP64Cew7HaunnCi2JvHokvUiBm24GjqbXZoPMTz0jO5q 148rFpty/3ACycRifj41kVEYlV71vzOXrjV7vnw7yGjHb56zcMMYH76zMNVVqAoU3Dh8cAUdiOA4 tZ7EQDY+skXgIrAkhndcYAYSqf5OwLGnd9j0bNvWc8wYiqa3V9u/J5zSPmWZrgVplYpd3r7kgGNC +bOo5445zfS6Rw3K+H5v05czces5zBwBoguxDAoc5bb3HJF714ASN7INin/KJTPpMFoa+2zwy4/M hdUwdYoQxW2LsOd7cSu08nkU8A5yQ7xSqRhlwnfVI/6AgVWmII0AbngN53bCEBwOXwlzE5qLUs64 eUZhthsVgA0QtwsVZXXnsORr7fy5QUOs4G3rMkcANG0xLxdo4jliJqPpJQFDdPmOHulI73Yn/Z4M OTuuylqjkapiz+jYKfWxWGwcKgGrAy2+AzMylU3achuYRl5Wrsl5PVSaVvjgVJexPr2ak8XG1v1V m3/g97OMbCztzjTqVIJHXca9fDdvVXy3sdDNRj5bAo2mBeB5XJ+cSMOJjBC9ItZEGDCxRJSn2Rkj OOpSp8sDZaURsl4SVr/E/YFDqYe5HZkpHUaoHP0JvOddAhMkiTLrgikSkXr0MIQjr8Oc3leuWWuh ozsWFij6/ElWp6pPPU5YQZLENYDyN4hMjd489Gc7N3TPRbvxHEkG5wbZDhVWrdlNN0oK4LFIreLS kJur4+VxtLEqXJH/crYNN8vYoW6tOBKe/s99vDhLJ4wV0d/X1U4jmOoZMu6EFzk2RCTXgBmAnTLz 5GbpXfx3ryZzGirWZW5UBD57hbswWNadxBPj6luAPjxUonOi2j0zx34E36AD9A2tI2W09zmA8MPD PBRso45VnvGgmlH25Nivx2hoFvHEPQOLltKHKnoStbXjhZnmu8ajA4PNQingeywJ/c73I+VpRPcX xokq6U9XGmmfvRPZSZlsLTk9CMHZ0HnpsnGgUa6sNBSpls8MUQc4u4lNbJ88sy8XZoOVLCFajCit 78UsXRasXFgu7cw47HhFrABay0Hbz0Z7/rCAVvsuO21fptKl5rEkOPWbUn3mfkfAXRBNQmVSylN6 2T2csIO23WkWqIuOCteo5f2P98hqLcz0EnvmJKN6iv5X6mA9hA8I4GflV4Niq30U1br6/J9Pr9wj HqIeKty84sQZnMpO0Dst7qjUuB0Ayo8EEgS9hgQb/X3DJy/KPR/uvXXqILuev2V/5YgFt/AReaFq kiJjcGJ5rckavSluEGuiZi1m4DBikuTK28V4+d4ZmmIK2cf36eyqUKVJC35FXcQREpeRXhoal16k VP8c+Alb4p7QCUcmO3op1LYHZ4U4sMsM8qFedC5iVIzGnt4PityTrC5AjN0D3aBs0szwoDJd1Pfy Cv29tp2eq4IrIr5wWcK6AziX0isIhGNafuF4XH6xxqyJY749iGZJN0Utq1iNLu1RyO5snf6gmOLI JsjmUsEXXvQYBiCTXucnxFwfgiYgOghXWbC8exXHgbc2UI8fILx5vFgr6gOopxYozLxbO+i0eAe2 ufo4VampwlD8o1P58pUGhs4r+Tp9CX6qvdb+4VxaMS6w3OJuhAsRaKXFcI6tgd9acUXLdFO8Ax9W iUgAB77y7mXff8fLRtciZoay+SxGuDdmZsVV5rLa92gRQfzkrX1z6eYojlHrf0g7c1+KSt2lMtQ7 QAptWjIS9AwWFDBRzkN8vfC6DfBpVSliGD9d6dXH5e0ZWXG9qGUIW6J97Nf3H5h1Mxm6GAH5F0CZ ZjjzujgZF9CngqWU0PhAEp7TtxJX/hrYw9JOrhFuJ3Ca9xQ7Wk6IA5ah/Ut0sGoMQ2d9L4Pt2KND 0EknWV0fkr+XUuow+HWzCYQCZJyZowI2yXpQAET8HeZVBUDlll+IPiI447wepZTTK0Zp/f/wJGO6 rXmDXNgd68tQMi5+h2WdtW6EoonpvJ9uaiOPZNRgUS+Grp+fHCNZvpt3R73fqn25IG40m1mOTq1Q /jM61X25qc0OXiWUPzpLZ6ZLFsd+xnjwm5clhlsPYuUo6RYLHBrMRfFD7rfr4NernE98uBMaXtcB qIh8bHTmGaoN3pkh0OP32iqdglFpCr0/wz2sKem0gYfcC0ki6J+5hLflWw8Wo/w9s40NAuXbmf8W JVEoeyqe8Butk9f+3zPAEoLU+W6K8DYQNnY9ce27kFJzaA0uLLaobhExOttZpmX1o+sLVNyiz+ih T9sHnr2carhZqrf2X2wTC5yD8lx5ratEfnvXhusAL715r2Y+zBPhtqqfxn8IATvoSK0STLb/Bm5w ltmo6tbJ65KMOpPisYFdwCvhCKhA7ByZq5wJX/MP0zjVHE2xZX368Tp5d+6LIWKmTJTzNn9wz8K+ MkrLUX9yE9tZO7BK8E1YWhH9UIy7juYyCo80hHHBFcZAZbxt8+MMpphYOYrlN8FnE3lIT1SsQfGA Hd0Ye/zdYqDtJyreWmFQBpOD0EFhDcLug9xGGOvcvaVy/qTGsxJeG+wWNoYmp1l8JBhx2lO5B9l5 0avOhw8zEZP8LgxRwr0P08qNTQ8mQiki6QsFVPNGIRM0kX00C9sf9/RHmyTQN3batf/Rtl4BvPtF 7n5TUF03XvPZWc0B6yvnAAaaS0Hs/oPCIxvrWpXbXwyghz3owk/BMGOD/q1WnthPJQuRWIuHOO4H zCguLyFnfuIA1klM0t7GtGr+xswMRaxR85I/FDg1MggvsoDrrz1fb4l4ilKIl1MMnxuSrzHP26Bd ahdJzGv6rVUSVWFsIbA0tu98X84Upu90yiJwOdzpeM8gXSeHZasnL74BSx5LO0l4IMDcVn76s47c CacPwMYZIpiE5ZCzAUG8ziz/+UpS+qyXHQGzWc/fbC9dOR3mbbGTtrBbk2D2ud0OQxI3N/6VtqVT SKJqEc6YC0n12MqhuD620w45tYtTOqfVaLKjkN6SjhHwZFRrWoNyIbwzQ1Qw9EOAdPpUbWhZWxFb 5qfCDfWZFLwbYR8Dtv33nkftukIZi17DUvFgb+NmbUpxK9+dpSFwbjCStzYUiDnIyZqG/B7+m0gb PbgFSBR09COZ9wD1CVo6nOzEfqz1rhLacMJDL4O+GB/inuZqXTcJCfzFnnOqMcz+6aJSl//iDw2d ZQvvz8cEJRGwLC2iDUKzrAsEBN32Tqd8cYMPutdbTsmnTyO9BtsKxStjLjfiQQ1YSNmtB0zfq9T8 Sz/fuY+M2ZXlBAoBBNY/lGDZ6itLnEChCHLn35A6crP78Vmpk7eOIrtxZNA237kgO17AkhQ8Usue 4JM2DoXl9JwsgITplCqkNG78TjvmiSqJsz748NibdP6tz6OUBo8X7hQEt/ZyXmkFDNfrzHHgtJAM /MJ5mnEr57BcijeR1W332sInYSUuX7Ir/FDTi3ekv/M/3xbgNGjjdgui71M9KhZj+ecSTYtLzC2Z czTjDruwvyBbOZhL4Rxm6xpsVaf38iizaJFyzqgfS0amdjhIDP0h+mbXloZFy0Jk+q7H3Jyr6uU2 pkKrcAIOhxOo+Tt7nGcyeGKplEEqpBldHdHYjZqvgZKurA/9u5kSlgNhl57rLIAfQWSt+AdOClAg 5TBAFR0oOG6XuOw2GC5jJPTzppIPzWstXSLKJcPdmANaILipRFEu2za6iqna/63AXnFKOe8xMHlT 8bCNtHXXW+nHSBa8Fbw/ZqyffjiirnXh8lEDEYb5EBhM3yTNyN5Qde8gx8+L38ZM8jIMvUOQPy3j VMe4G3KOHKh/uCefajWS0Oe5UOUANgZJ82DKGP+V7lG6CV4dVCtBrs4FdztsiHf0bZL5D/N/w5iA 7MWIwU2oZvrzoIvbfKm9Ls1qTFNoSPij9pgchAr7nmYtv9iegK8j29UVn9NWzbrkNW4WO3YhWtpP HcSLgwRFSDulv1d24QUDAqS/05I7Va4yGG+zmPivboBu6SSO2zX6XrkC9A2bVqJfLEEwg+9UDfpz GOXaJyC7pQGqV9TT9d616m44s27mg25z0sz0mzk0x5a0Gt4GiNbud2Bvm38KOaE5mleO3JfY3cYV 0efMw5omOOq+UrTFBoyhi1raPtPfM4TPl23uuk8XgNEn5JqxR+nDFpzeDwF8rhy70n4P/L/UQ6lN VhQq/nPzgL0gjuPJ6+UQdMh5/9EU8NoUSUwEskLS5Qdq87ZB5xO0ssCrOk3AcrQfyEtwnQolHCCF JeS4NnnzQERPxv/eA/GGtfsusu1a9FyO07npy4BfJfs3uWoW8o5Jc9yBiOAX0jH5noI2EWnvzk13 IAzXzcPj1cIL5EsoAtzec+SDrCZlWMFCiW452+H1ZTi7iF2k2aEXC+b1QNj/sQQc1Mo9cXhH5h2f 93M9EobPDPJxojZM2OdFWXPaRdgwnl2VBIgPQgXYS2ygWyGuHybOSs9IdvTKKlt/YCI5z85SItTm Pd0KoGSHUMjBbkuVxisBTkjY99h9wZionWrGpg585KpN8NNurRMZT6hDdPxleuzYNlQmuqgKbbtD GJ0HoHQAwrk+oLgoWqrrKtwsLVfqDfk8QgcLjzFi58sR0a2JYg/oyqtNo9LGRd86Jzya6r9EAZly LnN317cgvx1w+g3vMvnrOzX/6ZE8RSsFb097UHB0NcT3jiV00SSWrbCEcS3abhOvxq9C5iQTlHbR VO7gV9iq7N9GRy6QE7kCroxDn4Pt3CLzvmn+wIUAH7W+XEWuE8rfFBMv6syvyrIyofWJbs7bmakA bkEy3sGX0FEvb/scjvG+6CoruTh5Ge3wA4vxSLPSBxcf6On+bFC5tD4C9l+K1G7LcHQcx6ErA4ms 2aYcnIYfLIDSHFchBYsnYz7vpO3iBUrNSvotK34xSG2Xde8jlbyB96B/f7ScUf4vI8bPt8wsR4Y3 0Y1lQimo/r1+XEO5OlTknE+k5h9ror7iXfXUH1Pp5EiecbgdA8MBf88j+p3wjSQgb/EMCTrSTtbj 8m9/+VVXdWizhhxq+7R54Fo7s7eaKgVnkUrLmkjaVfmIaBNMPMlJCT1w1DpYNSo0dQWrFs57lCZl WEi5kT2PihKhVTcCfjyibCXf8tq7ROFeDPFgFzN5a1Wf9NA9TK/Pmm7MIpI4py6OWlXhTkR8R5d9 +51gJnmu5QwjM4/8HKMBH4L4jMq0yr9ol4+4xxPfKAT7lA8vesVIsownLg9APAyuS959IzYyUaEw thqzw1d+HpOmjOTVLWTsMZVt70fRDiWJ42wZBPCmhiPu37hV02bVKrx4pEVgRDElJ3kRYeP4hU9J f11zpAPqPqZBaG3arKHa4G4oXCez7z+jdyiTinkU3WQp0kndZDO84HllWQ+iAvOZvcUETD6pYw4Y NSPmh72BsA2SXFSM7t4G7/WBK7CYH3rEUnIq/gppkl9Yxvhe+CguuLv9IG4B94u6CRnf5NHibZIO zSWL86qCitYAPdIJrRUOpkC0EyffZYoG9E2cUkYVcPecCrNobaQi/U9odZuCbivVFSV67ptJ0ns6 AuWqp0TAAO5y2PoG/QDVYqFv+Q35C96B60/jRXPP8wwm076m2LK2WzOBoSYb3uIJhGCl3AQJ9FZ9 ZG1Xa3W0d89XF6PppbU9QIKoaAPa/OPlEr6i0GuI0KjF7hfYDjlvWDhWm4t7aruDyv3xP+HByU3V MAntE4WUKbxaEd98MN7nJuxvYvoKEI7dCQhg0WVAuQrkn5lWfH57cQ/CfyFD7OBqKSVUshdY1WEC ueWMdIvSQAe9ohV9oP3VUeRvf+vpxHzwDTp05Iyf9Z9ooUxf1E7CYPTcfa8HkPbd3/uJHs/pUOI5 UXjhDrC65DdyRBnysuuKzxJ65JRJrvj796tWM9TRtL5V6IYn9o65o66sff6ex1O0epvJNaZWO+dj PiEZQ87DrdUSvqEXQNWLlg/rMoLGdHs1mnk873XStpvuAaCOXSp9DduINRIWmVMSrXxWNSQT9Y5b 3tFJo+VInlEl9HZXnsYQbUV0WOBS5Q/H0zDQ+8U+Cu8HywfnbSjHLn8Z5AD5QriRv+FelpLIBZEK 2ge+sxA3LGq9npZmTysojF0o6YqCcihgnaCUB23S7OgVP4VgmZQC9ExFN6kM/ZV06X9NdeDngmrH UJLz6YTSsbF4nITdxF1iPT2qVXpBiWE6+34I7hf/2YQp1ykx1VbPEEKL+ku1FxDn09sRqW1NDShd 891P/1hIwGHT0HsBmRzhUG981PYcUJ+t1iuBWp+meEkb7VSFQCWVPj9d+lds5G+uijmRRrRUNSDA ZJc53xinzoXywNtFbWtNZWUh5DjI3qT1jpZYI5v4YtythTICXSsZ90//uNV7cd9vl3n71UgjCkZ6 KbkbPQwb6M7MisKbejGVOJ8pj0Lw1KEUYiEtIW03t8aKXI4qGk8XqxXH621PzMg5Wgrf9/0/F66k 41afq//YMXRebEB+PogL7aEtOGwseH+TysF70k+sBaLHVQR5EIXci1yjLanBjqRlQWeghusd1Y5M Fm1aD9LMadpLCnz6HbMMjuz4K1nIWiyetawpXjIDJ6UeBWJaSki1sn+VZ9rrs61y2N7AkG475mVC mVxIbQU3hwuCumZhWVjC41FV5OstvN43ghFbs6qmvyvICV61NGKQZOnIloou7i0I0hv444iZfXd9 H6R4ZBUsVWxFK5wWKCUvWcKlUOkXD6O3YgIcTr9pyMO0Zp4oNf3q16NulJGtHZxUALC6E4BUh2Dw gox2DbMFdjkKPkQG8nVn8mybSdbATK6Fr3G7RcgnxRlHco4mJyLt1foBB1LS/Io4AZOrbdf+S6Gd 8DDHrtIFFtg8ekQxDMcxcQgp6TeQCuZJNo0FBX7uEnOilZv8VLUdO0EHRWReEkChgixXHdW4xBHh abyHNbsRbiHS2d7RHFC6SGWWX9nWi9szvZ2+KOLGiwuzF+SOTQryEQ5h9+vAvEPD3ly4UbZ4xbP/ jstIaioZ1CGCpf4soY+QHDmDBLW/Z9SGm5YxBRLafX/5aG1A8bTqM6+GCZgCWOprFRmAaXwsyNUm BVJGZpk+Q+Ox1fjP35hutknDWVlNyO97YVm32lXS2xbwI5gcxIAtw/lFgILineGI29FDuM2yhaIX S16DivyV46CBb+g/ExPupcDaE/kUHXjUUyEY0H6YtRcRxcFfL/e95I0Muq6irkq7IAGbnvt42UBn /JJ76WgdCGU1ISII+bXRHBbC/idYc07UBkiAHrz4gG5XwCBfpoAlqkBtAHRsHiDPRcg84M0QRs2z T/pt/sD39qIILdi+BWovBTwzAymaeUUIjHFh+eFI2fUveEliJYhdcWP/2qoGApEtZWLFP1O2N5aG dpRyHuTIkYclb5OVACBZ5nyXlOiQykfSui0bk0AEok8+DYaeZstgeIiCSlBMx7uGGVsZbd84WFKg HVBxiEnE087B1Xm9Pcclm3Cfd9TpvLYq35G0/xaCJs2eulgUA6gF+KQqU31naUHaPmbjZ5zbNQK0 RCVgQmpoJmusbySYaY9p0K2dlxm/rIxHyvNZLv/SJe4Pzij4DV1dtvziD/M0l3SlYROfFpKEbO2e e8H5yc/AY23DVJDDK7fKrD6kGe5sigXQkmWgV5ZoC9i6LNcmfm9KSIofdCptMJmepgg9JX4oCLy6 DCbD8TfgHEUe0RlXfS1eCKngnF659sQydWB1WSxS0MKvLdH71pW262Qoie8fR79r0MCEZrdvpq7A W08aakz2mzaPDREC4un0Osqyx+D0E7RlEVcNxy/zWFaW9QGDaGnZauMBJGQMiQ9AhGTVn8flxMLB ruZOtf/A3OCPC3bgu0NqD7nToqLX8Uel6yeAYgRNMdyavPS0saiKFgH61o5iyu6i7Mz8AXUf+QUi PL2nkTHtTO5vBAbm7Vw7PeyZ+Asvxrq2TuP7p2o1GyjeMu9n7+wXStT7yzEYp4Pcs3wjhbvJ/Yiv WgWAlLt8LPLd9lRV/IHaOBu3SNfG7imxnTUNkLJm3Wq+/+bzwS40TZonClpklhxgHhyXMC226Rgg SXbMEkpBy9p8XJiBrQLvEsj5XLUkqCLtW8mmPSGl9hlLWLpzgLUbhuuGYEcpa/Ovz1dMfO47oFdK zsCtV3bnAfzXuwC0GfqkfStD3obl6dRPRxZXvCRmbUF5njGnrt3PP13Ev9q3WgmfGhUEhPTPIGqA eA2yTCs4Ec00V2/GUUbdX19C6EAMTSFtdFMYBNkFdroVieGcRA9uIVetICr+sT3EczSqhPGLzJS4 7fLLg69fESmccLkeEqH6pgc7LpB0vjbnkEogz1VPavTR1I166BheZS6W8rJQiUsBn/wc35iOPfj0 bWUMD3SXP2TEkone3ArIOtSFDob9BtoXvGk9CdqaAQ8jJ9Hncqzfkka36F9r+uLQBc3yFfD3kIit tbFO465yUv+Fhtbz00zd8XFh0zeFNdTAWVFD04olwRZhm4Xl4vt1Kot9Wz9QxJf+8byvQzwfIixM dOKs2n7Bz2GK+UcYW5iON8oICCUerDlKqRKWGbmAXWFg7rHjmtcCqt5VhMxBGmMbY4KsTuggiq+R Z+a4l3JQFZ5+WeYyluY4PX2S+WHHB4ioG2YUCkahZwQvDTUSUJjsiXiT1Kkj11oM1udUh88XwegC y0oH2cNbcK2Sdm01+U3fFl0/f3z3VVbZDQ6xF0L177Bz+A+CE6LDRczkuA2MMrWseh3I91W/Zfdz DiKRMfLZyJEgjmgEpLLlwS67IRJqZg3P71KDyzBHNoDqOzAdBDXqQdJt92+DkKO80ndJ67oRizCm IdNb9MCUAUG/Qdslv/WvJiffh8hA3Alr2/C0wbj4g6MaYyFsbuBBiOAyr5d9C/eqNI6nuAtm4L/I 7aU5CYtuav/8CXkUvkAR18uzFKbR290quhLOfSqVJ4cQ1azADKoCWIZKo0Fj0/qOGE9fXRhNyQLy 5rYJuymIfY/+kc2BQwRNWusAse8/01UHsJ4lyJcu8/BFLUkO+5esUYAwRJ7qv23unTJHTY+pHWKC 4q01N/rLK+BO7fOrhc9A8ntypWpfTbOaVXtpmfGnYEyHpOr72IwdfcieshzAW6ZhiCb0shLyjeLf 9rGkPDuy+AaK/nUMmKKiFnrlhK1wAST1s0G9C8VghYFfsRoLpo+oj1FjQFtrAmWoIsQEnbg6rXF4 VpNryMlDbJ3cjJn5H/ryPgx4IHcVU3fEotoDtwrrg6rkR+i2lOSTdLJwljBfhKwqEi2iveVZCB7g /3VeZ1OGW2K5jMvDqOUzQZrfyyhoqCPZpPV5JRpqmfmLiKb9cga6fdgbdtzc9tmpMlhkCD2KsrtR lc/Z1/K12kI3MZsRBX/eEjVBPJ4Xcg+c89J8WCUpwyRyumM9hmzaFbM4y8nbBQ181UmizJ3XJKhn cUx1elj6rkPXT8KN4+mXsyaam96z9jfTXNC2CfxAXLPPRhMqny3mEPhcVQVfjinpH+IB9huloWaf UM8K2MoBomCgxI4jStd0N9dQq0VVhrWrDBWJHDjlJNIxV9Q4lDbDxg1VGIpBRzoo3gr1OwrGDO/V X04b5oKavGfKoqE3yOSDLM4cmFYpKCRyZ9t//Gc5JNT0h4Pttd/9p9MHY4AKaVM01PESossWdA5r HMK6EwzO/14/Z5WrhqMbRg2nGnCHYpkY+kqu5DEqzQrtVGkSSd1wTaIHyIOLEhhaRk3XKpChg9P+ PRYZU2VukfHh+Pz0kA0B1eo/k5v2M4SINtLYKxuhgjBR1+e+0FFDULXg6nX/W8jIivrN3HaoaBIN 8DadJwHJn7ZhuAQRbZCXchXbkr2ojbVhd4xu8M2SWSBHjVJ0TCICP7+KwXMCSey5NHkTYPoAAtv/ UKrX9dl/W7lhaEAxVHSZlVz6kWblbTIBzD/BPJqTz/KDbTnFuEWPHiYD0sIArnstRg77reTdH+tl 7AcI1cipVhH/IkXacO/CWR7Kz7UEsNpvmC+/a6Omcftxayp+a8W9YJC4J6q0cTlvflRFOWzYL8Dg SvVu6H57ukP+KW4oY/4BD5qufo2fB1Hig8/GXvMcRhkIaAZ4kY/sBXr0+9Yup8StpOBOGvbcMVLt xmE6OT8pvYivmjcV/Pz62YHp01iQnhW3VQPJxcfN6WBxWe/TuV8jYAr9l8HUoFZQqP4OPEPUU3nt SQH8TaW1OGGS3oDK4Me/L6O0LzpfPEuPxUgtZoR6M3oJ4vMEIG9A/Pm8yp+MCQZEdxLOIKDTv5BC pjaeiR3PqtD6JwQ3W+gFNJWqFiYwf8q5s+kzlox4mFm9hLlyo/tWd2qvMpLd+BSqQ6+utJQ7B0G+ w0r0jWdNZis3oqR2NVFWrEOdIHdKtVIdydVVfA2HXYny/OZhqTiX/2LcazuH8iIXmzj4gWYS8vv4 VPcavQjaZcPlob4LunsjFb7YPKCWYHVhpjZkllUQZjCKLIxJVPOXkKl8PvDBHsWCmOHonNrZe8e9 YEABJnLCLJK25KHBjUy/BW8GWvwDSLj65MzwZ0nDmrIpLqGZ/AS164bYMN99pq5K6AmuZAqE0L5s 9+lbgJF3ncCHRz6oUaSbooRqe6rwA+4gjsr3KtD2aKB4hckMUVWVqBVWqoSy8wfjR4RnqdKaEuz8 6/HSMH2EX3p0iM5FknWV8kFYbjYIGM8yBgrViQedyPouH7sF1n+slmSvswiJ5hCu6lSI2asnZV1d ordQ3uvfKHCDIvtHJ4AXf0Gvqb3IpvYcdE64eVYi2HIFFZ7EtHjylcYD/Q4vdKd8AT0tK28xwtxO ZhrKr/fA/TJC89B2NNCfFh9/rxyJQQF/R0hrncMqAj2tEGtEYLF19kURifgZqt53CzeXNI0BPqrp jUcIT/EtIwfEbJDoHH8YYEh1TC0q2KnvAdTEpgsMIAghSEigWDb4UoQUdnOszGt4i9NwCaih19S8 oi36py1i7HqxIgQbbSmX1OGJOS6oGpzN0NzfK+6NnwTX07jkLPOGetjtRYvdwOBMkUdJa+5ieaYJ CMGvVbQkLEE7r5EerQ9wahnpAeWwhPulbchcZdgEMC+aVS7FG6mTYwzdNqrGgWYEECXJN+yDIpzz aoUrCAPE9Fm2H8KAVRvsNCCFpu6VHUozJXM3UOzyQihLLONbSoOSak9ubj0We5ASaZnC7PImVrMQ v7TrAnkn0cx/wBlyLUtnedFVrWySVTK7QGC3TL3YqZMW9f6H6UVd5ipsT/aVOMT+N5kumUTveHVO AUqnV3pWF2txxdzwBk4Rh+wTDXMvfPiii41cSfQVHg0gTN+T4i3Dned97NxjkmwT3UUX1WCKcnId fx8RfvBjfYvGGUoJfSXO8bsM75YMnKK/6YlZAY/jDHfJgc0v+d7P+6nqR4WiebYoU202LjWp+oux KCFOYj0VCLKARN9d3+2oKPa7lq/1hMr4agVUlCrBzfp8lDzG9tUxQTWFmKckYFLNw1QABdZTH4nN DZyH1FXwU7tiYGRgUxHVjAngllkli/ttHUY31J1Dxy5gwXUapmTM3FMWJOZpNN31T5e4rABmqlgj ke4dlNM4yE/92XpVMDkzP+RDsp2dGV87YPvmTRbU3QbSMhsGAgRdQWsk3gxpJrlwIjWVB5K1uYxw UmaUM9V6NrakE4/8mNnhrnFh2TMNKSn6DMGcPFRSYiKC5U0fypDsYu01qQz8RPnxlvP5V596GxYN owqU2tVu94iQh/mEbZ6RJNWt+sCiil/znLKIlCKxLy36ylCYJyvLs8Cr5ZPRPcNkXqF41eSPQ+mg ldd7qBURvRPK8V2fJthc+fy4DtKWN1Q21Zmgt/JHq4tvK3wYMuJIGtMrKpf9ojFl/hTLf2r9iuMJ T9+3vhgp15pQwXH/cKCshbQT5jmEq5KtrWrTBUUNUopA6QLPYjJO5PHvTCee8tTBvhDTyfJ5YRVc bfMtC35orBhskHr6bFcXcF/XY4zPRpG/ucWTB2tw8qi8/TX1IJmhA0UCkX8AMHTYJkMs8z96dW2p gbKJsePDy629yFyLMKVVEMk2xL7EuWZATiSWa04FkN//bLzTcoN07NVCOxco24Tgf5wascpNSulH vjrV43c7quuZ506rDju5O4pm9vyh9kIdDMDlQDDY/VvASQm4LjLRbo9HcfJf/E22i/59GNVfVkhO MQ5NXatifJ4vAzb+7ProIh+V41ar99f0nbtoGhp4Js36z4TRtXArnqn2pEp45bfP3HSd/unHXoyj uRkzKSxXNDIXElmI2lK9CFgogtxqVu7RE8LG564fUhcOHB5rfsRU9z4Hsg4KyWeMMB6eq0vr/2B8 jMf6PgRXCQQyoltE+HfVmtObAlu01dpREuwNuzRZOaTVE2mgzHQrMz8XGp0epX5dg7FfR5+2BLW7 E4vcmvAF0IAozY282QC2+WCg6oGZfSfTHTEoDBAgxtVIWya4j5zVXwvvTYAoPe/1R7OgYcf1ujy7 bk5VlMNo0+ZHsfBC2MJXF1Xj/lQIpk2UOVS1i/+j0bKK54if0Sha4FV5fl5hID7dE0/l6h0+x/CH 42HvwR4wEe+tONFyrSUcQI9VMMOZPRYKxS6rRhMpc6FuDYFF098+HmX2rbhBrNWPD59p5waWp9F6 OYD5lF+jvvsR2neinoG6Qg71QE8t0Cs6RRhUI1Q9vKjz62EHJ11Z/3e98AxAdBMQsA4eAiVMvdPd EpGgBSv/gzOWni6bWG7fmC+9aERTJ6JTXz2w1AgB9jSZPr4P/LfJUMzycF5rHR6R1SWv6IF0v5Rh YnE2inu2x/ArFSWG6Bs+L3FRpXw0Z0E9y242DXEWIT4atU41bDEzNRtkwwvO6240lem2SxRL+see h2lBgsOvOC8jIIpihUjyzOohNq6b4D56LWIKe0q0TJhMbJcaandiAdvD9BJEpfKnIFo3KxeQNILd anHb6nDOhvY4OzM1hbEe6H6NxEF4asvnNTLEIAcH1+FlJN9G8MgV4YEBjCC1oVYa2uZA0nkahbz8 v8Q9f0G1nqa6EA7uyeSnaL5NgCLMohGoO4Uw19lGCJbh+WoIHTJ7NXJyIYCqlRAtco6as9Gx3WiI /m5AUjwkyBavhjNPGM66VMWFJt1uGBlIXO24GyAEKvT4+EsRNrk6teQQ6X1dOedrA/kmRlVnpm+0 H3NkiG3kjCLRIDxsyi/JTJ9IVl4MEWNVUU3xRrHMlx16ff1O7MTzbH0am/t57Y9Zu+A8j6IIFexk TNb3LAT4aB9uQUim9rzFdGgEIAUwAQZ6RXOJUCIqO7FMhy1VYppJNBuIxfiS4xidmhqs/Kl8QGWU WfeZZpkATb7ClGE7v5jbHZkacP2xuiqyx3WprPHp1AFXzElC88boW+lBv2itQBfLtYyYBLSM+3Bg rbxWCahunM4iBkOv3Vb0y/JqN5zeEv64QvhnYVOfqxDQEEKJMZrUj9TStq+5eg5nVDbdjUhgaseV uxka3spStz4xNocX3gxm3EFHLtK8VoTGA8Ae7iZu1q3si/IbUQ7HDJIXFaW+5vmgEy/G4bdpenXr bHle/zYl36uFBKCgocE2Q9a5ZK22rWNwx39pXZXwA99p+6CUWOa0AG5LRYR+Z3IeW9aRSGzkq/YR K8zowMpAnQ0pE2C3JvmlrhvxTgA5QAmUtjrmhubKmnh34e37rMYpA+R4oquR0a9bYE2RRxZo181H WS2VcNRMgQJX4Lqe+R4WYTn2LW9qHd4yuVaIoJbHMeo32xNxJ95sxL1QB9KwBb2lSYKCFUCJQ+kQ tGbmZUildfWG3lbt+YsZ1i+pq7FtUZ5l0FAFnvzo6zTSbIsV+KQEikXEoPpttQP/U8pF8uX4Iovj 78HBOEDTXUd3/P9rz3NdwweGb8t5LWBs8RXs2wQawXT1WSwjkPEvlngxaX8ZpjPz3f6xMIfP45ZE cdVXFsTENE7ROr2RrYLTBiqZXq9JwDAsRQvssicVZfnJWRWjsgTRJwV1hlc7+kZAYC+k+1AHEK8f OyQcKM+hVmv9qdoeHhrT93LTloXnSTd4v+X84j14kfFISVN3Y7in6pnBOmasi3+/m1gEZGqnydEe W8SNZx45m4w44yhVD6INwXnjNjl4UqRgcmbMA8dTZSIaGn0AHD7jLVXzjV07TYc5ISEjDLQQEjQ5 REdZE76bGRiFRF0kt0Gyf+EoP+2BUyELrfpYY78lEEq+vpLgYjFIx5tqviu93u4aDI0mN3NbU9aO wsJY0avXtXudHp5ZbFVrqhBiQoG2qxDqnT9VwgXaqhavoByhU1h8HHTrE4lN4b3yfwyesHwnLHBB feI7WyqjVwlzJ1rz8Zx4wjsE3Yh0wNEK9Ns3RF+TVvHDfN7ZpnGY7yzN3OMZkGwP9/FIf+SjjyEe ylQRRUTzli64MXn9z4N6C9cfMxBACYE1GsKawfhybfGiIafahOXzVDpiAUhx7MpfyVW493sIT3VU TIDtdo0gr6cCYFodMBpEUe28lK5KMYjuh4OMQKJ7uu/hnbbphQRc8CzEBAUK0nlVBjNy0Dvxa6X9 Qt8iwLeED9rU1mx5DRfffi/tFNwnzUtSJf5vB2OPegafu7JxCdcDy1BlI1wKjYGPkSUUEDPlHbtE GrfT6jiEhv00RJQ8JH+gU/+yRahHoafl8MK6hbzMnVJcg5i24VUkhtVc0C5MHwRrzjFHbzgnIzGk Yvtkn7maLq7nso2I/zpNCVoPEgebDFm9AWogXJ6LkwnXPtPxpHqAAIoiHqdZgyaKD3S9t6ESZUao Kj9zhZZfS0/+UPgUZ11P8zhR4J9KbBRYsl/a5DfMI8eEkmShPG+Az6Rjrf4jk6pXkPs0IToJ3V3/ HFZ+PZVtIqMHXBvhB6FCukncfejeTRRjhPjMwIjIFgnmkwa4sAy2d1xN37YvZVxG1vxzO2PK6nfJ zSqPZWaCHJSC1okJXVA0lgUIVLNKpURWoIB5fkHw70MfEqPUzU/QTaVZL11/hK0G2rF908OGoYQR rG412t+MgIw8C96Ncp+gINDQ9Q1R7dZkNdV/4eer35oOhu9jzzK/vtHF063dbK+VXDir8QiX9ANr zPvKRnnuPT4mR7kENiOm0hnzHx6ZXYzbvxFR69VzFTInwS4+LhWuFigd2rghQE3D15D6VdxkbA6R fhge7rRkE8n7TMJY4vdXRXQEZhu7/43luysQPSTX8w4a9DlAGuxGuUk4ZmuZsUscI6nPKp815922 czmRz05vx6MBhMXLSrgkznDpxYG/wDgDDQG9Es5jnV2KL9/lwCri2yjD1thv/3ZSPFO7LYK4qH3t uyVoWWuIrprnv6ORiOJiM/UW61uF+Ue04fH/oa5/IXXP4xbu0HB2CRZnkqBb7UQcu3VweipxyQ8R 4WHfvzuz6Hn4x6rt4NUoB+zSmiLTtW/x+hBZIFbmxT37Zp+6u5Rm9w+76NAH8ChVY9K1NwixdcGV VhkkEb6btEFfZaRftfXuI5vb+yu+czwKp805KVpU+lzj9pVl9c83HA4gw2H7rbAmSjmSUZV/D3Mz 1hgdLy3RuKqBfA4VK/jsVERCRxDza3JBiv284Mf3/6k/dzfmoOo1dOcN2YRTae0yC61RkxPWeTA/ l4HNm0V1vLBND/Ez3BVx/hjVkCsiuqGYBPC4dYnboPv3bcutWdczpu5yKRr9p3crZ9GgOLBjIEF2 vuVpjrxTCoKeYjYZR0HMortJuMFsNjnbZmknp0UBCzNac0VQh1YScmf3RMFBtHXwHGbIB/Uvcv9J CXMMs3ad2eizhHoLUySykn5846Do4Jl9LnC753g6khK9G/33B3+lEz8F/XXfgGeyytilecrgFQw+ 9De9cFPya8N+cdBhx+5cOobSxh0pVvCQiLbJacEHH7DFSLqH5E0SxC3XQqRK7cTY8so4ycsz3Kct P2reo5eVmdfEeNMyqSJ7jiaxNvrG1BAUoVJg37NRBSDX8/Qz1izXG4szs7xOQLRb4VjlEPyWDH7g krgMxQTSBcf6ox6nECENKNealVpjm6PlobzVFG+3mBQjV4xgmEvPg6qJ+yYxStVsj6npQKdhltuh HElE2t2c5OOruhOCqv8xChRj7Ek1hDbscCo1/PN8HmmwcajtHN52P8GNYY8f9GsvucOh9ltY9WeZ ZBdHMCUFzhQ/MC5krNmNU5MZXVTCp9elH2MTLhhomyiWT2IFptE+lglAILkJ+mjzIlFzO3g0EoXs vjlFp/oFtW7K9xnn1/G6Z2lKXz+Da4Rx84mN4vGP7v6D+v+l6VrFNxYsyP3aqudlffiX4LeDRu7n cpBqhLxxjO+qAUwYdxSxYOvPUAMee67x31HPRwZrha02AZJjzpMWQNOcc7hdOubK92z5v12CHuiR UcfjfVRaQ5472zE5qq1DWtXJeZtZsqmJwVpqOaUtnhT1qbBJb/DsBhugGeQBa631sdNt+8PR0P0H 71x4s0OejtY00KchpEwnFzX6VcrmYb6LhTRRQHJXnJVBZCsgxp3/mWubIPYTvuFydg9dckM/EUhV br0XzT+g+yo8CO8EpycdRdsvutqyfBggyRuzWIrms6FK+isb32RauglStZwLsQ3iTAjJXyTnqUSp /lCnPsuhkoC0Tkn3jM4NKMjeKe4KwmjzpOOaMVX/4dKn0cQAvSujzCHScCp/VoqVOiG6yFYuz7g3 ZWDGYk/rmAtk79BUMaILZwAGNEX8DBH2GLWAEk0mg0bGrkn/AA9x8FR6BHo4HOl8rj6OIxC0oiC2 MwDkwav/6QEqlGcsYMnfPHvmWHVBMfLguSEFg4gCrYnib4oLZEfMR5MMOLotMzaj0btQpYp3Cmp+ HS8kOw7jQ4mkiZTbv0CF/16mwpMM8ki5G2PR1DbdWLAi+hNSl51V2XupObkEW1zPRo+Rq3rhmFV1 ULY4+XvQrzXbkQWz7ArtZB2KDi4+20mlAJtP9ecCllSyJdR7Hs6QgqhfG+A/61S3mn0w7YmTElw1 Qk0wQmj8/NLayJ8Odk2IGjfaAHoI+KQqy4Is6XBzJCvqxlxG0r9Q5zuHE6uqjfS6uJwf5td39TBI KEUP7pJmk/IRTsy4EW+PZ6Bs4b3RMWiyaaUQa3ZayrCAX44zrTjvyhy7vklVHAgoLlGjf8Zc631F 8F1nAkju5XSGTk+qFj5QOqTO1O8W/bbukofIwTL1g4q9BzAoyjisKZL6Jyj33ggHG6QfIrJhUbhW oxSGOlgMvcBOdoPE4bVaX6fT8lotY5WaIpvYfB3AeB5RQLJGt1c9YpS9TVur/1AmMsS8Ll14h40T zlqSHifSdOxrpxDD6mB0OZPHrUBtKz2pfQRiORlUXiPfDRMzm5MS25OGoz7BzPTUpmGaJ8GlpDmB IjQdOet0svhg4nCDcPu0SQoUESN0gKdak5csNmbc0WupYbM8xg1R5B1yDgQa15hdQR0ZbTxQYsBK 3AtSK6zeI0JQlv5rXoBVVvU3x3NMqUlmEa7KqMW2d1QZgbujipkHPQXCt+9mTFmeTB4BtFeLkg4w uSteHckP4JD2eYlaCkhJu2IwV/OznVtWCYF/0Pnp4KeoBkSGTK2c5DYAN3Q2rshlvniUYh2Ix85G 2mu1TnnK2EcRjK+nrMXufhDVoI22gbO5Qje3NWMI2rtsFM8wrOCYll1q1x/rYbcSqmAJALgR5IL1 Kb6owiuzjgkcVJB0UmJP3BzQaQ65y6bGLqePix3iE3kIEjXyLfZjgQjo7BhvfAWV31UwzGBdUTF1 18n94p1EQ3ZwLt3Nl2jjipBJerZAHsUyALOEVR/2gTYYL7s7xLcjlK1UqDcuWWACPwB+DSguqdLq nZDdmYjQO8G1wkbYmw8Ubh0NdJvcLyQGLu65wnqnZlOfFIE3qbr5vNZrdwBPeK0DXY548FpXdP4x va55o0zAa66CmmINl9pr/U7cuCE7FpNhIAcNO7l4mwyaEtscwy2e8+Xk3WrMlT3N7r6nJF/iv3y0 8LwbIbpLKUVJy+vWJRtqOrH51P3dMKI9TsIYAy4WZn0o3IOLMGhqSnQPrxnYc+AM+BvalbjNGAjm /xGOc8kMVmGCzAsxurJa2cofyLoZaXFZfKVU/q0j3hE6KToLB9YBb0CFnkXGHs/Hb+QSWTcCnS17 3dq+AEqlQyK9rz5kMXRmW2qYXgIcdymVFzCpJX5tnmHe/OHEthvbFxlE87vmPnjhoRtsOi77/zIT uuO3Wl7VH1ZLtJc+7mu0I/VCz/dECZLaTNPWu8/UEPJATkaI7IktFhXjbv+yo9J1t5DuTfQjamNs HKMhv0xD86Uq/93Y9vLL8sphSgWv83aWCLDywY7SIhm+CExaNiFF7LpAsML/QqbtOclwG/l5R9fI 1eNVYRxWkxwRCFFoSseutMl70JtUSSbsozuEfeOEo+fTq2sP6bTBQvC5dz2zQNcAm7YaNMuWmUZE cXF4JlyqmnrCPFBYg4UxwNp0WIRH/f1stysm8e+dCvaMEMA1qtiCCoNgM7UrZj3Nc4ICTiLlu/1Y yjBeRSgkUZEvQoz05KsQswtrKAY82PxqyAudAD0bXN/NVDVOy6lktd0aVmtC/4DbSsMnOovaq3YH 9pWMEwAqgRkf4o9M8CIigGyaFOjHCvW6on0fps9YSoLRyeOqX6kkOR03xlQMXA7l8pwgrvnGLK2s XoYlt6Crj0zUTEpiKHkFNff8BRCf4ysbUUFO7w7C8GHThQVULoBIwhLiXsrpQG1h0K2cghhw6t6E gnnR7LCEFosJR5oZNUKdvUQXlR7PHKeYESoe81085GScN/xTb0a64kDiVhH+z9liEenqttf68Sid fRK+zgG9aLwvhtjOlPAdsROW5hU3+JJF/2uSjrgVE0eexhQLe+3r3XipKZZGgxW3X8hF/LlZb34r PBVkGdRBuklxQIRYS04DRep09Oe5K4ErtAYtFOfL+yq9zu8pL0G5Txk4CerExu1TFc6kOCSEZKSd T1aQN3tefZpqVlMZO3WVPJhvrE2DPYHz6YHXVb84qH2TeRJ39erMmN0oRE2oZAZRNp7tVtJwSe++ jXlzAyCmpbRjyonfxYyLv6uNFQ0Aeiaaw76RMqbEly59pBWBspwsdwoSNGA2mBSuM9pCf2znGwhK MnlvVOuKUiAVQwdLvAXIBXKknuGg6/B+lq1Yk4NeqiJ393L2qchSf41XV4A7YlsPqPaGEWMcCKMv ckG/dabb0NA3yPIk1CHePtYQI22WP6OsLGV/puNgKsWgMzFXoUcc2NjPu8Uol78wTr+yPxZ9FOQl t3WjSPexSz3L6fDZCHInWFKwumIboRdf8y/0JqW7Ad+fifcGVeyuHowWUGG0ZSbtpzuoqn05aDLC 4dGxi/4z/hYrBlBy7FHGEgBqAQYfckLF/hjOxUnBQBjFr+mDn4PHDec0jrPGpM0B2F/ufIzyjNhU zMoc1NvQr5coa569foIBb+gzhHSZoEBZRGOV+e2HA2Ryp8XYLN1uaZ2aOHtwXw+GPyIZXysmGI4O mgln9fYs2N1p9Dfduw1PHTCY3FFzlWRc+QVnXLtv+Q+q+5anQ96Z/jiN/y3ogNeG3BBoFgjd/yLi fP+NIQq5luzUoW6KZyCmSscSvfqXP5nE6ffWyhaI5Cs28Lt6YsmAqJa4Eot7pUzbjRSmOUSD63aR TpqUZkFLRHxps39tlpxJ1I7PX81FkmEz0Df7XCrBzwhOq2S+2u3YPX1viDIgT8i8vYNo5W0HEl24 YQaAvo+wVf2+oG5HK+SSiwtfZhUEhLyoCtzZ42czRceMczjyVG799QgeUn8kt4BabObmZgE+VELK 2UvPhP5m0XPzLyhRGJAeMWbzrK1reEivOPyjdSaTkrrVRQCr7G9661M1cmG2OZdvDKRskhwRuOVo QOLq0NUBZ9wSvAD7shq+vVjdXLcPJuccltTNsAwWyOD4E3D1WqXM1PRSUtoO1c7/W23eX9adVUud MNKk/eCJBInkOAJyNbenHtAZXWeDk6eN1bWi/xs1HGvqMVbXbwflGU5N `protect end_protected
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/cmd_line_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dadd_3_full_dsp_64/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
20
142619
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fMbQDq8vO/3YQbM/AENh/O6PVtFQ2k7D5McJCX+oPFrswmPz2eNRgCyxHRYc4I/9zzQ7riWYM1/q DyNv0iWlJQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A2mPmAz9HWiWA7SI1bu5mvVgpq0jhAg/wqv7KcUzr9xd+E7XrXIW1cHf+LZRuUBo9vbA+c5IAFqa njHzwCqxwh2WHYACXSWE72aCpNZr8XEDHYh2VW80LzeH8IzZ4Khu6EToaFfxz+OWR8Bv82IRs4TL 0rJ5acueLwa4cSnJ1c8= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DMLAaGRDugefttaFRRmq6DeC/3AW4zIH8JzHTQRQgehlPjvoJ51eeDEIqTG3jpl+yuyUDNxveaY2 8i8mEBQFFYYTS3EISt5QxHA29H5+PumXJbAwWYaNWqQmoyP3RPF6kqXdhw1lKQNP66oO7RSt48+3 FIZ19uZQ9Ruz3yx0jJ4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block laQfnP5jY9oAwSkVJUcB3Vp+nOAmoIUXQPUkcJx5V2Z7qRAUfP26Quy64DwgDpEqYovI8pPs3crJ O9MQdw93uLwg9D1zodWqmPyfGZGjJx7OiSQv15wIDGAcLmKw2GDlzAPuxeRyG2I6ynh25wtII5gt UKqLq3mO8Swn1CTLFpcpqIwgHCNM3g93oxQiyFpQiXpUyN/HygfRs/hOX1aLKsmqcjqqwwfj63Aq bTjq0JXusWj3CcFzw93g7NBemvDe0nnaUo1WiNRigUFMjrRBc55ouA9i8iwh8Km1MyXOEFFGaUhy 376u5TmEh2dVG7er7JJ3YEnIPz8tzXaH8XXGZg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BjZnhdVQPsrvLh76g5p4dQ1di3VAARBa8q+zch8xqbAgBrqneORykITx8ONkgvqzUt2QBFb2zgGg QG66o9WVse9j5AMY9EQBLxYpKeV3PeuXLgUDBJ3C9GN+lZDzjsi27NyGt5EG7kklcAxws1ZgPhVt 5/0EjtFSRCieveau98BlU3+7u5TwYApSP6911mTeEPcQonZYmlQAbqtaPwtPlo4zsecNJjhPKVd4 mZ53bVx8o0mDtVpc1a1GJtr32T11p8uhKMXzOTVhUXcNVew/SYnzLKtKikmSoCSNqKRRdKrjrPYF /bNhfdf1GVjjwqMEU0a0pq4N2Wvm5rXPueKDyw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q1RowYHXd6uUlbDovuOCiVyOwwM9HPLKPl5uyepEll7eCCZmOLn6Srf59qT0Pq9iJ+TX9zCWgCtu CQ6UXQpvkdHL4v5v4z0KqxTvStcXq+z1v0ayvED5EEqnMLA82zD9IJp/nYbU5USepE9/soJ4nU4i ii8o9XTNjYK3UBsqyTd1SA31TDsgJ+VCv71B5XJSxmMHfsBUB9nVqnsHN7Uqq7sXE7jdOLooksj1 0Mx5XOHYZsSW4+kts05wBv0fnYDJW7aE8WDrXF+CBv/IK0sm23QovTJXNekdlbW5GyaB/wMToLw7 TTKsfU9hcAP1OHpVw1N8qBllTj7lUaJNBSHcmA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440) `protect data_block qLAIGeF9ouhvcZgddw+1QT89kL9bJGXECuSSdc7yQChq0hFbJDkgrjGUOXr+SVrf+40YUcXz0UWo ZA4PQSL8x9RlZd3mGiZGam84Ijc/MIR3t0jsaXA++tmfq3rmTkskNNgr0lASovTJTc5XdU4wIXtc QA2x78GQozdeO8lVza6AMISBUkQKvKyE9GTFWKNJk9w5DleBIqZpTIHaS3sIvDR/9/iMd9HA9I4t N9TEgT+Lqu8OA4kLagafMPPTzNNuXrRw4OCMmywKTk3LLtttUR0B08+jp8FGianyAsXmyQpAXlig aIk+cYk6vef0u3rgKs+kIElhze5zT14+eoKAqJESbUwOEtZdOXlYGLWPVA31N3mYySy4FmDbBF51 yMdACL+Jh2nyrCBatFCF1E1DiSnO6oMwmWktDlqnm2Ntb+wsX3aNo/u8/mVkuM24mevxNbRBf9go w9bSN11fk2P+Rsla9qz4peYhwzhpKCSpbQ2R4d7q44WwzNhyIYABluchPT0OOSMHiEpmf0IZo1ar Y7WIu//kPVuqdBvDYUIy8BScPFeCQTLe3Vm+HGiLh1rThkqBPQdzw6fQoYDvwg3rNAXhBG6j8qpJ uadzDhkJ6JJMlcIDLVrw43HLpASwhv1YwaeZ/4jGcKKAgx1xy7lk2BvwcLoh3lEIKn0PkKKWFST+ s0pfw92Ez7QkLMFP88REqbalziTLxyEDVkLFGAxwnjCe+B3qonPRmu4NWZ+uY1SajiNFBLzQ4W7J B0q/z0Bj9jJbf+tB24I1UiFbOeisXx+EkzvqOiBec+88v/etE9fFaF0/EOB7gf1shQZvQZ5Xayj7 niXF7Qbz+I2U5Wrt6rgMCg6a7O7NT1+Y8qpL5LvlZhNb3ETN8NmYsMjTRE3zU8p6nOwZ4BTkJjNW tG770Ui/J7BlpZFPcCft/WUUSwDAQJl1Xb8+PWSzR7W2apLFulTj0XbnBtKInrERmuQmyoPFqDuv Us2mnZ/Dm0b5Ta5i/It/CDMmuAWhv6BSfPEVQmreX8oUIbX7PUS/NSm3WOwrJUkeMilB4xNrNhn3 XcjWlYZSG94hksTJWw9jvHSidO9fvvBfL1RwuyEP2qa8L/UlaFLknDiedbN3AM7x3cBVsJNs2nPj 63CnsEzpQ0kypWgEVbcZxUJ2QGac6eDgNojlAOjQfS3zu4frZwub4GMGk7JJ0c7OKXaljEMUh0kY 979x0rSFvgCxgQTf2lHEgac+OM/0ifcEl2jf2mlRQQkWLWRqqG/fqjmoAzJjW93gvCUAOE4t07s+ BJC198Qs6L8LiNOqSHXZNWotWRhEc6fv+voynWtd+5Zk2hYR4jXsazwxdqJ9J52L9nMBNkDHfADT WLkCijj2Ye/ccJaVpVgkyyLxPgrVkLAh63hsr4NsLxOnhn/xfQyLJbpZssuDoGOc63VELOyBhmBs SHjQ1HF+fdFBXpF1UdWxgoe2b3jLD7JLeC+EZ5dAaeVMc3wjuCbPGqK3OIHX2UZpXPsIyowSHXVh TNM+Ksb10WZGh4LqZSx7UheGuBBT7DLCU873e4Rbo90xl6ZZEH906FckYVOLwMxKHwdjmhibi9HM NOtSasHmvNEgL/WqCRTnrZtnYDg5MvwEeG+Ae8Hnd/+83ok6pCLi0QFjjGa9FAp1QvB974Q8fII5 d0MS49+Q7DuTWwv2L0xzkMZPjJS0JLS54tORfn6nR647qiGNOY1OWwezVH4IdT+awwMk8hXcBBVK VBAaD52y8/WcyV8OnHhY81cAXWxj1LrL1GH6XH47soN69PBP+hdoTI668pLj9M7daVX7M+zIDwfP qSVZ3B0gFu4n6MXmXY4gji7uXsUrDJFdc4JY4x6Wnm7suChsIYQIkiXDccjFNApkCrZr/AOtJ0sY U4APvIMX221yNyxY8QfAf5sNkBhOQpAaWhewisY8MNuFxPh9ACkmS7WAH/Yf44hwZAurac3Jk97z Fs5utp2Mu4sWuCe9a33msnhSugASfH934Sl9oLfsUf8gPmNg++WZREVBvDeMzhWkYf0+TmqsCB8Q RqHDTQGlHz3ug0OSSjCBuuPUQjVD03bd30kYMOKI1M57A0/LoneOc52EF1JTQDyRwGP/FqExon0j 9jjo/RqehaVVrbBs+dt8YX6jZaUr60vQpBfbM66WfDh6iqeUJQwgg1v6YlbrYe9Q2zDR9b6CExBW hPcSVDp/0/Tt8ayAXvjQm893N3YDcCR/gqaq1oiR9xPuCneGrZgekX8HnSp79z2Aa0xnsEcTzlyi dx7JJgtoMZzX/KAMKZpOkrQIVtQ8T/Oj2YSPRasixu4bFCtTbfhjkKL4YYYyvtQmX9zh0MIEZ0Zh wUZpzUXhrgq+11OSYrdfAzgps+FtFpmU/EUvWfkPhbaFpSr4riQL+bYYHZz8F4WHeZTfYMatZKop ikkRThlQA/IE5Fn1Ut5tChQ1FwAWpnT418PBry7Mj75+n8lh53OVQgJEUJ8cwoNYDJl/jrd9yBwO 5xX/NyHaYg+Ec+3I1P4Y6znqNg9Ywn5ktJeSSsrQVgzYz5L2HuYHzSGQXmYI+HwKZ2o3dfshKu0t FdybcAdCXFzPzaJLbJPp1BpV/CK4fY+m1N/7kTHTcmzixjtpCSiiiFupp34Yx8oP7ZRWSVso86VS OAt/V9GEdCqGOCiiYDAdr+2kh5ETWfPwJ2kMJm2GJBJwWLo1gurreDqiU1SwVq6+0BcCceVdMNU6 1S9skOHE2PjZ6fEqjEKH/keKk5Cw+eA7RIRsw0OBlK1P7fz2uVV/FC+b7dZG105nTNix7E4wt3mw MSqGttDXUYC1bb5yfh5ASTY1gLaKzHTIqWTypPImXzcMGTRuSpOJ6CIwSJy5I3p7ptpE/agHevn2 jLWjEnskRFdXhoik3VhqRiKjVullsqUwoHx59Dubu6GfT31wTH5R5kpXw93XstlGpQO/6MN0f52r kRjxnTtQtp0eZBoNgY/BHXMYMJZRmKvfkKD/r94NmtjPciyrfwes32C0BGHR2Z+IjRWKMmlMitP1 2ATRmGrktnsqgkjh5KsarR/HCMi5T9SR+O6Jb/wF5FzJqseeZ240cjK+TXoDw8JaqSpLelbzL954 vRpV3mrkOh693+4ODkAF2H6KDD+rKseJEKyaBFtP+p1x0qzqz3ZK8FjxE8R6ql59ZogkBNge53DO IrACRBsRrX2NHxJix+JlN8MPWq8tGTkq4z4i4S3MdCV3APO10ARS+Ksvr3ElUgKXDtnaiWGKNvQg HMqUG1COpknzxXLp+VI9TrNSdsO76h9z/v2LcOQis88h//G8S4PNqLpxtpLvyB2GzK9+SfUohyz9 kuAvZh0oZYiZgatLQV2qGYBieBUZzu8ILNYVFDwayyfj+Y/qiuo2wInSr7MtvpSrcRyroL/FHjW+ Al+PzoSs6s079oF777fggVOkSXwaS/smlkZLJ3BxmWpNN9SRQgTYUTOLb0fHQmj5ckA/UCQmrEwZ K/4LK3J6h+89YuXKwH2yA+7uS2UeE3lfvOpvdD4bX0bM8cq2nCN5oDDpUw5YSut5VBSFGkz3O0o5 UedKA8YxUxzLSi4h5GhcnvSI456Ng6Upd6g2Ex7JCyumknmw/nKNHSRyubQAEY5KvCc3KRBufSSD 2cxdFPBzBso4+eqb7omhw45brzlTpq45s+5/wQz2eMp/jC5PndCavuFKvQZdXqq+tOKAn6/PENUu AoT9PGa7MQjhCLeQtVfXAcmK2/PEcmeyQ37E3dS+G74u1aP4sWC0m8cN7VF0Pgr0K4FHFm8gNzx9 3ukjQY9AdBeRoco3efDLm/4/gGHUdhkx/dzM791FQTeePVSCR2J6ftLMNUyH37C/vKDy7RIg+21i Uz0W+2kRJFQtNiITya7TnYACZEFIjHwICbF3i3FDD32mfeu2nHzgECLsehZt3SK13eiaPPBH6A5s Ao3v5cXJfGAD7/hpxJr8T/g1kvOgwKelFl0O0r8RSPhzKxV3Xf1MGn1y+OZc7vef1VSheJ0qkC8Z hUiwr8xgvPlJCuC886rzT42Ck7xvktnNwG5tDHc87eD54qzt5sftz14X5t4SzQzw5OBFmN2YCD+Z SxzAmNXZPG+OEShVK53f2jCzU4WHnJqBrk4U+CU55j+Ud2z2PRAAtXO4d7MmAZh8kAOejTvZsYyB ooUgskXNxK68vu6pGUJf8yKNdpriS9RQuNIRr6TxQ+tP6+kkxNqtebMg2ciVCMtnkGvBg97L0xZ5 vcwB1iNvpp13y9Hc5LKKaNgtkocwPm4KVmxXziommkR+Oymrtbgr1g5S34iuqiZG/Bv2TGAGpDHd l7P8Irkmx5KKNbZXpzufv/u0T6HH7S6C4FpOPDV9Qd98pV/n+v3TTe9BzC2gEtqeforOX7Qk78lq QMUfX0qq8Zcwl3ukgcwTSQJoJjn0Hko9Sz+SzG2ZXxYKeiAaIq0JxoGpleRKBJD6Wsiebq42kmAd zV/GGDHQwERMvr81OqYWQzxnXYprECKwqDPw8xx08DJMivgCkoYx1P4xbqZyVm6kqvISQeFg798Z 9a+Z8PmS+uFrvgto1k82xDlHfzmx5OPBYyeCNTmYU60ds/FheJWMABtdqmKr+TrSV/yhV4W7bVJI UGgHUNF9KtXI2A4dnoTBfYBr1e5XA21ykSjI84LaxiUV9QVeeGq5wIj0wxV8aIJQAp/A6266K7Se 45H5NE5vLPe04aWKD9qNQYHncRWvYeidKc22qGBNvgxYLYFLShh5hvH/zFr1Ibh0mSfYwXvuvkkl 8qlIc2kJzbH5gQJRkxcvroZ7W1p/G6zrRAJ7BpQjZ/GSoEU4pOYKWzEOQmLbwQGAX+g8HhcornZ+ j6nBkSgp8d73R2VQvgufGeRF8MEN9JNE3F6M5jDZauQITJ2sRfhRrF20FiMtOETPAQWDmQmfGE4k 4wkMRUb7VMHaE0koNhzHnHU0nKomjjP9efM70khkITS9qnxOfyDPm8NRARKJaAvDYSEYNAtkS/82 byT94JfcBT6SRkorxIKG6ZRhCLz6zQSy57h9HHO3S5awoy/vz7eKM6SwuaiEIVDEXV7r2Peg1nGI UXbw8DdND90/3qRrOAz1V578ilyVcVsd7+iGzkteXJfr2uZj7C8cR8diTEFW6GLLeBOBmIuKXMvv RyOwydYa6S1WuaJG6J/BbB3kmgnA2sY2/gzO4/TXpvpUgzSMJfwTgxtnKn1q36mvky/WM240JgBD 0NdrZkCY+6HOezRSF0pxRFvn4/MTVWVjyizHLWShQdQvgrjCHnowHrrcVTiY4O8/0AHkK3bhIVwi XtukneGnbtDIGxnqnhEGnhZyS2+h6ttRIRVfBzvL4cZCcOpdJaHNDU8iafg4nd4zGnY2JKe/x1VU +DYKgvZWfLNBz75qgFq+9+ItAgd4oPaYODAR8IpTph0bHWeT+1TaSU6vsMzp7Ly+Hbl7RmnVa+Dq 5RsbX+pR1QR/repGytdGlHitkVEJO6r6LxcAuwbsfWUYyVONJLXWVbsWZ/hAZEnfH3TtP1107Anu LCRRoLoqdRq/LH9yUN/qi7jaqyTRvsA9Bxxg+jeXCBHRnqaI0X+BB5I90P9nTkj2jVyJ1ATCn2Wz i1A26gUpoOpcCz7WiHkl0xOnllXa+uA5v/U+0JhubMq/3x/R/uAQRAQVQaWLYF8mn3kZumqQO55E L/o23/3AIFlRdmCkqQK4FmTTA1KXLnG5+aMlDrKmbbQSIi7nE8r2Wt30JZuWuxjYjy/mp1RXn/7H u4O0QOsoTIU47p8IJh0LWp6ucBjjB7xWRisqOlcQCfI0M9x+l9GZwT6cRQY1RKq9S5BJ1z9QcYTs JdrwbD2RUodYv2Wew8idiJ1uegf8xkWMA8mWMKGaf6bvLY8Z1jg5DU/INGDilTgGWb4YlbUorlyF Pm5CVTmt4VYjJCvGuZ2FN8zny/zKIi1ViwepzIsqrLZLVl0zb/7FuT2sDJncvBo8vaU4kYPBvvk9 EHlYLgHlvfBFraNio37pfLqE+dCM0EeM/S1FTbuGRWvaERQ4Gx6yPJU2HXWzY3tVpNkZL8Ma4PAc qvYjs87U9g94ipRVbm8NCzD4J/jc4ZF3XUX8q4b1yC1xvWFN8R1l4T1kR91NZzxAOwIcUnGyXGD6 pdZYzVZStTmaR5yqXvPbEOqYrCrOg8rHCZEFAN9Y2K4omofm6l0nfrbMlzQrRZlrdOmEcWZ/t8uu z38xnqr4jAAM1r/J0oVBQJhqdtXqnhJn/d8LcVYhts7A3RXbRScP/M/j3RjEGBG8oTCuPyvW1laL V9fLnvueX4gLK/sP8EmkxuBfWaRJ5pOs0Indjr2OExxwY8w8an5TQfmAlJ578H6xQScUsZ8Pn5n3 v1muf1YETNt88snzigCKPs2JjxI+DkvtdcfR0oCMCZAnfYcGySMneVfFMjJUhmYvuWU9Jy+hbclt Yt4bjZSbPM/cVVjs0kC28UsJwaUh1Txoj8qBswFX6YonVX3aT92OZSoc2U6EUCv5dYEVxPxFlALj URZbSocjFvybB7tn6+AMTGrnxcxacaOvIbnUcjD6XtYqsMFxucJCDjbv3ZGuGm371ZH0Zrt7qPUK J5jaL5S0SRWJaK/YkuDuKvR1J1nXnL1bE7tnus3wiuSxdheDMJtR5ITx1zQNCwjLglpq+0LQ33GM EiByQISAZi8iS8LACsPzKEhRMS7cO+8Jt1aoOsS//zr49kF3Cs9Lq/+iv/Uqb4dMMLaSsgWfQxoR j+2+Yhb6OyR6rd4JOwAXXuXhhOI6y0NWbF4GF1SXONElvRwqlv3XO0IuTxkoTu3WISb522o3m0JY m7bY5jM+RKyOBgl143aCzeFc2uHyh6i1nrDcgFRglXIb+ma/ieV3UEY8QmDLiidXv9x69pqEn87o mVJmV7IdGGnDRcAByO05f0QZRI8JJn3gTtz/DKbz/QUZB+nRkiWfaXwkDD3UqyXEqhXkzT2HRqAh L09chh9mtRjVa09WEHnbsnOHCaIMb54HHp1VuIUA0tJHH2Dyc3qIcH+OiSBNjkYnCjmMY/qFwu91 yA6TOrCIeEa+yUP9AMeevNvDTk+dXABVvGCWiVxSVCp9VeprLuGmLxAtkn5BAtlGcHKrKrBiaOCF 8y1nTRnlKuREW7u3cR5yt0fRKun+5VUUvUmsgZ6Z4fxNI2mCIwcFpL28JIKL7wNh/eQ03yWTgsn5 b71TbqnDLeJyZ4wF5GHa/LMGIu2zgEQrNnTBB6UrrowT67mw1mBr8DSgGVNBU/eN32LLAaX0FB6T tA1Ihiw0YlQjzsVobfvb8/DppizCWfsOceZMQKPp8BG3ThKg+u2MmqRdybseC4nvOVySn7LS5pUV ajsjNzzqRdwodPecxaU18mjE/xkBpAhx9vO0lsbgScg+PcDx0BN0Eh7H3kfdLX8bgClJZ+k35JUx n+RbhrdrIVaYAczG3abxUXnl2ija35rbQE5L7Cu5Z5vT8ihLuLNZPGIRt/eGZDtkhEVgkXMzKtLD 4pUinNYp9RFfyt18S8CgcB18IvYc6gXVCTIxPPu7oMQMFQDTwL4Td9Bpv0GrbHB9wtctEXp6o4Fh 5vyprO2cyr8IIQpfi5Gqt9I2KnSxW3mQKK0dmv/Tl1a3VrmsdpMHKBO4iER2oHB1BfDTwbjqGIbE s7CAFItDdQuIqR4CBreotUzbRRm9MdFsn41C0oZ8AznNnHblztunOXOGHNDhiKgJm9izyvbhJvrc APEfTiUm3aSrAVwySAzNkYTzdJt8rKDlHAzdafKaeIfIqQvfSatjPv9nr52TBEsxhVT+PiQ2KDRF 6xAHmr8vNqMIxelRsOponoCsB8A0Z1U0XBW1lg+HngBqY7O8dKnedo0J9yGX9m1h4UrZzGz6C1Ze h+cTyz7+P6B9Y726FqhCAoNbXDhfN8XIqhzle1zXjApzivXGmFRwuVuNT+WWcEIskJIxjFubytE2 Wa/Lvt4+qobcEzCx6w0v0bQzEB5kB61nT74MawjRIuGrv85eZKk22rrwBUJ4nI+Sak9fqmFZikvR e6WlzK12FvNWSU1Zc8H7FeWKfindHpy/w+rVhOZoUyusNu91F21axmV2AN23YM5JptiYI51agBqt RPUtJ9Cp7CCmM8gms2QV1+BLz/thIRctwrBftZiCMiqoMz1VsdV3ieJ9oxpjOJoaOfSv7uCvyeFx 6MC3elwvBj5OuhMDfI7O8h3i4Xrmpqd4y7evpaQlqvveJAORqfrg46pUDx/nFKuyb10eztmRPTmE A//gTWBg1Oz9vYcElVgoME2rrVkn0DNTfvtT2bwFbStruL6W/wS1SNPoaWyNbM9erII67jZIeFZG 6beHcjbfeTkDdH+S1foxF6qrKUABKrVx1S73fY79xsWjnuCrJRJRdUxrsHvNvaMrNNNgCHaaJASz A5gSq3TMYRrGFHPuHqAPavqJNE6Ef+DdioFA8L3UKS/Iaa+3HrA6DMmo4ZLHX3KYYI/rRztPNUaA yI7VQ6a/uBbfNGs3liVe5MW4IWYgZFEsIB+C4Y9VCccgl7gqy9qbmd10ULmohKXvoketJo3DnHwZ TaRK6VgHFrqhznFSQrPlhelKS5B5/R2avtZ500zzlIHUJNAOhlntdM0ogCKD+63HrC9+2FQ66yxp RhRdrH2DGBFd1xDpErZ2B/N394f10GZMFO4QXbzdRHvUTebTa4blgafys6xIRgV8LL7Z0c5bk3Qk XTOfeph5rcRk61B1C1w6v7UGzNKDhkvcJyefOBVwyxydqVEfphs/GENnub0iFetBrWuqkQn5aejn 9YSf9CPBHwqqxxYd2ekv52LG0EFMyOYu6lvAzp3nZ3PY5ecIw+bQnVWzQ2kH+kdCMZVNWNbiozLB 32h9LubZl3RGXR0/Imh5wWRnsjhONEAbqYSP/MMvheo5gOL2EVKLHAXMNUiEphf37LVwGudWSkTg nEWWr24CZgRVfqHlClBAfHQphE66Z7JN4V1z+b5YR/85PIzc7qAWm9Si4dv3M7FQEXsEtzU8lVTC GMKYFXIhkS6NbzaxmRwRjb8wo98i9aUfLzmsOc+YS7nrwQ0OXix3g5gvgpHE/QWy34UNeCQUOoqZ 1GH2/ucLijZTzwjP/yUJ1Pdpk3FMNdfXCqggN8+kVWf1u0YPl5UM1QrO+ABC3SeF0fH1GgWnExEZ lEwOUIbr/XnhCqP8XkVViYK6OaJrcEmvlcrww9p8nGkxRvxwIBmMn1I0QRzXKT5pAkuj79zY29VZ NVRq3rFGHpM7qPqC8XI9c8jc9PuuJ0SddvOobPpzaR91mruMAvG6meQkrx6YL3Ujr716MKGXL0d3 CC336Yf6U2rhcxtYNVGLUWV9U/ozbin+rEu6JKrGZYDYn3b86qEQxX0pkOtYRRWIOw94P8oBkd7o EXF5VKsMzUDF6Nn44yWq9uTtZjDBaPqymaDHqaK4ALkOnzeHuv1TGOk2Mx1FoLMsys/M0cz5M50z wV3FM0OslX4Rmx/MOCNPovgkP7EEuEHzkwGfK1E8xGpD5iAjEtVvzRJ9efVSgPbqf7pa/WRtS4+j cBT6h9oCXSdD/1Io+e+1Bgt4RgiyhnJAblBj165sp6RfPd1J45BoK2HOMppW6lbmNuctUQubGNjs Zv/k7B9G0Db6hIp+ZCbY6AqE6hLjCgYrFKG84KylTtiadU16D1CSbeD0w+IxiJd8SA1cf346nltb jtNz8ysghBzCHfrMzED2m/QgtLMu8FHPheWGj8/ZPQY9VXOzEs663V9lIOovxjZRPuquzwbgTOQv ZR4/Nly9boCf36NyP2ET3lrTyXfx9xoGVMt6H4GtOA9PIlAOLg3N8qebz3YN6nPclGHSEF73g2d6 zEhu8IGyz5h3kTRED16GlWo566jxwI7spTtIbQwL4BrOJMWwi/A1CyNs5g3iO3iCXOslDVwy48nF P65BYB1dqdSSQ9ptdY7rXsVLmJrDQrpgZtsxnGgZjQMv3WWVmylIgf77vlDE8Y8MnSB4obt+WhXD e6tiIWNdfoWVJh8m7KCmOZ6ay4E8NPRrMQIj9F3P0na+clnd6QGMsByv2uteDg+QodZyO1503Svs BaqowjELZ0QYaKp1GwT5eU3ZjhuVECD3rX7dC9OD+4BOEQBQ2McRTADxwVx4cLQcXpqSSdZI+7N3 ES8IfBvkWvUZ7qR4sdWPL+WyNVcbWTTSWgJ+IJPcjiCgurdujMdMZk8FhbAaaLpttpmyo9OWoR+2 MExawHitZC/B4a0yx58copLM7cZT3kaEVKCxmYY0D466PrXuIs+27E4Kbhdl0xETlnP8LVZygw1X vcUL2CHFUU/gOf9Ac3LOm7P0Blzkwkep6gPZDF+TVHmvFcT+d9MknqqaMTYsVIpStIx5VTtFcaOe kh9h88DPhHFETmQMboYeBB0NClEfZkluGQFy9AshA+vBQqGF9gamwlJurcEJYCKEsVaMLD+4ix/C WQ+Wz81GOlAFQinasqius3ANYNAAdV8AIxjWG+qQ7hh9TeCtwtX9FLEjBoj0hIC6TYeLJbaXOuga xr/PSqPuv3JoD7SRlty+rKNDoNxIu5eL5eKjX6P2JY2p51D2hogxeH0w3UHKVP2VXSLsSUDyCgtp JdRfEHLfGgYIJfaon7BzKupm95ipTvLg1PIhTotFPGxrt9lAQpoP7idsoOwo5mSCIzlm53dcZ6XH ChUsn8AEdA/u6RlWCjJ2N/8DmMNqmg1pVAgeVH47z0RyBqlzjHLeDuLh/TEsY2P2mQKd88/5JRc3 +VJtkflPnAwMNOpKPN2I70qt699ztDwDLXtVjOAEPTf0wjnV33PyFx59CDGvMdBGoNQeE2Yu3xIh BxOD4lJRE1CJEWaU/TT0vJ+XpsldGCkOlHI4NkaOK2usfx7enoO0UXENf2fKc/MZ0BGiEFw13Ba6 A2XYgt0b+CpwRS17uffx0rk5svrur2LG85lBt8oIIXtLkKbNht3jLRZxdzhLWsU2M2HMGoYm3Hye ilM5ePB9XJFHXCiovWKvqmIq4eYeKGvA5vH+b0OMJDV1bwZ0Cb1MoaWnNdt/Nsw1U2LpKOtFFIko A9IzGIx+b9Ly4jaBd2TMS7gJla740vktYGIe3XO/XiMa5S05UIv4I/hP1+CzWXWWVMxKL3oycsvQ Rm6Hsp/KXkirpYyWkHKqTmtMV6aF12cG/2jpw6dtkQZJs3e4pA9voFxRqaRpXuoA4upNFFpFyGhM 6h3vVUOQC/pMVyJPV/ZoMMdIBILFsBmDCXQoevDghRkcRVJrqpXGUuX91A8K4Uw2Jh/cV9Rzaonw WRhI+HJn08fPR2XN+g2j7ZS0/AB5lJOXeuSAE4FXZ+G8cJ1LRUtk/4e7qJ90A5JsWp8MtM6y6b17 25DK2i/MDrbnxwEjbDyP2N3O0ZAfUvfbo6MHYxwagAlUWKdGYzF5TEya00QJaUsFaG3Wyz8fCaKs FcmrbG+omG8msMpaUM1CPs6RX6RR48DCKGGYxH0SQ57C0nELFsiTq+vxX8AjTxHDq4xrwjVfMIF8 4oHJfuxC61fxKkA9NrGOkNQFQ1vpwXVDoZmcg85AQCYaeV+/H1mxEUn5f9/vX00R3PjwO/N8LrRx EkUedOgAyfdO5Qhg0Ly8VgaxcF+f9U5G3xJLAdngyigODZxUPNmgmzhyTlqg/UZ3zohUmeyu6QjK fLKzSBXIVTtTyGwrRBvX7VmiJ76u08l62j3QkxuOSHUX1d3Yzww54+JGl3dBhJA33w9w535IXyyy xWeKb90fBhfQjylutdSyviViuFWmI44QXTPfG9Xs/3/YhlTmqGmvRemE3kt6miWL62TWsAz7Md6B LgA7MvS2nmbuaH55VT2Uodf/+MJZldVIQkSsdED9Zj75INDHDzjU0cqFn871xmNaDQqvXmGiuj91 9hYk1LSdGmoBanzP3IzqOUtZ9HqlfZ5zckQ86wFAwE5K0IKhgON/2bZK8YXYbx1nL/kj5rjxdZh6 dShycaMz+OYqe01HldFLCOHGQrFtMEWi9Pl8EaouYf33rR7ABUySnuxzZIDVayHa+oY/OUeD6A0j d4UXGof7z3uV/vR4wXLorV5E0G7fM0RCTT1ydluzHYVioyMptWymjrDj1vB5S9gOU3fBKMls990X CHurNe2JZto/60LNYcYhgpONOQYA/wzfaCWLn6qn2bbyglKZiiF3HtWeMDICRfZ3NJy3qTRQWMog PlUhGePCBKy1A/rCwkGBJmuhjFxDtfj5DsVdZId6gb6Sdbh+oSD+3qal5JFxuhH2KYA1Gty0N7vh gyys8uxR8DA1XCPHRtn9N9kg00/5extHVjSqhVuLUmWe38LaKxqFvrDsXt7xHBMj95156d4FFBvW EXKY4xQxzsehTfyHHL3UFxvtyX2kRT1jtAaNkAVE5lkl0L9rk69NoOxm8T4UFCs0ilxdD4hM52xD 29KazLW7K1+ZmS8KI59z79KwfdePBfnQh8PJ0tODt7W0Npmy5uAT8DuYgcYMpsdlBDspfc9ify0+ 8pxAQUFQpaJwyILfzMIVjVkKDu96NRIVyEYR2M0IrpmvHUBYthHY5qPg+kls0djc3B1gPmSeinrW SdI+RXygIBwnk+MYsUa2oqitEyiQq8Z3GwFAik6NTDbN3KdC7saz0ArNvYevbR/oC5EH+9vj6hsk tSzuiEvuQlEYcKHnT91FJG7U8OzU5SXG/3LiXJF7TvOzeKa1utfUenkJr5YDTdrl6QgaJFZlNZE6 YRekP1D6lg1KQ9UZShiGb2aI5grk9lnUr1V1Vo5CTOV8n4SVf+EPjHVrmnATNWDIXP2Qqhohkthm it+K2SCKu+O+IfEwYHfcaUPjYNcr2qPHdDmWiHsI9qJsw2fSTaP3jCOYuhYHT59JudYkh4m+gwj/ IYzSqiYwda0wyNEcV0t01wesU6fMrCHlCAZTikRi944cHxy/mgsaSrX4FDmCxG406YfGu/Adl474 OJfiDfqBHY7A3f197M97oxv9uDJyofnjh3JUv1IBjdBMamBJrhOTlgqtuIIotqoIlOgR6o67tnbp RtPAbfoeapEFuVPrPMBGvy+yYRq5ksVSjK1AGFqaX6HTMqG8V5gCVoaVtWG+0dQg0plXtpEuOq3u G2APwAQfVCV6Nt5fGYCmJpl963DvzVJJ2GW73L8sN9gLMs5V8ackPVDPT+48Vz6fCyU79LG6xlzN gc+TxZKDbBGyGzU7EIJQRQ1fMiW97YpiWxQLlS44FkIMg5+BscJA38WomgODyia/cqL2u/p1WPfk hvYYLjQ6u9vem5hKEPnRCBKjTWcEKciYK2oOsZlgyanDQFwn+mUDHC3KnpVAbdaRioeLPJ/DoipL 2wV6xxZayd0LE3IBpRjtgoZ9x+gCY55ZYiE80MJz18wADB8O+uSIqZCUdiHjEIcGnPqiO4b4b4kx DtCn089F8I8iGPQfNf0ZLY7d23e0OThvnqS/j0gu0gD+Bqa77GkpX4Ha9vOU3ELK8GvgTaLBlT1y xkQbj5V7b3pUmsvDAaaIKUjGaQr6YLc9WM+1wnecyjHVf7WHfffHUD46kAw5x34r9dD+myrF6X/C J8Oo54mu783XZsgaWIc0tWzKeWVDuCs/+j6f9gd3XpOVTeD4XiDQF7InTv7BS1FnPfLMiGiJVU92 kdBv1jpZXhm4T29xXnPbQ5Fjm+zF8fsVhHjCZvv9Vp5s5nBjv03btRmP5pzK8CqJYrKLDd3vlIAn Nw4CskQvprK4Ipj/1oBPTsvHvXk0CEoZI3s54fr1tZp61wYH/9EcnZnlFSAMyk8qOStHrPpl41NZ brqxO1YVhEg1+gbNqOx8OZzkqXRnZ7BwXZqGbtmD1qtwEYyHncSr0Pu/PI/kKVZrxs2GkG/VVkGj Vf7HZ3Ycdr9pkf7Pek6lnthTwlt7/kOV5CQZ7H4pQhhUUbGbKBA+6IE+GcxmxJJMu5EpUOb8Prr8 wOb4dXjduB914OJr3mwgMUTzZd7zA7DGKTzyS8Xa4USjtzgbdaikD0fcVpwu12fm8Fto7oVTZN1h eemGh+KHeaTPsUDVNczt0aYUkT/zHUiU5f5kKAz1uZ3DSPj6XOzlkIDCts48dmiKnCRkPkm7TO9p LjJeO/xSN2G0hK3WY03aBu0YlqQrremnZONKYpyKUyOn3jOb72dNhNu/dNkfrS5kYpY7h74U4PoN VNdpJA0ADDOoQBXswMIgmKtbKxFMjrbCNiDlClKiLm2HbjePRdlLELty6p+jcTL73gcwXYtgylkE ubIOCZB7iYRLBz8+pYAVLWpC8Pih80TaV1iFR8WVeLxjAfX0VRWXbndbiXruiIOufQ/Y3ISuzp18 O7TQfnOhiC4PMaBkWrdITq3zzRMJ4zfEVL14248IUUFqmgZJoKdBQK5r7Ut+fe9x3I6gKL+M/OOE d5LWfniiaE08SwBOH724foI23jO8T6YTP5bptEDS6QmSFDPsiOPDH7Y3UCcHMprZE8QF24rACZMq OYyVCQSmzQxMZ/BGlEzOGnmplDxnwEFoO0kPtLRdROeh6pJochPm6lOgZpJJVKeFb/COZDOrGdta 9y1Kez/+HM0qIL4+HWBPD3MjDb+M+Lyo2ofiv7ivsUqJlqCHKwu45+VznsWghbhRTyWSNT6srsLv xCd7Jwzin1wG6Gc6FyyjdfRzn27d7WuQ+HprcWr0oR2MF2D8Hmmr4P+kqsOL/FJG2hsPlfLpNyYf 86FwQjJ119AlJPiQkNaq4xbAYjpLifUVHk5cElwRCR3Ce7tYfc/dcf0l8gHuKJV24FkYl8hPAmI6 l4+wqrKrl2QYTohTq5w58vez7d1r8cmjrlEZrFkURiPg1vrqqjCJpcvQC/WiL7UEVPhv6youX12G GaCdjVxGyKXPynLpmHbOB1MAjnIJO4WO+h2P5b7DD4mjSBO1yYSJyNMtazzVQEI7Hzt7tNm9ZdXu PX79+s9ADOkt/nMLFtrqhjGSXQC4Df0H3EQ+fUBJDf8FsUN922vyt71FP4y6mGWXMN0Gq1AcS+44 LqEmFXe/OqUMB9eyLU916T/j778M/IPRCIBI7KGV21WJeyYX08nxlYDk+hs9StIEdul1YuDjAqGy rNBuRnbKux7G7r4FUy4PxEu74mdhMR3x8U4ihLL1GSInDDDsUyRmJcOnovhUozxG3Z1Wu19r+eLV 3zXGc3yGp+KMgRaa5gzVqqp8UASMWQbmvNd8gbtPCxxgt63Nss7Q5edvNftIG2tLdqNhjzalltCC /fQ/0xbpFoceONVxlrJKNK7iSvfZZlvQkaxI9qwqYnfUMfS15s9wNdeYbbF/Or5GAXY6J8L3eYn5 1s4VNxlsdh1GwTyfY1fLTuBNb5JGbbUjEXty8QjeHWZQ5GOS8GOKcByJYt6LkBO0W+bbor4mj8rb TNg8BEzhBqU4DiGxq8rtBGY3J2mVrIE5PnDGZvltYp2FFTTzVf+4F1mu5YlzI8M7PZQzE8+yo0CT Wymfy5AHIdNLsHT9GXE+nAV5fr6EgzuEvpvL0NM4omu+nh0z3tNzXJOeApO5FGkHj9HWNwwvrb+U SkFpyZmuBdOhMxI2n3vpzqnXr9Zf9dzGjoCC/8euWTcJW8ZMBMz4YGdRaN6FCZlmjeLSVpETnvLt 56xO4iu0oCCWvBe6jUWbpJ+q6ELpVITdsBnWm64UmuMD4cG5nSp7z+OXFuFRHjD9dMloKf4Feqkz ckgIi44dtu+Ngr6MRSj9WzhUHO6iu3sYerSwL97J0WPKMh2zpbe8eqccEa4qa1oI/X89A0c3ZGyP q4phRgQtLe8fw4Rh7wl+3GueZ6bmh7RW1PHi53dd2nHwotm+/gjhXagPU6U5IpJEQGBj3wISFtTs B4ITMz1CDHegDmeS0j2uMjrueNHY8Dz2kI1bjBa77o3FW4glTMj3CRtUmJSTsHF4b0MGCJ/RG5n1 Hrel4GwIuU6SG8HNlf2n2JRVj1vaF8OzlNxNvUCv/8BWPueruRlzn1JrXNnscZQSRYDa/1nm2kNl imjo/LRrPL3s9Im0sToRSpynOiRlxRKm7v0a1oBTlkcuJSl0AfYbtIsIPrzC3DZ/yobgXi6imQDR ZDcqBGpVMwy8mQdPqrroZKCdDDOZ60MfNuqBrdofScUE/qyK8lVyhoWLOIVl55Dmibh+UJ+yu9iS BvIftby4dWBHd5JDiW2uyxHC1Aud1XvX+AFBkl/pTih1TUyBYS+uyGktP7GyAxEBcDwcKTC42gUg H6fqJ2STfNidb++xbbfs8cTAFBPvORp4GLjGgMTJhEduEjIMdSdm297GihYv2nNMzU3NS0iIFK6S TjEoWdBMIKuXk8j92FGVMtT7v9dIDsXH8rOSq7Cgt9b3dnd/1zwWBKzJXPjDNCDqxx28aC4ltSeP l1VslTSkYLkpIbxYSTvg4weNjNcQ6y16suS6lF+7D3k+bMoISZqmgkUp9aiCnHwHF5x+xPjcMhk4 P9GIgju2hYe5Hh7TXfElf2WndDASgGn7HTYFvz/XsY5HLeU+AiuH8lXjWvIrFrSFLVaZr2q618G2 WHcUEb3SbytruWz2QfK6sWSL7z9NKD3iF4xRnKrnZP2V8KBauXRgzT7Cw2uoxq+Mf2rvlar3wF1T 5LKKJPlwIe4ZE4IV++5+z1y30WemjmPzVgifijOR6A6H0Hugrb7Qklv4EG3bsjstd1E7UyqJ7ri/ U3p1FoMB8hXKEpD+ubjP2X9bks48PgVnrF7CGtqIalVFars+U6nnYDZCUC9qRh2U7Q2seGEHxweF JLcZsHpCRfqFW6oBK6eUjy51jnkEXDwyLpV8uPgxJPzIPdlB75y/t0p4fsHMnsS7WDBlIhAdvXMZ T1dgm/QkqbDoz9WoyCp/gF6WIFvnxD82f2+AJDHa1r/+TASyE3h41agxk1yQAHzcq9CBpcRm9Qrr in/jBUgJQ9ub/uF56nZfLh+poBgq0KlLp4tyVCN2YMy2KXl7ltMsJq/yHsypeMJtJWJxVEKQref/ nHj4XiVWyAoOFcLvcpoJd+DCcMfHA2h7JEpIUMb+gosOkFl4ASyf8ZjtS94hM6UGukX67OLP4Pzj 2Xp4i86FKOCZmQ8VjT9gnoLhzp6Eg9NIk492kvcIc0zdPm6MZGp1QTpqICQ/c9SueFuDB/6lurcC 9m+upCitx5qA8dUfZZysBtqevnUy/o1gAZZGcM8geFytUj1J/jJda6BlaBTW2DGAjPYmcRDupssQ UCU4iKPN6Xc7z5HUseG3eQ4x8Wbc9yR8nHU0/yf97VNn8j4d8U5W6bDTniTfo672gJpiutXfcC+g U3tU4Ru4qB9smyvS4FbeMYE7HSVEp4veMXRYP0dokUzp/fKA21FW9m2yyIIsgmlX0/3oXkmZwFgO HNVNJhNXEbiMapTykYc0n1Q8GoO7AO++BIuvfU7Mwwj+6e8wiWRWfvJTVQjWVAb2h+91VSMKSzHc x5vGmoC7aWJJYXUcgAIbypfzFl/P/2+Zu8s5rJxDiH94GZB58LTW52t0GbTLR9yFIthJ2VU3nSEK m0pqSADoMzAd1JtbhBe61fDGq57zlTaK9xl6yrAbSyYgSkHYTpxnStoaGAcCxMaLjqs8KWaDpm76 eXM2ShFP/O6a8yKb87dEyN6r707RmohK/y6IhYzCXnXuXAK5LihoLQ6n/DdqQJ11aOBf+m6iV8Mc iZGvWIX9bzjWxKimdjirO8ffh3HFqsrh7l7XibVa97h+pswvtUxCY5dzIrgcyRf97hHKBJf01OoI sYEheI6qS/+1sa5GtimiUaz215+WDtCsPl+/dWKJWnIFnpmoV8tvrpVfXfkWr3EIrqmUJ7py+kBK jwsXot/p0xcd4/jWuPg+YNlkDbXHAtON6MMEwdCM87qkVuljwVYZs3Im9FYnNR4SUcY3g9WigUUl qXsuUnmINWm6jOTG7GB9sGmHwgaWUcjxTr0Eyxm745HbgfcsQabTXayOxRk4SHoE+XnFroZ7KE9A vFynRWVgHYjVlkO0xNKIcxDLN23702Hi2hjxCo6r58dMemcAuSmUdh8EQGQhSYR1vYgHqk8uiYlz YjjSyBzL3105kRFrSKEKuS85UgJxuQj5Q4fiAd/LqSWkF1xWzojphrX3BYLeBx555ThNl8RcR0dg sv/d8KMxQMMRhI1kj06Zm01tq9/cAvXY39ctq4Arqulw1M9VT8ZHvYh6s9V/+0ZtNKMmo757hHlh kP082+5eX15GVzIQJLj5lQDzma+xWzyPxcMW3cQK5XF5v6/UtRUb8MAnvjM03DNfCEe+roEtYYX4 5tV9M1cfoYgm0ou961aIldtI8vhVL/GZlyLbBnEDRL6dbfudn+GcSE5F5jCIOfaDpm8WBKFPqvjB C5Ob+tk9Zb9nn6wHf7HhcZTp0Y2m9k93/44SiwyS01ThKxXk3kNA7Hmd/vN7pyHSOeAN1rs9BZcb m4N/1H6b5g0HdFPm15OXyGESzKd3Q+0eRt6Eo3k2nMgoa7xgmGke6qLszf8eWBG9ue6G3J+UNiZn jCnEiAFrpwbpqdUupX2cCq2nr6JQR8bqhGVosWdUYRRYu/IUI9/mY/4MTWZSb9CfZphxXPOSAh/H qXnDpc16t204pMtnCEB9J4YTQmA+XjcGqj+LQs2vgR9sUXpWQpxPap3zIvyL7jIjF53UmKx0Y2iO HHsalaOQ5jJhX9crz8eK2jDwJQi8395aOHT7s8Uxbm6WCrO54wEL34kKojhcEtwA45UNXWgYMoZL edws/CsEBCbcNERo4J5oFFVef0x0VNUnxW35hOLhU/I+J7OAow2hUs0bx253gX2qUK4XkBfieVXh oEIWdPltd/UNcBSPv32CPWk6KihB3V/LzE8rRjJOFO56/7pe3fvxd5Mm0R3NlAI3G3q6e31nXSYs KtEghE4Aca8MrAQxQaTUFn4dk+3uOFXulLKtljtB9YR0eLkwoK+Lna360NpHyIyxvNBfudcO+t6l QmJpwiD17/qHx4jZ/hp6/Is8Y4Jj/az5UH4JCBTUNOYCiYevDYNhKV27pfIBj3S2yUO5tkgc6p/c 4iONgleANmwsmw9E6v9YcwaquxOvy4FP+A79NFCuvSB5oJIEq2YzfKE4ofOC8M54xD4PJzIpIgD1 pDoud8Iy36KOhg2Cw7UMck/+nwWM/dYkF+dNlovCGgwpWTUw/QRRtmy4EsWHLHSsGSKYdSD7qkPx /6LObHT9NgjuIyj/muI+8/dGYoT3b/QbFioxCeK5ZgX7ROLuyPhPbapHFydQsnFj+gnORPKfZlbs JEd0wHPtR9f37y7V0Xr9EMJtuJ8ywIn6AXv9cDJxrESHTFaMFOEIgAsilxEgt9t6+re/22otlcTQ 4zzzAMN3dali/2w+T2ObpDJ6mKE/qqdOVJ+3zsPO96CU4Zm0wh7FltTHVWrQYmo/Yqy4E2N7p1lA 338fp9mpbo+epovWe8XIctjRqLh1oIe+MPYfqznD7iZtFW9t7Stb9/uj4yogj1bcnU8cI2Lg9rbE gmSeAesy7UAVkPxIBO49Foxi9AGO/cyclnfrbESoEc6kgW90cxzNpRtuyeXrwLCHPKxdSmEe0cLa JEiD6Bk4qccU0Q/c2e3a72sNgxTdNBbmAG4mkncmZJK3itjy8oyCVJaRUNRS1ojxJp52sXogAUo+ CM7sTC0VVcnWzv23kNHfd2v/Pv6FJ9D8jRDa7qsmMdiD5bXIlM8SlANBHazyoQlv/qTjNMZ/IU8c NZVuQOivONFGfe+FeTgY4wvt2oMHAgpLO9Tmsmcm16uTUacLhK6Mgn4ehSOxwl0ueosuodWEvDpn 6xziXfdNWTcJose75d6M7vyz3ZyfXt6juX+WBhWNRf9Z4Rso/ixeZ2bfy1jb2KNLklVpbY40RSwS c0kAo8dDZz3NgdB1BKH9gTrJthUHE1fRxs/MxkfwyKST+6I/2ZycMgpDfrFsiWSU9qVW3wkLRgLA SuCJRhIgZS0p/QqhP3KiZGnvixal3pTtT6pFQ3FTPazLCoc2pi6WvX3P1dZ9MN1iBT2tYgrH2dNs 7kMEfZdl/kodi0e/4YCWfaGHJQubMPq9V4EB2kIhwBLfgRRAdwWOU0c0S6mkMT0MQlbgcexEr9bm rLyKyFrY/nk91YHqKlWBGTZdh3FtHrJ0u/g2N01+B0GfSJATURNJWbhr8I88Y6b68i5px4GAzfxo ankRixXXgn38wTX+1vTfr1GDPpbLvvuE8FsuIY+sbFG0sk3ZgWNtZF4cDtZBsnfrluEgxaTpbzcY X/OeZuAeQw+DYAjhbFcapORmWBjMN1/FuiO6dafdRynUGIZF0HlwlZrwk1mRX6Zhm254T/bWAn/x GHnHspZFt5bvr/Nbla7EtAmKmvs7qy8ftiMVHzxHtHIC4VNmA+hgk8OL7NWvNnyXdaX/NTfuNFF2 miN0Ywd7Wlmx9ZCJRQUk2ofd6InTjLeJqhZce1VizdfaRK/rUU7qrnUgooSdWrY2s6CUGXcwT4Mn o8ot2w4kT1D5bo+0+7qUdSwz/O5RVo0Nmg2lMGx/yNCOPhJBSUKcsmsS4SmLGTU3PLoqmtrq4M8g 5exMUclnt6nyNrqiqltIj+njp5o2z9A/Sk2IkwVFIw+suGEQIWLu9bPP1avxGTxHq0EdBV6xAkOL E7Lm5HEyhsyGyl6mgqYhHqsfR7t68qDNcev2AepjXYacCvvZP5V6CR1wVvNLCxN8Frsg6pAl71X0 fT9JrJ2yHl9HQgRk8yOYo6RrBJLlX5yKEzqpiznGyONuvAx2BGarmOM/QvtacxLhi34bHkNwNS4O lMOV4ytm5IN2J7weWdzcNL8mLvLXSGEl8my6w2JHZOIDeUvs+Q5xbMFMh+Rpvs2Db4D73hckNdei xh1ATlK1qcdCPEiIiXkt9DbQuCI2tniv4XOVUae3L/k3vpycVv6sHPFBzzZbiSXyMRxjBdqOhaKL 6pxYIu+CVY+RmFIWCQ5+VxgAaBPSK/25adTaK8UsCfwDRgVItdHP4cbcnB3RKY/ZBYHh1XZq6TrK qdFW3Ckzkx1wIzKhKtXcKTd2U6L/o85hmxJlTnJ/fe8+rcwyvK6CIHTTmmbycMIJn+FW97QTEjsm RQTDVId6xJIov3/GlwphukFECXJfrdyrjlE9GZ2nVx4oGIQ1p97w90zVsfYFLJXt7B6ozumYyEqE YjfNDiJgLQfOgQIUPgUpMkCZ4LoCafy1J/Ulb326Yva8c54QJFjpzM/XO82XX2oMWa3/XMrrOLTQ 87QT1XK58wYZYWArHKrjpP7ygwL11yjhw/flzkoOuT5nNxnfmJ8rAmfmUrDbDOG3Tgb3TYzOB20t 77DCiPrt29Z8tSEtPYpS+NPglIJIJzm6Rmi3XF7cO5LofhDYa50B8Z1hS0JTzQ+Xa8ruXbMOH2Dx MOZY/ePbDeP9sJLj93fQkAHwkbNHsbYDOxCczp9lm6NuKP+XgniFo5ybmYWvgR4NH+cvU3ZFV4/J 9f7iG+6QuLXJ5nP9M8ostkYjojK6k2bYInXTAZMxRetIE1bWdmuxP8LOqnen5Ko0U6XVyv1VrYVm 4L0CJWJKgLoHb/qhXL7x5xjQEkgZbMD/2CR0gYtkNpAv+D8DiMkKsWBpND8+CxR1ZfZHgrWkOYBJ nAv0kFI5J9hya7y8q9Ky7ocq9JJKtfkAa8+u3y6KWwsbFqnMhPpH/HagNZCp7EwO5n49b3TMJ9zc g7vh/SFaii91n67IV78ktkeapCFyy4H0wJn6WbMI2j34kj5Zewde0u/vJVh0mJtvn/fkmKiRjJtM xoItwaTelqwGbKLJ70JekIJ4FldB0EXg19ZKlOrlUo+raYI1z6Tf+bUJEG5gltHU7f92ncnBgkDo IIcPPSHDrvnLtkFN/r6MAHSsscfre4NXuA/RIH3qfxeuPhC3XQBeVosq8p6jr+Wprt6U8CruT+8p yuDP1PM9pvB90Wo3V1fvnprWQUJj9LWkSZB/aj5T6TLD3tNDYqRFi1+1KTQjD6QitRE2GLs6PvSZ Wq753HfzUpFFnrjhhuyQ+n5cRoGAzOGn08u6CRapy6q3QB+ZlLU0Zi7iiK879lFwQeXvsfZLZznR /cBcsVF71kO0B+ZHz/nNLdqvG3rny4n0bv/WK5G7g2n5yrq/gX3SqmZAGUcbqEnk00Wj+iJXoQi8 mr/sNveNRQ3nJBlPF179zAUH+zqBTnfpl6czj1QpQ4gNWFQ5ow2Y3SU74t+AzVio27AB3aJdlcNl YiAKqfp+ekkHzqapsx4tYVWMqcbXhwxVjly3TedZ5QZc+7smj5FBphsyR0eCt5/LZVQXlByn4NLj 6DfpEdL1f9LZlgrIhk+EM1rN0ohHoTtNmFe/pwGoi8v8PnTUy4DaskS1YX9mYyeEDKnRkM7l3raR Z5sIrSnx1HFMhL2ROTjw2KmbAboR3miFONq6Is6o1nzIwLLwANsvr2yAselOzflPWwHtRXAUL+sw LcSgYAP5vbMoV4mk+v5nbS3+AzuxnaQIPNS/H6yauf4VDoj1k2bgZIL/thDGL4s6Vip7MbrJSHtt dhe30+lGCLnj+0tE5/MjqowLZX0rSRbkGywJMu8Co+5hTUnJlZPRuoemNojKnjb0mSpnwbl44Vsd oyFWpy8/Up/42ZURiKhLiwb2sQ2q1yH4GURnXqA0VhXNVAdbZR8HHpwb6QI2zAcLzcBP2F0t5kk1 ZLVm0+DNpzgqM6gur0dgI0d90uo9ZPWmlMNLARUcM1EpzQRllrwZuCFH/1oO1ir7uwhrTAIHh3BB hzQyf5sSLPJdO3+FIUE5HaE8kQxU9lOUXEk0GPzq8DL9r83JXq5FDB6Kpq7Qryh3EdyC02he/jM+ hl0of21/sBTJ9TKc301ChXBKigIQmNBQUqYD/esKqMPIDuALqTCqjdPX6S0UOkc3ZJaPOOYnTkhX bO8o6OTWm4gIWsaRs2keyejJtErNaqCQ+mOokQNzujbSfbbYX+fZpQMX4aA1+Rp5WevZcME63/4F PzWO28LpLRqXtfMA2C++h6bemn1559WBHTu5r6nCYMYY44W+swPDRTUUsAgqr9tlyzcAyiqFrWfw BzgUtpJ6mU+ealFErDH+EFDrCBvFRzv6Ui85Fofo1lX4bT43RnepZBNWMVf0yN6le+EDnncPhftI eU5gRoh96sdlxRx9J/lLRQp6DAuKxIn6MLtk+iPCdfZlke+Um70jB3wdK8jbSFcYtMJ/nXtRYwui gzZ95ZsCC5yuD18zglsoNnxw8qn1ypLrQTv3e64HEAxOc/2JRt/nAxQbvGjG2XeLzxV+RpVS6nGy 2z4cjO0DsFhlItQ9SkOiJn35AXZTqBtmHJYh9z27PxyQsyTm/0kgjbusgXWTCkWPDQyU1XHYOmYY Fy6qNNDca2mpiq9JNGtgE0ApElkEHBiUguVmB5DD+Mo91X+QIfqlxTqKEu1CRrx1NAHf+1ySNeSV E7lq8TnNJD+8r37rA3YEK8GJjgYCtNPcmuM/tPEu+7dPgt9CORj+uSJ1nKZhzuQcu3UphGIW6Qsz JS53YqAeEf0IaXi5QjKph0sUGSOixHT4Ui4aZG09vk8bsuJrhcs/Q+NE5DVkV0LpqwXS8Zuu2TQ4 kUKtP1ONP87It4wYawJnkN5hH+G0SAb1Xd1baBeFZn+F2gjDO0DqWv5/d4M1qLzncRBypclkup5O IqzCbiPoTYfEFtwPnDeJ+3Bk33nW40Q20r2OH20XPG5ma0hcq5o1qj1dceG5G5ASl6ggZK8UGAI8 dqRNCE5n8RIU67yAptqUJRjIG6UM2PJOXKLbPe1auA9gCBkfucsx2jgqrH4Yj/U+7jxUFIZyFQGD sRfTHWxc1Qkg2ijjy1ssimQ4jyUGdX3ZNyPGic19MOoz/rFfxogP88Grpx3ZOQN71e+36IzGa63h AnzDUeeDIFxP6I2CibbMy+tqA/OcQKi00A6709E1KeICYqg/mGwSqGka2q9kSQPAZTvcCaNk8oxy AAgc4pDMSJsmjRVHZ4NoScRgu2y90G8Vqw6GntjgaOo0UH3uaZ/F+BOSj5b7n9UJnXTdSAIT+aH6 jV7aSnTFE0f5gwlvTNihn+NT5Gb+8vvSrgifZDWc48d3ZoKGsj8LX7o2/MdpLE/McMjvI9ri2nhy 21OI6y4GJoiIbmlJbYQht2FJPo/4c6BIOfwISBu73uBb7nTV0Cqdwj5dGyUvtdtDvPAvwpnsVqbj TCMIc92PrZHFA82uayqZ5tFXW+phUKlOkXKW5c1Jg16HbKp03ab/T44QgO5xUYqIYLXZ7c20YSmo 4JvC0oKiYwoqIKZR6xJlxhgvqIKHkbooQD3o9NE8ZU6aEb/OpRGICQyzKKZIbsuEoZE0a64RCGBx x2ibNZM5prPG8Ghq60E7I6rQ8AlXb7wcD/sT3Mb5qvybmYZ4UdPliC+AVB/1hsTWYXIufilLkCxH r4fuYk49zIfLGCOP3r0J4Nl2lezf+vit+7UnFONq7rL2+JdC2xlUBkVLac0tS4W6//M4vEfNPuLu aJECj43QAZPmqMnETRPO9j8DKqsNPiQLEJR/bYJ0WiNfut7m0SLXgjp8cxsLGOpIRE0TJ/FtgNig qtqQpDASb3pb0+7DT2Sd1AEC9baEu0KWCKnu7rbj2xoVPvb/fm/v5SnDf1pTQblpa4jrW716TrPL U/59eqhIjMzv+s5WpkDLa7JMydaEmjoGCZCBhGfypTzPuEVWbhEifhB0KUucw7lV7CBcXvT5fJeN FZEReHBN8iEWdDBvNZUYajgDauC7owgrmHVtqoHKynYapDMAN0UWHnqVqW9WswPHRxmRbqb+gkle aitJv1O+F0IbCm9peGaWDBKdL4tSHd5aObKfLsv90Wxcbv3mpr5CxLrqiuUYBjGh56z2687Q1Lfl gXhz3fYuh6o9iZa5ZlH7VbDRx589iqdt8zbdT4DUMZDWmnZVQ5PyT3VUC9KhWuGneiPI2M/oxQV+ 5lnoZN7LTBwDP/o8qdR9wn6Xbq1yCcs2owY10iMTq+Metw/qlo0GQSMQt3jUbjF6fCRqhjVfCntz h2mpm9URMNJH/MOBRNvLYpUrHVlTFV2ws6qRLY3QIqsXxI/9UHKoO1dLHeQaTHT8PeHFP0Wm1tnK fvDrIkk1AiWnCgmnD4dCsDnJOZhtAvSPgAHQywcWz32LDIXsEalwlHJtALLhu5I01pZh9RgiyybP IgorBXP/Hs7l3CIkFK6xISeK6veFpsFcCgomRh/WosZe1p+eGa20wtlRknFCGHgLMu7Xg7Gp5r2S j+G+4rxv5zKdzxYeVqmcseChBWiLF9duNHi5pRTLlao35u69HzA/cKmQnByPssKBwLkZpu+XQ8Mo DfGLPhnkmCcuEHKS8L0QEpaCNn+bXfOmDQDwJVjdimx0C4sWXuxwqXklMDBDdtzH5q4HveWHV2JA 6WlYHw/FL7evm1z/Zsr7gOMj5NM6nVZNkxRNeO58ZyZk+BNOGurYrciLKCQiqC/q2d5LZZR8sBda MW78AatnX5KpLMXtirpfHt/hB/M1LAz3p/M9h7tenCvBv1eOpvPv34a7KceVT1vcTyW2Np/PeTUH f3IepezMSLdta8Ispq9wF2IkuYHkqndoI8nwWLUARCU9lGrXL4oaG3eiXr6MU5iB76LaZH8NxDfx 7PDzBtGQF76nP6ix/ZReBSMkRwc8JZir2F/R3H3xplENbtxiiHiP/iwfSzLzNhz/MDkdMZbY1VfN OIAqmvwse7HYCqmvayOBPv8sDgoEzsOHqKDy/pM7iMArPxF2Eb+3z+adRHSzsQxxPloPS3Yy5lDN SziS4PJejfthahHBt///jIdz8hfSdxmqqXfQby6Ja/wxGIt8usAynntgMAn4t963K0WCZjmYkfXd C+KoQVOs9Jgc3v7HIW1gASflemF1OiRn3oElFEB3uRn829oWhA6enGt0aRcZn5GU8Y26qojyF+Fh uZjI7PSGxPNuqw4i6LrI4eBBX8l0sUpYuvlvVrldfhhU3i1bV4JRkKFb1daDuEItdEYHSvMGcKWG 604c3jrgDc2NlQlA01OU1iLiUR6JrOwRtaQuFD0RGKZwrneCju3wgB8XDtYlHqzln1eAh9Uecb1d lAxWWSZ2tFjrwlQH2ABod9pEx4o2fGU9jWNUHZ87QQKyCsvQbET0OXfCGQBFsBh3OpT/mu59c8zp mOvvcTwpzsBlxY4YdtS68J8dOInhDAkkBc1+2XkndX0nk5nAMSWInZvVtIr2FZOq9+3ja0C4EjyK Khfre+NR/Ilp0SqDTh3QYsJLKxz0ggF1cCpb2ntPyD4Yhd+czzh9ZnCRvTAKNtdJlNckzeTGUN90 UXfo5i9tRMDlc+aZ4BD98MoP8KJW+w2WDKxiHOHsQ0rgyUg4EhyqVob/nzqWa6HTkwOH5SsWhnyB YK0IErApwmcJQ8B6yoAAOOtCnvJLsfMmnm6E8h7K+RnKXpgd1FLAqyVQO6JDlYD2m1EWYOso9CkU Hxqlidfs4D+0JE5zx/VeHntro5QugZuhEFWDTlrSScz15qYN5bOmKH0v59CkORWaJ1due2onhGuB VlF3qr7RGQuybJdqH/4afh0HYnmQsN7OozsmFsCnWSl7YdFCVLe3JGwz03bmVSXvy5Tua+Z2KVXJ 1Nprww4mIcyZIMtHophFQ6sErF2sINbyXbgrSKrwMnndpbLVf2TwjCPye6ePlTyeYaxk7VPE2RqQ 2Kdhd8ZUa/MjtMDtr6wpaOEowLAlbaqnrPRvIOZwBTibnUFSbd1Apn7n3yPUy/FQVnLu2c6n6X0l LM0dHtVOpWhxpWKNyJTxfsJCPwLdxpGA2eUmzjEGA4H6Rzipf4xZ+yJjK+ATx6OC4gWUpW8GPYTZ wLUNFKXF1jhL6wbkdOj88PnKiyksRxN+1sDuaz/8LYxphFKz6cEVwksktes0iIyEzFRPlMcxAJvT YHLtlLOJxsJTkqFyNG6rl9+uGaa1PpLpcp8IVcBpOFqg4KXL+4GgdaPOWD/Fl0rzn1iIbIAGlq5d SKZoXU9gndH4N5hS51/M5niVH7kZ8iTMNZbo7JYUUTCkl4SjCgdwz8KNe2W+Lh5YQwd7+7+47aOk zFVal739ng9XjtTLAulx3/ryNBe+xHXbUvLuVc/J7OubzAws15zWkKs4PuInuYroHBIoanPdd7TT lzJw6yNCiTCc+4NJ3D6D0ICRzc9HjhmZDWDiyqzsSggIIOd9C+CCvKAtSPJizCP5eZVqN9m3qVbS OhmBcck/75gUFYmCpS/duOxJB3/ZmdEj019XFtypgH6s/lTAHyMJAoQ4UkGI3Sikjk5FfLFx0qoY Hzpj33AOWwH/nnzT4WS8MZyR4xrGKztr4stNj8DYEYV4wt6FhxwHUGZKQEGWqr9hm+ar6NHzRhJR R90Ivp4babaJ6f53MulUmBNVQBraCgwzpp1l/YedLZCUpdcdkGyCCLlKJV628e+I75oXPR5XGbxm rDJlErjCHIieFZ5h4WLFmzkKwRppsBrilmHdIrp6VfxhILtwJEs0Z+feIpUGDxRIAwUFWLGNlrf8 szp7H6c6mW7jQaMK9mJKivyFMGWsLzFK+US5sc0hT7yT3kMu1L/s1vxFpquKXi7m6cg262NYgjqD lJQ5vW530I3l2KZTd8YzeLwbMnTg7HP4e5Ik9EUeJbgnGYTWRoxKlkrDtdXxfREBH9vMg4Sv9fGc uKIPr5qTrnknm8v4GuhUGPGuzasppYsOk57hbqTqxoXYsjljN2Pi7Jc6IjjFTKidAZXiofCBwcfd abn4Oi+B9FINuvW4FOkQxtqegNIjTnVXg/dLHQCaWlzvzObIblAxzlBeMlfYgjNUXHqFuiOpi3mm Vo25FvM4+wgJcPFgiWj2VP/8Js0K0HpQ4Mtbm1sC/nCUs6ElmpGbXt+MNPiktMjrvPNVP4C4ebdl 5FsFq3BYAV+qVjD1ZFzPCFI6ui7ru9uK5URy/7JR4ZO42kQoS8GZSbgwxhhbtmJ4ZDf0TTJ/GAQF 0qKS1oK0gg4t5YIJf+5KJz+OPhv72bes7xpAdEIvezn3B62Si9QyXE4DcnTn6yMBEmkaxAre8kZl TkUaXbii7vL18T3K/2xa6nvoFCO1TS5L0esA8OOQq6RaZ+Qu8b6ZO42rtm8V5rVmi6wLDvdESuTN Ppu/EY2Yf6xqjGPSvwlypySNHqnlfv0125DPiGw/krsoN/LcWWauwn59TBdcA6Lc8oe1onhURpcD 0wR7hv0D4ZkpXZOW6rhfHIXSgdU5wK+Td/8B45O58G7k1Y7fLLqJMHHORZgeijBk1cuTWOThFQ2o P9hhvnboEggBrpkiPVEwEOLfLrHRdZz/0wTKzgTffEZq7exWd6DGhtkEHpylbwVrZB5eUtVJpkyT ci8YhoBrJ+aS2mdI9VP2LM0PVBbB+SYcLF1de8Wz3S2AaJEl4QA6wiJu5NO5NQPIesQ2Ajxtlq+5 ZbQ2Goj0s5sCr9MI0A3o0qNawqaebuFkvOsVAEbTsAn+9RocILjv5aK431t1g3+w5UFvJh3E3ryW ksS7Bm9V/5oTZhm3TSmuqbenSdSYduEaNpyU/VnfNnTwiOoFqfxWvseGuwWcn+THGa9mx55N/gWN YOLhowIPG2MT4uYcv93NidWMOZ6kVJN4iFnzvWg2QImhBUWpzlyXAlME9p/SB2QW35zBtQmeKqF1 p90VaPCaqUX41cR49rd4uvwHPwUwUZZ2s4dWSD3v6mtvCklaxeyBpMYzghiogqZE8hyktwp1C+1u uVKAYWY0j09ZU5OzBqUWYmo1PZK6OqqpgEDvZh1AVWwnB4XOX1ttDi/D6rRPVNiAr9ZN3EtMCINx m3GrjEIWlDPMFje2U6w0bFV/3b8+OI/HiHwwxt9QuG3HD6rGqRFs675s3dA7NraKIGAnMvCbbLVP CH+ZlnC0mcr1xE1hfJ0Q3rwnn8DzUjY8urFRWQ9IJWy43LcQEe/8Xm8/cyclDLe2R0gjpKHMCP6s X4rEyEz6d6fi9Yp5fvufgAxMqAszC+DxWYWADhl7MpvG+XuTD9Cb95Q3P32hfzBKJMOjNDouIfVQ zX6iRlcE5On2NWNXqAj5q5vHvNQ8SKgwKxnmPEnWJ94DVy4ez0X7XV0FtSBRrl4HS4zV1WsPm1oX 9hJLGwMGwnhjvYEVVZKmLp9BjsS0L87Q8HrgLxoMb9AnW34sN+afe9lmDA6z3NosF9JDU6w/Lay4 ACuKl0EvMbm+JCFPsoo1eDQWOIaKbaXk4ablSjEDqZ4ja1uYhYoJXwpjttGF+J70j+hAcQKpecmX +A8pJy51nn48gNjMXr8VSMNDwyPuvGYreUAy3eVAYE6TqntS8/o7r/eVagHWFPxeIt2YwYFCdF5r 7OxKQ1+Rio83NdrR95BAhMFi9rLWbBe/Br6p5qo6QVpQbOuQf1ogyoGcq1F4qfn7k93gaLgCLpdy KxpGUioAc2kcQeKIf6Ls/Ae2K6M3+HUjhQked9x4gHHtn2M1vv0d4Jw5f+9y6/BmkQ+DxMfCcsI+ xeyHTF4nnN4wT0m8xzMtNjM4jcvm98+/mqz4DIpdV4KoMpzI1qenztU60UUFWAQGZQ7S5/Xpw+js 6ouhF0SE/HzqBEBO54/e9nlRiMKm6PDq+EfkN1D1T9tNivCMoVhmOoBU5Oku0Z0Qij0cFy3B4xZe H5vDM8xuQ8tFcNFwr8LfG5FEq+ms1Qpz6V7zFgU/wsDzAII0Bi0r4Y4gDGLlyAaostt68OAL4cPV krK8hT34pnrAZszQjmwiYGSGQ73LVG/LQYY5td1VZnQLRi8bMEXxEmbyNahg4Qavsr4XhWkgMJHA CLtaew6UhWeM2AnUGGJota0uurPP8Z2jxBX7LLfZRH64Wqxg9Ig+s/MutQyYQGF40eXOMddgUEeM E13fgkuNq2+e3E+3ib4WBQOQzmb5zu8mWdqWjZlVckjhrIaifB/wNSqZiIOZ0dIGozbMBr9Pj6g0 JzT0VcvaopBsLzHR+h/CgEi/m7227Ha3RT1XFcy2WLnRLGehLKniJ+l5qFaoVkmNUDyku+nwB5tU 7h6AeAux9MH1LIWDUf6bBjMvfHYzOARIuqfo/GfaEtEKnN4FSzo2vmmAcH0/JjcRRiyyMRUQs4Pk +tZCZMFurI12N/uY+lQViKnrzhGlEggpIPkX8uWNpIK25qQx3cPACPco5c4o/4YVnsM+70Bs3NOv eobyfKNSwoDMmsdNM+PDsJIicOod1gqqdYrbkY9Kv5eUhqlAAiz5vrA8XpcwLqzw9BPpZS8/Suma 4fNOz9NUcA9DtFgailbG6L/64bFr6Bl6MWIcGhCN8CX5/IFaeArw+CsUkwVGIN7HFxmIGksspD2l UDW0FyQMZ3sj6qoU0MFrUAn0UP+inezZqTgNgxCrkM0BCx25ouOhLF5NHN6HQ/LxDb+AOloU4P32 u52cT4cH0MAj1aQdIimV6BPclMDBh2Gf5s4lfKPyIToDDTOzIKOv23dVloXj7io0hnYQ+ZRKijOc +Skm/4TMzKraTfTtTqpjM/QEUQkiyF2ptk3HOBGQF/C7XmJ50bDzZcLKSRN2Fi0qjenOGXFsIBlG x/jjugWXTMc34vcbBI1wwY/lBptP0nnh65qG4eT8rY5MbxOKn+tIqZxfHbxY+tpGANP34MtTMPuC 4D53XUnKdoDWJFvUU/AVs3rqYVNUQ9O4P7iPO102rg/Ri6L1ibPsZidTzDSHqFD1LfE2CNv8j9Cz DwcayZ35vRF0gnzjR/9B1TWsHJgLocPQUWkEvF2poajuPOvW9Enog2td8dJ3WwfGckZPp/gtA6Er SCHsvI3DU/e9wq4d6Vqduj9MxIvaiueXiaPNzcnLnxbTS9M0t7KdKAi6k3NSpdS21MNKwe0oaIHP C74gV4Cr7/wM98aqHBTkWcmxgL2HZ8kvElsnP13aUKyHu1JhHd+/P0PFQ+EDD9GOm9Xh24mlYo1s RL9Uv/4q04Fpd7UwW8+AMqKidVboD1n4PCmZe+/FFsIwEtgmJ8kHzfoAKqc+HMtaY2EUcqg7jFYK R6BCdDne1e1mzRWqYRcLP8lgtJ1lCfk7B97kBM4DzMeB062j0VbtRRAxqakVnQWqu9AWPljyQILN HXUmLrQ3Ndw727AxBFy8f7b/RgJgc7y5KZ8kkX+lkjJms/cpjsTkBBzhN7884BixIO8RTklWlx16 3UPXJliLXqbnziWrZwcLU0zJ1aORNQMwgRCKdWfCeedhsKBHwEi4O2E9XyI0U53F9QBnCGa7Uygz IhK3HG8sKtqSmaQUSW3LbSnKCUz/klGFnf73wRMU7KXG7xwiCL04Z2QelqWazUeIOsHbQj8JcEQG G2gP1yWWZ0LGlRbVsIHwwZi1Dc59VRiXJQ3+xElf6HS60SedWEoPEsPj8CKgXb5jFDRxUJL3CZkF y1nuS6dWfsL1tejQMTwZaUm6ylYbU33NeHxLWM+zs2DTv6ielmSQsP8x7Gfd6ClI8fwhwWZaOFbC 1Keg/zXDRWjM0QOVxUnmT2lLAmkKqnzt3nszjbZpQpm7OIXrUKLjC4NBcGyyjGtMdkvPXU5vSX1Z bDb81D18sxFRiS8atH1Kla7ztucYDu9TAT5C3OxXaEwOHs3kSbbb7jkJNTj7Hm3cQb0we3Aizzdb vjubBjWbSRRZIgU5cRkHXEy5MdRYUTvIvGqcenCD2vIkRk9x+Y1aqIqyBalwXG1Q19B+CcFVzTUr iJyGSvI88sy2I2AtnJU/TaiGzPZwY2sTgpkJ+7b6wR1PcuPsg+1c7AB2UkiGLOkFhSMww232+jUM UZl7+CrummPf1uh9qyPnQZDbtasGhBUXXL5Kik6uRvTKr8PB40ys9MGBw5xlYNe7OeuBnexZ4byb BOYV9Wsg92IUH3gVz9t7HwO3XYjJkfwdDxW5m/wb8RiYcb1/QZjvw92a/t6bs8fr7gWewUHDDJco o3U3ed5o/LFvD8uIO/ON2SqJFRWbQQLyDUN0uQzOhObQbTKu1+HU+OgNkqhLQcsOB+cc7mWDhhfF pXD69yGNbWfVNfJO+Zx7KTR64h+K7fmhIXCewtzAPjOQGQqZnxd6o88uhI91iAut+JeR6SqSGHAq VTCzM39cb2f9WG6V9YmE2964fYiHW90bgl8D4RvQZ/AhHzOCv4Ux0UOlN8AQEEWokgplMcDsKCeG 3AOCvtr+go2iMBhfRRH5hZ1z80uAHqD9IE5a/bJ3xcp+NCVEM9a9wbq4NtTUBsRGhQP9IHOw7VAu o4RPGK9jLYko5zH09IiS4oTteXYL6A5zs4hhCd8Msl0I5LEN2Q7OYbIlMC4cAfgABj18GoULeA0B dwcNQI0oI9tgGJJWJ6pijUwIUA7amQegAFBMd53PIR/SWUoUcK48GZhP7sEvmVn7JRutJh8aFojJ f0cIuw/jR0c3FTwpja1USjqkXV2E13aKuukpWJeZxLJH6ORHiSr5vv3Rs1aj275eAK9zhbJvELo+ c8WQoWgllHYGdFGHf1YhmBMl9sz5kHpqyjSc5IkowCjFDTWzIBQpcdle7a10uebVOm6qNXsn4xLZ NUFusBulUS35tN3VE+3njXucd92GHk04Tok11WKtx4Vaqim4iVtYa7plN8L5227xkNKOhYWWgu0T 0NothneiWNxBqTrvV62s5EBorqDuuLuiRxglcAE3vXnsQbjJUdf8TYe++dKfhuCXK1IkFTKKlgYh KmYf4dJ0YjMUPwWopAR715cS7xId7tOYrABlX+whu0MM2Mco2qlSFEyMDt6xjpp4gr4ImLpYShX0 ig227MJWnYn67hBSJ/fb3CjcOfs4rYqCnaiV4YjwNPN5NT7crduaslwBdc83JevqHLOPKYplN1rF xNUzbwU2otC07o28yjITHXK2WcDyL2CABctTcYVR6NCJ2GHxSDMIp+cdZzGIZnrkm+7b9loPEEEv FEznCfttJvESXJLTw5Ubk0AWeGCB818q0qoqONCv/Y7us/LMMxvwca5v36Bw3SqXEiuX1lRNilrH 29VVNQOiWudCgJDk5iv2D3rsdSdT/yub32D5qPduSUVe7NOpz+496and5/seMSKEXrJUJLSqIjm9 8lqDb/f9BG+Y5wMZgTH4eRNMmQ0KTEXrqPl90FWErWErJdLuxrx43TLP6B6+LWW4iSGqyQ4Q3ij0 bpxXzKmCoEZWt2idvHi6wekm6yhfaTPJW1Fif5JDPEbMXC0+7l9JyGzNGHz69uzKYrD1I7famwQ7 UStotCLScG5zXYQEM8z2Dx6VU7L73nwbxfcLdyK8vhvUCbNVH2mIm0zFVdgvg4Ob00T8LZ62htV6 uKAgnHGnMYVVPsXYyL9XIAzgPpDaHLW3j4DtFO2I//Y6RkAyBKUiRBPMr4E9z07PdUV34rOwRTN9 T5UVemboYGY5U1msQvmWBKPBRJN1SE8Jpjn2j1M51D++Ct5TCW1mIEjxW6bFT9zcS/HJwIO+Mu01 n9q+3N3i8xWjkdJEtfxu0JU7J1pO2QMxYlS+7qDbqNI48qoWDJDZvg5TJaj3Kkk2MUO8wtawssII 8nSsRgH3DtWVY7lY7VEw3/PMofIccQttLt+P3+EYUTPydb33OoGbnHoAVpVAy8EE3ZnW0QWLp4nc eusRIiD4YeBpgl+SZnBMzuHXrEimu9L/vnNzvLCkbJ7QDTjlOqFJrSbf+ijhiOOewHfb6fk51wFC pGVl8Gmsfmhj3q4q15PgwZqxNZm/XKROzzvDy2pnik2AezgA+7vZHjpYY8AZtMxwQwC+w/sQR/Bl /CbXacBkz/LFke2vd4Mit3EGFYMy9LmsehE0ZwzG+0aiSXEBM11fpn1gNaMynremYjiFUj5DXrua /vPOHzIOYCH2oxOSwrLdj6iRon/JJfAtiy9efKFQR427rr+2WWOU1CeSDwwunt7l8fxrqhCapd1Q ffkslA2icU3qnGtdvhvHRkNI2zyljUxYN9fQuzFi0I6IHYYbXKIjfxALdeKVyZnLRYuOd4DBVNA3 Zl+ySf63zQJFGeStCyneTfkGNdqoadfeDwxt2j+Fv5F0zQb2UYrW991plFOIXJHswwPiYGX7r18r 4P2z82hpTAf73p7c9jDrGxjHalo8wIKzqMtcKgD0Pa4yDNHImSjVuagvyaU/aGa2mmQMVyL4beuP OYlebT1WLUgJ4UbnjZzXs+qX03JWKbaMgjRSwq7amDlS+V4A4eiijhBqaBU7VEknUUt469d+wiTg hIUS/SIzZxOD98ZGPoRsIFjHyCJlmO9nuz/dNMMj87ZKoeWwDrJuWpCsXe8oFsMuIx9in6Z2pRKS OQHHJ66OyxB/m4/qzAnJwS75YBBW8r7d6pt6tNzhhc4ahIEgO+ysMcAedn8gF6s/ZmcOC/xsXW2v 3dcPIkd9UdyqqMpD98kJaL69iK9loKvv3o5GVrkeArW0ZKbpuCK7SfoXhgt/7XscAov+i1f9ibkQ foeX2etTNrzyray3+VI/AmygbT9Xxl7t7tQfkc3fw8YBEGqzk99bs6af4v+t5xNH05JrktUhpwfI EO/xwmlcnyDtbU75nPYt5qbiGZHC/+rzgsz5jmEzmAiWeHi/rUYimmzeBHxKNsTdn+2FE90djRZs wG2fkSI/iMAglCW4XYBdzLC7PKWJU1PRipfpnv467RStfJEtf5LWrFfhv6AqJuhPF0JXB/qaqu3N 4QAjZzIFbWHtCeDz/0aGHkCyxdbiqOVLnD6KrqLUgwuYDDbIyRQ9l3SxN+SoUK1MxcaeWdOAnMlm iTVwIe51XOUsuYh/hwKcWQmLXOiMtZQTxT4sl5iOBKqM/dlsZu19G1Y5gBQx/1K52RDD2pPk8Rt4 zYVXI5jZY8nsZLCDahjf1/xdXKrOwgv1ifw8pwwuPo+fmbQDlKDR4F4dOrvYx7UngR612SWVuwwd KiBwJmRCsdwiN3MImQlJ4MLckc1mwNaRM+KVrHUmgg/53IDse43yXoETMVb4eDxQmyBcoqT7c6LR zGPpodLYMlpDnxfYO2/Ovy0Ts0QLUd9MHRnrYT0MWLswrOOvRHtP2eSrbGmQ/zS1pN+bUhSDtV5a u0fkcbYosRwAtcXAoSb7ycOvQJFiyaypiU3c2387n7IZhzHA7i5M9VpGeVMKEsz7CsiqaZzEhcSa T3BaZHwMQ2hvSBZGPwlkRZpBrV6B6aY0Nlw8ERgGf70iPLbnLbeAxUofrIuAqv8bIH0Mz58x4ulT z/EHF+ecjQV2kDWHiXx2XIl8ZE6VxU6HzhyXp/jbjCTO9XW5WfRmTk4jao84sZuDe2e26u0G1DjH SvkHA2zmov/aL4IhhIHle0GS3GuQHgql/QpgsXfUCSJNdN5qnYS3JWRZpwvcykEsxKTLGHXyQH5e J2dzg2DcnwQazST1SalU9VwBsvimLsfo24mr4wG8N8Qp7ak/6qvj/rtGvD6dTkU0ACGjEBxegM/R fGdVdeDHooVs5TqYONZB26FykB7meByOQNHgeoK0oDZkNbng18lV/rmH+3Dj5yUWVkchQdfb5gKP Sm5Z6kYOK/nQV5fOGiYg/sb+SpgcarRbO4UW8lzGrI4yczHlxMbTX/b0RoNR5S9tb/+EclJAo8/w 095jzufvFW0vSJ3rDmt7xsKU+SoXPILj+wMOU7zErQNr+t9tK2/g+srzEnRlBSpPnFFr6sPZdCm+ CNos08+aWqc6iPphezJEi6GZKbW98N/Sh8CrTBxkiR24qTxa5EWrFM2D5BHG+LQ6Oj6qnl+wTuht +m2shtuW6EhMzGEsKgISDLCpMXosDdg3ylzG5gk05ee9W/gzBwdMv/ehPdb1OdVai6y9iW6grHgt UCfy7GpbGqPdShpxxJJEx9wWNCKpYpW7GyEIugi6BA8MiFUoULoagVruhvGSfRVjpu3r8BsGaUUJ 3MVZ/VzKRJL8kxn+xwgObEWS947ctv6gSsgtdqUambk5fCMSbuOQuiSNAslr0KXtRiYoZO/TZfMo nD2BBSLEOiu8bTUmNnZUBLz/3FLMACfrphGkz9LrAmugIAFJvsPNPZPsNJZbWplPcsz+xoA9j0Bh MKf/xoWOXrtNE4tl4yKDHUKA0wLou6mLIUUJcd5C5AcrCzs4v5ss4qXKcfMI7QBIu+OhCNfR6MTF K1+7xyZLOym0IR2LzxY/17h4NDaC2GOiJPl0NKiQh6kQ+o2z1GoWEKW3Y2MimkHCca8Xz5BGYwiK qW0qGZarbApaLUkKsxxovbzypAr3LL6SPPDJD3MiHQ6534vAXhYgZGjZHjqrxBbG7GSs8k+yFmEd 5QgYSu3zVDT5EWtorQbNRRaDMh/AhTaklXwWLZBCJ7jdJ0Eg/6o5r3PzM7q4h4BNtn7pSMmHEjFT fEAwqZCFGt51k3iD6oIf5Yn0lpVbCo9sCAzlMtM4KqPnYp3WY7BxKED2mtmWAK+23YwHHc5zrT5j Wtyb8kJwcAUiGa7ZeTcJKjN+/abqrfNDOVoTb9IPFUvgYmrkOhkm/skVINy9OxyBX54mhDHAj/M/ vy/vWgISSAYWSQGPtm6U8tKC+RkF6CKJxac3fWkxd48dGshR1gfm3quvQCiObjia92EWNQmln7o7 78x9jPOrkmWhC15VNyY/qFp8jUvXhtWBUf59urCpOHDNEIqOoQ92oN/X1YK2W7oNVAiLqrjCWjKD 7XP+uFF6fww5hUbKI8SN/35PmKORaHSipqSNbEV3NYVb7jB4WmaYqkdysO7c7QuR9BgHEJSbMkHm NPHYmF9CQfEv9BX0MaE8dH5OIVMzlKvcP0K0FDkKPv4JvHtLitNbyo4Hhz7Ov+spXxDxrgAvDKUj /WJ0lI7TMMTabXje+zCW8gcuUQM+IK7KU5fxXtOk7Wc6EbRb7fK1fHHvrqxs/U76SPFkEvIIXKyq xSwiEwHrcDdnVCH/XycoMMh3r+2GGwboRwISCAuQ6e0mF44FLQyPzwfnlIMlD5GswrGCz6gXZ1d+ hXNepEfe8lrekKPgLeMf5ierz2IDD3DDWouRDtwBpt3ldhp1v+bdoOdc1eokY+yqVcdiAo3JFoMJ bmZoHE2ydts4Deq3S1SQbQeqVjAhV6fswjMv+INFDX9IZBCQO0Qubu4DXJLFMi1wUIqsIKEtN680 C+DifyJK0AeOhkTArOaXKQFgyDWNGoVVEVaTtwnpHTO+1Y59EIidO0RqtDhksnIjktT1nzzSjLSJ pNZboWIxLWrUACUgXKJfk4HDaFLr8d5XYpKEv73hvshjRv5XZTrOSL5YQUZFomQoEqDYA2bI5W5X TaIiHkg6n4Fjw4bg8H0fHiNzduKOLx7ydPIMfypZ5xuDQQzfVbs3U955/Tc8psISlBeCNxb3CGbR sJ5Ox7UkLXqfFPNYLxf32GTi+g6ThZUaxP6Og6+o2pWSgfptA0/ri92iJeHSRj6Q2utN/lkydwTj gKDGmkNUK/1s1DNvhd3cWcb/oUCNPsLHWQymDE1ehi0jUidPBGm/4RpFg8QD5bVJfW6YoiVH7Uha U31DsoQcxsbv9s1AgPNU+QB3FI0amI1QU7hWOQMpzB0d3OVAjDZlSlPssNZNpRxdcBt1306LdQqP p/lw3NG4FznyS33NTFObmhb6YX2POqWyN6O8bKr4td8g+ZGrI6elnWgDcGk89H6LELOLeEZ3RLaB 9NkvVcWaVr04pDvsCvLtsfqx6ymecZKlGGlkvvN1aIJLNO6SuavdwG9mV6ZOwegVZjSLouqpDsfp HJulGRvigdy33wwbYicuxtFsCLojYSJ0Wjo1zLmPWv6yxXW4Xu5SqL5IhkdxcNaSyj8zi+8wL263 Ecu1v8A1/OCjeF27Vumqyz1naKFKdzhuNV7FuoC9oDhVBR65n2sk6flbCenB4BtzGdXMq5uLGx6i Il0j9kLXZE2inV544RpV9V7y3QtSU1ys4sQpiKV/XzuIImDVDEIIIzXixTBhnX8jOS0QuZWGTbhJ WQcXx1uUpS8pRPdUpg1LP3hRZ0csY5mSxzW86Z3lUvdyonC0b1qSxudSHIg0cR8j+WIlxLXeeU0W fzcKT2xQzpUFOMQ/+tSe1SNA2MeJTPFAx5SYS2D2InBSMpVLSd2zNehoFbeQR4Ik0nqH6bCtLYmt L52WGXCM0E0nNAYjskv3c4HCcdoMVGreOfWa0W9Mf2XqPfFkmAO6I4l8fayztTbDDM8oBqjZt2TH 1qO/ar41UBXgKJsI11p2DVF8RGMRgGMjbtBvcjCZHA8t4uxsS2HQe0+ZlYkrwPlZ7hSBVrdkahh4 cfDvrvTXtcG+oC9x6Pu6cR0nNhXARuWd6OsnMcFKrc++Cf9uf5xFnaqBU+I23YpXJNy2Z1FE5GV3 NrqxljdimfF0AuTpIHQwAdRB+tAEpKyhRwASIzv93FuKb1EinvYIGUagsLV4SyuZp121V256M8tf pNI7ogzcNVOdJV5xAFvzuxKx+J51qZtV3ZYp+mcuraBprHF3yr6EdSKagFM6SJcSp0DuQnvGFA/b NLqTRnbnnkpMAA20g6/ZxdB8SZj8EDP8gXtYsAkoM3Ny+ufYfkMSiP4Hzg1mD2hg4/e3yey4jQSx bQV9k2SSKcdxVsHOenyek+HRTEP8RxMk8USVtGOWYueQIIr4VdvgXRk9gqgfJPXGcUANYxlSQy3c gQI+Ir4xWUIRIZLfzZ+g3lHi5/nl73AhQ/a8UAmAsaLPzpSpOzwVQtPIZ/i1DVVJ+93wnXXDVR3C fes19FMxM8+vseJsHvCEwRUKvW/nmNvNinZeaOBmDl+i5h5o2VKvIGIV/FHQnN+zGpNvT1u2QDGv 6SXIoS46AAnajoYDgrcKiBrPmbDLVcWUE8PvCtkJuTjPBw0XChvlYEn+3K5GDqMhmCuDz8fX14p8 TGei7B6e3XWOHapgJUoxkCOH7rec+qzhv+N0EvSGoeJYt2BhKP5zdA4pKqwYQkFU9pT24AdR7ShG NIkAn0/Qyw5z7M2AN9D9G0nxCBOV6sEk1OcpWG+ApCM72oze6ufD3EHJp2Qc+IXAyX3rm29TGy7T P4NxD0R+bOdzKmpHCSdXNmSHfjHEh5YZra378+Qox4+Qw2CiiK1h8n6533Kjw8UmX3d1OXnphuTN mXC2iV8veucdHzW2z8/+FERkKd7fmdVdwFVNlmOUzINyev8TWrVqewW/vmZau24jdwJUYI72hY3M 7solMuSMqVWpzEPdfD4jKz+4pDM1SRGpCMv1upqXteQct5LtQlGxbs4/4ShjH6+WAxTVCIpz+4wQ GsRsLx8xEjTDD+kdlaYR56u8udt6JwdoVe3yoZRmULw6NcH0VMYg2XulqfE6t3XqtSKYphCetqMD rHr7ecn5ed1SETft6RtudLVR7prkjOAt+FDI9nSBx1Lrdxbb735P5abHQLe67uVdKiYBjejNrqJI RPDYHOAjp8Ao+GHlaTk5hjOgWuopUKXv0FLLwbUXWwgkbzAUbUrSuZGhFdafa0Q6UnKyfirEVQLv jGdWUayFIC3t1dD4v7opcNPO8ntey0ToOVgI+pEPjnp1Cddt+on30Kc0E1d+VAbPH8mb17KqQCsh 4VLM/ChwPSeTXIRg2A5WuRXhCSapDrJNx6EwMdc5hykT1FtTIEMJ9J8lxNws9vEArj9lAMgEPJ3s Z/bkoCWbF1qaF3moHsV0dwsB8bampd5WY1s5jU3uBYDcUJtqxafDy0uJWlm5NdAqclIb8NZPauYG WKCDNjUOq0BuqueHZOkCeEytYqKBzrzGByhRzAVB5J2d4UbzGOGvCugQWe+NxRb2ox2eHaXDogXo Bkn43Wj/lvv8FLhORFeO01jZoEbMo+eOb34O5/Qa63CW/dh68pStlPZWDeb2LtoVsvPqH+RPBdBH UzFSpNioMcYjkM8vLf1uhNyheClFJoB+H4jwM/jmXvKBNEeB5XXXwqXA+XkRrIa9zmGM2y2dC/j4 rMZCCkJvqQcF2hBp/r+WZ5z9aFpLX5Gyc6jCJORTszONIPdM9q6mOILWkuoEzqzjv7yALgz+v1Mt nwl5YmnCAJMZ+X5Xec4hvfWoxSk+WFjc9SdVtybpNGSxF8gcz3mpEyaYTGvTFMUE5Ei+PlrZ1ZCz AHzGvXIhTunbSjLswbqfWxDPLOC263ot5I564brXaLEl0Ex6xRXAdUrmfDtEnpq+SCaGclu1Ixlk rS+adqfxi7nt+mmKg9yvtSzrep8CW5tRnAQp+K31LwSg9ZIvUQLD5zi+xJ0nGV7Js6tzmk0jHboF zasgYvJvFWd4KrCdH1M3TI8XVcj5YVMGoBon3CJLQl8emV/pzopglVvkOBRIz9mWrJGPZ1SdEdXP WrRGQoGLLJ8s2DtY651W14KB44RKVjK9moPSwTdVCCkX5kMfyg9ZyHR5DyegdKj6GrQrBQvuvT4B eWbeXnpjThGOPsCz7pJgO0LySicE9CNGQSaTvCgqJVW2IKudGUwfAQy84CJ6ykTrXNgU1aUHY05m hXFpAr5vYfqIwlEGgfbSHwwCWZ5g+pKFTAACwV2U2fdO3Q9Xvmz8PtDsjqYmSoS6tx7IQ8j1SNPK NplHXi8mzr+1qUWQgtvtkkPWA2waYtAFi6bNqvk8SNQKybHCtG/J8LA1TDPRvUy2uBaIFBpFrtkN J5WtwLqHwBcGtamDhpQm8HTnoMUzPP37XVP6us3sBTi6xSGQqoqZOBF97B5MtLOGYC4gph4MK+oi rAhRpfBI6FDbmu12BB2uBLAQewZYq/DG27YEqLMRNcljYcKnniPRPsGU60L4KB0CrmdpJm0r8o9S eIzyImCUzC3O2iIdzaBPdvt+C8boyGZNAZ9Oce6SPKZZblEuG1IhLAP+C6p3NIXheFlb2MDgrLX2 I50E+CuHlliDdlWQFlKILeRlQ8H3ye5GhFtfWonnrsSejDfb0cK5iYA/mlYv535cnL1M9kQ4NuKG 3Bko3n+WzIdXip1bJxj4qSZ0Re9tOnf6K9shvJVmoRv2wYzfWTsC7UVN625qnscypn3o7S9bZF4O fZBYpyNhDhmGlyg0fBPZ6tgJOSa00KXUXIuwU+9pM+lfP9tE8EAbMrYE/irtP11291xjDL5ZPgdh eUX514qsyFBYOAdmS+CTZ0vQLCvWPfyayyWWVz9F0Ee65B0uPUl81yUWpBHVkd5xaow91wnZXve2 CUzN3F8Zp9/YQ2sDAEmsrktlhzTRZc002f5ju9ilo0vXoHGJRzqGzSQM5bZbNtH/VdRSo+AaDkzS JRGlLLykGDFYFAs/Ac3DShwdUnlhQeOaQJidUR1yEP+HlFjYD8K7rqgwKzdiqV24akr0JzixvpAT m8Z8Pz/pDemkhnSG3sXJY7Pm31wEqKjeqMApqYxOxAr1VPu6n2Gr8fDUryU+uNtvRjmXTdBTRA0y yG9eoDpzkLqLOaoSVWKxwQjWwIXZ0nNhIlZgYvDDBli5wAYCZiR/VBSkqq+vX02vU5Ig4GOXlqER 0O7b8vBHfabjTZZ0c4Q5Ob0vlpZA0K24G3NEBH2Yzvp5ZTD4ih5i5RPFTNNpjp0ZEEbSo3rkyEaM w/T1L2eRx45kvRfnRUDKf+/i1BxYWeDau3eqC5X/4xI+ui1JZcOp1d5Wn2iX+I+zJTJ5hjYiPGt0 DOnV69fqIqh9ifRPQiNgDQjgNfPmBVA2ZO6z1nqg/oUiAbTjTX8Dc4hIn09vbv4Fba0i3i3mbkiU aPLGt+38HENRgd5h2VI3pOssiohplo1chkaQEEfqQNptQDLNJumchx6RiajuRRT7bR+HbgmYvgAr 5/7kNRnZTWSbYfawaxjOrP9cM0aRDjVg11Dwm9KeE0faA7QQMwKyjhlrEP2HjDeA0+VwT1y7sVK+ UlVunWvNyYAWiLrVMUhso9epsho6Vln6wLqTdZepSfUO7VHh4waUaIbFouF1NpXUtiKeWHAc463P Sr2eK2LrhvTZRAHKTqM4nsiGmTXFsf3DS1MOzPCYW0iiO3zFjq33xTUNGQatw5Uptl9H83ftpbJ1 zMJeH0xC9OOOnx7wSy0LZfhBmYgo3E0/xo0AAVjWJPc8qG4/CFZnfbGLHP2+ezpbZ5tOFhDrUUuK rKOUubLuPcTNxjj+C1/QsKqdG5pKjpYopJm9OI2zZeysE6Uynoi2ICvFu7sElAg35ySRgQoZmsyS TzOjwNhyV2QPMNxTgQIx4foBuMJLSfAelARQIAa4DO7W4RVtWQ3PZR/eKc1nB3DsT8Gb6Re0+qAK Oi9Num8SoR9PzDwa2QW3jH7GmRKFDVL1fHY6ATO1+MxKqKl18ggARkI4zbJ2iK2ua65mnbGWfY5B 1ur99rTXHbyJhalcDDfVSZDSpp4W8pzgbLTr9th4HS/HTHHtLuAp9Ha4W7ccOxj1rMFjQGZdmFHc UW8KOU2Pml0PCdLdQRnZ6AUVB7c5xgjYslW4o3PPB9MrddPssMZBlVOz5lUOVHRQF+ZZatFXdIM4 oQn3kQg7xV8CMiaA6qF2yQ03iKQzvn4xVvn7Q2lE75EM4OHSiNYDvoZzJzRLM0efNb3Y9e5p1ssT 3KRLd4TOix/sfcryHZXosccfiBKrYvu68hM5lFQHEhG+4F3K75verNa7Vi9akIDxr4BuZ5S3+jB9 FJBA5E9TxRG39Q0/OwrGECPxRrKgug0AP5+tQwOkfJBk/H85YB7CO0P5Bus52/D7yE199E+H93LD wW/OC2GDZbSKxHu6NZrrWyDpFdgOn4btSBaFP/QvbByLXUf0hOwkiJ0h7k2fyByVhD+XWe1Hh80u ZloZ/9k7hP31Fft+XBlX931ijyf/+sUL3ikcIB9Ldr1YuUIhQy4l0I2ak/syeq03uswZNrLIHEsC xGIMfZdqLShRxXpC6/blyVmpY7RIF947F4xnKj4MrWtOF7duTFW1nBY0baHofN6v30mmPjqoiK3b uVZ9KkRIDqIMfO6A9PeZk17GBCvIBx6hBMnB4/oTHWTwr/nnLRIMLi0nRG5DSSEEgs7IwFoIbr7H 39Ouvuh2yKJPRoWjEqm6+hYc+ApPrdIqXnJ9f1AhTpwpo98Kkyw6PpmQ2iMPr4dc7YE/3mWua40n 3o680RmuGd2c/Z06n1ck/OX8BWaZzL/7ulaOqQtb4E1Ied+m12AuUGKoAXYXQ9bJmlP0rwbO/Aw1 jl0zc2VKR4fP7TVao8w7JkSVgNo5Vdx6ICKdkiBF7TiP0G25UA8ZWkWtMZ9Ok4xgB86ip9G/d67a 3c4YmB5/OLZb0v0KSYMbmpb8WE+kQwgzLRA3VYNCXShy6W4S4x/8VJFHeJLSGGiSQNNMVFWS7V/Y /3GBXCDDj7TpIOgWIUgfuSUyAtJxbu7ymLRs6Kheg9Gn8t0nmp/wYJyoDY+eGKsaswiRGwdU+yox Z/+4n7xAYCc41EgnRbRr9XZZ2qLgrbTt80PgQ4RBjOe5uAAcTTbYlFQuNr2HLi8bixelintzUCI4 MHHVxNyl7sKDmqnJy8vpiEAfbMu3Qnb/kQyHbXyOX4wmnnPAfVRZuTPD1JyTIn/5fYQ9yruQ8K5J SRTPYx4opNMmiAkNuWzyUdqCq3MkRQ0LXKsk29wXsMsL4DY5PFS9addfiRU268FgMDRz8ee9MRcV 4v8gqXtrN/RjyQKUCBnxX8RMuBKzhgPL3DBDKXIqQyILqs7FpwF3Z4lOQl7CRyOA7Z8G3v+qjFHo uH+q7z92ZQ9u+BFvT5MDHzKFGq/wy7DwxiqUqvgVDPcMIMgmLwC/B1DzKWjLx/CgrYJofShK6W7c RnRQ6hjGMWdpcK+oPjIX0+P9c54+fSfL45AlP3P4EtnpPqW7/Z0Vh4tmQ/HxVxXSsM6FT21mGZDK rrtcfKNox7H5LaZ8kP6SLjoOGOEKfvQu351MsVWUSmuRPjMiPUhYKkDsLz1rYrmmSDmeuXN8GB3Z gwPM3uUuPuPDeVu2omX6d0SCyb6f1MDxwieNvIchSuIhOtwoJzbgpCk6l27b2P10OO808na6aCEa 8BWkDdhnVViFb3tJCW6+AKjFp6quaVNR8SwyQ6qLxBgD2KNnDOn4a3c/L54LW/qm6X2ou1foqNyt 0xY6IHqBDUE9uhCM3jSs+/3ItrhmpThdgFNADh0eg1GPosqZRSnQVSeqYcGlEBk498x47nuuvVO8 l6vioWeJ1+mmQg6zj5IQ3BGyM5warLT5PpwhQPcITYJ5+iCu7B5UInhTEVLJHFnQa8it2c7oVVNh UIU77fH4B3xHWPBRdo4SjNdVyxoscdSnhHH8X79StGvds2YL09QSO1AbOOhYktAbatiujwdMboT1 4nj4s9gztQ+FH2sknKJsUWpInnN9EsgYUCa+oejBDdQR+6KJt0+wb7BPjQowjL8Tw8vGX9CZh0So PS7r3o6MxI+HGAPUerA1gwA6JA6Ft8fywvhZwXpoO2jmKZYq/CoOCaBX3jjcrc56NVsFvMhUKG/0 itJ+8xhARKgSe5sUIVIGor/ksRQosw4FgE5s877GQwbRlLVtR0KJTiQuOojvxfesQr7DdhaVOHxi dvukUQ0dSG+vQ3jKSScIU4QJ1hkt4OQ56ALNiWw5He5rYXext7Yd0a6osXvvmEahAsONcAw6KcBJ OeyWee5V4vYDbwkN5t2cj5JVvSPPR3PobEpSU8zj4suvLJdrZDxwfM1d5e+eMC5ThMncMLhqnVLZ mCfqTZCOAJH6UqJxyqqIQKzhWuG5mLArcpKDH3LENE/brWi23j0lDqkSIGdkfn5+jEjMwoFS/0zF VfSvla03GFLHpr5YPEwkr2MntTnk1+4axbVn15OLT7e40W9MxOUAN7HlhjZRTu5J60SnCI+zbSVA ZqlwE1lLUz+c+gu0g9oe2ZIy4FVRdPMB+XPGtZQf6sK131US0SyPwepFWdQ9Thrn4Rk6quio6nsK 0+UlMz5EFdFDRnTNcWt7BicmOraB2PY2v60GkQx+xA1Kz6zAR90Qh4F8boeOWmtEg8MxS+zjzG/a inPmTzwo1SMfrNpMjJQOD8+GqhmlNQcklzIPCaVATvu6yl/xFiLFjSs/0YZuImabznCnpwutQWkX d/J+IfQa1vHz6qi5ob1Fx8vC3WEZcwC+Rmi2k5BtphLzKQd+R/BssxK4Rty6RiAc1IIYGsYnt/bb NZsNffXEIknNF5jkg+7iUv7VDhEhkN3MZ/BnQBBtAy/veCVPj6hSC4h4SOvicRmou6tshc8iBRTG NHhboTgPvzBN1gIXzIhusgkJwoiQPmW0/M0OgVBOjvg/kGrhDZ/cWxDyBw8D/71ixCaLIpTmQX6L TlDqWG0Z5WhpeBrDP8xvrQV0W/2gZPyfBx/Wa2G5GrIKQzd7e7P0+SoogTHp9IpbU/bsgEGrlPum YcRsDjdNjuByXbQQAqUT59nbbDywsRlSVNUzou3gpJUABi0K4JMPccccxTE+msIVlSlTITaGoWsh /PBau0sGUFLzOlcR38sYzpGM6hPp9Tf6j+eiGGZx8RIFZ9CpjmlvYhk4SpXeCFEWGlc5+EDf3FiD L1yC4O7zNQTelxu0SSjetwUFmH5Ll1x7QasLRIXc3BREr1fQbRbQF7q+2FjhxasxUR+oCERC1u8K ugzVurcXsIfIugd4xwKkarIf/Cx/yxHky1tiT29XpcwCH/mILV1P3up+kEX+YmMFKFeS0CKW0PJj 2tkhEZLRdUB5BKDwSDUq8fxObtweoW6aryHVHURaOhQLPdjU1T1xr91wqIs0pM3zEeb42jVchIBZ 33PgV7h+SHxMnXA14niOQ1+fPXAzaATonP0bxU2QAm/iWNNtB1pTuZU/o2usRfO5nPzmXkfUl4Na JnJmi3DAJMP/YuOSiU4/FlLCMAEnC675kBW/5PNT+ODV8f6gtGBdwVn+9PPvyRGB62LT76++Yg1k ZmrYuc9NL0a8fpubBsGVzYYdSo/Gat92oK4/KeLBEH+QF5kL4gisUqAjDYIbjnib4OaBi6U3Kvwx tLgjNDEEgDqNMt4vQUAOCaarqu26JamLmzqhqQtMLVnNCQl8FpGiT3OBj/GTylbb1dZljEBOKGnk XJ/u/0/YcYyxnfb7DH51uFpCABRbrLi9qjCjD/ReG98+W3DNogREtDrKREGyCU0CAik4+fJ7ihF2 0x36zHhOOICoZ0IuaedrSbzcIwS6fCRR0QnD7EJFFZUK3uqCt9aBFr2MX1x/C+s4CDrZ/SLrFc9+ V9F9Nc6VUhKRMF/lmG+807PSLhe08cqQMLbwEzeXHd+9KA2bQwSAhb0g21UMzzI8ayz5Md2X4GLO 4ptgsSfYDy98Fh6FuQI4YgjQD/HSSkVPcBzVXpg+dw/TtHwKN3IaAq+YfvGZ8eIvPL4hkB101x9n CpLffKqtCI2+QmsmfhYBRkC+z7mg7YUYU0Sc//pDuWejg2HChHMgXUdzpn/rimQIRMHoOmRHPxbi sEfTK9aOWpaKAiHKjnRY/sS+0+damvI//+bfM4D3jU4rEAqJuvCUsGx9c+BmEsuTTj41DPG97vTd vPMq+JtPNIjgJtNNPiR7u3+QgTV8y6pXTmn9J3vHAyJwVpGPotBb5IbFZROzZkVxfggqcu5diVSO U+DL/nEeBRxNzCxmScmeACeW4eSvlSz3lLjT9IR9NZhsWti7l8l/AsGD2wSWita/QeH4crP9e30I NE2Cxyp7RHL29hDIHKPQAexp42SaJatiBewyHo3c13hqRHNnzYdkE7sfNpPeRTpKImBPzQRQH3Ma 7zjv1m5wzrz9TLH6VT7FR4Th/eLAUiPft4mW9ObKsOZ2JZEYXAAm3cGVos76GP2ftuC7kSXGINmW JpipzHmE2aMUKsRm0Dn0HcBCH5TwV5KSFjcDKZN2RsQFzYGCxOKAmKCdVAW4NxL6vSHfOinMZiaZ lia1SK23hCQRlOOaZSDtzHUTt9pVZ/lXjZmaofSvyj43ocOyqqUNDYq4Uw0eS/OPQwIAkhS78lNC fUQH7NqS3SZysoMNLyputxlDJ2tsPu8jXzRzAjvjDvZcK90ZXM5pohTGYchX5ADBmEFYU3C/0QDU 3Y1nJa9jZL08rCIo0hvBD4CqVHo/2cigHxD250xfddii81sWzRwLYfiJ7p9aGGHh4mcM935jW62V z14owSpop/Or0DVJcmjA+GnOMfF4TEvDZMajwGGaUxKZMjIMxfbxQqFCwFtAtVtxgSVIQMriUmgP HfIJOAlJLrQbXufFgRjO2QsR3m/ODV8LrkwTU90/pMFZHRymejyfuweiTnZZ/BTCSZKngwvgG6Hw RS8ukvtSDTrabxAddy3BdaKyZrGZLhMItlqnt2ItP+KErVI+t2iJ/Nn37PR8uXjXn/fZsAAzF9Ni f6YLQB/EIDtaWxIdaSGYnU4GIJJvFKGjXjhVDw/0Ay0H9fmTurIfFYt4itwpwWQYdDan/9zVE+ly 0oElv8SxD4zMqngxEALZI43wwuSoilIbyLEN2X20PFJFYD02AnsZElVCb3SmWWJoI/yr7Am1oR36 zsqMrX9cGTncg5vK/xhwr7wgUPMVekcmTn2FIkhofgShkI+tkC+OcDNKanmUJwxY49kmh8cRv+Z6 21wTw1N9xLoaxQBUrvaHp+zITmJ1IVAIhnuXa12SfkQG81J6qsaDTRdhRUNZGxhTr+tlcoUb5znk CmxueAsie86U77Ib2x0cCAr50LI+amHdw4pX9H/JC6FUxjUfdm68iHgoFaFAkrKUk14NYhUoUT4T EbzZZEZVfPPfZUbg55DIfl/3NqXwNPZ2q+QPNt6yblM0PT35G43F6OhByfIXEJCd7nxWOgCfoOvA fkUx2jOCyEekE11TxYtESrWO9xm/381pdEcRoMg3bJ+Gx9Eck06daGqV4gsuCj3u7dy2Dmslw1zL Hxf7TsjTOI3oECnZdm72+w57JJNrvuPw2VSg2Zp37oQHDwif+R/lO1Ul0GZz2gQ/ErjPMDFALUaH CwhRfCiuIZnEzGr0EKUP0TqDgdqMZyEHnnQi0TN+kQMQhafrgw7P8jlevJNYgcOu8gt7eHZkLfJG UEUtzvREoR1j1seItNZn9TmHebGgeHgraHRG52Iz/Y04ssXrUhkzojYaK47ZsgbQo+KsPswmZLMa sQWEcInn/q35YfUlG5x8JonND1NO9V/nxfY7w0eqtHpHWsf0UGF1hh6gWwiK/9hsRiSs6EfJW7k7 EopOA0jNYcACj6PKdKYQP3/Rr79Qu2IrRaaIo88q2SF5goD2d0kl30vtiukwtNV829OlWNT0YOTC tDY5hGG8IGI/p3nhjJ4+ar7zSb7hyo5ZIRwXKjOF+Up+sSL6YYOgc9hloUQ2eLxm3E4ZNMnZeSd7 xDST7ibrfdc+jAZPDago+14XKJvo+U+fi2oHHICj0veMwstWj7rRGcnbxTvuxDBoLF8jdA7pMNZ+ zBJMFqMTv6D2tpJDZeTvSUJl87dz6O0zi3OUaYHN/KjqQuox07gW3S677hJpNNeVy1VmYWQqWc+u 3GEHf/RhLC4klV2OZ/sXSva1SBHKhnYl9tuOzhZQmn7D9sDj4XJ+VbBEAp5so5ELWVwZtsQAAgUj YoABhDDQ6znJ56tTCaXzuXxQOAJte3Q9rsMSTakZ3TE4wGXmmX6ARLd7cAu7LCsw09YDfLeiS+wm BXiKXx/0z9+82fNNU5Sm6k5V5GpaPd6GtEifslDhtSB9B4o85rypr4+R47VNc3mR6VulrgqV+vqs XZ/732A1K2+rqy9l/5QOMYbWfJkdbL3sGAg5xkhtOVKT+zrjs7SM75/GkYrqMQPYJKBo2b6OVmWT taaATAQI1suPNyvvgB2Zuboph4BiIPveE0z+JkIas1KFPiulmnDDXCO5/HjJ1kzLTTyNUHtWtFPX IAiNvYR7caMRFf/qB4lMGXrK67yTGYsRarNBP9JBsH0XWdP9QnHSj13OYiLQt4hP80t4Hm4So/dN F/rQXf1Er/sRCKpC0ykyq5mj5HDLgBwjHxf8CyCC90ZDfcNVQcTacwql/uLMDRkosAJHMfWSiH2L 56GtX7X6LVRkAbAb+qKxr6NDH1Kedviq0/yj+VT61RFR1i0o2YrAsGlY8rGl71NK1U7DISuCbDQS JDCd2c42rYyviMWuj2hLKVVmtX7DWp2XrqRS/JJn0jBf/SF+HntopCL6rGeFgT/Ze1Ewon6+sxZA 3rA/73PXbwHhwYJoADblxZfv3mk+si21tf8QfOAwxd2ILowWJmYKZZ6deKv5cJqnI7I+fmXwXsq0 68q5kYEsnt1/NsbnFL5zjorb0YA/LWiha+nzJdHQfw4Iv3HAihpi+NJB6ygIWADTfphG4ldt2xjg iBEIdhA1fQ4dgOwVBcLcbNUXIPBhBr+NDsN96sSHdYxjEeXO22tJHmSpyzNLOoyxJbb1W5f0bRg2 rh6oY3UhXm4fJ+wSsWCeXTMDU1XwgnZySYvQopFe7JJswrTXtvQ6bm1xQDqzXFRj38OYriGmt6W9 V9EM26YF2xmEIErlWSM9BnuIEYRK93rI22hoc5iNpsusxHpEaAm4YyjmNUYuTD/rVmENsXPNW4I+ AAmRlzSY2W8gNtV82kTdCaal/VGY38q4/7nVEKAuSDInnH3DTB7k+9rWr8n0QozyfHmpqzebmncY kN1MgSwvDbuDbwyW26dLKtQxVWuuMWZIOk153sc6U27lKMmp3gYG4fFl2+KA+MSjgf2NxrqKnI7x XI0uH+hgwIBDmr09W8NTOe/OiLKNIQFTPDeBevA29mcRzEr3aKYlzis4vEVWT0DOM/3dd5IsljiP qYBoWGptnRwkcqCwBrl7mQodHsl2QI5cZtLl8bqnmdZdJKDKY5bPC968oFWKI0ee7MayCjjDypNP gLONYq91nEXzndG5Moy0SiavRDVyMdbGIqUu/81PTvDcbLYrfDTl/mS/+62osPJ5oEU9MMKI061S eW2t9XJ27UnPVQN/fDoL8j7fxeH8xka9eKkAlDIBnqRsZI0f6nIPmtKwuJuFlMa6dmMUm/gXNzJe 0GEQe5dB2b+c7BDYsVsoR5RiN29YF9argirsgbp5BRYEVomwy3htqoONTho0pIivBD44Awjz2rCO f7DY9oDHS4WjXa24HBteIubxB/g+3R3rIGTmK8+JJHY0S1DZQKZZmCobq9Pn6VkmYU0Iwkcx+Dl/ TbsHrjn4LxxpN42JZwGh55Fm2/bLLg33pd42lQf4wZ8qAaOQbVdaB6/VgJnhXRBaYHhMrH/ezKfv bfbphntbN7MkFPLWRDANI1lIuAwxktHC7P6GSeOGHW0hysKxc9E6VaodrmnH3+S3e2gM4iacmJB6 vmuTYnbLDHhVOvtt87RfyggWEnRHvn36Fw/NYocetui27bMKIyJZ1SocOXjhPzHwb81vXnjiKTwL HO/2to0i2EiPLyDI1eUqiguVGIGqjvi5rDCXDnDLEd0v7cRxCdAj67HM7ndxds2iNcAsSkjUzRkm mWpS2ODMID/WQOshREwv3mgwD17oV9zy0TTiPI20eUY0C4WICp9k9Kbx+0kwOzN299uHfDx/dD9P Ynj9qfxJ/Q/WJ+vWE9QeS4v+Fs/6Mmiy/RcCveFr7fyN7MepBusE/PgsqpLH6qD4EzbjBbJyLM7n TvJfPbj4dLMIzZKcn3qt5LfUyYNnDf+xTUsLegyWoeyklfrpBsS8h9CXIyn9Kt47PzybkAHEyaho AB8Nh/tkqGNlgeKUC3LARbzYmRHqW3FGBOPMFM/iiq9bYjLAiMH/C4bwj9KuZizh2EGjAK9Xv81H BfPttRzum3rXcEkcdQuSxO0CYISrkAdHHLNKGEIEqHDytlz/1KpB0dT/C+BTcPubkVtZ/ZrYmhXp LrhVzDcQ01EoXho1KecHtfNwQ1Plb9z5u8d3ejbW4Dq97qF21uN2Mf1Ych/gQht1vCAHhP/+wrNd J0AoqzJS/ARJrErkEvOVz6yVHBuLPXDRhMm9UmytSQJw+1N+S8NcdrqZD8Zm4tpwVFXX1U+YopG/ hydOsQC4z5h4C/WZheiEZwzH3THhoxyzGkXSh0wIRs/w7fwcI5oROZDHfgUwGmmV8Z68nATL06Al 9NKKU38QC1vkpFjBWv0iKMkg0X15mAYEG4YFjiXT4s8ozS1qys1rX0OiU2540meGbGHduiFJs+8W jSvC8d62eyPdlmPOwvbisfO98sjKnARgU3KNXW/tUDpfuubyUFEbwJY380l/C/eHXczp53HcdDyb CdE6Nb0G2CGkBzCn7L15mt4tyAojj5w99HsG+44lbElC7NdbkEhvArYFEmxchhz18cAt96yESVGq TBWpI0WHNcz+KUESalBbpoZeDEEwsSSEDPbzqHzGLVstRTmPblNX/UxkHUx42uQxTN2H6s/Ljhwz /7Rh6Q3D8S/QcoTfY/y/rPJ/ilLNFsLVTE/ZUBzUUIGTsB1W4cTGhQ8GmBJIuDbF4rt78fy0Du6h Fey6QrzWHE8K3kC+2VwE6cnPCQcs3kkysbdMX6Q/sjbi/eDwg6RPaUet9j+UBKfBDTNVyeZR5OnC d9JSIhtUXJKFDmJRY9dPhSGrLY8hNuPSRvDXXPiUf0iW181g7SEQb/Y2JO9XDTAYZP3aXpleDXcl mZm1duIx3H2MDh0zGLHmKg7H6iQW2ByI/VUC/ywoqSFsyJ0fL2SpcUoPcKFJ2T6gEobSGvMHrGsC 8Qhzwc/ZaP8dBaIAIcIZ0MqJxYHgTVB37fd8+fv/bHV4m+01P6E3LLL5kWNT0kEHdkIfi3W7p1r9 Q8Td2nE/kXJXqS/C1pWWiP2/cuxVcyXlkgWfXFcs5w1Cv9WfB7sn+2PCBLBLBnnZDRh50Db9f/KB Q8vVsm85Kn6A/kFhwVcVfe9VikNsuUaYvoPRikqeCU2d0bnK5qnTqMjQtJehegNZY9I4qu4pTdGC Rt3vRXgtqvGeu6rxxotDmoLVHz1jzcABDKhPxzca5pzhb5ta7AMYSpqcIpLtFHNQU7Alao47rGIc 0F1A/WAwIdMrNfZ0hskORUaCgAPUV388ND2HuwgQhTTr24Ge/D9Qel1WfFukiJzUU+lvsUlxrU7H uM8ZzifgEv67lsEHsDFl10jXFndT9rYiOisZ7SiK4gOYDaRWoojp+iS6kF+SnsTNtu8ljNzJ8w1X lLy1/PpbCem8fSyF8yv6j2hWiXBZxGZzOeAjWxFIwQYT+Cn87jFyH6Ly+x6tIKOSMkfxQudKNhqX VRd2hOBt7mF0CZ1TaZ4lqYlUk8u70n/7N4fgvTkKJcU2t+FmAWdhVDDdqURwleIrGLN511RzF2nr fzDdc5OwMVCZBw6ARRjSbGdOtFbqi1T/3yclt/wzygr/Pk4uPejMLcDwnmAZdfi4JsiTOCFEUeil WKQzQuMAajAzbjz0P4zZw4u1kDp5YnjAjtF23E1eOroT5EmDVUFE52txwpo+5bTlkkCplpa7r9Ys LO0JgkXn2DsO1HqDl/SrmQNTP6csQrVkURaxYnRGTk5b33A5OaYwsr2AZ65MP1eCeWkjZk5e0Jdp V36QSMZqy0g9fCQDMPqG2Id9gtlw3mez9SkD2AUhGj7n83DzZ4bGF/l4tdHJULwHD1pHwMW2UQUb BEUU4A23efvj9fzz6jMMsaRI3pGCizkZYn7yr0mLg5Y7pb7LqLMHNIlpoUFzqX2CCXfoqXzXpBVC 1UNsORjGHKerhEWEAX+G+HytCzmLyw+lgW8owmBJaRcL0Hn1NbnmuERhRK0N/Id3Tjd3NLgp+H3e EbsVmkG7Nd7toWV84kNcKYlHPGqN3k1+maiRtQkMvWiJG8Qkh+W9sThzoZ2sAYFufhw5soywskSA cXENopCm2buosVXcBVFOlMPzBxVcf/xGbokqH7VBLnYlwmtkm2GfICe4z5wB1fUac4sMXFc5dB1S R9i2ErYvZKCimYC3m8RpEcBhPxMJyGWPwJ6yemL8yL5SI9y2PbozoF9hLj3o4nAma7URNG1Suj+F fb20aK9+414P7s4AMrUJkLTKygOcnp2M8JdJbxMBf3DW5iY7EpmfBepHRDbY9Xd38Pna/Btykwev 3dQl/NWO20uhRPJPRUNMhbqttwXPo3uKAbS39TC0dDb+xMpKBNjJDFVzMvDT3mEWwrX3AmMcBqUT 3u5Msy5RAmi3c6jO6st8lpfCELFEpq798tOeUzkxSuJFBgOGtxjCzPJs1+iVjXiL/RgddoF+/H2X BBD7gMADjJXBMU0YuWrEzD4rnfiGlHwzPuXSAm2/iUBQH8icpaQFrZR0OTLbTpZCzz2OdZ7Np1bb Gt/2Ss97HQjChAfQtuv06mH6vzVkZpgc23kqG3buWB8NJNuAGDqDzQwVxLrwaZpKAjeHtEtKOlce iZU0N+FHHEYpDtBRR7aI13etJqlwzupDcHPTvnja8+QYtMO7px/54JZ7FpVXWEsvk+2KwjQ6ttY0 2xxtKwhiyjCoiKHicH9rXaUOfaTl7lQHPq4i9U3yG93xmbYITRtIbhuL//HH4R+XUkRmMoWLWbCb +6Tjv0DDZh9Qyz3fp+iM2ESEgbD4f1dduqLwi0RiwBqPhjD1FKrQPj8tcJqLmkSpNbkR+Kxtm/gY FBv6HZAVtZKggL6H8f1OBbuZ/atrz+TxmJwAnRjS0A+UtMBmxagE4VK0Ymemjml7OYL6KvWrRY61 pvMUPIoB5phAsUGcQc1A5jWzrmPymKBgIP3qdxBuNEoRwjJmlu7PCqc2GhDWRD6FsC2scXRCYDNr CfiUvxt0kTV1OSNj0MWDBdI8uRWBPxatbzo2Z0rPdpZaeqoelZprV4rJ8MHM7QH2trW53bahFchK UESIA9QPJ0tkINCwmlWDjXTUtlOrpxKpnFHA/ca60AUsMxxUcmkExY3DmwhivBjhWjXTwC9lZjZV CqLpYKj120IRxcHz2H+rRVMTxshqhmvPnh4uUsz0k4tnSFcUHjmHqFGqPXg+SEk6+UhmWDYDjJRp Osk6ZNO8joeCw1as7EMbRt31dIToDTWlAkqFmvIIAi+2Lg/X3nOUDR/6HsoZgtQx0JRYO7AteX05 g81a4mYQj0zkCvXU3A8khhO2UhouKNgtvwSOA3EGuW+G2Z9AYhySvz3lx0v3jDGMuDzK2J8xo17w xKKbIiZn9MQMM/kHnfhR4s3d4+2uudk/LdWtQNh9SqnC23tZdD+4YxNID5RuRnCHR8He0zQiHyE7 03whnDjB6dggrPz8N7v29Q64xovfXfr99jMzh/3P3s4C0krsW5fSmopTHfkmDu9jT4jVgTHS91zQ 7XXPBGe1HR01miflGj1IJdWLyWkl1bsZRN6zWBmm0i74ezXIqLiriaxoynFjwSgSe4OCpTHH7DGy fSBo5A9z884nOKmEwVCv5dvmexCc37wA+Y+g/AtSVZ0o4uk5TGuLlIA7Y1bY/7fOBx5HGUNlbwAX PjLUxZF78UqvW1F5VO/AE2yR8A+8BcTQwF4yGPnDRls+DoRwaxfYJqOMwDVQkljTcFCN7im0UVo6 Zpx+WXLwPAws6Mlbpa9vrfKux9bq6H+2LmAm68l1HDmGcmf8LVwOWet1TGPnlV56SDmX8zB2LX2p j+Si+EgUCAiGPpiexTVLf9wjcJiAr4wopJxSaTjD7oFuv1DEax8GsxVp9WZvgQc+dH+vlYnTipxR u4SoaT98AvQNvlDZHKIjm/HZRn2uq8Z5ioM+Q+Phzsyqp2JDVnVfGG16/z/QGkYNPe2dik33fD9R wVVsIt8CR/TEwHm5AbO7osOLcUA6z4mYNDE7xMhuIfZxdzA24etjfNk0drG/GElaa3VMKE7xDZ1O reN4GsGTn6p3gdchKlz3NvTDFEkFHFo6UIrSnGiqAIYUeiVLDZxoErRcU4diPZOFSAve+J4QJnxt IiDo0r3CWQuDyI4y93N1t7yca4SJCjcUt4HlPPerBDLjXM53K01GyKNxCO1bvMfUBXZpZz1Telys 5sK1W+N95k9qwku52z2WCLyEgQMEdaar8ncXtv4jNhUGOq+o9UnvdKxPgmz7rftah0Zow8HVZYE7 DcFieZdl1BCe5uSLT0MdpYFriK38ExK5wJpRoz0NWEP6Hb9I3zttHK56vCQVj45AZf+K3rJyJSzj ELZKUNNGJci/dzFwBfjH00pvhRw5Oh0JDLakiU1NaRPp7RidAtemCnXm236qZeFDTIlZaDHy3Dqf 4I3/D2PcPEEg+2DHbqJA+gUKSimqw4dUy0aqueROfg+3LcWEAj5iZ7p+kEmtAOKJ/TE3xGNjngOh se7FuizIVXJWy4SNAWltUKcOk+YEOSaTejgiOYPuEWQmsb7WkEp8HRc1UDgmqRuuRF9NN92uobkN kkJQwjBom616PuO1gdAHZzQEHnbVfSdDP1R7IPyDydRGvo2738AB37fGmTm+PxtheP+zfaYsj9ws QcWy/qfWsNH6B/IaRe/T7czYczuHJwiK6MevW8bRpqNE122mRTnk4rwbU1v63EwQupJx80OV/DSX ceXcTMWL6TXmJudn9ctq72Q6+F+0YpXCTgMPhw3N2J4JxseVUwwxV3jpnUMYw1rGF0nDXCr/6859 PradEh0BiBNJcND0CM8++TZQiHOJ0XQ/9QrhEnIbwO0sI5HRe2meTbeuSLHQMIxnZbri8YxNxuQz UUa2akhqz6OpqcWwQfcgHkVLcFgoUd5CnMpvo2wn5DJcoscBWerU/8qxpd9qkkIyh2V9qVoyDKlC 3Gd6vYbDtSr7UjQV7kh4IskopDcnEhbuGAE4v4SAUfGWVT92ZjRAPtTlR8ukbpGaSFFGUpjcGO1L Nbbu4+sUqdpfL/9lk8VpcRutScH8POQnxrCFRNewT3iU/qjRrxk37FF4r+iy+EAlkjyaPqTuQTvS sNUg2K7nMMIaXr0HG7WUueslYo9RX4GAtc/v95pLkJlYjJhfrZzmlG7smnWaEL9fyCeQBq3LKW6Z ZhQ7b1HEUdCwz8ndjYmyoMZqk/g079n6PMggoIrQ2Phv/bcW88JWezjm7qOhOzIQXIbYxgWTJ8sL 3s1RcwD8/hLpEi4n9Ik+wPVkZ96L/+ukZ4iqKh8ltyaLB4gRKlGrr7uEN4mWG4EgHJsrSmtp/cYJ ehIlAokB7TBKm7Klu+bPZWyPLa43cBShoe09aEciMLACpAqvdb440lHdMsPWjTxoAs9HN1aCcgsH yL2BBk4z0Ts2aMe9Z8QBx2QYILzMKG4TnzvPogQWqOeUaDK0kxGsnps2pJS3fwpH2HI8Sgh1ODTi E9H5p1OvIteXpuN2Fg2dhI9tWQLQQmWYSHrFTOWXutHsuqnWRjDg8Z2DYqe6pyNcPo2rMQyOtNn7 V35KHxtfaykq8KIuCHYISDoqVuEzS/Geq+bEve84Kd2LL9zf7qOMNqoSCT49gEcDeYL60oeYi/AV 3qTtrk68BQt8bWtumGQvPIABbl6uIJuE+66LhE3QgNYzeV36yeSIiVQ4Din88jsVpai/h8yNL8u+ hidkt53wpxBFxnvPu5DJCT6hcKo5zoGu7nln1juWXJJRdAOn9hMI57+RvbsZyEZUKF2MgcT8OOTk f8odU5lGo9Qh10egrjyswWUn1gT5+yEsl+wjaPG6I2kS4pST8oBMqrpSDaNZkok6yH3ROcIptCui ovT5F0NKgPJZFpuH6qVgEuaeSLKcmidBjHlCB1aByh08KPJbvVkwGDLQDGsGjSNH0RMm/8IQSJ4+ GcuYSZ8z9zqaO80FD9CIQq/svTyPFmsHRFMrtzsCt3r6ZxfACRmiVAfZmf3hfRXKFiyXhGU+9wsD MEWf+Gk37JgJQRUj8bdPR3ntqaVSzfi+Gbvx0yZEFXUnCdlb6+s0mrfMlJ8zVvUl1ayWjYsxOyY7 FqRvzd4RtPkvC5SArv0F8mBquzf83fespvmi2f3F36VNUCL54OMAo2OvDXzOQXSDYvDXOeQRyEIp +OzxBDoFON2eZSsN996m91E84f42ePnEh3+RkZ44mBQPON4+OsIgU3fniNKFjHbhqW55aawZzjQ2 7x8IVPRO9/caIC8V+TvAKPhqo50RW7YUl1Q804wuvISG/mpNb196RlY3qwhkrDMLbeldFN1tcBys YMjO9bvbAwVBC+i124OShLvwEfUEw0SUQfgInlmong7A0BPAQGH/+baZMWUlQfYnFppuvyR4PgK0 p6IKigJnaMFYKJM+kGQnmi9bNh8iMS13IF31NzrlKvDYVJ8bZb1QTD4uTo9JFeFm3AExn43+bbSP IFNZ+LrFfLRAzYrv0MjQslLlp5nR8/3xhXHTJlwa6Lx2i//JwZvUUGokp7aKW6jRIhbyqTmCpRK8 ybbUJued+XCsxbb4AcOIgRmwUZn8FxkB4+MQu7k8iRXYqGm1NQUqrT4HW2oNfdTlaMMxz80tDg3o 5T1sqXxrtC0cUO5xvpeT0Re5CStY9AiqsxJ7C7s3nDliCDUc1AhEhlMHqzfCzIRs5l3vyMigBnaN obMw8N6HStyaHpFdUZxO9gSUd353EcWrTyZpuSoaiaz/lR6oGNlO0a+RPWz289XtxZppdFyLb2rM 9aIfytsdIrKdqOC9xaMQ/kPtcb7HR2Va42meMXOApH4VZWgpr/Mt/xTVFn12qHP2W9GhoeRLAKHB QwY1+SBw0VVjs9lQJn3PpNFqlja2v/Sd7wRzghiH5p/S+zPhtokHa4LCnFeNWgl2VVa46yIZ0hn4 TbzeSsQUFwo2Df1swamCtAyLM8/BHx7+MpAiwcThJxICOkggm0WsrwK5jiR7k1HsaOIGaNh1/z0N caYwW0PjybpsZeO0pSJ6BS0ebyzyzFEx61OljxxhPuf5HueQoBSeiNLj9NmIGEUtxVy6yzlvXOT5 IRZpvriRw/2mhbMmiZy+MroEOhIAVBcdo4cCA5PakVuU6omchbNxUFNehVgPF4jwt8zzpawjLZq5 CHTri8me01OX/KZaKo56cQuMAo4kvTlpcZsu4c4cf5gBTCCWrm/6GuBux57Pzck/bkJ0M4hx25+d MM1MCYcR7v8g5Hf3CeehiifRJhELi1a2pPErnF1R7imqqoiHtzmFb1o+n+nwBMVE7KCpppgAClhe OE3ub8uTGt8amSJD7XK/6MyFwINsA+y+Q7WLQ4KkI1E+9U5g4/hplMbkQmjHc/SetXD7Cuh3KDtU kv5uuyj/x9hxvGRgvOpau2IPrzWRQgg2CbBcQXqdO2Wp/Gmj7mf8iwLt060VM2UwTlMHnXA17hl/ exIV1pYJhcGh1xIpFHdlT4VHsRbe50VP/jehggXh+Znwg5JOZsbbxct6Rebedoomg7tzYcR3KTdJ srH0wp9uKkOidOPwmMBHzfwRUCee3pGvcgU2Db8AXvBk2FetoCCDhW+g8xmnw09RnCi6iCvk7wY+ cFcBpvsbXDah8NcVAfI1Ukzu3eaUPWiBcyv9juneLPxJsCKka+yWDXUu6TsDS8ax3dr5itA7M5aK Ad+1jtCrxgGhvMzHXnh0EqN7rnwjD8IElolfhoIvtzqKsabGGhF5d4tXgnThRywETCcKf2O5HAK4 K9+hrkVyG8nrCYZzULpqypJNejSSUs9FVGS480ZDUZMSlvsXa+KUUUnG4IFZAFsBGQ3+M+IiF2f0 q+wksnAywivXZfIT4iCbSycico9//QQqlZnzksRdhqcaO61TX5NFdptLFzIyp8Sq9uekUDeiOnBl p0QEY888esI4WiDnRPoNs11EcWGktoEPtov8jGgQWCcT6mW6HOrMc0dLicefGE1QJ0F/gzqYDEnP IlVA3zByRCJA9CPwLqyhf301jYrtLSKI8zR0Owb3uj5jlp4IHg2FqTEjCXwNxKPBidGbhhza/yzc qQHMcEA6dRrhImSZupAKQisy9BATXTy2SbE7O5m2Di2/6ens9RIo4J6rLI6H6nfiJvRqkhy4Cvcz XvKDWSycqCurFCaaaDXFWnnXDV5elWNy1RQmOwckKE5VV3hyZmPHiOYRy1clzDGa3qoJu8s2SdZf XOJF3Diu9adZEssl+0bAmEWbPXdQRhlHa/ajtWeo8hv+38mC5n6Q2jzHXWLAKXpGxFpxaGB67cEh yBl8lLtDWUDxolfrniDwat8vMWCkzeA1JzrUUy2lU+2El0/yZK7jhbjq8Ht4YZnBudxObH6hsOEk EK+02sk4ZTCdyaWdEAz35kJaDbhnDzRuRAUCijUKQYht7eCj+9rrPWtF6JbSmOI9eo80/xUUJhAX LPbftj1ggIkxu9g50OgUDAWFxb0Ws02j10X9s05JRsjAIzX+3pJ/zAqdY3/ph5FkqYjXowY+ungO GJuacO3o4tMGkChTUD/TxeG8zctRIJQUaME65YXgKYsnMa+oT7VGrN9lwIoRBtajfqmabv6SxbpO WEprzK8QC1AGbv15FbpZP3dcpA8CLjJDmWXWV3oNhICCib5vqzBZ6MS77mEijwtqYuS5d2hy92Yz mOHhDA8mla6wCdiSnoxkEW/faZ5y0sSvYtPSHhxsbAY+PkANoM6PsEm7FX2+0LE3/8FyNAC1CmTY zNcL4vDYhQ9s4rdYOf21cXpEo+dvlC+2rbSH3z2NbsHnTMmK4loj23QGiqYd3dbbnf6qROgMLol8 EN+elHsYUBVByrLda6Tj9XfkKaNgiihlGj+1IhnaKQX1qttgbZqYfFbxjAEpbqFixxoTDahhzKwf W94o+KUJt81n+0slSdxU62zKJhkTSCRKCqv1q4glHEjUIdmEOD1f6GN3mzmpg2nOLJui4yOwisno csyTixsm7IJvFbJy0kgtkiWwcQMS5LeALty0g8JaNRdHd2DGdOmvIp5ZcFBF63aumyRpsAfTRWFv Vh6quxW8KovlsICBXWGUVRnTJ50myNX9bUYxs4p+JrDahegdLOqtG0r+Oh8XC8f/oHTKi6eGotHx VceS4TDHf7tlcBOU1MYtzTcOiuDO82D1SYX/PZbIk/o/aISMo25v10n/PfcvSnxfbeS30B7NkE6f HC4pLlLbR8qfUnvg4eILM7cbtUEHdyi8hFpC4FMkkE3VOcF7tpnukZ2R2P+pdhNGllFLc0lIPOw0 kIKwt4AKNUfZBhY1k3A/uwGPcXzqhR96Dp/TJgTWkGA5fDn+yAuDWG6OJ+gWo38l00KFy2BfvGR3 Lqi7X9V48b2JLJ+zCRMN/9XEDgyVUbpA2EqaMN2M1LZF7PgnLo4AHeRID9k7+syirdMqJO3+JMTi f9W/tBvogTyYhVwlGjCtLRzYbwd7L+lZaT3OkvGGb74GKMUfDwZdLzpgh283timBoonAUcgK3oW6 OAOtmLQ7cns/hooAOUBP0Rz+yW5ZpcxP9eEOEG+HfUUWZEfd1NQweRpCkXJneCSR0sHgnA0vn0lT hFqVe/a/J7BKmquzMI8WHfwwTCD655/tl+p3LY7mHGHbaAqyfH9ycVv9vzW/LnLafBApdugM5eTD 1HNGZE7Uxl0bf+lmBV6ASALBzO1SGBGwxh+zKBqzrkXbvD6hkVUV4l0yn+8FjbbI1M4irzCN0TJh m2EB5+zYd3YujQHsyKLVULW9KkRw+oHymvpm8Z2lq4gmaUHdVjHzlPId6hcp8/AapTl16FEZvwcr gihsN7jGDE6OSk9ZPQgxhP3zqBPFlNT3+fuXOws2d5lv5jvDLEBNkzsdI61vcaLjDX8zz6BB7qH4 y1gjLWoxmldaTcDG8tmz8/VxVg+7pELHBlgbGXDuFnXzYn03IpgQilVe3IPgli9ldUMuaSf5YdII N6Dfk95yZJTh7ingSm3ajLlL2hkFAoBBc59oUMMYNG+omX2fFDP8Kcyi0aIY36ZQL6lsfn7zdPnq +Pj/LQ+jiIdxhMazHqcQEJMiRj2hQaza7036EMkAg8joQQJmvRrKZ00kita+OIQZJ7IiXKHi58Cj 54NilFPdMDi7NDQIGP8kwsa0LtD1XHuWxR1f+0pNRtM4pml7XGuFEcEiVt0y1SK/mTyATBhjwj6W PAjxLCWYb+WOSMxE9Bf9YqgdtRtfuyxusm/8LS+Tb/nW5uZhDEcgsabK061fgxqFyCZL/2NMsGrp Q+vHcq+pzxv6Jt1P4hfZVXhrGAEe9nVbXrtx/6Vlvdfra309CEUPoRWqKcNFN8U/QtBG6VIszw8s pNhdFksFDWm4XURrJJe+ZNunE++6JRSYW7Ky6kMRQMtdvvhgoIl8m/ayeSXY2nvwyCeMN5inenjz HGG2+OWEpS71F9piidWdcxvIySJAp5trVZFiIDsv6DTFlrCpuhK/O0EVlOMRqzlZ39XrPqbBuY8n McBG1fYQVZDBo9dXqo3SA2RA49Sg/Hh1PVLgym8zfxlDS9A5E4j6lNyeluOsCWzouWeMV62n92wn cIW2dDTEk0+OMfHgbAAVIB8OYKx6g/fundQGNqlYcyp2EHOYFAY7TGoUnxyYMSwjxdEj8UZxUpq0 H1Xr76lxCrJYmFLwaZCDPalH0qUNB+thdMq76jxlJFwNm2tR3T7Nt6edCP4De0okg1v34KJUzw/A Xt6OEBn3NtC4C0Sahqf27Ppy8Xn6SyPUH43nbHcuyMlaZ7L0X8DA21UqFKkzEl0MF8TstSV0bGOQ I2Nmnoe6sNoHeQbFZbxerzqdlaTiLntd+LDjPrPvjiUYXxGIKXm8gKW4z3j4i9nJ8Hc+30AVbIEe 6F8RblhEgptvevRIdmdmQ2OGnO10qOzrQDUNvW8oKJSRVzOsiW7vHeZHjOTCVhrsjHE196Z7ByXE dZe+NPTCmXyHYrjq2Kx7gCfcjBGXbygWEi8Fr0GZtDHf1HGVQsKMfmLGkBWDWRXYB/wnYTLpOIIl 2MRuGXLJZ5aoTnlh8MmERIva3x6xLckD3wSG6I+mONXq89axPUhK3EvKwUbkj4sWDPJdK7if58NR QX98gRom9shj2o8JJvKS5wy02tM5vAtWkbgxdibmUT/qmPYsU0QyiBD5t0D42TWcaw56pFMVeRwo ITFXuDFmFpnu9LqqdaHAJ7heAS7DFwnPSuskjuzptqEv7WLjFexBO+xFYAcz9G7x1cQ+EK6dds0x UCFUXSYaIR6pECX4bKUF9kXOssRNSduvFMwqSpRp/r+DtU5N4se7UBQFC+We+VsDBF2w+bRfSQXJ sF07B1E7e9/Z2UIjNmLwgJ3gGZBy8XRJzwCJYc3FAcGGEBNXkjoLJYD3sYFMDMbFYuNcSpWi+M+3 IHLr6clKXamcNvNYyUiI6fWD3RDZW9gb12Hqqw/unrjLoqQNP93I8aLkn4RE52JsF21yfiI5nW2Z 243K20EXfNJD7qEohNvVTeJYytnyP9OhpzrIvh8S8J+coxUr8QZTGZD42QlPv7CEt9hXNdygu5Qd ULbODy2aX/wgnGLydQORF2zKOtYM1obpvOrmk7x7JRSdQoRr1wDSycGPZJA8x9GBqyH15jVBVJ2U ViXj8SOk/ceG+ex6T3jXaa88COy7lEBeRFL7lnXbwlCDkAeNMn028E0Dgv4hsbSOzXanc+rj6LPR +U25NBU7ffXZG9tdPrpUM8L4qFdiaFr73O0v2PMR2xNEOyusq3CQaX8t4ZY7EUYM+QGGrS3o7zBB XyOUuu9NrAUm8Nj/a8tZOBaA9JwKOTyggxi5xq5+vvhYiGCWRCvFKE/IclxVR2BFA4Ye9Qv9CxmD qATX7d5nCmKjN7sW2EtCgG71mD1DqHHKT8eYlfeIXs6UW3QggR429B/Geq8vvcEejhG3iIb8ySOT nz5108u0bWAOCY1zpFVny5pondKbg9nX//DFtAzekdc8Oni2reKAfiilFSi42MnGzLYE2osF6KMa qcI6rMO23yH3c6GAJD7k4QzOf/arZ2kj3slL+K7cIBmd8H7NLQ0qu7E97dnjhDIhvHC9A2bEeK3V IYGK+3CEDYFtE18OkWkcV++twCuUXIcQGYl8Pr751Wklfl3RjsFpuvaN9kp2TpwTpjCkHbviOm9f RY1PhA43p6D0hYuqJBTGW5OK2Jl9rnjUKKTD/RCnTYO24wmzeELwKxQaG5bOjGUqtIk9QLZr+4Ke bwG2LW1KyfrgogiDpaH2trmcvUhnRRjfNIwhRbIGhHa//WiPH6ihDOUA2/mrKwfSabbccwE7JcjS 7dh2em9ZgS39pHieqnPaWxA1samEBW/VwAeZlYyNiE1ECo5mEI/2QIAxFyRCoABUV0Ldr+GgxGfR EHncLIQ62sT57TeuGasFsU1Pe1SM2zDlT0m5rvSuIYgsOYLpinGcPsoxxJBu1dR+76OgaNIoNooK nfbv+fawAxgRVSWehAjljlcEH1OekA1C+2lgYDHnPEiLAUUTMS/x0u5ZGV58ZVljSJhXeN84RRDV teFEvJBZMOyXFBdv9xs/Lxnga4H/1FurmI/rruNteVcxH/NcNuATqrd/tZ9UTWMukmu0JsnCCFtK OxINW9ZJgzKVvzaaZ8zpdG0cU9zNNm1ZFpixMV09oA4HFVBDOAtRj3oSE55its+XoLx3qWxVC3pl zwIjqJUc0Brwi8YMygv/Chv5hcleQ1Gvhn8v+d9YXmllAWLLnAq6p0vecvL7PzcYOA3Kv9SPr0it 9bKFgwKt4EKXWpF2IdvCFufhZirgddV6Hn9ZIaQRg0+EtwixErtYT43+rdz4DCgzq/cL8gzvgyc9 k8e4UdRY/E/hTzxTGLjGaajNuPHCUtN17ikjvmTWo14PmjS8/49xEorljwsnM1UJm6pkZUE8JnnI qwnk6qulDeLfME1ZMXUdJNVjnoTH1roq1xNk2iiNmLsc7GTr9GWJ35AB4lCwujrsisRqQ3sR6XfA +CHKfl+Mi/j1xu5HkkAO+v0jpA2B3ouH3jwiTIUBv4lK9z00akSSqTG8eRz1Y0/uKvDbBekypYcq kVC5Yz+6Hkfj1EBc0Kdtmv3kKisig7QFJ2QGnfiD9jEC81HmAiFWnwddN/2YohjMaQtZuUv8oxA5 p+iM04Xcp9IusV0CkboFUpcp3fKr1W1W9wQjtQHEoReGM+vpalc7TotI1Qfw8V0T7t1nfORmvIL5 I4J0Qht9lZrmayQi+8WcP5ZlkRWEZNzLUE/JH0hesfWfAYd3NvcgAmPLfSRO7OQH2R3vZKR3Xr2/ 4NSNgp56WYLNoQ7FhEHn6Od58NRUpv2U7Y4O5BLoz/mKtmZUEBVG89oceEB2dPgzGwef+Bg59wQX ELHmh0cEOEzZA3Ex9jifdb/UlZImfqAR7bqQQVvh2y6P7/+2pRb5ffYoBCf8USN81brol0xEhkCx 6IomESixz8QZtGQAnLckfLUArwIetiMZQz4LZACygMqLrVVKKUz3giDYKmu0j05NUJOKo9W9bkc9 R+fZmIPne+qto59ek7ovESyHOumkhYaMOmekTblan4sEBQ5H2vGDxhbAScecEfKRPYBYrvsBn9XB nvvjpyBFBGcMVYqlMxvcA6lrqSM7cKwGgIX97ML00Nwin5wUq3YEZ/f3zgLdINqp9ivD2Km1BP4h Ao7HTwMMJ5Uf3QGnQrnTI9+MkqsR6RxEbNp2Iws4BGXFdMsX24q22EiYaKDr1QAsK+mYzZPBvIkI BHsPAhRLRCqgIqLZsgcZ+cW5+tbFLdEeeSlxT9Zjc6v+Tz1DbDbYcOkvU5P83aoKSE0npucu/rT5 CnZ2IAi6vY+L/824xhD3UWtk18NMfvu3df5ue0Lc3VBk73YP6r0FAL/QjZryHqLO3YwJzCOQ5KG0 hZLvPBx25wW1ODID54obk68Y1RUi2wQpxC4w2pRzMX83SzXltTznFCzDhEQu/BJwZXqrlwLpqQ7m 2p+0PwpNkTm2NwDbsodwhoNzHsKtdDOf1NiT1oRCLF4UG+xRUTpMq3pdylCMBgebXkOxcr6Jm1fa XOVLiE+Fhk0nRrzmWAwGVLqNxuu5URhJOxtAl1TVlTHJB8eyFy/3Y3PxAVmz0wQlNqqmdRhhMJz/ bsIPZNnyQCeswP2CC2fW6TCo7aFDeukz9yzOIvY/iENeFhBULIeUsuQ0Ar7O0lSYLY+xJXXKwXpE JWHkBhYQaG2pwOs7hukd2iq0yiMRgnYd4mLCjPLFTFVKRZi/7YcE9VAsfnsPWlmZP/XY7TAvtDHd 3u5vZ20g6C0M9NlEM/a2WWWzgNBZoHRnBY229yaj/3L02IgpBma8D+RracQ3FbezLaOu3JyK7wu7 FjwD+aeq0fPxea5Knzu+il54VhX6xgqDbE/MHzXj8gnsM3bQryNaQT4t1EY2yaIB04pho+dvRo+P VwHL7oByc4cet5aK5X0NwFsg8JEN/H6rJ/oszAvloz4brg2wls9lVhLsuWWkes3epdgzp7ywC/Ar tAq5olq15DqLinkq/sQDTc03te2Ng254Wv4GomfQPSF0JIwqsoNZ26sNh6wub4Jk2FvlT3yUk0OT u9XRZgNawefPe/3kquQ7V6aASjxXGxTgE+jywfWvKssOu1/UcE4gBEWXPed2fm2fxWt/1o0HdvE4 HlpzSGUEXrzYI70BVX+T2X20KmjvIDsdHDFOuJkFHMKPHGoDHiLQ+vZPFtLuiCPbkAlQfaq51irb gBJy0GaI43QmP979qAW6PvmRRjaeYG4x94NCY42OpqtWT61RUAINwc1ULvEdjAXT4PFoFsT74gdA PZLYp20GZKf0HW6C7WvCf2V7tNDhPUvLwcOj6dt/7Z3v8TdFXn0q27947dWOZehnC4f71iuyri3A 2QS9J/5fE+GFb567AIIg2it7tAdQcJ9P6JuOB1sYt3EkX+aexo+jgNPeKMJGV4zgIQt1RPTDHYXO lGmZpJh93NFSQJWa1XFPU++IIAIeSjljmZbR+Oq8bX68+I1Zb7j/tWkU8PnY5SHMrgJUyAt4P9vf Z8fnWdPD53F3W2e9MTlUVHeRz9j4gfzVffjAMI5YivDfkKTbFalLFYYMRNk8gUr3PCHl1/PG/Sql GHdLzMPciDBf4ATwIX6VDaVcODNQxBNWZQlqeuef8aoR69uXXjroeunOBrBd2TDCBjf87tH2kzxL t4mS8+vdSof9WGVe2k5UYwbrU15UgLasgBOh2tDZlWOWybqw52luHfMI+JLW/vPag1iKKOzXBra2 lc/xe3R8S9lrKo8r6jbhkpbAW7bBHZLFah+uE5y7hnITn1lnitSPMNCO6ocUNFOJbkCWM+T0B7Cd HLFbjlfntBmQsMQFTsoyL1OMIoKkGwTQzKvBNaIjc6Vh6CbHZyhpjUK3Lw+pqabO38tYoJsYsgic gDSPSyad3Xe1tT/dP1a+sgAM2ILA3D63g1Tt4IdOSSVk6r7fuUqVFdGhBCkXaouDS3pzE2xHLpSs vHxD6sjKFgF5dlCLyZZm5YzeiQhlTc1ygf49VxJMR2unV+ao9W1BytkZ6XyzuhARjNupDYQQPh0P IdcY+3TdWVsHrO3+n1k//jnde/64CsH5sseIQRUtrvymFU0APYI9qAbSD7jbvtt5j5sSb87NDTTl /Fbgm8RBxvJCq+pkOiuOGWI8bd446bW8YV9jBaOvptZd3NiS53rWfVOnTQz2OaNWD4kZaZq/LvjN pnneKJjFYNteZV1jAaT+bnzl75rzbUqgQg2UtLQTsdtw4jxALp/H2sIgUia129kSQM0Yfxr7knVW WWLFg91Alsrvp0hG8tYkXDa3TIbWf02OnSIbk8eTdmy50BgPjj86qNYsVYWxzbmZhoAnZV1z0LaD ABXHeA/3zdPWgSKMYgm/GDilmg46/hpm2qWkxuGayxK4+H5Gm7uO+mqzw73Edri2clSCGG4oBxyR FLz8O0JuqThiNTFlyhM+8noLirnj3S/2Hhai4S6hO81yW8Pjhh6muPLBqgy85h/H/I2dMLEUbOa2 wHINWic3ZnnDFI3xtBCqYed7E6hGJSnhaDMmDZboXM5AeZFLnsH4VQa4hSII3swqOmB+1gLcN7iT HPW/h//FZ4PA19MyVqUYA7ivMSsrhpFggp5b7qTJRfEXhfdzc0M/pcFn1ri4nBKXpOITGQ/Hb5O6 rW0yO5VnWdJ8DLlENmiXOmyrNVhvkq7hAt7EroyWTyy+a8+mlBNIehK8AFlhgWyGCfAvXC5rvTtO u7Vhf730OO8aB/0ezRSL5f5guVMJJdVZtBKUNHOPt3A7fT19qmbkruBQfKLjoI/3CXE8aupf/4/D ZJDYgB25MQubuTw2GAsUDRa1C/O5LwBo5BXQcxaUlCzyicQKNMZU8SWDznunA8EZfJur3UzbSIk5 VotuV0SIMHX/njIS1zQXJETW0oV35W8WGCla+f4ner/56OuNz26jTAWCLFWYOf/Oe/eypn7QPGAA gXzXLw68MDJKu8JQ/fDNP42v8tt627DNvjv+HoWX3K6iSgm5Jz3tCaXe/pFM8kX9WZNMFW7XN7n6 kYtAyMHBwZROP2qPmAip17JBmyFIUv3dQ3u2xyCJqwjATWhmrSRu0GUSzKwcCbvu6Es87BATBAr3 SaQ437bXRpuPIpAuzDprdFVCUx9pkRcbxxryi/rwQbWQdaJ3MPxOVCczAsEm2LFFqoH9rb3BByhJ HanCHge10W7seNRvWWptWfABGJw7TSJQ2KUHcnVfqYlem7c07vPE/YZftrwW1sNItPHYSVhv7bI5 TsD5ij/bqnJ9m/ode0F3ebk42Z0i97oWyY0FD0XIW33nQfvntCPoCugI5JgPGutvo0PQI+RBcwyg a5hdyW7in4rWhrfIAdWytyhTDGr9x/tvcV1/G9NDxm0MqtJkgbubcGFYW8VybzZ9g2F7x8aAzKLn g8gmyC9CvTOtveQues2Rc4VS1wv8zotHep+f8f0hksM3vb1z9yLQ4B4tL9yy1DB68VMTKyLu/PX5 pAnpxsrWtgsWChWF60LjUanVbTegYkG2pjREuPV3nR9os2/Z5260vM0GxmSvoxUuXcgEuQ+homtr eOoC2udWo0bsBA/1hSRy/jnAx3qWmztGJ8MV3oAfFoW0PG9Xwp1NI64RNQ9ixiCEbMDNhddvWN1f QON5gR3XXG5nVj6isWIC9exQtxr1Nk2QoRHXG/Q9GtssM+hgEtvhmMdHilyaUX/HYUfb+nWAZY+q YkkMWvpKIoyqlrWuyEgH1rMXHcrQToAqe57BA+reHbdXusEYcMTKuXPeMCvNPc9StMpVtKGTLXaN p0ad6EDiA2NDkZfsKISJimD0QzILsqlJdktgS3H4wVIbPikxIiVZepzD3JR4kY92Neoh0XE4c5ss neutYyXQ93i7jNeGrjItJ7t7+c1FaPrkofcWA8EzZXtF4ZxfFOxrXDxajjJWN3IeggxJ1v9RwR4A XTJpP0W671usOI8VWmLSfGRw6oUUqKZ5j7W1mG4NWGC+kWPbtleesj7tggwlz5V8eato/NlV9Z4o DvUE32vIA8xPdscZbdS64YU2+TgKr1z99RW0dWFyTouesyubG5qzTeh7azwN9P0ol6ZdAFYfE/9R RXSpJXR14zKHb/j/QlT4G8sRbB5FeBwILs+/ZJSeTGohYrFmLHstx8kSb/Wmz1zSS5s6U+cjUna4 xMgBmwxXt9FD4I2XHTog1XQXglaKAN7mxqzsxsTXPxfH+CKV+9MOZfCZs77ZO0XYQ2SnZw6n+noQ 968XFDKASjfhrAT84GDtHSPPzgn4TrlBhbzfiLuIZ6t3UpRgirDMSDBvAEwJ1JTIRlinmpMSUcpf gBj/wEZqen92j65fPrV5ZQmkhtz8cWU1j358Hh97FFYgtEET3e0hJUa/BP5DrQypb+XF/xwzhonP 3VoPsmGtvq/KqYPR4GU4+0ChN6b1frqkjacA59zEkcL0IL7W57ACeZ86WrW9OXY8VqV3x5a0aNh2 UkPqR8yS5QFJSXu6+4xLejgHRlbaZ1iOyD7wr+vXiFXcdyJxhG9fnR6enixzJ5lEgA/pCw7XnBeD VQFIMDpOsC4lFlQ8lpztj0G4ulZaPXQl012fZw75UblNFtNeM9Ak4AvOAJV04gJoeIdfrQCwn4T/ yicLs9UQnVQfOVMqiksJzGjgzou2ld/uVaznn/a+wHU7Gu3+U53zHuDTvKcYy1rM4q34eSqWEjN9 AWcjgJr1XoBftO1IY66vc7VQSDvtwIuKNjfmcIXG8uA2MD+S1XZwx1VwxGF1DEDDnvQkZ7hI18q+ nmxzgYtO2WfK3P4f8GkTYuZBL8Uld2ceq/Rbvc5cGmcBlUJFNCUwYFOlEEM6v4HwtITSohQ55fzg oBWxmwuZxhIn6BVmZZjgVCxtCBC8bSIYyQGTt7FgcB/am8vhgvLPglNS32fgr4T/j2Uc+JDG14DS bZ1aFXokc5D7zitB7rtsDy4pTgnwrHNrl3B+b4jS5svUxJWwpIAZy9xvSckwRCcVInHnTxUwqZpT 7EXi2vuGA028z+Qk2MC/u/ml9+Sczz4OQtkDw4NzrDSlDzLMd+cDLbS46WRfDZeXFJ3xc5skvXsf R9EG5xq13hVmPelBQZDVbeUBT7UHu8AOjAPRV+3AFgB9Af+njVYsLVTmyZoYwtTi1qS/TWDwQTgM 7zgnlmPIVGhON5MHJ37dNWD8CSiJyHG8Ou76quFY3hGu5qBDv9lqMAbktkQRJZzUZKEynor/tSG9 Mfn66NFeNbA0NugiLvUuRpL3ahucMOw6aGI3kxesHT2pvyK7XyW+4q+wTxvMroTWaU6+qZtuZ/ea 9l2aX0VzBhHmACTR02eI6APpij+8/MDVpy9oNeV0LJMQYTQDrkNonI8etA/SIDqMavN+Lad/P0mA 1lOhQtTUN+bdqlmUwKvPXUU6ZLiRuAIXRX8dHRUO0uR2WaHkKpcXcnDLXm+BTisPU7j6qTL8AVpt zCYycQR12bchFH2Ud0TV/gKtEaOq8IO1NEnrYxJfOXzAMo2Vhd4u5waMqRji2V4+gtTTOG6UCWct 2cuc08RzKdf4Mbot9jw0GmU+upRsoMIbXskotHUPUhLbspwBnH8vrAsGmd9gjltwndHKGJA4aUg1 ZwsmDlZDR0lgS2KP6QEL0LMjItAWutdTcxrDmUHxG1kxI2f3TYJk3XKvgs0GmttJUEuExppUXF/O oK7brbxykKx14ZAi/hSFFiKdHM32W3AGrF7IFhwY9bY0YsulJsE1Vk5XA/geT0C55u32u/ixMLkh Ig5gKHTj9A6H78LDaKu7Mpd2f6SmHX/TrUp1OXYNRcuUkDBPtvq5j/LFq9ghpC4FNN3zCcrk3nDD +chm+yCzti9ciN09wdBvO48wfjMWC2DMpM+BmAN/H4URtoCMRqEk55Aq/62LgcwL7zomTFa9RpnD QKzhNvsvtIBNEauwfOYmIoCIj+tG7V7ORRT6/E9ir2qoekqjw2T/aHRTNmen1Bd5u1z1HBn7IVk8 84fTYlMUDhHdiRtHe4Xljzm3Pn/5TBYkOE/gtKaHpbD964G4I2SU1rLNtGGZmzCJAAzyeGD9Jbgz Aw+bF4uhDWEK+JyBxwwT0/jBaZ+HQs0KDQPdlHHFgWYpaJYiLZG2lZXaBrvDWt8WZ24ZJ4aMqJyD lrwH1oc++AUpk5IWFsBqzYWa7tld1kmrsg3zmKbfKWZfKwsnKLCknpxVvhpbl8mt/Tmx45u3VT3/ RgI2W7meujua/dWbeDZP5Og/b9z/hAYAPGZaMhhrdf19n6nmAiC+jiuX+kfj1FdSbwtG47aKqroy oZPbwnwmAx2LA1IDFBb0DVnaY2bQkmA9qRcR5DVv+HwhtzhIa6GVkl0Zp4muPpTji6EhfzhVx3pM MBF4AZOYl6HelOv4ZzMdNMnLflgY5ZAuvEC9tNon8GSzDIKVLzFfB7mpI8gY0cWP3KoEZoBx07S0 Dc6obNERoWTnqL4PNrWvpxUkWWH6BAewUbzyptYxy9D8AP9YsZJiUafh6LGJ0E5WmOkTaX2288e2 o5hTlxucpSkUGGZ+lJskjGW+OXyFEEKcrvvMLe92AO9Hsq8aGwNWvxZtceMYgRktzmrtrGHnJrpt +UmPkwU7ypUtH8JcXHHQBiWlSk/tJd3qynIGZy8izdeiTJC0gvrW4RiX/SpmoNA4Tkghh2d+gFG7 y/FqtRAr+GE4f+e/qiicRf0i2vuhgvWvtDDwylCmddJ1r+GIMDfsD5OHDhtLZIAB3fRa74H00Y4X jEx/mtim4igBxH13tbq2d+k09os8FHPkJqBB7Mpk5rRaK5XqGC8qPp3iIrvvPYJZxiWpWzTOke8E WRdZqKDsm6v7f0Vybb2N4rB/Jd4V+i2Tj5/5cyVt1GQzvdioiypJFLt/u0LEOIfrZdQRAIhnzpAk wukcB2owHCQJsJWkjnDcRMHqWxat6cXPEh2RIYozXV5XNdFOpttrvf2fI986BYZ6YOtBuadk/WcH RdBaEooQ9e7pd2j4zdHqmGVetdoySmyOK3H+z19JHTO90LY0ZeavsSdnO9EVqrXyeSmZ6I9Xq0+V N2f+i2yCLy5qY7Zp1/3PUF9dy7EzE019waptKHAJIIEUg5LI3bcIOaCtWr5RoAPzNSouSNw+fdMX p4I8iKtTpxVYyDGc7ZFnOtIlFbWbdwU1s2Qor1D2gaY+7ZkgZdt2p+OYvaKNCJTRR9aLQSAmF514 6jR/jp8SIp7hYn0PHjeLBvv6UNB+/WEG8jiNj2IZ0Pe7OAEAMq2FvT6k6j8iEPLabHld6vDH/xkr gagj+y00bUyTx4nvypmu+0p0PkTkAFDwChhbliFXqn0GwWhsRWvZU0FScVV61bPDFuPwSiFWqlsM /SXCG6nk5zPTnYKCKJDV9+xCxOex4fmRrZnsXXxZ5mYdomGufuoAoqmPjCSnamkXqMCgFn2TbXOX e8QFM3LRx/tKxABre0BDkcRuqS9qRvqqiLc9JlKjW7i7Yy360N05ozgToRRuKI017x+3hPQsCNTC j85mCwylcgqNQj6WLUuQYmR5k/P0N54ZC8rPBsrxWCR2qTqZZ3vTdxjIimeigKRSMM6jyYkBHMkb 2TUt7nUr+ysQLl8bhv/fQqGOsvWzTSTOODJX2jH7irK8ZU4QKy8jC8AWulXaYpSGqDSkITPvZ+2U ZKJ7InAbxYxzUSeJpynfBmFjo0zgF19kKOtMbBmPXQjyA76ZWG8IVo1dzHhHyGOhre/rz6QCSjrl A3EOTFyHqfUMlPln8mVEL1O5S+WLl2pxcbZj8FSy6+yAayuS51Yh8Lb+n+ZUGXGLbvh4wot2aAZc BCpRN5tYOZ/OYrM+MMnEbhifFC7Yn8OBg3etnXv7LCuGYsK3WPro71PeqNYg5+BRT/UQpLd1yUWs hN6k6m3tvCg8Dspy6CmrfRY8dmcgTDhG1coPo5QqldhidjRIZI4qtQN8hDf7xCOpAysu7p+0r9zs QvE1fNRy08SFuwnEk66Wh09ng2KBzn9VyRkBcH+s9aBPrvXacUdMFrmZGpuQvc73qmQ/m8HmNXSN SRupAS3eWhOXxu4yoircYJyjPWXRiieI8RUh9OpI/ulrVmsRmvrs/xpCQogK3L+C1YIo5yJOH2EJ ociJS92OyIP4fgUW4lUi0WL4VJB4emj9p29DdA5dXmNN0WCpB8BGOAU1ZmjL5q+1udvMSDLfKyxW 5OH1N7/0BOQbfhGETXVd8QZaWh9d35VzHy3SotMPsQdJKpmYJzGa0rkzVeso55Bd740mWMj8L20a VwKMxm3OaQdJ8cfZOyBagCqwfWKaGLK9iw3Aiv3Bep8TKI7NdmHDt6exjlgJdbWn9ON/5oub+b3c H2nidAxqka/2FUgBCOrnY78I7cb5dgY9L6Sk69FZe4ZGWzvzaGLPBlKZ2EbyOlMJQLO7JOcpN95p cCuic94TJQrEY/fSGEH8rgNGADTG9TbCYK07oGnSivZzMWbyoMVL/dg85kNLVEbak7Tduc6pCord Rm1P56xW4ijzqCHZYhX5KIdWM3w6syMdQNrHt+pwKbzKEOM8Tez9TkHyHAAjvo1aJZQJMb4GNJJx 9JtZemSekMdPApbsGNUsUpJAwXkyfgVu/yl24peqGRoKBAAGLoirgY/2Yj8jmZKatyYRRXkp+jxT f91TBCEg2kU+tKVkcsmPfDhKBcln1Y4CYN0puSuMzhRMqkxF9cZDF0h0s98Kn82TeRc8kZSld77H 5xJpmOh/vcQ9BBYwL7vfv0O93Gb8JIDlPvWg2cHD/4gU+uGzZcUoOv69o8vZD6l8Noot7SM1SVsK aufyNJ2oob5A9xUUhpSeWM24/e7Kei3W0ELMBkxP6wOKXqtD5XpNhRDjt7b4Ky4gI99qMDwHRL4X LSQG/6Fsl2HkVmAT8XJOISI8avnynYehxJMMzNGPhoh6m5PlF1uZW7EvdmP2bq19rMKhRyxpEcEF yE0FdhtyUNC2duO7WIBDhec2eBfGvDlbGlp2YfMoDA+1KCxksJMZvNiRdvVTHePO6Z6z2bZzdqC8 dAsCu3oGkE/+Qcbt89dBIUZxh+87eZgWnIZ8yZBbU0Xka4KGnuGlTuNcEpwFXsXdIDDc5japH/2x p01MB7APebtbRGVhxA0+dMWdNpPgsBz/HOfPPNxd5ysd3+vHXJfQfvlJu2uskhaxJzr+Q1m4CZ1f x4WEnjm1/oCmP3rqUkGF9h8YFuc2xSW7tdP67j5YUH2I4bRV/eGKfxzoQCmm4lZpHUGqCzYCaJdZ 49n01nDdiw6oylQ5Os3fwnSnGxYbqUTmwKVIpqU9M3SwupjxW5RFflEPtd5g5S4Mw7Kj/gLP9ZaH E2qvj5cDFsoLdY7knTPWs3n8cdQj6POEgMHj4wLsX5ClnC2ovGfTrbUSAZ8wHXd/0Icmfe1wwLb+ nXCGzO506wrd8uLU49MnhKHa4wxPhSIYaVhnr61Ifs3SFGAQXkYcCghydeggD8M2MxryQjjlm9dj hLJMlr1MNJ4UsWMtxe/ftj+KyEJkEtvqEREeSrFSLzSsu2n4bROkj2VpMNjrZUm2bINybZLTO0pB wAuyBEMXe7RaVevm47hC1S4SDu0oQhD2M/lZe62Q256oi0G8IaGn9pucyFoyvzJJEP2YB9ZQnZ5t bEa5tRNXs92x+nw0QsV5OB5w4dQcdpdNzP6DVNK8oO+Rv7tTor6GvRat5MYT+uTT8hOWnGEapHu0 4onCc2AUbHFS3JL2S8KqIG/LAGxO9jBvf6fZCpGneRs80OnY74VsmqRmDZ3t50CIyovMmThjVo6M CqvLWvv3Bf0w39ptPhSrT4plMC/TH3hUYP5FgAlnIyiS0zjxdTjIOtxMsXvw/7cIaR2q4iNgERGM UzhFBQBZvlvqTS+Kd1Zq1z0lakcxvyNYYDcvLA3xNn58IY+2ZyKd9I5OIhJABQ2M8QN7eC1PKdQ9 gaBycVd3ehEwwTNoEFDLcY1w2g15FQ5dZtP+sGVHM88u6Owul6qNVT5ZoH/8XGRIVQWMgzy9xfLs NpePuYfyREgUk6JgdDo3gmc0Lhl6l9ykUzB7wxpn0DbC+r7icakEpXDDfbpmBS1gbLoECAZYuoyV xup0uwJydqSnQ1OqmAW2R9d8ChKgoIK3qUYi+tYNqKnKpgeY0O3ejvtEBQmRcDKAdA1oHAMc/xlq BF7RQwmfsqaFinflxlITl30DacVnHt5QoUQdDMOPqY+D9myfgQBxK6TTMU37znAUsaPa+aOb1sj4 nivPFunf+oiKsGPbw470oViOwaO1RsUfhIhDq0vkjdrFOkCj4WubA0Ui4d3DlkW8ME3Iajm2BD8f etztpPv3Qzq95NLHCt2P5s0SlnNjpiOBPoRITyXk/3WXSfrR9wwA5B8XWlVngYNoBl/H+dK9N1ks XFBWcOj0JgUBDD5/CgdE0eWzjpPsXqgBAsSdhsVopttNMDjQkt03kXj+x93vyJRabJSV4cNDh6DH +UzQq80k7BqGiXHmeU3lM6IfGCogVfRBaHp0YIQnUEFOLTtrN8VstCIieh/tDDv4OgeCfYAFj8eo Ly6S0d1XYHB+VKHaalb+93TYtMqkKbycek70BRHNjEbeCs3VEqkO/hgBMSjTfgB9psMnefY19qXu AO0peQmQ4II6PTIBBHT009JrzrhlZYysh9IQmZABMBQQmHGvV4O4GoC/ulAJPnSBhnmzRy3w5/Vs enrcBSNUux+W2cEWisQCuLT7o0i1catc6KEoAsiN0o0rEWgNIMZYa30I8YQdgj9Z1BvaE1QePNrF 3I19BkrPUxF9TZfCvTapfs7bY49uwBM7qfUDM7ZfkjkaF7ZDWDjic0eJGiAiUO/nVn27au3Tc91x 59y13aKDRCw2YS6LMIUDOej8PsBXXF6F3LxDoWitad6DiJt0HFrZC2z/xSXkR19EWrlsDsKHQRLf HywKgu/s2NjJM06/pTbSc5o3EFe5SxBsDB69RYqK/4Fb4zhNDjZxOUn0Gg8s0HB12XCUpEtcs/qe VL4yjJLHsTVqMBm3d0W95gMYZhTqGvuV1Hlo95oNuc8q5l/dyXgV9oHV/XIRjV6BZIAXtnuKW0PH yBXj3z2ZD98PUheCQiXWx4v64pky7YVjkrLG2RnO+15HQ7Ndh048fU6LptwE12+py0V0gWgcvqlE +F6W8/WauxD+eD3tUspChoFndRBRqXFR+aJfAv2Kc9ejF2l1xoMiqJolGKedX5B1RRGqz7fLu0G7 kpLjmdi+Jc9J7m44+T50tPHazORZKgjUb/uamAwbmI1150YWPUoHrkctxcLlTwFD/CDcyqiWBjTt jfX6h5ICd8xyr/OVk63RumUdfkbcR5GZm20c5dKsxFECiy9Dl/bF9pikAGxvXF+CLMgCIbAtp/M+ V/0qwor+8a9R7+XneB3qCaZaMeDcXwqC4V0ASwany8QLtaIGoUlt+sqJhR2+L+jQlSDEYr6oRAh9 wbo5/9JTT//9oDhJcgKze8fWQkcefOgWFaHiEh4sZBkUbDRgRoHA1Rg+JrQhMO93P5kbZSTpnJ8L 5HPnEMjzTszy56gRHWMAv9LBZhaCNQSAu8xiuCE9SlH7VWjV74dXPdD1lGsVvNhePSaEOTRH4sCI Flsxj/nKCouO3UCoSDFPYGyuv8N03zz9TVBZkH9HbWc9dvcyQbYaf6Y9xaFRCSh/0e9ielrXqaoF ZEHei644xGj1bMNjJrL0gkUIivLv+7xzC3jpe9a8y/vl1PJvMeiCRMcKcsaSQ1Z03LAo/dg7trUV Jqoca6tNUO0NkSHtif7Xp5IB8DpEak6Zo2UUU/Wr6rYimj0GRsCCV09w4zTrA5vzdqcAmnz5oTZ7 y+wGSLmxgNh8/XQf4pcMXEyiIFKJ/aUkSBQuk2M1bxub3Zxq4aOL5xkf4OXxDXnfz9JF9qnhyMdm OiyZG+sH9UySaVWL0lvSsOWBLct1AYfKTrG49WoGwLJR1j1M0nd4RX9WDQijnZtWC6mwUWc727+P X75XpHNd6VfRXZFuftrpp5NvjstLO2eUUIgDDoM4cwOVubn77L31VYXqjzlEKQiBqdE+MZBPmSsy 2tWdMNnPoLqdaqZWx1L/qiglwsdkrpDesc/yDIWGQIfSpF+dz4HWA7+IAW4NdKMT95vIKMPjTfCK xRorVpO2Wd0SFRFbIwW8CFKDyovNqTZIL0KtrtoNpH0ZFWvAZIc6dERIpaJBnZVRg19wRRaNtM66 xAIPxOaECxjGvwcBtmu3KFtzP6j3pxySC9H7qWz0eYsA6NtNZM3V1/QHQqSNCRRV/ShXF7AwCasz myS8orDji/5jIwTfj8LaeklBs/sBHDcLWQI8qeuFQ/mnJfDsxg9r3jIFMPQVqy53Sk1a2W1anYc+ JNRndNNrMwmhV7Yg0SGnZO3n6E8+Qm+So8TkYu/pIK8Lrf1GgWrIDeapTZg5ZxrDUc3r96D5n8A0 A7kCy+NfgiV/fnuki5Q1MwFV16R+9rVyw1/B3uGxw4lxGcV1c433Ot4HjrffzIQXkBl7rFGs9rPy Vdi5dLIgWz9upjrAlZFKyC3dpbbsTTC3sp2o+BamigBMyBYiPcihgBK9VdgagCQ5eafizKuXFH4Z 5HoTyvIlS5jR3GcB+ApV9yTN9qIbMjPHLBNTldkxSXrFJU+cfQc3vpOi9vNcw1A2nphN9Wd3dRgO 0yoaPOOceQY6rvvPEsl5XKFYCYNNer2sfrZjoZkN2hhFh5YCg7iSwjyNPKI7mfAoerJBNz8uuxHY 4owAtAf0fqGOls7nfOYFB6K/C8BzlKnXtwYv09DVzuiNjPwC0OTnOI8JtmyqFjrlZ2sC7ZSFvwRw 5Hz35uxWEpTPTFtycBrLSCBJy4Db479xntkQQWNk2yehFZ8PczMwrXgLESTkxQJNrpA4O94tG69f xmqQJGuqiDx96vAO4W8UK0AINqpMMBx+oU3nZNVY+Tu+P7nUp1oLp0Z7lpoHuO3i7SureSjbSmlB kLIOd8Tdpw6SYy0k9BPGo7mUOaX70wXxIKO3Jv8aJ+DrR48uvO9u9J/K9+6LjQRnk6Itq9qhZ8r4 BfRi0vPIUI+7RMVw1FIOj+t7oLqpHcfEnWcGzdpg7h+YsMQ4sD5UJz2MQU8mXTrKDLaO5CwBKlo5 zkeBuQlTSm3iq9EAf9HuOfoEqs0peCZ1aEwn5+1Leu1XPHdJtfQkqO9QqCQYr6gzT6AUR6eECrZI JRhquC7FXcJcslIyO4r/vsAJCYSGezYuHRaQqI+RAILRSEM+9xHTiKQVNbYrcOEb6ZGNFVxJRa9W 2AE4JiOeqv1+yz3q47qKpR9xsypb10a1Jqo9/pAQjn3BtUcstF7oBzPVpfbzK4ULvVO6PzwgvJ1r 6NUwRx9XmnuTrXVeanmLD1w+I1JTGUMjl9GYmSveEK7QE5f2PWetN2FL2AhrxHRkx9loyM6Zvx9X sMu3A+wtd8Cyo0BcR8xszdUobvyoQ7bJOh2QjrZ8F2cAINFG+cx4qSzkfuP5FJFIikUZgcCCTLE2 ao4SQLfj6Zxx1zNbjehmc0MH6LqfgNSOhRS4huuaKqm24hy/8wq5Ez8VB5KS/NC+WA5GE3Rtt+pY j1VXmtyA9ZAAQCZM2DupKt/eCPZMuM21SxYmSAE0NJsXdHexxW0JtDJ1vFfILYJ/NaRpXtUB5DDt K/He8oDlcNrgm4pX2LCMJfUlu/bIaqdPvzfFdz96qOT1j8xOkSXpnIscZdl1orT5guMrOnZAyeUq MwWg2G8iJbmeE/tazjjUgnOEgMD/xWC4ElXHLDGCRT1MBmwYsF4U9bTppxI2OduKrf8MxJDln0qt xlur93EAuDot7QqhN3+CdeJjyv71nUxxGZkjx9asFJ26ad7y21yJfA0w894aCLIw48+kKDQ31hNa YFal6yiBaQLZa5WS90OOna6xKesozP7aMY37puoaf14GUgb2eQPtH0+EVlLLbvvqxaDBn0Mw8suB 3KyzxQJbvQXf9Y/o4DkRMYBdtK7074fE7bSVAQ8px7TKkF5xUHm5HeWVaFfEwOPL0CZFB5zMTsOA tG92Y8V/yJJGiuG6jNcm8MNXyJoaTtpd6a00M8hfYNyPD78edZQfoFutHNbw23+GuAqUjinlWYG7 4FMgHMPACAnyprg5+/BmJt6zLstD3VIE0I2Zu0HEFGa1Nu4K+408/MZ3PawEFrqvLeHZHsw44kDC f1hVFsAHneiMVuBlt+LoALXs6a+obU+tIRJsTzq6ZCIwMkMk04FKZSmChiosOkDPr6uOfbD9A3jh /LnOXPAbyuwkD/griYk1H1yj+qwzxxuyFzqTTohBuykApyR7sz8N/L+7ekudg9GgQm62Sq8hZw7x 3N04vbA1HnJPqTX/l5P9R5utRQyBPqzFVLOH9fTYk54W69R5WhxAksNLCrBBkni39XH3Bmx7JlQ2 +zc+D4cmEP1zWgJjdodcLYculZO4oSbjRT5nEBq6/56VeB089lCXdrNogE3gsai6hhcZz6ahzNSg P8GbQtvFlsNSUPjcdqJfeIRg+B51Rjr3YgHb1Nb5I55fOKJEXjj4p3/uzHZvaL9FhsYTias/GwUk m+7CE+LHEQnhcocubqkO3of5Kx4ZKHg/RL3HVT5h60SQ0d3wEmGq+dF7DgInc/ZIYFZi20pKKvio xK9dsNkA2Hs91+MICQknt2SdTkHQcuY2zK4BRPsVSZI8UQ7yK3sEQsGCQxhYwqyQCBRJDC8pFWhM Lbd4A2yp+BhPnFta3tmcCqMioHOOoY2HnGMhnK+5nmCZc1FyH5+5ZQ0yaATvVEhFHLcWQjYDZYIy B7JXf1tJXGTZ0vaNjwIhAykiLY17AEvNXI3xBfcptKfjXCv47cn9h3T2xN442KHQQ2OWFeOuxnPr euurP+QnEVzBjywIQo5k4vq+3p75VcRS8yB3ibuFP6Cv/29hVPThOJbF4moiT/FHX2ZPKPDpGGit OThkohor2+OOCDCt9o38zCIzIkjr5gWLT0BxWD9Kr1e4KBjALnFJRpaKlS1b8QtpjZMCSpjpso7B kMv6zfApwF2UiCjpie2I6wGx96NE7FzgXMiOaLCpDDO3d0NQjnho3kAgG/gfQ1gPcFMxqsIlavhX XYqFKGgjV9GqoLh1YkyBxe5qPMTkvLDblx6AsgJoRQ0iCAUrzk9bu7iMCoSvfF3qj1FEgkt3d8AS CXBfT19n0J3L96h/cTxzFQFMyQjoo3kcqkkq30tzBm6orA9cTa7nnTBHUItI9aC+0Xffb4iRp1uU g5WCg4oslctp3hwoUDA0Nm4mJutGrsGnN8W/PFpaszkT9jmiGxDWAGxEgsMzajI1UzYheRaOAFJL k5lfRAzcti+VOKM/e5TxvBK+DYU7KhA+gLw5BXhde2I0XTGcANbbFG1QCumX/1Z/RVPF1tcbu67h aRtBD8Wirh3UlR5/SR38lqCMlp8brnTxpLJDck1GsghFwhuby4vtNRt1IXuKaTjqonxpDs+T9+mQ hjrb6o9KKxUNESBENbOtuDlNhry56o/VOb7tr9qeB7CDQjmaAo3DMkg6ktEG4riug5AQ8zOfChrb J2dl5Tv9ToiwkiBZKWkMUQaNS5UFSLo337QAcndYTtunzffKCd9JD7663DSLMEd/wdPwq4xPupKp OrxQqRZig4gP40pbFoY3qDSR/n1W4EA368p6Murrts4OTS0BgESrZ62MogUoFJsRIAn78UTaeoZM QlnFWVNduc0BgJDNFx5BPgCv8h+uNw4gSMg+8OJsF0SrfBM9OdDSQ2ciYDpGVqI88XErQHXuNEu8 nrHIZBqcUgQSWxri5JSuV+KH/Oo3xZFp2XwCGDcmmlZyMQbs/85ZZa8xk6VoGn4Hh+p7Dl5mskWY XhfcH7URxtsN97MKMb9mUoqjuIj1rWygYnIqmM09B19EyUCIVlPAsTBaJiuukDRMuUVQGMveIeH2 ga7vNb6MO1/mA6svW9WGNHuiZSLqicnMXvNes+/O8SgLcw0VLZl97XJJ+0+DrAbCj9ILScDZ6Th+ zdTHwS55exD4YMdI2Ya4ndrXbE/6IYkKVi+VqQfNZOQZAvR59auXeTeFHv/CgZV0ppYi4TPTZ+ew 9X9XOP4VL05GF/zDMl16l5wj59E44Qc1NIZcYwW2Xp/Uxy40cfvTogU/doHCpCfVLG6AfXqwHXib 4Skdi5VUQ8xW6INgZm0RsxDEle8OeX5btwyJDt0PtxkVATNVz4Qjz/BIb8GYPJuKti8n9s69g/Qm ptPI7pMjZ1RW4XG1SVlJOvtF3D2im0W0wgzaOeJiVP/gKJJizxr37ysKSgrQ9OpBESbp53RB5mRb dXFLGvipTgr+eBNZowJ4q+4WL+cs4TOgUUSNj7uX3tJEfyfgYW5BdnA/wGVvy6ixSWU6eON6iJa2 a2DhlRhdzQyZykGVwe+C81js41DQe60RNrimSEKsTMFkUlg61rtwCGmKoEbdCO7h6KezyyCt+ICy df2qHartTr1rmNVf33MA2lf75axeVqpQDHEx3GQIf3z2IvcSvqCItrQdY6aSqKCx3Zya4/2uSaln EpBMyNcOICSjAKkufYhdjz2AOLuWZItR7LEiDgvrz0Bpb5R5ZRwgYSI+nkVTIMLarVqi7XpPKNPk YKagKcouVtnzH9cv4Im1+W2eA+wjBS/est5coOtD16NuVmR6X06S1m+4YgKie+Rqan/heibFUJYe X50RSsbYO/RXQvhlb4JT4ZHBk/6e0NfqWUmE8GzDQyS107WleNmj3HwbGrzfaMlXeQ5ypqi+rAvS mxAMwFON6FHT9bSwgbvHnc7JfVl8Uhks7UpBD8olSclZ9PaK08OJ9NlQGxVxls88I7NRzVI6ljqh YJUgcuh9bpPJINCacxj8/wRqTBoJeqIggDDLXUVl8xKn5DBGtErGcIZKmOcFvRvNQT+5Qxt/XmXz 21KxGh5x+v6oIEEZNJqENSxO0kpobFn+V/qTx2LjwKc8dkrW6Vd7Fck2eF5nJl3BZm4gV0/T3mWa 3wm6GK5DfdMzJBsHaGEaWls7j2xKt0vm5eSvCVB1uKn50oyAoZ+RF8IKxyZjn8DdOFxocpWEmM9b PTTZ7+WmzpJmC7HKG12kSwzQLKK33/8wOff4waxzDBEGy3jQGKSp0spdiOm02nZQbg/2RsF7GKIk Iud/rsELc8gzLWeXBZJaw/sSZfaUcApqw82ofyG1azFpOnhgQs92RaZIDYSOaXnviDIDSqn/sUM8 p6OT+qYIdwkM1O4pC/Rerttb2FxVcce9xnwcrKN3marqAd3JMfcsA0jEJNHwz0Ikc72c1SCIPHUR pklty8tPFEQgweY/RNCHOVri50UUDqUKiIeKCshf5OP/SkTqAa6ZWAVBxzrlZ2lPVnaLoRgCf/7A 170ePv2i3p6UOPebjyVYP4C4D5X+r900nEC8kB4Z0VRwLxAbJGzHFypWMWvZ5wMZ+RR64wS1mlys cxAFLZmDwBLNOfUwgfaKqWYPhVLKx8clJ9mip36vMWv7OjPVB/xUJz948bTLa3aX4xdc69yA6P1Y sVHuKfm3xBebF8q3Cl8Rh42W9r5VYWYOBnM54J00NcoA2A8zQcJGYignHRsSBDg7PnQ56O3EbvHa XnmM5WdIx4UsL29vsSG+zCLo4++Uhx5dwXamTyNQzg/L9pQEgadEScUl5lwrDjXHi5He+R1QanGj VRKaOsW+k0NX/AWC76+zOup2ULcPzFLvdhYfjk3m/5b1sG2crJKZbIxKqdtcHUdgXoxvQJheJUw2 ZQr6QF12ClJICBp6GeOIkn4AJDYsaWFN+wLYTjlw+N44vMHIY937Gn1POKsGgsjQhYzX1MKsROAD qKsThNDih5SGFlwJh6zEUXHOVqIJB1stsGPoNgw60/EQ06ma4Fx1tWZARea7kFvnOjnBNenI+0ZV YV5LzXhPERLhYY8AG5evt1MVh31Mbuoj7+CYBQXWa8M0fD5iav7x4YLYMldC2Vsq9cDPxo0fxtYd 0Ibz2VaS4DpWs2ajdXqW2CaVle4Y8x9W5TxK9b3yLf/03DiGZb7W19yGhwC7wJCd8hFtZ/T7zzJZ 1GAUO4bbKwYBvJ5/LN98fUjmXDqKM8bG+rBY43KdzCmvODVd1GAHcB0guw1CFXCOIJc7Lb5KDqX+ +jgzsG6x6Z32HtveNlTiNQ62Thh+zkyZzTk63Iv+Oi+qwU6nnl3bqjFU09jzd3Jwx2662xc8J/Ve COJmOAxs3ZRC2EGDcjMwEhvUyn71ICYgfWiZtMgIUzCmxgI97TxmCO3H0ey+ncNXyX5XpvF2Nwsq 7yhMwkMpYf3bKjynCuHWMXP6/RAaYG8dh4F2MbU9+Yv5KPKwaVtrqA3ong+0QVvIQjBLZxr0d0sx JtMsnGGq7jTD3OblaBecxJplV5Ilng7eSkl9652qMA+ayFCiij63XVHJxhgC3GolQ/iul/slWHhP 3vH/AI4G4v+Js8HWGBHO+riHHI2nSeJ33bVFHGiRWpE9u4Ha0Tc7Sqvg7dSqAXTGvcjfqCfaF50l 9vDSqGRgTr2dMi4j00zAcx6SO9/aoQ/3uTTQWzcarfmEZv7In33PyAzEzi9Cs/m8AQ0Sy1mOvFQw 83eJlu8r6BJCgrBr6ViujZQ7luYwsB4jBjvniwaVpsJgmBpUKx6L6FJk3iyKZL/3P/C+AyKjiZ98 Kn5aml01hBmkvAovNUwbkeT5HsuAOLnMRnyzN9Rs5NHHWxcdWE7VPYEVFx2v5ekU8m61rOydZYyD YOP/PBCYn3uPfShJYYcTDW6CX0nZGG+T9kMV8SKx+1VQUw8NQL4ha1YvCx+F+O5v71FT/WnqwvWI Di+DzxXqGUB2A1/tSNb5wIyJB2DYDWfJCe5hKxCwMnHL6wJ2H3oPwOb+9iAxTlImVGGzsIr66psd iPUg+mXYqSXY6ed3+Zv+ctJY8LZVxUUqESC3LKxMWA0mejiXn+oY4fupnUodfSADe8Znr0j9vYE/ CLXfxCYB1PUVm5MyC6p9axitImZ2weaPMhnVggBEr1DW3HvJbYsOhnxU1yuajDsgTezXMz1Mijra A50DdgQK4AwIXzvIXFKTUUor6zJG5ILuv0TzeynBF7PwwQISBYSFguuZeTDgJN6AxulnejQDk4bR sUUcuBIbxGybuUOo8B3QzcbjnmW2lSLMYFCFpO5BdkK8NjxLL3fVhAwYBLudmIeDCLaOVXVjlu5r c0p9cPU2aHmfXgkmjzX/98McYxsjbItXCkRYxxeIeS/01HdZ7F0L/lpBkpYRWOGncNNrHonv3xo3 qsam+FChxUHskXNKlU1bX2MAfiI1KqpYwcHDEzudJg93pqd8EsE5kK9AHboiD9/Y5UCizKhsqfcB FZcfGM1CsndYoLgbOj6CYq4fXomsAnZ1gpWJhOtg51uiLaEl/UW0ZYkbHT22vcIgcB+7v/0P04g7 knY9sUauTg930viUauihmVnOKqZw+KpIgCgeljNS1JRW1nO7lclCrSsKi0sdaU9aCcgmveQg4WWa a2pIRSYhRTIZXSZWhhd3sH4+gmW05XjwHEXmTUDXuAw3D60XIAitoWsxyfvZMEnERL4u2xGz5Mri 4d8Uiq/kuABJfdD+he6ZTV1yWS2LtIlF28bv1Sj6b2FhPCOhXSEtRcxLlarJlP5KWSpCNeNOgQlx vBjdToZ6exhb/I2dnJxI6Go3ScLCITwOjDPcQNb2R6cCaueYK5wOrz770oKGCZPW7M+z1mhhQhyu aXneyjx7snJ5yv5vOXaxtT55GPIbsd2bHusczQq+8HvVB0D957Mo3wKFU7R77mRvv7/tW49TCEJp aGiuRfwRWi0jvigdAXMh4BEqDl7AYG5Dv3t7wnWu9FAYbXfqGKqglPthd2rk5Q35lA4vFBWdu5lc vJjoHzI3b/MhO6Y0mrKmBFPnZzq6Mkgktl/53lQwCrP+4komyvu0LJNRASLeGOrSRhup2+9PmWaR HjU22lkUHT6RyCgj2uqenszZ/dN3IUdF7zQwwFgaDXbQZOjyvxFX3Uo8tUue60qCrVlJISwrJLoP o5yPjAE4G2vo1syHFIU4jxzA0k/zVZDI74/+6ZaVRdR9ePVi+71hLgNAWBP7d29bFvEmXqFYvAyt ARxv2sZye2YebfTDnrWHXYS/T/vtWVFKtJ4yjkK8XrKvDAVKIffQGeKY+z9QXEcsVaKagioWzz5J GDshKp2AF776hOpkP6gK/DIztj3gzKD6Vk2eP7hDdw7+aEfnFm6HAwIzOzhefoT8pIUERiihLvq7 wUhakjes1Vurjz4rdh2/7W+4RhZld4wgm+/OHQGZU/UEtf4XYjPp9+rfOGHPHbjeATajZ3112VEt f/g04wzpiOWyxD/kD04xsnmgvXMOceA9lmaGHnuBM6QpQvIFvgYatDHcbuecRj6Uj5jIg2rFQVMk 77b2nGVZTJj9S5AmxW1CrSed0nxXmbJsxz6hGFWDw0sWJdd4AXq4y10JoepaTRKuDC3p9hY5/ilX ZQKW1S7hAg/RCzrLPh3/3Tf8fCmDH2FfzsM9wDrJQ0HxLaJODnUMRpQa7trRktVe4vxUOTIeK+rl 3QtPaucWf3MHTsCK1MxQSxXujuMGlWtlo6djHQ2g9JkPaY5GUJSlddHrJGS9Ulx2hBKc757X5uAJ JIQZoJQNoHA0bqr83Bl2MMX6HyywpD4LTHqaZwyELK8AVfcXqjb7AaJ+ADY+eIBHLXiosEk/tKOn yfxkfktnTqrj691opT6WoiAYhFpHu7I0HwHxO8n93K2fYkt1vE8gqe7Jvx4/RZOfRzuvCl9y2SC1 J5PxV+amTptndVWlFbaGGDwEfeL7ghkbO1kkdCly1goE3W1BGUe6+7Rbj7iH+vnRA493JnRzvMVr C06thKDazFU4shldVxQT/o+DUD9UukdmhwQTEFxGwf+11zLsNht8t8teSrSldZV1IWAGsgV78LRu YY1HdjlY8mNGB1RZK640DANkTxypdcmsKEWukaLRanhgernOlYvIiZXAP3KNy+zTgNnIajeMWvmV JnABRjO5AdSdjASz+yvlSx6d/xEwaUDCc2aF3pe1Yr4gjDxx6yFjmeI0S7VRggUHWu9W5c852wgl z+7NqnVGRhwLeD1vqt4O02EcXBEPXFeaQqy/CUN5yIIilxLfGD5O80j5x453C9Jr+lXkTZPqg2aM zoSqRFVnruWONvn5kNXwDI3VgbX1qS3tU6rOq6CDswea06MHt+3cq/H7L3p2HvdTsH/UqsNgZq6L agsR/d6Rom83gsxDAJDlH00w4s+EOi22ansrQE0zG8rb/VYEntOGhcjNPaN8P+qdVhyVh07NZ2Hi /eIka8RWPtl25OTYgk8DYTEItgfl16ysSfJiUMyQzvVixPX+jHmxttRI65SBPeEhWgneDSD8tyHc rXAfG0XZKVb0e1NAS+ga3DWQRxDA1/NNvaHYC0x5qg1K5peKyCMFIz6FxAbpWDgRP6D3obVWm62E fNoZ6cXLyi4maFLtFUKSqUWg5nBRDWSz+xRgY9ifZfkAmSdQERYf+nKEuJw2qvfR5l9E+7nYQ1dI l5p6MdIhHooE7DSiZUPeN//+vYWWf1/XisFlxJlylpdUtyj9KMy5ckK0nmLnjBWVGw/29YKJbAgI z0rIG8iwIWwfT+5/mD47eLEpsawJO+WiEg8b/YkcjWhTA1XCp+QLWwpPJfyx4rnyhMErjiAI0cc5 dNCV3XI9G1HA/7vWMrm55VWK+HDsJ6Ln9lMp/Y6Hftmg34VVELevS3ZXRDxt7nd/CAamwMlNBim3 qVpzsjTj1/Y310IAcjF7d0HbprnS4TCmAUChylAxXlfan3lIzRX2tmDUKoFIHRJhNW5fgQBFaFjB G0nLFuGKC/pPrF7NOP1MA7Onu74Jefoxq/2IXPEVVI2uVrM6Li9fLdu9WBt809fsC5EDfmOTlW3f P4+dCsKAkzMNHm9wdutiI+wEyzrMExK4Paez26fzYMJjLHq9fjw+0TO002QYb4HNZNxGuMPeU32m s4NOpKGBEsQ5/luv9YzilH0tx49AZWvmO9id2HpjhyrdDRHIm+nLfNSGRoZpnoWT+mQHJNyxpPx0 l63NGu/gfxYSjRUpkfRVu8f7QPp8MWRoBCSFfTOL1AkhL3CJs4qWJgcg+7J8L/Tm1q77cklgSnt6 QzkfUuPnirXwBUdMHr/jTG7iwuzqd/vOgSEPXfC09FRnPFUXzMaPpoKBZGe9A0g/GD4Z85b6CNn3 D5HPAhhEM/pklzHbE8tyRV5hPq6Woibr2Q31aX78r/mEeNvlmpEn7hq4vzLJc6xBtt0/arCGv274 G9DiohLkou1vwYchFIS+ZKfrlJVEVvgivQXc9bzzqQ6a0uQ7Be+EcAHyilnWa/uOe6634ljhZ9t8 lbLQuZFmbmhHfUYYXrY5kTq8PeGlWKhoegPi3XCjn/xBZPE1IevXUSDAf4CuSbQPbbKfsncMtFBQ lZrdKW7PzgKmgzw+UZE+QUnuEGFAWWhMewMWkdWrIoEuwmQAovcqIUuu06hD26Wc+3AN5IAmKyzb BaYf3+f1qM2iBJCVItGODZsqRUdOJ5q8scApsjlv3Bzs30X4/6YhX7SYNWSDs/WesZn5dIhH7Uc2 GgHr44cAmRNGvfqFxkRjgTQgsn6S5w4knI4FoUW5466EipYtBxB9gwSRR2mmzRM8Rn9iKsASrcV+ 9FBK6CkZhNZymsEwddavf3E2OGt16y5wVeSgwMK0vmTZzkVsV33WJIX6kFfv+foq/mFwy9Ze/eu0 ZDw9ohZNCUWyBTPpMCwz2xvXATwDZ9Rb2AMyq9o1zbT6LnxfUxqiBQar+Q7qh7fvF+2fYTMmhvGZ LEDixU7nhpV3l6SRcvvjo2NsSjz1UzEPjoIHNoEASq2Y6OOORJTgSeuUg+Xyqv/ZoLxNSYrwc22y a/m55GonTvinmanYw7ar4sEbu+BDVhYbyJry9YrNv5RKZ/z/VYz+8foXbRka8Jm1E3RfvZbiWeCV LeLC9hlWO6CohjaWoaVpSZNEZnXk9r1BbTv/J68aeLYKLxVrWBXU8cuSEPLbgP0yYvajNc8vBOjj 5jpylHooHo2GkpvANUP/Iqxn5uEu3nj7juBMFy0PE66V1ij+EaIPCGPUW7PtBCUwf5KapUfajhEs cDMHLIym/K89bQvN+hgPl3JwF7b2DR1Bu+0fGkQelTfLkw3PvynNZmwwPwpLl7/NToS1TjaXG0jI 5Cc7r7Dmw32RQxUDeug66kIdxJ4ENu2QL22gnEQRJp2zEWQ43ap/ZH5jkEcLJpp3/gsEtp/JY2Th ANxzE7iefRTvpy+EB5bWCEgZa4xR32SvUPb4h9q77xw5MRxtWmPp+I0eaIhn20aauUgGMFuD+0/E Hn/J5d/zcSHK+VnSZsfbgOwIkmPhcSPrqFCeUHRZZQR7GxsBcujFWr5Aiq/Gq2EvxqAZduXwW3Qf xMl9hWsCrr772GfOnOKjt8dYoboY8zgss7DeCkQNXGiDmDQTJRNC9o5zrvpKUeHs9J7zgTYEbwiQ /jZ8gDOFUQxg+h780Rk1AEI9+7ME5mhDvN/y7UYvxyn8dqXp/lLUDORksItBrCFSVKcr7DVXM9P+ DgC+X/aBjj357R7NyhVlTOIn/ywETURrGk0n7XsQZGkGwgdzmnJECJJPLV864E7vS5vruxHtZOen PdcuaxnW40H6rRKd40Rpr2MzjzKpWY3TCVeDmNan4VNVshYlzgHSr1bcI3FqKWeIs14ydq+WVy60 ULrZ98wErTmWC3ui72+06lGv18V2v5QIG8x7wURVqLsYmio/rhXVJ8rDHtHzMPvk4AS2qVN1YQl6 bNz+rO34CvzHu09l4Z3Znw6Y69f8gfEQhBgh8VkSSncypVlcry55+waFDWvVP3BlHGVDbhu2x+dF cbv15t2P7xEgUS+4LnmaRH6JKvVdy88w8hQDfVtZw17CNvYLY00VUmCXjDMKd77bdUNUvXQP+Xk4 IWs2lMxx+qY1zWJOXjIqJNjRv9hlrEYn26pKawt8BTSFrWjZBippxptEeo4vBAkorCjjFmltejw7 ejbWV6bd928CwTThUARakHZN2cMb4P6kcLcXOGnrLJzGT6xG7YcVCuDRUq4gZ6WBAY5RHgsw0dKg J1xqx3nfB9Fthd+QdxsoJtcOPhaRFiCUWNoqh6rfuia3kI7LI218G/nDPByYV2N46WdernE1qNTB llYgYFerJ0nJFG41uMR+OZ5ctaNCFUNR6KialG+qlt/F4mJ68Vyi5DqhOs0Qn+WQ+bkyDFshMYes wBbZF66gcF67cpg0J9wS23J4UYp9Z25S9iwNggTIvEXRBfHOVAANem4lWafwI9+MP63mqZRwPLkN Ay99vWQodr3PYac2FNiiHqqWHPlPBi/m83ZwzFRk54QD5/v0i0gQYVL7hSvcRvl+doqAnCCYsYaO LaoF2ng8Sf9pA/Uaww4Ux95J7tX4Ofb5H0Aj4JjeJlnMZOuMs2M7BG4OkYL2323QWig7ycvTvOfN xK8Lc3YThjrZVziaqos5L/h2Prwk3HohN55jX80N3H2M+1vCtIpnJzYwB2Zs/25QLWeTcmD4ZvD6 mi5KoMOZUum4/SQFiuZQMLziCm0YfDtylXTzcHcYZZaN42thYOohOFos+C535x5a00Nxcff4o6g3 1D3lsBEchLNh9JtZWlEvI3ThUpbj2AmTslv9Y11Ji1aC/zT9hxnHJ2dbPIyBmk8boF0pwnjQGFkv dCBXi6NN5pT4K2ilub/UFfdoblNohKm1N7eZIQG+rUEKVOkt3UkP+JkTqQboRXRHqwDgAdartOeY GbQuSD7fsSln4RHGzxycNk4L7tzOEvE4opPgZlDAbCouNOE2zbgtOw6Qfb1WkFiJ5C52nh0OQtj+ WueKrF2LUS2toidLUSzXVCSzdkFUpyRX2SkuLMGzCyCjLByD9eoSk8+C2cA64FnBZIetNUg5e+p9 FY9R+axQrttaF/5aElliOGl+ECmbq5pGxuI6O0BhF7zCbftRk9Aih9+GG+u0nQlhjbiWuH3gCQFr UfWnwcRhJekRk6RYmSy/MrmN/9S8sBQc/49Kl0B0rTLU/KDbAxLKdadG3bdrLTC3ri6L88iHX+WP Gr16YGLBXsmecj7vA7ckot8wbqi9CttOkmt5RhUH8UrrcahKHgDyYFEEcMlj3ujdXJTxgr8uguOJ 7IKlaVf3s5GlVgQ2zk9LLZ/3SL94Np3MvQQVeXddcLLIg0kjq//N0buJHyT5BsADsdt6s+dZy+Gp 7+UTG9OgA5QYiQHbXKLY/CIF4WHkjGw1P89EDcvxDzNkwPBHIZi8rSpVnsLOAAT0vbpL8b/EIamH RL2t2WqX6Tz6kdi0gduxKTJrGoQ7iO6mLBfT1/mVk1kpE36wW8Nz7gf99DBr9nnr2oHMymIc76Hl uv6NayZ010tLdnWipKnfjrPd/WQxPeSfErxrFsGSfBxxzK/o7wBRlGqmdLQiasE4xT6zpULEOo8S /b4NdpkNuShU/pJI5kgicf0J01jDgWhBim4ZRVGunLJwnz3ix3AD8BU53+Q1+eEzv8NmbUUjrtq1 MYe0mT/3NKwpw+OSYE4o22UES9DYy0MKNVHT/YIbRJS35dxMBN0XwNidhZ1iEcy6mMYSM1r+Vlw5 q5AummIqokPrKgbrJfnF52BoIqELZYuEOYcSn4y9ta7qsVjuI2PUT3nmlzxRH8ioNgm76uU+xrjW mk8dFEvkB/lpIPa7CY+seGUlX/S57GLqPxvs/1iP/EK0x/DYNhZlb0gfVbx7UmwkAyZ6Ppp0FJ+q 7DnVm+hAopcnAiDdT/Lu0LvXDRS5QvzbC/spVWnZhTz7Jt09SLAhV+VQqpVeQS5KIn4LTS3cdcq9 XkQj4GR3KsRrUx/6Vuc3kLH1vCCLBMj0z9uuLzLyti0CGnLnjKlPI/vKiQAwMdBz6WIoXrp6Xl92 5cK+NI3CYbdpn3a/E4tQgkE+B6R9wDw+CkIZojfdLgtTswN8y8ogYNtn0pQmrqDwtTbo1RL/P2UZ /Jf5sssyJNyez50fHqQvcFD1mVUBO4+Xx4npKZcSjCkeQyUNagiKhhpmomQ7BHVMRA1jf/vI8A+7 ctZuN7ecY17NDswZ/WPA/aEDeKTwZyG5rJ5BNj6gidqqM0lXDOxk7pw0sy0876kouQ0lxJZFbjNi tLE31qvz7OXrjiIN/Vl5DPsQ9trq1PscoUO351+6/qmljL6BnHSHTBkZs+033JPb/TRBCuRsL9rM VJbvSwmU5KUe+m4nRHtApFBZc7aOF/X1Th/lz2gKIIe6Dcz3mGjjil7moe1w8L4N2VpIbzHHUu6d nyX/UeQ3raRVrg0EGrBE3cOoYPOwqyB5HvrPGrhFHeZL6PBZHPBl9Z6m8D9g2lhic+7ZBx+YlifJ HyjnwwPiV9q0meXwj0br1kaBCDcgGWLSPEW/rRFtXu+wYmRe2cJ3bzSUAVcP4/5rDS3aEt+c0JES XtSxT36y4zakIM9zjFsTpZYLaUDhL18BCLUvDmY0ThPAjELatZHBNf+qVWjTKdOtFm9talT/t7Ob E05CcH6WowMLnWzlNPgppdM3/Pihm/sF/xyjWI9ZHbLn/7TFq2D6FNvD+pA0+zCyPhfH+N6Q01dq k39+7vjICQ+TYr1yYTQgLEyGMYy0/FrS0C1bBWVY616ftqciKqxm4vGfvDNAVYQsOXlnG6sgP90o TdjkDrreGNRghau5OgVMBl936bfp3/Lk00z8HDznAQojlQrEaxvIQSYNckNpPzeBu9q+qJbSg8fU pr1L5tc1hrjMU1WLUrcfwksNZC7OMqPrC+w65A2QOnASl/vxxdCV1kyiBSRZxnJwpI6Ao0ItLUmU +Sq4/fxzOLGNH2QsbowL1j5N2UmGKHUGfwvu4oQM5ZJ9DWokxFJ33NTe1jOF7Ee4XLuIN0GZYFBi HbVqTjxEx7GQPVQgoPnJ/TPQrL/2WjpBVWtFhApdWMxGLbYIqdfPgpCS3+N4mT80jhSjS6jsT7VL +6Fhrfta56O6D8X9Lj9uLMRpKKpbF5FgYbObzXSZDNpu1NGqvYqzkabluOh8W6hFdqdaG9kCslk3 0NxkNZ06vxXe4K3hQmSF+unxFZnuBjNY/rPF3gL/q85DqqNNq1Vrmfi1HJ4F4aeYbKDXC+Dt3jGo yzgBYa1OH7er8Fkd+Ualedl+u8XiffmfZROucZvdsa/ROR2ZDK0irdVOVrv1RHSvuko+Y0LwIMAa VzN+ZRsKrVgM3fObVMObLlUwRfAxRkJD197+YCqIz3Ng4lJFK+fZPwhS1IXHb0VvcltTCvtUQc1+ zCOr8ukidCHaoftjIdhK3QvtA4gMlx3Jqb1UozOmkxGMNwu5Tp8I1VCCmZVkvGFXzP5VVy2jRHdx /htxZngOzTRjC2QAXFOvBpSlu3fHgmdeizdLSh1ZZaA62DN1Q7BKG0d2pB6LSS8Zfa0TSrmKkBqf YkuYewVIwHgAV0vWhWNzTaIdy74hO89M726+XGrMFLbmqTC7Wy89sRvMGfLim/i44h8u11ghDg8u UotAKD5BhMzGxiDOHbwTfDdMMy3jrx3owzJneKLMLKSuW+T6K00zzOU17NbD0YX1bgPdpy12S4QF wFUK1FFgXCQmCOutaqNf/tZnfkvmoQtiwtzy1BwwkqnUDGWYmnY26PxGN2GyRb/R9IFvPUeDFoN/ VhUrIUeWIm+lqS26gDPJfNRBWhQlR1wFWFds/jun0EbOf747ynkAKXjNATeDIfdyIZsDB1M0lwQU j+UQfqtV3nKezXdgDwei0vYB7UIJBgITduZqfJfqyLURG8ilEbqxf5mvyNky+o4Y+99VQFlQiTXt 1OknoF/7TbZUCJfc3AetVr1bziJoJJzXiKxZeXPBJEXwR5LttaH16x97t6q3RRYXBWyYxlEc66k+ 7L1na0DoaeCnHUFNWuaIUi7IRfFljnWA8A5UtbNf53Hf19S0KffQ5l7nVpSoj2HPIwYSY9i4/6Wv L9Csk6493vVvaNMoFvS+x0qwGEclSOqN1xwI3/7q8K1GPPipcVt2gbKw+bGfosCA5/60By0bn3hj rat34Q8dpUAx7c5NMkW7zAoT/MUogwesQIje9vYHVmHNeM5E4vrv6un2qXb3oLzlLncbVb+oesGW JE2WyrBQZ3GbF9tOxq6wbOQG3xE1/SYfPDvyXvpaPc0UI9VujUCRdZ2ChFTHXDWFJbJqlQtpTs0y 5DhYC1IdG4Ce8DOp7BFkIJPs4clCXeQK7jzAhN59YXXNWIUfnLXPlzE93mdwIdc++NOQKQzthSJd Ukkqsbe8lPBA6bcpvDQGnjItK66womI0+DLbBHvmbt7ugHIyDb1OblXEK5qM4T0Omqwp8uApKNfG 9KQi/dqNhgxqCLbZ1PdQ65I0cSCdk2vtHflK2nboQDGJxAC4sXvRWfTm5I+ylhvsv02JPWwLjUtf E8WoVIQ6DvhE86GvIggtvi/nSs+5lXOswHZ83RjY92SqKCEl+7YWZoZHiAJ34HRS40N1oWzwbyOu PB8BP24mI88cREwBVIvVSUCq9HxovNgeINs6xwXCQP4fohYpy1Aam06ddsJBRX/IHK/lLPkbuTKL gzbinTvU9fodBCdHXKUMJmggrsdvl9pyRavkBTLFroQva1Ffz4FqzzapKP4UW0dDbgIG19iO6i0b tckX5ogOERaTWrpG2G+gf5HcoSHyfP2leapb9PtkjJpcTF5wRo/lYJ0FaZJdEowmRJM5AR5Padrm qNP1IDTn80lrTOFdKyWdSZ9KTyHH7j71CX+eg9KW2TUp8CE2V0v5nc0h1fkPVfVTLCZx/3ZVjVxk +KMdOdqU4SZClBJrPXz7lYJ+yo7GH1HDt1gqPuyjfkUREUn32tE7ck7TNOY7/0ZWHz1UgI02zFxY cYmnvaWr9Fwvwr9CbIWkBzjzj8DwI5KqJdcuCHLA5G1S4teFdKvH0yUct+lVWrkR8PKa/4VvlvyK YwaOYjyVeAh/c42igF8nop6Vy+nLCvYdFy2UK8krcqyD8ucETXV7UToJjGumPmkKxQw+SgGJP0aV CADfVSN+JOEGNjTJeDTEr52H+yS2WbEgkxE7d61TGEdD5bg8kWSlyla5fyhhrp67Cl/3vByP/Q5Z mP9+Lin+Iv0lzGSzpYLJDEdfuo2ZP1tRw0FP3mKLJVb/aeuJjIIGIaLYMp4GC0pzNVwn5PFhJ5nv FhgOOsemzskxxx7hczBoTsDyeR5uThEu7gV4tU0r1zA+174YGF1stQGRJyGdAyOxSDTUJQWZAXhh RFxQpgB3M2RE7dwnr4fFEflWGQzrJOdhUt8/aNG7ziRyBf51PXFgWV00BF2zNvvDKHRo19JXyCXs XpgUxDhGScSqEkV9jbb56z5TB5FQIlmOmpU8/qS1ozuY2SUaucsCWXbU/+OXt8L1R01vy+fIubPA 899m+xtK1mz/UDwK4e178OxN6JkFvu8wcklP3O/1rUzE7IimS7ADRqasMO/qdZdrGPH8AzQmEuSh secL0ObwE8lbsgkgLUE9fSTnwnPg3+GNkXUv8IhT0ruTnPi662JI5OCyGt1m2Wlq5uVwPoX+u0xt djkhowW1yT++nedKgxmiz1a/ooCZ6Npm7/mK2IOS41iCoDnXywYxXNY2D1ZF1Ai2x8x+9SWBztXp Qc45/JElGNJonJxzF6dqNAT+0Xg8CEokbPD0pgsUuxKX5mGWDR9Nq6kiPdVmJDaGJY557WKwEq+R +RiKI6BYojXax23zvEuW6B1p1TMMSkKZyrpoVS2MGku7iWBpAdmFDiGQoOHwuWENH5KsxmxwoZOu UW9XyKPAEsviaYMPCQwQ7XyOy4es7NLv/kWvcmJz63YWCXXl1s3VqOWmLCdBDZMACH8F/JwA4xKZ fF3iSoNIyOeK7lxFHKRf5WUFRqVrG7YV+kULqn4Nzu8w6GSeR6w6kH4lrmFkh11pxZOxx2KWJulj HMzcU3WHDTMie04tfHEaLgqBONgAXzYGXeg5PAlk8B7CQVRzufgZEfHE6n5iIhc2BWxUgMXwCGfv D7nAJMElC4fiBQrLaMKhytmVM8y/txaJBW75B2AJnFZh2i8DtpHqlKXlEqX5nNGrGEOY524yQP6P HsNhDBo9O8PI5lMimKafR8zevS+5Ls06cNpMsVvKValpo8CIlKLbUbUsN/SPJzZCUDvD7nud3BUZ zBGxfqXO5c6vnjK69WzbiI+ULKvXLtoPXPLfIUpQwNYWUWnL15EXYITf0phzfKI3dPoYWX3Gftfq lsN3vL33qWIcUZCOZ468BFeNE7yN6Fae0iISqTP+A1dKJQqtf/+FWVxJFZTZE3iTZfShC85JHF3Q a5yYVd1CHNDvgFzNREyhu/7xkoZOFAgC/vOrVXCbB5scMACxChziETnxjRoPqoEihToxTp9G1Ahw 95Gi4IZycBiHcHtbQTcfkmKWLvULUg58Plmn2s+8ioObe3ai39z3W5OyIqgTJ8FEnRSUedHe5qvK V5A2Y0l83KK44Gz2h8sZYaNwwdwD7OMZ/eoz9C2PwCHaJQ+84kPJ2nt7fuCeafij4l5t/UTFm80Q cVG9x25bkJt5MwChG8aWu9Jfr+LvlDJ7OgfeOF47ronTtdu4nCOwvCbrgWuPQxswU/yiN0wYxKKg 5bIDUO1jiDW3yskhOrj80vAWyflNglb8Ukyr/gFyoiw7UPLW6YRWDxlKJZzA+SMXsDXi0W38OFQ6 YkI87SAORRsy4rbWnsISxoutnix/eGJePuHL71Pmu3f6aUMjanUxT3U12RqdU5o45QpIgZDirsuz x+ektIggGp4XcUzPKJ2B6LnZjFGOTM5TFyxxCq01FOv7R2p93EGJbN04x2E5WAMRjzUObY9Y16jS k0ROQAk1W46IdM1BGHqwwLz5fmRuIIWAPyiyTZ/UT4cuKEbpGYjbEKtLKGDQUj6dbNNyBDWhw6FA S8semjanTDrm0cyDUpUxgR0ReeqE2lzLF3FVP79JGd3X5LLps2w5aH6Cl0IuACGjdMyb58hfEJVA 9MIsxCyUv1P/RLCqOwPVt1bRedZlzYcL1iCEHlsIvgEPJH6CcCDyByBGMLo2jZMs/wNfZ3AOfKvx mWQIL7NNGFjIDVGCVoqCUrNdpOz2pDEdJ2I1sAjS9l/EF+oh5SnqvtumccADuO1BEb50uWsuP2OL rL0WhtIJvlH8NqnYVS8GqSj9vKbsCyHw/IPzi9Gx/KHK5jUae7oPdhw6mW1XmPht9t9qKHiin6fr MYexzRQi34HVEUBSXjSkixid85eyOQWdXZzZD4GEcQo/Lchja6QNybJgDr+ooSL4aQAcVliY2Olp YqT8XEP2jD3Gr3w82R2DAFxg7w1FBzkiL+JV6CPft8K4n8TKfgC2KWBzBbI/YdT4VyqEXMkNhhIt VolWA/iuwXI00KFzfFB7oPg07AINFchal5bFu68L3iUN6ub4JnrUsZoXBu+qZ3xPAWVgEESzSnUP IMkC3lSc+edxs0vUWR8uaXKMfZS/7+FJnLayWreFTeAKdL3euZk04mcwDgZRA7x22JU1H9ZESkQK nlZQYf8ZwlbM/O9x/mmhgeqIcMeaVr5MhSXBwWcwtl9MpplOmGoqpi8pifj66TqQuJAWzOaTRcjz e6ekofupn4o/lDwWKUrNvo6RgeNOWI1aKZxho60aV8lzbBkPZdYxnDPzmsNY4ooUHlBszQq0ifU6 iH9klO/T6iooUydGU9XGA8Rnp8R04O98bG7JPmQE+x0RU4ccWPyNqM2foSiI/tvONCyIOx2elxT1 LSKzpN2d2F88v+5De4T+P66kc6cz4H1VWXPeyT9nroWbEkwudvESJ2T7/+7XrvjI7oFm+b29pf7a RFIhLdjrj/IJjF0xxiWWPj7Sw0MoU+o5fVAiNTthMcb2KbbaVaQDtvsUoCJOBP3SpNI5jkFEPhOO ahlA/QnkFA4Hmz6Cv7XPHQMLz4j/oTlglJoi+3WrwVLdmXs3dzZ1BnEvqbF7YRYpkgb4wz6glHvA livWpySa7hZWumsjYLdvp6s/3eL/7ZFau2KCV0HYIucnzWp5oRXfhVEIX1PxKqRHrPA2IqkpMKcs 5GxDtbm6uqUvORQo+SnMynTgkrHpCK2QPVdfoMQZF2zu40ZJIWrT9JsIjZQAjpjUvkxQkA9IKnyd yONKfsTyLjmS6oVXMp8PQJJ8fou4hVXguFvDYTEjXa9pI8z+8a1xMaSTrPq+Y+TC0X0QO67XPtzK 0wIz9haKje39ADoEaEKNTGPtMaYEEQu+WVBNr3jk+CzLYjCixjVszRJoF7yEKCHXYGxnjvAJYf48 2s3hzyrddWP1/kfC0Inkp2lUuUqwtYlYckxiIAYFTSw2KzK1Oc1yj+1V2p6VKx4RE6Iz5k9BHaR/ VsiSRLo0xXr5ajPvK3/8uPp9y79tU46VnQ1wMe37yEuaIan3BZ8Q9rYFNkVqpLeCzGVxEKpXm6yC 6yn4x4D33FxObRc0EoPGKGmELF1kjw728mTyGBroc2lHXDkHbm7HdWwahE2YFH7zD2AHB12cNCgR lCZJN5L/ZkEh7KEDn0HZUPuiP3OVBZCBzZnj4Gl9z7tcbSHiSR7FfFGUBVckoFbWPz4V7XuWFTYu Ci7EA5XJtW3Dt8Vp3QMAPg8GJXhqZFTPevjVXysFQFuSJzVTigwdJzo3uzoC844+5N/Sl88Q8VtI QHkkt5FSkTL0bP1o4PTKs0Mlb0bH5d85iLWbR5oyE/y8GR1gvYaEoLkPrRBOrzm9LWoe/8htmYDy pniWiEwy7aD63duVCjsjGNqkD3iZ2ZpqxgFjaSsX/Kp0hxsm5/iUgMoOu8P5OD7y6SjyQhhIIPhj /4ER2dbYmLyztga26lbhcGLoUHA5sWhGsZFjR8EjbdCHkYq/aID42OGmVVdAYzt7OAu4h4t9z0Nr sVzgZGyS1Fqs5xbluSXLnhMV4lsPiBhz7MYpoRUHMNXXZxIkFn5RguHYxSZewdX/hQU5j5IXNkLh 1J6CSVRq2TTZyjXRM6QrpbfBEpDk0A9i+LQDBD3uA2y8Hqka3xlbwJIlTCCV6KWYn7PwqcSS7dB9 u6RznpoDCMUQ4/ti8K4B9abt/xOpAr/k4F1FlnIqCjfdPjceVO26DEU8on/ikmfnIwEGAzkXbVy5 HTPeeONutoXC7vQ2KKq0yFQuzUP5IxIcL+JXdoOKyXZWCJCyWHHjjXz8CfWxe7YUWCS29ZLlNmgv miZUGM3StGZYEsaoS0fAPuruS+Jz3vSlTZyTJYiWCFmjj8+v2fSvcZhzEHzTsk0WoA0eAKy2oeUV 0dnZ/Bz8hRRIZmEZG1K3nLIr+DjGeUSlecCGlN7mI2Ikj+dP3RMCUHVOQ8ZU3nCdmUjrLgDydU+u 3GGBS+lPK1QmJl7PTWsuh6TNzRoXTF3gT+CrxkaMmPQF9Chz7tTvOu3NE3ojdCi7/C7Rh3tNuwId 6Y8Q3QiFCDd3ySLZa4uV+qVmKoIZGzUCvtrjVtIlcpobf34RB+srUm6+bodviulE52c1BVkwNHHK BQtGOYYiLPQKXLlEyxXgMDXULYQCzQrqSGbzod6lYLtCufWITif5DvxPMWu45qwkHHR1D7cw3qSA 6G1tdrUKBhrf6KUE5IaBb91NxuKA8X4V3KogBfA7slYxglTQfPWlO3ph/UNhUXTaFO7wmeoSM6/O dGisTRsklqHvS4MQB47RZQsGrVJIO69DC934dPYP1XFAbFmOTSX82rPO0tQQxvT94uATj6ORwfBp 4R9bt8OJWvnKXGLrDByzdknZX/5nqGrsCrMQMIyqdE0qEcOqz4lm1v+riOPAdeMZq0UyfuvoL6he gb8M8Zfl2VROxIbmObNKp5SXJVGyp0AXyHkbct97bXh7G8nVnQmz9NZa2Qdxg/omiZfOPrxSZ7Am Nu2rTVgx2Yb0gF6lz2kxfpCq8ifPi/SjjcgD/smnPZ0ILxwwIXU3A/dAK+A4aIIDrTW8m2qrGybW vPXOdIyqNyD9x7zcO0kHoHUoiUp3Qlz442BuBL4bYQ4oElKvReqLNqzZYncByDVhA+JBG3U8pdXC rqYT01uA5Qwy00UmA3L6RpSf5J4uJPljxsi2QEUxobnaRr1Jd1w74SgHkLfD6gfdf7XKm1DukYm0 h64cAV4R5ZIHX+rIRI079j8tpyPR5KMYG2IY2nA+v95TLLG6IjxCAf0tiogJdxl4zOqjGzbjHuVG 1Zxx+DJ6Gk8GQj9Yy1pj0CUvQodz+DUGoWxt7mtceAMnjtb5JwhSFyHFxf81Grs6WCSGqyf7892R hjsT8dQ9sphAdmQoRX/zEcg8Z/KpQ5d6I1yIDl6Fgjtp7ySWfPEGj9SdhALVmk91ZSB57/k7MMkL 0Qp9kFeRwwbdrFPOjNT5tOoYZXgHvJdcfpc4ybw0Hsr4a7YLlp/vnPE2EBdgXvY4FEr7ayxeSIn5 WZ6UUjbhED46da9TKPiR5lScVYiNzg2koiy4LuyeyC66EkwMxHHGPnEu5+vXT/2eKdEBUsnfbmtN n9zDa/wiHrvBnvB6QIM/27il6s9sm5J8EwnNDInvOB/P+tcJ1W2p9284nujv0GRDUGzT4V358b63 YzqkKay49E+ovMDRM1brX3U0Og7N+d39LpmouQz+Jah3e/0pP6ZxQLJsuajJjKR6OZvZNX+vjWAp XkeFjA+K8vJljdZZR5IYr80WVVTbi6sYgRhf8pCZsojNOl4iJ4egUC/ibl3s8k7rFLjuE2br5sff ObkGFf6MODB124oJCyC2YBZ+irwFKi68W3RybcXBIMxmxYfVM7sR+XmugCX7cmdwR00rK9y+1x8T YrR5yeYulFJ4gubrMLP81cIJr0KnLe5opbbjwkG0Yhtj9AyOD2sMxSf6cwb74YOPN0yG7bee/BS9 hs4yZEoyu5aIFWvjmQNkfupiD9l0Sfwxyfz2tkECVp80OMzQY5TGP80RDyQdTI6CIIuppBfOSshd Bb9nw39li60/wi3y96bZtEl8YJr7cMhKeHYzHPYu3eLyDzbEZXSuHBo0WxAN3lew21qBGoTVPBIr v46kNmvn5JRwX7DQI7uEkO+fMqP2MvFKb5suSrtTzpaZFztxPwQAWGZYIdbMQkKyIfOrsSupJ4x5 v2pk0zC8vd3waIb0t1yqltg2ZdKTt6EWrBzhhFadbFX4g0rm1Ybgw4YcG/DUnjSe72L4m6dHJz2L bRnULCrM68aLCCYPV2GNolWbPvJK8xvsZCKD63ZpCiVILNHRvO4C7HsxJH9Bnvh9pONp5/g0WOPS LKW2wplg/Q65Ppug7jTtRIh7cI6mFknZ0MkhH2VALR5oP1UtISXl0bNsAGYtZSZxvOk68JwRY/NF fCpk8pQsPKmYmrDCgWgkto5IOhGz1g87GNvloQy/RLfx7JV6uFg5CYGovZ0dGdHz2pYL7RytcTnj SG6rrIf1LJ59/QZZhmTXdb0BhY/g6cNgwktfbJ8COQ9dk54s1w0kOy4yBmvLw+u126ECZi/qNBdQ 8rwWqbcejrUCSq23I9igOtPk8uy1rPNYV1wLU12eEn0NZDuqngaz3BD3ygAwju7wo6hrSZxb1cQI 1SnSMdbWq/nnMZlaP8cEegDlJ5aiBtwsYs50xo7Wq0Ra39830KmVZVP065ILAiegUNqdZom0X2fO OxygYDjmA8EO4+nTWUhBVtNnRqOdJZ/+bf2KWqDUmn3nTz9kMB2Z92fjCGPIecg9uJ3Krk2ps/DP Z7GO8O06sbRfWZXVCrk+DNDBI7gSXq2W7flj6xkJrczk2Bt1AObxGgY5F/8r+NnbZM2sQLiDcvo7 hBJ4b0jdE8pNdJ1l2u0m8drl3PsnEdrZ43acB0WuSAQOVawEb5GvsHXljN40D1AdDO2jBKjuidUu 84JhBsa7lHvXGRvf0Z63+JM1VhouPm21KJySv9ooGBedV0ZDg/oyU/giHg0RYQUOZU2dfhg9Jzjv PvmoPZwNCYhdSJDqwPKapRmnsLSCyNDq2VaCdrvh7XomPvyT93BwXQ8RkNRX8Pi0fAbMJgqzcwqR iqgJfzGbsHoWXH0NcyW2214SVdwIJCxopNjThxicXiwW6cU3t/g0SgDyV4lRa6nLEkOsQO5+uOw9 X2rpEMXKackDEvpkB0ntIdoP0U5qPEKz3eiLPuTTV/Y1L9b04OUbVyn6T3aoyheRUUXQ+ZTLzPRH 2vnoPVUSn4tqRL3yR28YPEVFCkpu8ZCSpW4lu3NzPPhNggnyo1ZQQ5yckqOVkJiIbIxSq5YJ7EYW BR8Kv7jm9yUx6U8UNLM/U1Gw4sZm7U9hO/BmpnIDEGGaEnBlT33UUKHR6ARrb8QluGntEsMOY8gA M+ZPfvHdnZDKRg6tG0Qpc6QHbOSLi/9Awh2B303p6uQNZwALzz0vIRvsHbjMmOPHG0xxdKA+iZyZ RDK7zw4+yYRoS55Hmpo+qxs0yq6U+hH9Gead9i43XWogGHwj8+usE3rIS5MPK4o3XbUFi0X7LfLV VW6vBu5O3Hu2Fsi4EC5YUFNsUcNNoP3OWkhMdDI/N59FP7lsa+Nm8vTBah2ni3Ea2mK0BZ1QW6Q5 +sXKsSSNhULjWv4fgIQuUo02S/PcVrZEOr0ktgzd/ky+vayxC6M4lzRIs8oxsnPByOaOghxCdI34 pXV1DgMZxNKWRo6WrE7KbHb70jdHsCntOZ4b+xojdKBMZnZ/BrWkMfRkYzwYHx3Ksn7gkhyr1NbN nxtjdMFa2S2zLxL0eD5XuwVIRVJ2NsOF1bQNbllvaQq1D1G8VwrxfXdsA/oraG6GOQDk19DEjvJG ICU1BtWnXOzfgC5IXCUMR+UUfUmrVGFqzjMP5EZ2oAbrDB/6OVmk1t9rmcJswrTis0ZR2ei6WXep XTsF/9Eh4UK1rH7LPBNyadBIeEq7ehogSe0rhIDQA5+KNNTP8NDK9/ScUz00d5cYu5Ko08lSs5VK aS7Eh/CUxwhjf+nK4NGdMrN13VCQbNpRUpRvVAjijXEivvj0+4qGgoQUqqPMnUq3+71yL8IvI0K2 2P0AFcwLgMR9TKEdEU0yEo0RS6mQnpVzIfRf5W5/K5GuxEYG4UfW1OD3QJodwBXBwI04Ns8W82Xh WQpkUhbDCkb9ODhPbG3P57N5qmtwkaklTlRCt/YRNC7olQQwK2fV9qeA/3aD6xEsKXm73VsPPBCG NeLNqI9MnfNiyPBUeIp9716QIzmEec6q6cfvoxhrhnnhnJo6jcc/xo/c9xcn+sXL2i7pRrux1dlF rloj7OPPSvg8QFJ7sNDzZehCivwe93ZlRH2jgN3X2OLv+z6/5NnaxydukSX9+Xq/LVAxoncpScoa KO/bT8ulwJachaOSMuWeqGgDiIvauT7AX1umIDLmoF59wER+IWjAhrkYDXKNPrAedMIp6qY0xaEl NoT2hK6iuSs6+09byYmaRPmFZrrcTQkXCUzPTpwUV8QxRra2+Oxi/qFGPSdEyDN2h4ER3RuqE5j9 U2hRSBn8T1LzAr0c5vZ3YqVH/XXzFjuBNqOlIcGtrDtZBSvqhC43k3HoPaKhIA3Fp5TqDghJNmbe S28IKDIIlN5hAqo/pTAdq42DI5hfb9SCEQUTU17S6RkfFX9vIfOEdYUfLAI1zRCwF720sfRXQ/4P fmMFF6d1Vy6gbIIDXdyRhU7PXhKJpzRuiB8zscoI33JOH2u4P8UqkFW8GVZa7IX6ms+KARoAwhy8 X7I80frQQ9TtJZJ7MIcyZ571tftf/sRgwAimi66zGjb/tvXjspQJ2EmjzkG6td7yBi+c0sj4kkMv L1h/sh5Tubbd+kkkpwa4F5EYEnvENbmVTW69nZlhTbPklhwpnF/Z0Da18/2ADD8GKz4Kufh25UYh j8MNXbX16dNwgHa61h5pRFdyTw1zhAMa/impby71OXBY9ukWx5aTWGdUD8UVGzFUEbGPxdgZl4WI F9q9TaAt/pHMJs9YTX/+DUYPxF91eSVxdjNzg41Dp6lCo/Bhcim6z/Yvax4v000zOTkDyQkiHZ0J LSA5ouYw0GE0Tqtmsc750LEU7x106/XiQ/FF+P9C51JtUMbXBR1IiLq+mOilbP5bZjT7IzGAgGpr UE6q+5CI5FpnU1HazptOv/rQxPQ4nN7epggivIeiBFM5r7e63ta3GTP0g/PILerUbm/tf+itrU/o /SBltiPgqD3lanS/n0taNClIzGdLh6D7I68MXTqXDTlDKYE/O2USGTMFjAUpdmmGsEZyiOxOMY0t Np62oxwrgLRAs+mquVO/jgyUZRxip9FN3B1esp4KX5Dlhm9MKzaPe9/FF1gAJiKzGNEftCBtKAUU qA6qJ8tQ8WzAIZj6JKAUGwgU/Pq+6p4vbE7Qb1z55OMXIvyV7AkUERxa/k7vzKW7pJfKvIHMs+eP Guh6ujoGjHSF/JVw2f19T9aiF1AUFiDUCH2jX4bSzQ17hsN7ZQ5kbH0IhInUMhA25ZCben1Ghvts OBaYjNZ5ZihU3cvbT/Kc2ID71qfNArxbB8fjUruivFN7mfNCEGiIH9N/KEihYqFKnxW5iIFSV8lt snKiluvNjskM2o9FqHci4agca3CvkdSMW7qMaVXeF0pIK3lqglmvi27HL+eWseiHgh8yOhinxqf0 ggBedhZH0RORDyJaEecpun11jce4HCfwRtaMtIK4Ez5FMnfR31UB25/O11O+3j13G2YKymhe8tKT 4RqRFyEeky3s3y6usuoSMn6kePTqNXzR249mK/gLmfR4umv87l/pVIXkdw4ULdneLpAG1GXZNe7d snRj1sC4jrRjw05Q1sb0eYVVqnlNQK+43dr743P1g9iY+6dpLCJztSVlRiUvMkLzh+tM+iqU1U7z +7CEbm7d8VJU/shNd03V7ezZIIcGf3FekAxFmCf8PnHuJoS3oauh5zrJYjfWYW0H84JQe2fnHjbO mmBVfcccvIGjFjBFHX0gglO+Weujzx1z8f+WZwlwcQk1ApYyzITDLywR/ZxSEO61iquWPx8pg+8q YIAweT19OUmPcwCYdr52q2gVr3Q4mqAVMsxlzSaDHmuQk8+IdwNiMwCLacjQzYcDljvxLVsAbGjl xF54zF8fVjQAzO0jgsr9JG0qILARJeeHMyXKthGAfK0AN4f7vDcI1ck9IWG12cwtsY8C1r2DpJ5e QH9GT0rYTyNSz8zDBn7LBBgE/eiZVuAcyr/E4nT+rfVWVyPrEx47b4qLBlDE1ZTzx9F9oRU7f2lM dVxx4fhNkuO/a0v8RdmGXDPbRnsK1BAAS2pRusYjb82FIdKuwgSwbt7L1DbnKoECkvQVSDckhgAS mTtXfr1PFDbBxqI3YbYO9HHKxg9fMrsWfBt597M0FuQc1Xg1vRwr6FpTq4x44mAuPt80c6LgYSDy PAUCNihNmhnR5YsOu0UV6xrogt+YwvnUhSSpu5NXwicc12mJDWMX3QLGi9SV//wZLMRfAo4UwwHL 1MR+4NWzgIKW/z7g7ZWoffWxr4Tyo1nN+AvNfAZV37AcIZOQ65sIlVDZ9YbPqJLrGuTi+l96zWEv 4k+JNPyxiTNtNScBWtPJFpU1Be3q2u9KViAvxaDcb31n1h0UBJhR39D8WZEvEERzsdEgawS3nQU9 3xivKAjBGVPvdacU9nmnX2RyjSI+EvfEyERxJV2QDNaWWYPnIMb3bkOA56UuqV3OyMSynYkBItUl dQFUJ1zF1aD4r6JKLsAXa8hKLpNMHRUys+YQp+7ZGeXQcQ9L0074tuAsDql9C2K5stNYQkPWc6IN cCKzgDN5GhhEbgoQFS1dB3JTHpCuCIXHkCSWoVhP2jAAbs2h9MMJ+KUZou2iXP0nDgNj0mwjjL4m MMvzqEsLvfuyMLO9oTcytvSoXrTbC/RlL95SIe4+74YVl+OF94efa0PmURsdK6pxPRDp7tQDpyqC 9+EFRMlgoaG4HES4lnMMh9W95La11GksDTwwsPBD40IjpXcVWQpBWzewHrN1SSLCgTgDQXpqIupb o3x++d80I477fQY2Zowbau/dfGePqzcN6m0QxlCJxh2JTGQ7uz502wjK7NRu5JmN/6IkmW7n1tlZ 7EV0cJNOa3FQiMMDYY3aeoCUOFOHsdTOHsPT87ieMD6ZMFQmihbZhRI1ii0nFb8bXE1Hn9iqPyF9 jG1Nxu9+yzns73iGOqe1fPDBg0hm1JgcmNTonlwMKWX24PIAqHibrJq/T69aXDtXSqp0Z/AmrKJ0 0g9AZPWtWH/B8J25AGlHzy2U2VAnlzyQ4+3kNYNlY4eF9tI7/8Ntf6P0EoUKCknmc9RXna6ivxNP qHv+zubHTU73sK+W160IgTgPOzbh8vp3qZwIqboBDspfvJJQLa0oKDqcZ+Y7QgQpvOGFIdQhZEDH STIo8DWN94wrkmmmVrwFjMaov6NVMtGTJGU7DKeXp1L52zM1Bz/95zKWLMhFSSc7k6ddQKedZE++ 0GkCNvvPx/Vb+P+AEEaN7JZUwRHk/y96JGF+hOtWgGJwQcS7XtKVgc+YwwQ5dFAsX3L09OYMd9b2 HkxqEnxrp9oBtI2wEvbwe/pexX1cOAuAire4Chlzc/IVcFbK4en2cFzxHDCKyI3WUfdH5Vk3YDqO tc6bIvzNygOTlwaqU6+uMEYsM1xL7HF1kXbEGmcurpaLH0i6KqdTANVaWlYHufaZFLv6lSB0O9PV urwvhyhUPsGKr38nKC8KzK7My0T08IG6oDNFFHqGyXmfo9sWvKRK2J/P4ii87hNa9XwCcLb+v1gH FbUIXQBCO3FHTy84ApVsCKEwL/7yp5DjYCfFVch0qpnjaGpu+2ot/xSk4h0fPtdWNedTLjYF3iqY IfADSF8o/xOf5OIAen0mmN0fyzchl6Y88mjWbyFPrQ5BQ4ysuly6zLCtVtMsSOZ8TmOYW0HLR/Ru CBvMQr+/MmAhnQKBFjV8YwltulXXeH1K+AY2VLYHEGxyMeMAUo/nFhD67gh3kGhxWwKT4nJ2RwcC IkvY6rIQrkR1hMayDa8DoGqYoOx7nrF5hzm/WtQoQBReJOiAZ/D8YYM7DUW/7X9A4PJijxbV7PUK jf+VtjsfVKhco7AFhP41tJBT3EiujXlF9lxZc2DfdUoGavBKOoDJR++8495CDR2fBYDTybpJzGLL mitOJtZMq6DowdpdO9LushTtfNU/GI3PUmyNgdpxG1kl3hrHK1HnT/YMq3UDKQ6YIEXmMF8QnjVY dG4UvVIrE20S+qmlgrgEiRjY2gW2j/aMCf2hIWrSqWV7Hc6X6v9xsYDHXFpdbc719WN4PBvDnZmA FdaxNP4T5DPqzkKSHILjhLeRqAkUGl86EYaifgmCF8uC3KE6GedEhIzk4iQOjdHzBuD0oEaLPnpR z0iJmvudPu4yIbVTTCUFIRd+hSVsZY1ZmLUmaOmvNi3y91r3dtVGsSK5M/x7a99WRrwZS1BZi6ox XioxQqB5xRqOMTCwVpt/ot4i4OiQJkFfYtxDzLY7hveNXhS+EiM3HXcsb3ejVvj+7qAKtR/Hudny yN2yCbA6ZK6yGtdfgz7nRxJQJJevEFv1UIGMeYqfU5cdyZiyLAiBIHwJzRn1aByGT0VRH24z1A7F YzG/3CRaxN6XNBHRQllazkMti4pA/+gTKofJFFzbw7ohdZDMgMZ94exJpapf1tno2cXftGtw63yK fIQKxDb2B1jWVRfu9CKS9e20JuVaFNnouft9kAo4yuMo6kim+82hh2iz/Cs5KtcLwCGOXRqaD7ed 4/os2ZxFIyu+/trhdobct89tDEO3atHfCWH/40wVI/6XwxmgXgENhIH8M8X6+TEd7uZr57vN0Jgq heNDqe1bEAl3fIi2GlhDrTcQvzV3L/1W9n1/GpsORicbzgm5ekKEYdiREv8fuRwt0QHfEq514huj QYnnt50QeOmwnsDn3KIvFbKkQ5vLBYEjg+SwgF/8g21CCiqBMsGVQEQiBdTfkKdCTtL1QO88KKFe RSdsoN5P1mDJ4WyQ/4Od+HBeUpGTaTvufZOHGB5+El+FqMCrPYElD6PbVkdzJ1QxUpHLG/Ohouek uoyTzuCiEWwCUvWYDknuOaisazMgV92aOAc4VxJo6UrJG8F7igP4USpBm0gtM3ml8Y+QndTlJISI yGFv3IjyUTaN9k3eUtXM8anW/uLs7RdOlnRWpV7g3crLqH1Let0BN02GFtYXB8ZntozQroIgtxNn yxJBtzjhp5xKhVaQ3nDNHsivem7dzuuwaq9Ua69H4K/r3DrRluCT2A9ksPoPvFc8lj1fC+QfrwSt jg8G5bwlGMgCPf+J6YP92IBHcSkdDyBr33umNO2i/B+WRHjGWVCbveKYwNQSjbz9s1lJXe3m1H+W 4uGfoj9HfIoNCtA618WkJ/TsaoYDhAyCFyiXJGODrWLCRBYkKW0hw35resOlzsIhT+hdQretyxPi CDrA0K0c6qZPxRWlgbQOQKoX904fkswCAyp0ptk2EdL/645jxlY291oy0cx1mRetTyuyP/BBUxzU LND3InC7xZfPV4Xat2cfvXXmJbgyNbusdnLL0AHpIQzS2QzuPqheHOZhGLoG1U1XOXIiVA4ivdOz JlbPLDX4qHcntXRCG5dfWO3S4UgJ4RQKrv92Rop/Y4984Y8GLokZvnndcwIm19P/nZC+iK+GelMx xZFd7iDdkiwwrO6SjQInkZaJBDGqOL8npkgXghJkB81TaaEM0qN8Ant9EFoZQPjgDDFVCLCPA/H4 pAXJJfaVJQzJQO8PBAFNF70tXKkj4BA2ZGpTRuogOsW9hQRfwKBBQbli59+YCRBnPOAvxDV6aq7x 4LNQPyi4hdSPy7j09MCUEzCVmUGq+mfDlmb7D5vLTWxtXQVOFqk26+PqdljOJpbQ7vFuVoB+xtrh bTroGnodbSSZiy9IHalAL9ZeXbRMyQ12Tx6Y10SH0s8iZUsf/15P6CEmbKFxLQEDvcHfdi/cr2sB IwUc7Jb0kdN1WjW6BIkjlst0BhvAnrME2o8PvCaJtmfhnE+hLHJytewmnbaJy1lTSZQMFioGE4GT tuZ0ZRHkHY4MwfWxxlerN+AoMN1KynRzB2udG927fzwDX1da4h5yoGaNhcmkbp8YF0+pGkUymBJQ kYXqBhptTI2bBDzxzDBS2R18U97ZEY4066Gx595McXpKQ+wrtCGkEnA+Q8SXWR6V/PMpo7V1G1cA AiLFgd4bYPUaAEisOuJC/QYHloOwHnv8LA+gYQURwgS5NUArsofofR5o53l8UgX7rEuyrQpUT7e1 O7UZctzp3Ldx7XHdSP7PFfqQ/g6LRPQKqCissUimk8rS7T189q5xRAzDp28ckYy2nYkGdyxHuidn y02LQq8XasxgcPbF4LTQ6O0HcNNrqjfB9faa06mRuCNfmC5QC9IBrvjwuVOazy6ybTZZepaWKc9G 7y43lRDyuQ6Kos0Z2PXckVTZps/OxC7+MBjg1JhOaASnrAoPb1S8AKEh00b7ukNf6x/ZOuRRe5pU ZLh9q05mw4ijSc1axt9RybS+ET+oBd+ZWGEB3xrAigWIesSwcB3hEiRZcrmkD8GcD2cWGN807W87 I3GhoieqoWpgUaNihgsnkgbiC+EE0ODbkMhksG+DkNaN6LtIRXQA/6mutqbFUNAg3RhBE9OMVJpJ P5kaPlw5hGu9UlBHNOD+aYhFNSwkm/7MamDHcfw10+7vWEfprjeHRhg0PDy3mbHE+AvdAVE84eYL ElvSo3NMu4BcOo8gm4iIAsAoRDR0RKmAtldVHwIRgFsOtARj3gD3ixJ0cBkYUQ2mKOrr5kSUptmH W81nf5a93y3gAcVH8n3I116bpdd7BqQBkZnabMq0sPaYMdhPjnFM2rOT5+FEqADUHJLpO+zvSfuO EwsAeoAn8yqxxZtw/bsrvZbCrqn1Z2BahwVEnPNRhJG2kkZr6bstOC2wqugYmjaWVV26UMwmE79C 6iV6Z7QdJwwsUWPUc9Kh4Hf322SJ/ui1uoy/Ru5Vqj7eayoiOJKe2Za2xGYeR8SSSKXoNE1mlEGa /Zwk2eZkT+vCJHzwMLVA0sCMzL5vd7yD8N3Fj5P6WFMgXV3683YZzm4Wa/Vk/GpOtdtyWFW3eQ/c OxTWax36kUt4Q7V0yzJcEEHAoWFh/Atbznx19oyioZFF/S+ujEDSuJc+GZYWtLnAi9rQDqstHlM9 ewcq0R/GYPMgQfIJF/eSOLqQALUMWdCC06ubYYspQB0gBZ6IDFFS9MVLvPr6XhtUuD1tRTC0sJ9+ VeMRbvbFBfKXfZryfLCpL5vKstu7rMfmFOF9AL8vIuC31CLzKkxB3px9HqpuCGsrQUfiVyVKK4xe E1a3K4c7WoaybWl4HfpNC4CyMxIvb8+O3mTdWsYr3u9lO5EwpEAiyg53KfKIvMr33O1GU78CRIxQ YNhfHO0hfCMhGHmFM7XGb1+yyiVPo4fi0ybN0h+ld0DD542eWxTVflLU94yxF/mcrZYED7RlXLOS yOyYIyzuSgaDxD6py6WilnUTkbtoNr4mp+L2wAHHOychj6nxgZmyFep34YTlSFUc2IQAkODM4tUN +nMLi7CpZyYtsysoyxegZoBQPNXes9qrQ3rBTckdkUrqn1SBsVFqOafFOAG7arYLATJcPQ8Iib3J qdDW2MYj54mpRsuww7in5JfbXJofgP7wghBLd/bBPMIIFjeI8b4Nv03UthpKvgVcTEeuM/MC0YSm WbQ0DqH8rqELCpc3r9Iv/A/DXBBrLejAH2WGln5n0+/Qqs+RS0+jhPuMrC7QE0cFOKjSoUi2+5rH xax3jrDrXQK3Z8WK6bq0TFX8enYb+4MRXxQHU6zTfMIirrsoQ/lTgc16FLvpMDuFvyF8LiKkiEhe +WUacJgVShH5c0GFBbjBJu6cq/anK/Ts+jIlvrU/Sp5pfIiV9I2V6ZKSOS6dUJ56F2I/8TwiHRk7 lZ0hsOmzbBWUqE0TD9Xra2K+5zyePLlzum0tQ9VwRucr0S3jpZ5NcSB+oRADOdKeFBcqVb8NmgSs uh73kdePbApCmW1POjuTsKpFiW0rx/RYTPwqxvQNUWQkU5ehKuipIiCu5JKSjfmTgM5LqccP9Yfe jb/fN1R7sVMD6B3/66HEwaq9BqD1E4mnloabhmpQkVjzHOL2ftp2qhKp0PYWeJ4mwjwhY3hFLZdG MqBSu1a/XEWTVnCR5KsEfl3dDINcmryvzwxbR1w1b5ySRaoFC0iR9ge9gjX6EnjPTgtYH9jeBdTg V4kdoRsBkPW9ZfAFbPEYaXz0LBaZT3DXbAM98vpvLK2hZoti+F1+QxdK5s2Oq0C/5cs2OnDlMom4 KJnaUIeEl3JnMTCZ/ZeH3pG9hLFkYAdO4+PjXbH2SfsfnT7RTWCdB5857WkESIwx4d0VFu7jOL/N 7uplZ7prGHZugCZ3Ianu840nA/Yd92YTAH9h0pinH4a4Vlxb01R65jxVbCclnLzGrubBdkqEvlej BFrAS1aEIDMG7esQGVgwAGysLCdaKNU89YhXbEoCx+rFpL99UmBjPhxPmhQoHZofHhXVH16280Au aigaIo73GQi17R6sjE/B5WKeZ+8hRqQkoyxrp+kha26Bj2v/2tvb7TABnEApWCek4/VEYlyiy3vV wo03zpWyxjCFlGHrTVVm0j60PEVS6iQ5XQHpycAwfHxuR/EWH38q2jBvtjed5tx9WtsgZAUBgXsi UcqFuz18GTeslFvHS9phsx1+p2cqh6mITZp53tHNZH6253QfdHV8w8H+wcmlhN3G7UbRS2DoqNtq 6aLRE+m+dj9++4aGyhrMlYA+34/wnWXkyv6aeuyO4nJlR59DD3JIjMhUm3ukdb0TGJXEr4dhqggu Yzt2gVOC6/wl6Dr1WrcydhQv2Q0dAytKUFLAP6YyrlHK+D42F0p4MsdDcD9JjKJXj6SymOVbdIrJ Ea94M0TQv0KQ3AfSZfEdJiNlIfqTHqPj7H+h4oXmw2iurr8pnActd56OCnR399DfJ3r1RcFxINgf y4xiBlZA9O6y/kc87+kheV5mS9hZwShQBqYa+6JncsDZA1bf3g9FvsYhDavNqB8xcvlIoWnxKJIu VkRU98krTLJu9Sf+NVpRCWdKkg6aFTGPE8PyqrBU9rh8MnspLEOJ3qPlnmPE82M0dziYAeDoUiZX HW21HLw7hda7pck5pOMUKfJydxr7fsus1Gm7NJBr5FgOBPiZlQ0zmNDkvkwhflPgk1FOjVzY1nYh PpnWOQXevIahle2iDwUL7E8jPrchklug72874M9PzEN9laws0RZm5jbiztqy3/kABr0ovCOxTIud D2LS1p/4wKOXyLX1gsgAoEWpH7s1xErZ0IzEK3t6d0Rcbc8lRn2Xe7qQ15dh0nd6GHaNNvxxQMBB 7M4DN2td7yjZ3IjuWKog89+S5yNMDiLz7TT3aleu0JNe7hEHjwI4q+wilF+eJQDyJEQue2cd/1WR KVh1E+fpJ1fonmUxaFJLyXr+ZCHNod16kx5Enc9bXSGcnTv0SDOg07U0wwpqyFJXL4Flc/+HmvD5 Oh6I6rNb48K2ivp6D4G0g0iTNPjnXEPhChuvlz9lBXMWCX5wzTGTJSkUU6nv7odYpcFfWOxwcTFK wXiq0wXQ4omgHJHLGW1vJ7J70RWErhNwpBzy1FHm0nHvn9Fk93EBU8YOc3Q6f4b1/iGs4kdctTHx bKlWuqOHqvRUAtImEHa20spFF42SRvqTJpG7726OoXrSCrVoHlxueIEb1R211a6szxpNxU4UmyIK RHewN2h6cnXUkXDT4YgwylHW6YKtH6HBWghMb2KJ8rgm2+Ntoe9U81uAgK8H+uD3S4f9YF08mLKx /4eSq8gbaP4gSIiO/qveXhvceEImthsy0tYhUhT7hPwMubEeHld5YDI9TXXsWOwQrCvv5tPX7ZHa anizRUE5iDhw9fvw/20mf/3uXqnkcgDp117uXubIsH50YiY3VK5pf8KOPQpCGOhV2T40MbaB4Bg0 codnViRyaSljZY729kQg0tCc8S30BMM/4Ay+17z8vBYfkK+R03fhjmPU5K69u/rAs42o4MHh+/CE nGreiPzkLvrkOxByVl4ZPrSXyMLfuBb1fVa4iGvtj0+4v7YFY0VYQ4MB5mcQrJE9fLgAg0IJg+xi gvY46spzxN9zJCDYYhzKglYK+qLcxANbbmeu27X5bzKIga/LeS6iqThCpCjJ8+HkGf33wEUODyg4 gZpLiDGwhPUlfOSl1vi0ra2QLrsxpalK7Nydb8fZHAZUa5gvd60vqLktXq9EUO4vMOY+XDQwl41z IdC0cU+YVGShyW8zFQPEVKwDyXvhNPDsHWL44wthrI8cYgxvp4BBkTWB1cUORG46fQ5H+fmY07QA mjxaQF5XbLkDTvcWjYmwOulrHd58aMd/x+gCsnOKxYP/sdQ4rsFWwjO9Fq9bfQEqvdmEJTJjCZI9 v/quYbk6UwGaVXnb1LGMVr5zGhEFA/if6vd97TAtKPWdo8seFNXKUloqG0WTL/I1fZW5O4xn3x6o RQkv/JrHoGmNmQ6c8ECJ+37VAAYaLU6E/PrH68m5wWzcftJv7hv9Tj0rwRhK1WCCHm+9dyQPzA7D B6Z+yoaGMBzXtmaC1K2OCb3g4mQdIpOeTFdGqMPQFl6X6gltbMh0TCCbCmdtH07DyHA/cUrwmVOJ yvtHa3nLBDxQcGx3LpUP7RiDxevm7G6ql23/Hh42pl6aTZv50f083IUoJkucPd83Y2ex5oFJFzsU mRCvMe8PaNS2WYGzGYpmV0KjpzXbYmI9YDL54NZTz9SAhtAgHcQVSsKlw9GRpacsNCH59sf//tLJ gqEN2Q+ce6Xqg39ZOt348faW1Zvf4cjVZVF5hmLSyJasXaG/nas2TnXgI6p3zZUczLlQwnoB7h4L gsPTAr2f2/Us3LZ7MGsn9ccjNv1vv3vdgMSzvZA2JnZN4h7oW05kmrRXfcREcGT56V+K4QhQr+vu z14PefJijqtJ9BHT3q9edPD59Xq57kmfClKwAlyNbOJAy75shzhy7NTn6lackmcJRETxt6DJ7hhe koT1LN6k2cLWyziIcsZFSBSV2bExLT8Hbjf9Uk4jH9BLSQ1isGg7kxcqiPCNqnZODsHeQ56gFe35 Vv2aRa/SxwIQMafFPIQIGBrXe9ZSYpz3uWlanKs7lrEY++alHOoPh0/XIeWe1RJ3SNNekC4Q4sth bEBofRp1XUidBItcXVIXGt0CA/SpS5ehkJJqBbXrI1VLAMtoNkxQ34svOEgR/zeM+Nk+OcUqk2g1 dW2mnsWv5gAHJgTGsP2iQ1DH8/5hLzPd5uJ8iQZzIy9a2EcRlZhPnUiDik63OEmCHPpWR3jQshyW r20Uz/no66NMFDf6OA8M28ejqebzCBPUAIXfRQUxAIj6jayAUABkQXw1s5MJ9hGwlNYFCVtC/kvX NMPbeOTpIz+9C02xGiFEfTrBQnQig10QSyNXbn36hXfql6RJRs+AComJ3xu9WT7c9CeszaDJryJl Lx53c7I1VUiAEJU0pBo85L/AhRMjFcvf0jDEk7zMF2myyju9L0GL4BM8E36r2QQHX2pFB0y1BL9o trK0Iuvx076TGTqiKfZRbyocEFgNRD3x4/5kNxZWVGMRbNqJ4riZr5V4/8NsZA/Fc4oW8sIEHVpH YPLrT1I0pydR03zjxnaGrC0Pzgr8ELbC+VVzLT/16z2AANyY7xGlf670KTLLF8UnZv0i/v2bdv8k 7HHimW4x6dIJVxxiolSqdW/HAGpoZG68N/phc8F5gCo9+Jq5bObWAijhAoRUFDualKHoFnIicjAR Ft0lNS6mdyFgCGnU0OYqrAdqKqSTCOTseHpWo5z+x1h8L5tAwEEYIKcnHV1knlm9ZMC10n+qLfj6 67mB6agrNEtp94Ra9eD7bi4lRHB3J17N7OtpBLB3kGvbashtBlpi9crM1iEOSJOaOTkpHdIhvDhB lwWhKXQkbtEjyqCUvZvDTUm/RZtRReC+Tj4tLbffsZ7njrX33L7ElCBHIlzmcvi9ZDgxSgXAa+Vb H8JYhHwZdrv6Q4rrnss2kbCfFEjhrZwGzLQiMoHVvzVznLzPoBW7durTZL7uPqZzlu8vruEwWIVS q0WnaknqVDmdOBjN05nELAkII77AFbKKpAgzz+7QKusbtX+9NhKncVWW1Lbk2thByb6eCHhC+2qN oXpx3Fr+vbOZhpGNF6nV5qcwsza6yDM4pep73Iu3CHdKQtGHVNDUhUmDnkC8uh4olV28ADvej7gM YN/LTLRiSKSuIRH8Lqq+wurVDve+8DDkFAIraLgSyLDJexFlygLCxF6JP8rJ6w39dlLqLHGmufB3 vkgc1zjqSxxxT26/ofT7UR0JNfyUadZiOaPP5WoYH4XnOBZwJudoHZoOY2LAH6HGz9oRXPCprU1I CoDy/7UNiM7iocAq28qtrVZJF3wjnd7zjhqPkgwUnvGVRsQOBwa7mQklBEVZ5HEE6o7V2FArRI9h tlqANMuJY6qWVpm7477v3uKgl5qq6r8wmaKQg8zDR35QJJFbKqERWeUkN6poWHGVLclcwsfxja8h LEcDPKUBZ4YcpONTWIMkNAyKxyBpPNxtjNumXwIWEwtVjDmcq3omkhdxPUt5/6eQOG2tr5zLbuRY BFXkcC69Fpa4uBHs7okSl2+y5EfPWPE/igDe80XJNjG89XS+DVrSoefGAGJcTWTaUctDpMjLANBR DQ2dUur8mstDdBU0clpMgVihCxsRq7Qj0P1DpaYTSM64tC55DpHia5KwbsKtj28S2y6hBvN7c2Ib kxK7IVL9SGqGbeP/OsnCpC0ajihsyOJvJJnCp1CXZ3idq4NafTH6FCIqtgUAqlCaNDel7+dY2ppG aDHzygaI7TKudyumZeoX3wAp8gjs2vsZj6ebVWjb54J+0yOP/GKYZ67qByF0LDG+BTKVXbAXscmY DwDKTgsGgt1/PlKvQ0/8UJcQujf4RYvT66u9wHbxp2/C0NDfaN7umB2b0qimvG6U2EzBO0JGTduT VKPsTWev5lBz6poSXJHqNsGvlQU/9a3WxqaFuL0TRFT82Ffaf0ZNOq/QCLwm0WiTKVPnbV05CaRZ nvFz6+2i/ouEHOE0x2n19Vvac/RZETaQs+wdsKpLmU9CQugna1YkgBF4AvYZDrmd5JJ+gtS/CLv+ LvPSzCSHU+LZnLMzLM1Y8ZyBPdy/+X0x83/C4l08fAq16MsBq0pP1sWZOpBONRkptmsWdbqGtYd/ rH8iLcv5+1lqWeZkI4fmDKYibm4h5b/yb7BJD0Pzd0+aQrONDNUjP4VsBbAVhTaZ7xQq6HnCin17 s3qUwhzZFBLwOoCkJ/UvNVIUdinyAmhMsRSTNQYfo4+WpovUfHxhrQ4C0yHQy6k9jHCLFHi6qaFN VUz6B+SWOUqXsvV243jkEHhimJysMwNyYI6oijd/HNB9wZCWuawtRylTqL5U7hUaaKc1XgRoVe4X pHMan9Ur6kM2aJhN/bav+Bu9i+KEHn/ADxP/qsEF1qNyyMP7qgq4N6E81J9LcwDmM+64mSRgihoX VkGNs3EsryfuIPRZITdcd+IWjcg2viFz9c7/N3LUHIVxrSS/a+nUfbkewmDUOlb55hPeUgWj0Ky2 kjaO1rVQNgm2+DIWtKvy2pAhH2WgtCqz9iQjEmhYqGTinHPRZ6G6XeQCyIW3DboUVqrFwCZ7aIvp u6IKC1q7IB3fVEfMCy1iebD2c6OJXy+g9Tacl4sHKIMVXMcL4/3GhjgJ0MnqCb+c/KnSibSr3PgJ DGkUILDOsdRN2NcpRKDLEDeGzCi0UYYZW12SzFNglY36UzJeEhp+lP3HvgM2iAMC0shvI6oPZwJ4 Up2Ft1d92pqAl0A6lO+CfqeFGPMUvyrYhQ8mSkl57FbA4/O8i9Cpr8/AhibWZr68gD6OYPjOpre7 mSkfpFHiKP0x2LpVM3j5KBYUMkuJ7vKlB1akAGonUpsk/gB7SE4tgDnKHf+fuI/1YK8EsD5CUF5K qkUSArN6mxpd2vYgUN6ChzRp3Iiviq63oqVddTqqRGXuE2jTlpVjmbKu8kNOi/RBy9mBvSRZ2iTm nS6LIZWQNShtF7uygvVB1N8wky0wRW+zkKgolspd0oz1V8wURugZ5pTD+xq0FoPWnIEvuXgUKS45 POxpE71x+/l3oAjOPwIjd2JHVDGgNXw5DW6737m2AKgY5nBMAj4xo7zWBdETzUXEnF1R/D/c5XJB ESYI6pW78JSiqVMq6PGwM+53XOGyrpTOryJyAScEaUwDXFZN6To9Xuah5E7vH3lLP3M2o0MLE1Db rFHSnj8SZ87VvPLqmhdqeXBaTeVwQQoF/pcDegYKCpY3wawMEyiHuA+JsJOnGdganS28ZfABg3Jc gMNbTJ0BnDZrhm6GK6iD8rjmWedpTmoZhCF9KlUztnblms6lj9aYy9K7dEW+WiJ3f/TbgewUMqUd gCo+BSRKpkrDBfT3UOxpOIZDE5Uh/CIOJCDYLZeOWiB0hY/8I9Kh3Ro4F7wKUZ489prOvdtAckND H1h666tNY2Lq7wnLmqia/hESAbMyfjHB+J113C//z+rY4otwYUr80bMBqbKsxQJdx5bsxh2nJ9hT EhIgWL9URTI9pgdgInJY1MMu7gHyNMT824AnjjdzGgcG75ZvyS/hKyz1Vb8A/GaDOp5XFnexKfX1 7P9mArDb+LUDAiPBE6rON/4q+RKtTWa3gaPOAmV7Qd4SlXaeTVtuUDP0PaqI1db1HO2BdhMQfgo7 tv9IN6gPO9NdWRKmj8IqnlCLeVnpizso/lhzw8+TeqFb2eVY5qWXPPIQVn1LzgyJ8v1YFvw4m8nZ J4QwWttidaCxQ/Gm9fPXpFgho2AMhyi8w3XbZDHijyzRzdiEg6VkrgQ+8ao6iJdmoCoctBYEToeH tEUTGiJKfJgSvVKRl0vhgttVB7RVzenYn5xX4K5lN9lun4GIpX8QsGxlJmnB9mzQZWcMKZp+IYGt PVPxccYNWzzjn80B4nxu0h/j9azU/aOFN08i0NsQstJ8IBZ/h4+pHx4EuW6JGVUAyoOQYnofb+vX lVcKV93uj5k4d8Wt229GEpXVaeuVXuXRFGUTrSzAeQNhlNMB8XzbYV+5lEpbaRzB8segyuOjeZxY I1+Uk56h3UvVl7PEfZrrChkUVJjZmRH3YkdSsDvXRDfbSJNu2mnwME7j6qxh2CHk/RmFyYnALfy7 qW+vGSdeE3uHHEAEZc2qj9zGuwkAFXts4qNnpb5a3ULcWG0YSCH1Ip0P5nQV+1UCfwX1v8E1p9lR FWqD0s6FUBK+E+n8pFkuMXVPDTfvDMo5iiZyGTIKg/nf8Aa6CcH0qiVJXGhNy7hMmpapbXtyEK/7 WiWm3s2AMpm92uSrtLQAFJQrliMfzcvSGYt5H/hMQO66OokFQlEe8uGUelc3zQm6X9VTh+WTXbQx G3u0OwcX4LcHPWHXDmngmx8YRt8Df7z1AetHhTQKfrMpIW9cCL0RgJkZ0QhNdIhB4rU7F4yhfIEV 8fFrr3z6NxTEhtU+6zb79vADkVMSN+w7rE+h2xCKso6JfsbmHRhh1yIvRHj5kRnp7USTNOv1+osQ LCP3U4sxP1wDg4/LZYeMN0pmoTTapNbdMQ7XzY6hD1UO7xfreIdnpwBBGMWivNtggD0UiuULx+dH BegD0hd1gXo9cTiPVL0Ct3tgfeQ+R7TdQEQTyF/toZPweIgQ9FYmeC8I9rFWXLAKFGLdqSho29p+ 9A0zSGve+sTLf7tLIn9GznZydVuUMwm7nar/Z6NfbM20Bcow86kWKSAGHibJFDr76TAKFTb6tbtT 9oXs+hqw8P0piioDx7+zwa5JDBw6br03X/BK+iX3DdJnM+fIM8xkba4uLldapNnpbkQ/EMF4EtXX Gz9QvGYD0mPxSKckuwH4mCAi5CjKp02MHFZFplz8PnHPf/wANQ+O2p5E6h3hkjQ/c/5h2D/f+DLw UT9hflHbS6hIUSRvVoM68Fskp0uWVrqQHVZBM0y+nrx6CPq8f6hMVlsYtQ9+DQ6uAgh2d9z73Rw5 tkr+Jy+KExgwDPAf5YIc0GaqjtrCtaoFGuFgyskZGgz2R3mgLpH+QrFNEZe9wghu2OHOWgidabeQ EWrm527z6KGnb8sMX/jszOSmJSloed36jo5c+sZOubybB2THSOlRsNdDLw0jp3ZNQDAi1V+tpiiL TdorlhX0xDstRMUTrHT5BQWmwS+UBASFxMwjTPvf4yksn1Z2zGJbfR4SJ/yTJv35BXT7uCK3iLUN dbMWQm3N6CvFBD38W691EKUFw89VO78LZ8PeyLF0d+8xRiGLvRG9r5IrokrOoqTtDf6iPXrNc/uA luav3zDqtYdXR6ircXqJwPP5l1UARX9f30V+7SzFOpPEP8nj4fyaxvYwDpmqu+f0YTNsY3cwJxNB lFg0S5eBMcuTdTZDOaKquHak6fL8wC/EAZ86CXO9ZqA9An9Uv1OhoIuhQMDdWinfHzS6HS5TU0f3 1cER06YGlXGfPA0prhFXgenrZ6uCNqU3Nule0GHvruy3qIRRraeYkNBwRddq5jbRioxCSwL/ZtoW zSUlIdoYurFRq09kp5rMfgQAgJuPJGPowP64Cew7HaunnCi2JvHokvUiBm24GjqbXZoPMTz0jO5q 148rFpty/3ACycRifj41kVEYlV71vzOXrjV7vnw7yGjHb56zcMMYH76zMNVVqAoU3Dh8cAUdiOA4 tZ7EQDY+skXgIrAkhndcYAYSqf5OwLGnd9j0bNvWc8wYiqa3V9u/J5zSPmWZrgVplYpd3r7kgGNC +bOo5445zfS6Rw3K+H5v05czces5zBwBoguxDAoc5bb3HJF714ASN7INin/KJTPpMFoa+2zwy4/M hdUwdYoQxW2LsOd7cSu08nkU8A5yQ7xSqRhlwnfVI/6AgVWmII0AbngN53bCEBwOXwlzE5qLUs64 eUZhthsVgA0QtwsVZXXnsORr7fy5QUOs4G3rMkcANG0xLxdo4jliJqPpJQFDdPmOHulI73Yn/Z4M OTuuylqjkapiz+jYKfWxWGwcKgGrAy2+AzMylU3achuYRl5Wrsl5PVSaVvjgVJexPr2ak8XG1v1V m3/g97OMbCztzjTqVIJHXca9fDdvVXy3sdDNRj5bAo2mBeB5XJ+cSMOJjBC9ItZEGDCxRJSn2Rkj OOpSp8sDZaURsl4SVr/E/YFDqYe5HZkpHUaoHP0JvOddAhMkiTLrgikSkXr0MIQjr8Oc3leuWWuh ozsWFij6/ElWp6pPPU5YQZLENYDyN4hMjd489Gc7N3TPRbvxHEkG5wbZDhVWrdlNN0oK4LFIreLS kJur4+VxtLEqXJH/crYNN8vYoW6tOBKe/s99vDhLJ4wV0d/X1U4jmOoZMu6EFzk2RCTXgBmAnTLz 5GbpXfx3ryZzGirWZW5UBD57hbswWNadxBPj6luAPjxUonOi2j0zx34E36AD9A2tI2W09zmA8MPD PBRso45VnvGgmlH25Nivx2hoFvHEPQOLltKHKnoStbXjhZnmu8ajA4PNQingeywJ/c73I+VpRPcX xokq6U9XGmmfvRPZSZlsLTk9CMHZ0HnpsnGgUa6sNBSpls8MUQc4u4lNbJ88sy8XZoOVLCFajCit 78UsXRasXFgu7cw47HhFrABay0Hbz0Z7/rCAVvsuO21fptKl5rEkOPWbUn3mfkfAXRBNQmVSylN6 2T2csIO23WkWqIuOCteo5f2P98hqLcz0EnvmJKN6iv5X6mA9hA8I4GflV4Niq30U1br6/J9Pr9wj HqIeKty84sQZnMpO0Dst7qjUuB0Ayo8EEgS9hgQb/X3DJy/KPR/uvXXqILuev2V/5YgFt/AReaFq kiJjcGJ5rckavSluEGuiZi1m4DBikuTK28V4+d4ZmmIK2cf36eyqUKVJC35FXcQREpeRXhoal16k VP8c+Alb4p7QCUcmO3op1LYHZ4U4sMsM8qFedC5iVIzGnt4PityTrC5AjN0D3aBs0szwoDJd1Pfy Cv29tp2eq4IrIr5wWcK6AziX0isIhGNafuF4XH6xxqyJY749iGZJN0Utq1iNLu1RyO5snf6gmOLI JsjmUsEXXvQYBiCTXucnxFwfgiYgOghXWbC8exXHgbc2UI8fILx5vFgr6gOopxYozLxbO+i0eAe2 ufo4VampwlD8o1P58pUGhs4r+Tp9CX6qvdb+4VxaMS6w3OJuhAsRaKXFcI6tgd9acUXLdFO8Ax9W iUgAB77y7mXff8fLRtciZoay+SxGuDdmZsVV5rLa92gRQfzkrX1z6eYojlHrf0g7c1+KSt2lMtQ7 QAptWjIS9AwWFDBRzkN8vfC6DfBpVSliGD9d6dXH5e0ZWXG9qGUIW6J97Nf3H5h1Mxm6GAH5F0CZ ZjjzujgZF9CngqWU0PhAEp7TtxJX/hrYw9JOrhFuJ3Ca9xQ7Wk6IA5ah/Ut0sGoMQ2d9L4Pt2KND 0EknWV0fkr+XUuow+HWzCYQCZJyZowI2yXpQAET8HeZVBUDlll+IPiI447wepZTTK0Zp/f/wJGO6 rXmDXNgd68tQMi5+h2WdtW6EoonpvJ9uaiOPZNRgUS+Grp+fHCNZvpt3R73fqn25IG40m1mOTq1Q /jM61X25qc0OXiWUPzpLZ6ZLFsd+xnjwm5clhlsPYuUo6RYLHBrMRfFD7rfr4NernE98uBMaXtcB qIh8bHTmGaoN3pkh0OP32iqdglFpCr0/wz2sKem0gYfcC0ki6J+5hLflWw8Wo/w9s40NAuXbmf8W JVEoeyqe8Butk9f+3zPAEoLU+W6K8DYQNnY9ce27kFJzaA0uLLaobhExOttZpmX1o+sLVNyiz+ih T9sHnr2carhZqrf2X2wTC5yD8lx5ratEfnvXhusAL715r2Y+zBPhtqqfxn8IATvoSK0STLb/Bm5w ltmo6tbJ65KMOpPisYFdwCvhCKhA7ByZq5wJX/MP0zjVHE2xZX368Tp5d+6LIWKmTJTzNn9wz8K+ MkrLUX9yE9tZO7BK8E1YWhH9UIy7juYyCo80hHHBFcZAZbxt8+MMpphYOYrlN8FnE3lIT1SsQfGA Hd0Ye/zdYqDtJyreWmFQBpOD0EFhDcLug9xGGOvcvaVy/qTGsxJeG+wWNoYmp1l8JBhx2lO5B9l5 0avOhw8zEZP8LgxRwr0P08qNTQ8mQiki6QsFVPNGIRM0kX00C9sf9/RHmyTQN3batf/Rtl4BvPtF 7n5TUF03XvPZWc0B6yvnAAaaS0Hs/oPCIxvrWpXbXwyghz3owk/BMGOD/q1WnthPJQuRWIuHOO4H zCguLyFnfuIA1klM0t7GtGr+xswMRaxR85I/FDg1MggvsoDrrz1fb4l4ilKIl1MMnxuSrzHP26Bd ahdJzGv6rVUSVWFsIbA0tu98X84Upu90yiJwOdzpeM8gXSeHZasnL74BSx5LO0l4IMDcVn76s47c CacPwMYZIpiE5ZCzAUG8ziz/+UpS+qyXHQGzWc/fbC9dOR3mbbGTtrBbk2D2ud0OQxI3N/6VtqVT SKJqEc6YC0n12MqhuD620w45tYtTOqfVaLKjkN6SjhHwZFRrWoNyIbwzQ1Qw9EOAdPpUbWhZWxFb 5qfCDfWZFLwbYR8Dtv33nkftukIZi17DUvFgb+NmbUpxK9+dpSFwbjCStzYUiDnIyZqG/B7+m0gb PbgFSBR09COZ9wD1CVo6nOzEfqz1rhLacMJDL4O+GB/inuZqXTcJCfzFnnOqMcz+6aJSl//iDw2d ZQvvz8cEJRGwLC2iDUKzrAsEBN32Tqd8cYMPutdbTsmnTyO9BtsKxStjLjfiQQ1YSNmtB0zfq9T8 Sz/fuY+M2ZXlBAoBBNY/lGDZ6itLnEChCHLn35A6crP78Vmpk7eOIrtxZNA237kgO17AkhQ8Usue 4JM2DoXl9JwsgITplCqkNG78TjvmiSqJsz748NibdP6tz6OUBo8X7hQEt/ZyXmkFDNfrzHHgtJAM /MJ5mnEr57BcijeR1W332sInYSUuX7Ir/FDTi3ekv/M/3xbgNGjjdgui71M9KhZj+ecSTYtLzC2Z czTjDruwvyBbOZhL4Rxm6xpsVaf38iizaJFyzqgfS0amdjhIDP0h+mbXloZFy0Jk+q7H3Jyr6uU2 pkKrcAIOhxOo+Tt7nGcyeGKplEEqpBldHdHYjZqvgZKurA/9u5kSlgNhl57rLIAfQWSt+AdOClAg 5TBAFR0oOG6XuOw2GC5jJPTzppIPzWstXSLKJcPdmANaILipRFEu2za6iqna/63AXnFKOe8xMHlT 8bCNtHXXW+nHSBa8Fbw/ZqyffjiirnXh8lEDEYb5EBhM3yTNyN5Qde8gx8+L38ZM8jIMvUOQPy3j VMe4G3KOHKh/uCefajWS0Oe5UOUANgZJ82DKGP+V7lG6CV4dVCtBrs4FdztsiHf0bZL5D/N/w5iA 7MWIwU2oZvrzoIvbfKm9Ls1qTFNoSPij9pgchAr7nmYtv9iegK8j29UVn9NWzbrkNW4WO3YhWtpP HcSLgwRFSDulv1d24QUDAqS/05I7Va4yGG+zmPivboBu6SSO2zX6XrkC9A2bVqJfLEEwg+9UDfpz GOXaJyC7pQGqV9TT9d616m44s27mg25z0sz0mzk0x5a0Gt4GiNbud2Bvm38KOaE5mleO3JfY3cYV 0efMw5omOOq+UrTFBoyhi1raPtPfM4TPl23uuk8XgNEn5JqxR+nDFpzeDwF8rhy70n4P/L/UQ6lN VhQq/nPzgL0gjuPJ6+UQdMh5/9EU8NoUSUwEskLS5Qdq87ZB5xO0ssCrOk3AcrQfyEtwnQolHCCF JeS4NnnzQERPxv/eA/GGtfsusu1a9FyO07npy4BfJfs3uWoW8o5Jc9yBiOAX0jH5noI2EWnvzk13 IAzXzcPj1cIL5EsoAtzec+SDrCZlWMFCiW452+H1ZTi7iF2k2aEXC+b1QNj/sQQc1Mo9cXhH5h2f 93M9EobPDPJxojZM2OdFWXPaRdgwnl2VBIgPQgXYS2ygWyGuHybOSs9IdvTKKlt/YCI5z85SItTm Pd0KoGSHUMjBbkuVxisBTkjY99h9wZionWrGpg585KpN8NNurRMZT6hDdPxleuzYNlQmuqgKbbtD GJ0HoHQAwrk+oLgoWqrrKtwsLVfqDfk8QgcLjzFi58sR0a2JYg/oyqtNo9LGRd86Jzya6r9EAZly LnN317cgvx1w+g3vMvnrOzX/6ZE8RSsFb097UHB0NcT3jiV00SSWrbCEcS3abhOvxq9C5iQTlHbR VO7gV9iq7N9GRy6QE7kCroxDn4Pt3CLzvmn+wIUAH7W+XEWuE8rfFBMv6syvyrIyofWJbs7bmakA bkEy3sGX0FEvb/scjvG+6CoruTh5Ge3wA4vxSLPSBxcf6On+bFC5tD4C9l+K1G7LcHQcx6ErA4ms 2aYcnIYfLIDSHFchBYsnYz7vpO3iBUrNSvotK34xSG2Xde8jlbyB96B/f7ScUf4vI8bPt8wsR4Y3 0Y1lQimo/r1+XEO5OlTknE+k5h9ror7iXfXUH1Pp5EiecbgdA8MBf88j+p3wjSQgb/EMCTrSTtbj 8m9/+VVXdWizhhxq+7R54Fo7s7eaKgVnkUrLmkjaVfmIaBNMPMlJCT1w1DpYNSo0dQWrFs57lCZl WEi5kT2PihKhVTcCfjyibCXf8tq7ROFeDPFgFzN5a1Wf9NA9TK/Pmm7MIpI4py6OWlXhTkR8R5d9 +51gJnmu5QwjM4/8HKMBH4L4jMq0yr9ol4+4xxPfKAT7lA8vesVIsownLg9APAyuS959IzYyUaEw thqzw1d+HpOmjOTVLWTsMZVt70fRDiWJ42wZBPCmhiPu37hV02bVKrx4pEVgRDElJ3kRYeP4hU9J f11zpAPqPqZBaG3arKHa4G4oXCez7z+jdyiTinkU3WQp0kndZDO84HllWQ+iAvOZvcUETD6pYw4Y NSPmh72BsA2SXFSM7t4G7/WBK7CYH3rEUnIq/gppkl9Yxvhe+CguuLv9IG4B94u6CRnf5NHibZIO zSWL86qCitYAPdIJrRUOpkC0EyffZYoG9E2cUkYVcPecCrNobaQi/U9odZuCbivVFSV67ptJ0ns6 AuWqp0TAAO5y2PoG/QDVYqFv+Q35C96B60/jRXPP8wwm076m2LK2WzOBoSYb3uIJhGCl3AQJ9FZ9 ZG1Xa3W0d89XF6PppbU9QIKoaAPa/OPlEr6i0GuI0KjF7hfYDjlvWDhWm4t7aruDyv3xP+HByU3V MAntE4WUKbxaEd98MN7nJuxvYvoKEI7dCQhg0WVAuQrkn5lWfH57cQ/CfyFD7OBqKSVUshdY1WEC ueWMdIvSQAe9ohV9oP3VUeRvf+vpxHzwDTp05Iyf9Z9ooUxf1E7CYPTcfa8HkPbd3/uJHs/pUOI5 UXjhDrC65DdyRBnysuuKzxJ65JRJrvj796tWM9TRtL5V6IYn9o65o66sff6ex1O0epvJNaZWO+dj PiEZQ87DrdUSvqEXQNWLlg/rMoLGdHs1mnk873XStpvuAaCOXSp9DduINRIWmVMSrXxWNSQT9Y5b 3tFJo+VInlEl9HZXnsYQbUV0WOBS5Q/H0zDQ+8U+Cu8HywfnbSjHLn8Z5AD5QriRv+FelpLIBZEK 2ge+sxA3LGq9npZmTysojF0o6YqCcihgnaCUB23S7OgVP4VgmZQC9ExFN6kM/ZV06X9NdeDngmrH UJLz6YTSsbF4nITdxF1iPT2qVXpBiWE6+34I7hf/2YQp1ykx1VbPEEKL+ku1FxDn09sRqW1NDShd 891P/1hIwGHT0HsBmRzhUG981PYcUJ+t1iuBWp+meEkb7VSFQCWVPj9d+lds5G+uijmRRrRUNSDA ZJc53xinzoXywNtFbWtNZWUh5DjI3qT1jpZYI5v4YtythTICXSsZ90//uNV7cd9vl3n71UgjCkZ6 KbkbPQwb6M7MisKbejGVOJ8pj0Lw1KEUYiEtIW03t8aKXI4qGk8XqxXH621PzMg5Wgrf9/0/F66k 41afq//YMXRebEB+PogL7aEtOGwseH+TysF70k+sBaLHVQR5EIXci1yjLanBjqRlQWeghusd1Y5M Fm1aD9LMadpLCnz6HbMMjuz4K1nIWiyetawpXjIDJ6UeBWJaSki1sn+VZ9rrs61y2N7AkG475mVC mVxIbQU3hwuCumZhWVjC41FV5OstvN43ghFbs6qmvyvICV61NGKQZOnIloou7i0I0hv444iZfXd9 H6R4ZBUsVWxFK5wWKCUvWcKlUOkXD6O3YgIcTr9pyMO0Zp4oNf3q16NulJGtHZxUALC6E4BUh2Dw gox2DbMFdjkKPkQG8nVn8mybSdbATK6Fr3G7RcgnxRlHco4mJyLt1foBB1LS/Io4AZOrbdf+S6Gd 8DDHrtIFFtg8ekQxDMcxcQgp6TeQCuZJNo0FBX7uEnOilZv8VLUdO0EHRWReEkChgixXHdW4xBHh abyHNbsRbiHS2d7RHFC6SGWWX9nWi9szvZ2+KOLGiwuzF+SOTQryEQ5h9+vAvEPD3ly4UbZ4xbP/ jstIaioZ1CGCpf4soY+QHDmDBLW/Z9SGm5YxBRLafX/5aG1A8bTqM6+GCZgCWOprFRmAaXwsyNUm BVJGZpk+Q+Ox1fjP35hutknDWVlNyO97YVm32lXS2xbwI5gcxIAtw/lFgILineGI29FDuM2yhaIX S16DivyV46CBb+g/ExPupcDaE/kUHXjUUyEY0H6YtRcRxcFfL/e95I0Muq6irkq7IAGbnvt42UBn /JJ76WgdCGU1ISII+bXRHBbC/idYc07UBkiAHrz4gG5XwCBfpoAlqkBtAHRsHiDPRcg84M0QRs2z T/pt/sD39qIILdi+BWovBTwzAymaeUUIjHFh+eFI2fUveEliJYhdcWP/2qoGApEtZWLFP1O2N5aG dpRyHuTIkYclb5OVACBZ5nyXlOiQykfSui0bk0AEok8+DYaeZstgeIiCSlBMx7uGGVsZbd84WFKg HVBxiEnE087B1Xm9Pcclm3Cfd9TpvLYq35G0/xaCJs2eulgUA6gF+KQqU31naUHaPmbjZ5zbNQK0 RCVgQmpoJmusbySYaY9p0K2dlxm/rIxHyvNZLv/SJe4Pzij4DV1dtvziD/M0l3SlYROfFpKEbO2e e8H5yc/AY23DVJDDK7fKrD6kGe5sigXQkmWgV5ZoC9i6LNcmfm9KSIofdCptMJmepgg9JX4oCLy6 DCbD8TfgHEUe0RlXfS1eCKngnF659sQydWB1WSxS0MKvLdH71pW262Qoie8fR79r0MCEZrdvpq7A W08aakz2mzaPDREC4un0Osqyx+D0E7RlEVcNxy/zWFaW9QGDaGnZauMBJGQMiQ9AhGTVn8flxMLB ruZOtf/A3OCPC3bgu0NqD7nToqLX8Uel6yeAYgRNMdyavPS0saiKFgH61o5iyu6i7Mz8AXUf+QUi PL2nkTHtTO5vBAbm7Vw7PeyZ+Asvxrq2TuP7p2o1GyjeMu9n7+wXStT7yzEYp4Pcs3wjhbvJ/Yiv WgWAlLt8LPLd9lRV/IHaOBu3SNfG7imxnTUNkLJm3Wq+/+bzwS40TZonClpklhxgHhyXMC226Rgg SXbMEkpBy9p8XJiBrQLvEsj5XLUkqCLtW8mmPSGl9hlLWLpzgLUbhuuGYEcpa/Ovz1dMfO47oFdK zsCtV3bnAfzXuwC0GfqkfStD3obl6dRPRxZXvCRmbUF5njGnrt3PP13Ev9q3WgmfGhUEhPTPIGqA eA2yTCs4Ec00V2/GUUbdX19C6EAMTSFtdFMYBNkFdroVieGcRA9uIVetICr+sT3EczSqhPGLzJS4 7fLLg69fESmccLkeEqH6pgc7LpB0vjbnkEogz1VPavTR1I166BheZS6W8rJQiUsBn/wc35iOPfj0 bWUMD3SXP2TEkone3ArIOtSFDob9BtoXvGk9CdqaAQ8jJ9Hncqzfkka36F9r+uLQBc3yFfD3kIit tbFO465yUv+Fhtbz00zd8XFh0zeFNdTAWVFD04olwRZhm4Xl4vt1Kot9Wz9QxJf+8byvQzwfIixM dOKs2n7Bz2GK+UcYW5iON8oICCUerDlKqRKWGbmAXWFg7rHjmtcCqt5VhMxBGmMbY4KsTuggiq+R Z+a4l3JQFZ5+WeYyluY4PX2S+WHHB4ioG2YUCkahZwQvDTUSUJjsiXiT1Kkj11oM1udUh88XwegC y0oH2cNbcK2Sdm01+U3fFl0/f3z3VVbZDQ6xF0L177Bz+A+CE6LDRczkuA2MMrWseh3I91W/Zfdz DiKRMfLZyJEgjmgEpLLlwS67IRJqZg3P71KDyzBHNoDqOzAdBDXqQdJt92+DkKO80ndJ67oRizCm IdNb9MCUAUG/Qdslv/WvJiffh8hA3Alr2/C0wbj4g6MaYyFsbuBBiOAyr5d9C/eqNI6nuAtm4L/I 7aU5CYtuav/8CXkUvkAR18uzFKbR290quhLOfSqVJ4cQ1azADKoCWIZKo0Fj0/qOGE9fXRhNyQLy 5rYJuymIfY/+kc2BQwRNWusAse8/01UHsJ4lyJcu8/BFLUkO+5esUYAwRJ7qv23unTJHTY+pHWKC 4q01N/rLK+BO7fOrhc9A8ntypWpfTbOaVXtpmfGnYEyHpOr72IwdfcieshzAW6ZhiCb0shLyjeLf 9rGkPDuy+AaK/nUMmKKiFnrlhK1wAST1s0G9C8VghYFfsRoLpo+oj1FjQFtrAmWoIsQEnbg6rXF4 VpNryMlDbJ3cjJn5H/ryPgx4IHcVU3fEotoDtwrrg6rkR+i2lOSTdLJwljBfhKwqEi2iveVZCB7g /3VeZ1OGW2K5jMvDqOUzQZrfyyhoqCPZpPV5JRpqmfmLiKb9cga6fdgbdtzc9tmpMlhkCD2KsrtR lc/Z1/K12kI3MZsRBX/eEjVBPJ4Xcg+c89J8WCUpwyRyumM9hmzaFbM4y8nbBQ181UmizJ3XJKhn cUx1elj6rkPXT8KN4+mXsyaam96z9jfTXNC2CfxAXLPPRhMqny3mEPhcVQVfjinpH+IB9huloWaf UM8K2MoBomCgxI4jStd0N9dQq0VVhrWrDBWJHDjlJNIxV9Q4lDbDxg1VGIpBRzoo3gr1OwrGDO/V X04b5oKavGfKoqE3yOSDLM4cmFYpKCRyZ9t//Gc5JNT0h4Pttd/9p9MHY4AKaVM01PESossWdA5r HMK6EwzO/14/Z5WrhqMbRg2nGnCHYpkY+kqu5DEqzQrtVGkSSd1wTaIHyIOLEhhaRk3XKpChg9P+ PRYZU2VukfHh+Pz0kA0B1eo/k5v2M4SINtLYKxuhgjBR1+e+0FFDULXg6nX/W8jIivrN3HaoaBIN 8DadJwHJn7ZhuAQRbZCXchXbkr2ojbVhd4xu8M2SWSBHjVJ0TCICP7+KwXMCSey5NHkTYPoAAtv/ UKrX9dl/W7lhaEAxVHSZlVz6kWblbTIBzD/BPJqTz/KDbTnFuEWPHiYD0sIArnstRg77reTdH+tl 7AcI1cipVhH/IkXacO/CWR7Kz7UEsNpvmC+/a6Omcftxayp+a8W9YJC4J6q0cTlvflRFOWzYL8Dg SvVu6H57ukP+KW4oY/4BD5qufo2fB1Hig8/GXvMcRhkIaAZ4kY/sBXr0+9Yup8StpOBOGvbcMVLt xmE6OT8pvYivmjcV/Pz62YHp01iQnhW3VQPJxcfN6WBxWe/TuV8jYAr9l8HUoFZQqP4OPEPUU3nt SQH8TaW1OGGS3oDK4Me/L6O0LzpfPEuPxUgtZoR6M3oJ4vMEIG9A/Pm8yp+MCQZEdxLOIKDTv5BC pjaeiR3PqtD6JwQ3W+gFNJWqFiYwf8q5s+kzlox4mFm9hLlyo/tWd2qvMpLd+BSqQ6+utJQ7B0G+ w0r0jWdNZis3oqR2NVFWrEOdIHdKtVIdydVVfA2HXYny/OZhqTiX/2LcazuH8iIXmzj4gWYS8vv4 VPcavQjaZcPlob4LunsjFb7YPKCWYHVhpjZkllUQZjCKLIxJVPOXkKl8PvDBHsWCmOHonNrZe8e9 YEABJnLCLJK25KHBjUy/BW8GWvwDSLj65MzwZ0nDmrIpLqGZ/AS164bYMN99pq5K6AmuZAqE0L5s 9+lbgJF3ncCHRz6oUaSbooRqe6rwA+4gjsr3KtD2aKB4hckMUVWVqBVWqoSy8wfjR4RnqdKaEuz8 6/HSMH2EX3p0iM5FknWV8kFYbjYIGM8yBgrViQedyPouH7sF1n+slmSvswiJ5hCu6lSI2asnZV1d ordQ3uvfKHCDIvtHJ4AXf0Gvqb3IpvYcdE64eVYi2HIFFZ7EtHjylcYD/Q4vdKd8AT0tK28xwtxO ZhrKr/fA/TJC89B2NNCfFh9/rxyJQQF/R0hrncMqAj2tEGtEYLF19kURifgZqt53CzeXNI0BPqrp jUcIT/EtIwfEbJDoHH8YYEh1TC0q2KnvAdTEpgsMIAghSEigWDb4UoQUdnOszGt4i9NwCaih19S8 oi36py1i7HqxIgQbbSmX1OGJOS6oGpzN0NzfK+6NnwTX07jkLPOGetjtRYvdwOBMkUdJa+5ieaYJ CMGvVbQkLEE7r5EerQ9wahnpAeWwhPulbchcZdgEMC+aVS7FG6mTYwzdNqrGgWYEECXJN+yDIpzz aoUrCAPE9Fm2H8KAVRvsNCCFpu6VHUozJXM3UOzyQihLLONbSoOSak9ubj0We5ASaZnC7PImVrMQ v7TrAnkn0cx/wBlyLUtnedFVrWySVTK7QGC3TL3YqZMW9f6H6UVd5ipsT/aVOMT+N5kumUTveHVO AUqnV3pWF2txxdzwBk4Rh+wTDXMvfPiii41cSfQVHg0gTN+T4i3Dned97NxjkmwT3UUX1WCKcnId fx8RfvBjfYvGGUoJfSXO8bsM75YMnKK/6YlZAY/jDHfJgc0v+d7P+6nqR4WiebYoU202LjWp+oux KCFOYj0VCLKARN9d3+2oKPa7lq/1hMr4agVUlCrBzfp8lDzG9tUxQTWFmKckYFLNw1QABdZTH4nN DZyH1FXwU7tiYGRgUxHVjAngllkli/ttHUY31J1Dxy5gwXUapmTM3FMWJOZpNN31T5e4rABmqlgj ke4dlNM4yE/92XpVMDkzP+RDsp2dGV87YPvmTRbU3QbSMhsGAgRdQWsk3gxpJrlwIjWVB5K1uYxw UmaUM9V6NrakE4/8mNnhrnFh2TMNKSn6DMGcPFRSYiKC5U0fypDsYu01qQz8RPnxlvP5V596GxYN owqU2tVu94iQh/mEbZ6RJNWt+sCiil/znLKIlCKxLy36ylCYJyvLs8Cr5ZPRPcNkXqF41eSPQ+mg ldd7qBURvRPK8V2fJthc+fy4DtKWN1Q21Zmgt/JHq4tvK3wYMuJIGtMrKpf9ojFl/hTLf2r9iuMJ T9+3vhgp15pQwXH/cKCshbQT5jmEq5KtrWrTBUUNUopA6QLPYjJO5PHvTCee8tTBvhDTyfJ5YRVc bfMtC35orBhskHr6bFcXcF/XY4zPRpG/ucWTB2tw8qi8/TX1IJmhA0UCkX8AMHTYJkMs8z96dW2p gbKJsePDy629yFyLMKVVEMk2xL7EuWZATiSWa04FkN//bLzTcoN07NVCOxco24Tgf5wascpNSulH vjrV43c7quuZ506rDju5O4pm9vyh9kIdDMDlQDDY/VvASQm4LjLRbo9HcfJf/E22i/59GNVfVkhO MQ5NXatifJ4vAzb+7ProIh+V41ar99f0nbtoGhp4Js36z4TRtXArnqn2pEp45bfP3HSd/unHXoyj uRkzKSxXNDIXElmI2lK9CFgogtxqVu7RE8LG564fUhcOHB5rfsRU9z4Hsg4KyWeMMB6eq0vr/2B8 jMf6PgRXCQQyoltE+HfVmtObAlu01dpREuwNuzRZOaTVE2mgzHQrMz8XGp0epX5dg7FfR5+2BLW7 E4vcmvAF0IAozY282QC2+WCg6oGZfSfTHTEoDBAgxtVIWya4j5zVXwvvTYAoPe/1R7OgYcf1ujy7 bk5VlMNo0+ZHsfBC2MJXF1Xj/lQIpk2UOVS1i/+j0bKK54if0Sha4FV5fl5hID7dE0/l6h0+x/CH 42HvwR4wEe+tONFyrSUcQI9VMMOZPRYKxS6rRhMpc6FuDYFF098+HmX2rbhBrNWPD59p5waWp9F6 OYD5lF+jvvsR2neinoG6Qg71QE8t0Cs6RRhUI1Q9vKjz62EHJ11Z/3e98AxAdBMQsA4eAiVMvdPd EpGgBSv/gzOWni6bWG7fmC+9aERTJ6JTXz2w1AgB9jSZPr4P/LfJUMzycF5rHR6R1SWv6IF0v5Rh YnE2inu2x/ArFSWG6Bs+L3FRpXw0Z0E9y242DXEWIT4atU41bDEzNRtkwwvO6240lem2SxRL+see h2lBgsOvOC8jIIpihUjyzOohNq6b4D56LWIKe0q0TJhMbJcaandiAdvD9BJEpfKnIFo3KxeQNILd anHb6nDOhvY4OzM1hbEe6H6NxEF4asvnNTLEIAcH1+FlJN9G8MgV4YEBjCC1oVYa2uZA0nkahbz8 v8Q9f0G1nqa6EA7uyeSnaL5NgCLMohGoO4Uw19lGCJbh+WoIHTJ7NXJyIYCqlRAtco6as9Gx3WiI /m5AUjwkyBavhjNPGM66VMWFJt1uGBlIXO24GyAEKvT4+EsRNrk6teQQ6X1dOedrA/kmRlVnpm+0 H3NkiG3kjCLRIDxsyi/JTJ9IVl4MEWNVUU3xRrHMlx16ff1O7MTzbH0am/t57Y9Zu+A8j6IIFexk TNb3LAT4aB9uQUim9rzFdGgEIAUwAQZ6RXOJUCIqO7FMhy1VYppJNBuIxfiS4xidmhqs/Kl8QGWU WfeZZpkATb7ClGE7v5jbHZkacP2xuiqyx3WprPHp1AFXzElC88boW+lBv2itQBfLtYyYBLSM+3Bg rbxWCahunM4iBkOv3Vb0y/JqN5zeEv64QvhnYVOfqxDQEEKJMZrUj9TStq+5eg5nVDbdjUhgaseV uxka3spStz4xNocX3gxm3EFHLtK8VoTGA8Ae7iZu1q3si/IbUQ7HDJIXFaW+5vmgEy/G4bdpenXr bHle/zYl36uFBKCgocE2Q9a5ZK22rWNwx39pXZXwA99p+6CUWOa0AG5LRYR+Z3IeW9aRSGzkq/YR K8zowMpAnQ0pE2C3JvmlrhvxTgA5QAmUtjrmhubKmnh34e37rMYpA+R4oquR0a9bYE2RRxZo181H WS2VcNRMgQJX4Lqe+R4WYTn2LW9qHd4yuVaIoJbHMeo32xNxJ95sxL1QB9KwBb2lSYKCFUCJQ+kQ tGbmZUildfWG3lbt+YsZ1i+pq7FtUZ5l0FAFnvzo6zTSbIsV+KQEikXEoPpttQP/U8pF8uX4Iovj 78HBOEDTXUd3/P9rz3NdwweGb8t5LWBs8RXs2wQawXT1WSwjkPEvlngxaX8ZpjPz3f6xMIfP45ZE cdVXFsTENE7ROr2RrYLTBiqZXq9JwDAsRQvssicVZfnJWRWjsgTRJwV1hlc7+kZAYC+k+1AHEK8f OyQcKM+hVmv9qdoeHhrT93LTloXnSTd4v+X84j14kfFISVN3Y7in6pnBOmasi3+/m1gEZGqnydEe W8SNZx45m4w44yhVD6INwXnjNjl4UqRgcmbMA8dTZSIaGn0AHD7jLVXzjV07TYc5ISEjDLQQEjQ5 REdZE76bGRiFRF0kt0Gyf+EoP+2BUyELrfpYY78lEEq+vpLgYjFIx5tqviu93u4aDI0mN3NbU9aO wsJY0avXtXudHp5ZbFVrqhBiQoG2qxDqnT9VwgXaqhavoByhU1h8HHTrE4lN4b3yfwyesHwnLHBB feI7WyqjVwlzJ1rz8Zx4wjsE3Yh0wNEK9Ns3RF+TVvHDfN7ZpnGY7yzN3OMZkGwP9/FIf+SjjyEe ylQRRUTzli64MXn9z4N6C9cfMxBACYE1GsKawfhybfGiIafahOXzVDpiAUhx7MpfyVW493sIT3VU TIDtdo0gr6cCYFodMBpEUe28lK5KMYjuh4OMQKJ7uu/hnbbphQRc8CzEBAUK0nlVBjNy0Dvxa6X9 Qt8iwLeED9rU1mx5DRfffi/tFNwnzUtSJf5vB2OPegafu7JxCdcDy1BlI1wKjYGPkSUUEDPlHbtE GrfT6jiEhv00RJQ8JH+gU/+yRahHoafl8MK6hbzMnVJcg5i24VUkhtVc0C5MHwRrzjFHbzgnIzGk Yvtkn7maLq7nso2I/zpNCVoPEgebDFm9AWogXJ6LkwnXPtPxpHqAAIoiHqdZgyaKD3S9t6ESZUao Kj9zhZZfS0/+UPgUZ11P8zhR4J9KbBRYsl/a5DfMI8eEkmShPG+Az6Rjrf4jk6pXkPs0IToJ3V3/ HFZ+PZVtIqMHXBvhB6FCukncfejeTRRjhPjMwIjIFgnmkwa4sAy2d1xN37YvZVxG1vxzO2PK6nfJ zSqPZWaCHJSC1okJXVA0lgUIVLNKpURWoIB5fkHw70MfEqPUzU/QTaVZL11/hK0G2rF908OGoYQR rG412t+MgIw8C96Ncp+gINDQ9Q1R7dZkNdV/4eer35oOhu9jzzK/vtHF063dbK+VXDir8QiX9ANr zPvKRnnuPT4mR7kENiOm0hnzHx6ZXYzbvxFR69VzFTInwS4+LhWuFigd2rghQE3D15D6VdxkbA6R fhge7rRkE8n7TMJY4vdXRXQEZhu7/43luysQPSTX8w4a9DlAGuxGuUk4ZmuZsUscI6nPKp815922 czmRz05vx6MBhMXLSrgkznDpxYG/wDgDDQG9Es5jnV2KL9/lwCri2yjD1thv/3ZSPFO7LYK4qH3t uyVoWWuIrprnv6ORiOJiM/UW61uF+Ue04fH/oa5/IXXP4xbu0HB2CRZnkqBb7UQcu3VweipxyQ8R 4WHfvzuz6Hn4x6rt4NUoB+zSmiLTtW/x+hBZIFbmxT37Zp+6u5Rm9w+76NAH8ChVY9K1NwixdcGV VhkkEb6btEFfZaRftfXuI5vb+yu+czwKp805KVpU+lzj9pVl9c83HA4gw2H7rbAmSjmSUZV/D3Mz 1hgdLy3RuKqBfA4VK/jsVERCRxDza3JBiv284Mf3/6k/dzfmoOo1dOcN2YRTae0yC61RkxPWeTA/ l4HNm0V1vLBND/Ez3BVx/hjVkCsiuqGYBPC4dYnboPv3bcutWdczpu5yKRr9p3crZ9GgOLBjIEF2 vuVpjrxTCoKeYjYZR0HMortJuMFsNjnbZmknp0UBCzNac0VQh1YScmf3RMFBtHXwHGbIB/Uvcv9J CXMMs3ad2eizhHoLUySykn5846Do4Jl9LnC753g6khK9G/33B3+lEz8F/XXfgGeyytilecrgFQw+ 9De9cFPya8N+cdBhx+5cOobSxh0pVvCQiLbJacEHH7DFSLqH5E0SxC3XQqRK7cTY8so4ycsz3Kct P2reo5eVmdfEeNMyqSJ7jiaxNvrG1BAUoVJg37NRBSDX8/Qz1izXG4szs7xOQLRb4VjlEPyWDH7g krgMxQTSBcf6ox6nECENKNealVpjm6PlobzVFG+3mBQjV4xgmEvPg6qJ+yYxStVsj6npQKdhltuh HElE2t2c5OOruhOCqv8xChRj7Ek1hDbscCo1/PN8HmmwcajtHN52P8GNYY8f9GsvucOh9ltY9WeZ ZBdHMCUFzhQ/MC5krNmNU5MZXVTCp9elH2MTLhhomyiWT2IFptE+lglAILkJ+mjzIlFzO3g0EoXs vjlFp/oFtW7K9xnn1/G6Z2lKXz+Da4Rx84mN4vGP7v6D+v+l6VrFNxYsyP3aqudlffiX4LeDRu7n cpBqhLxxjO+qAUwYdxSxYOvPUAMee67x31HPRwZrha02AZJjzpMWQNOcc7hdOubK92z5v12CHuiR UcfjfVRaQ5472zE5qq1DWtXJeZtZsqmJwVpqOaUtnhT1qbBJb/DsBhugGeQBa631sdNt+8PR0P0H 71x4s0OejtY00KchpEwnFzX6VcrmYb6LhTRRQHJXnJVBZCsgxp3/mWubIPYTvuFydg9dckM/EUhV br0XzT+g+yo8CO8EpycdRdsvutqyfBggyRuzWIrms6FK+isb32RauglStZwLsQ3iTAjJXyTnqUSp /lCnPsuhkoC0Tkn3jM4NKMjeKe4KwmjzpOOaMVX/4dKn0cQAvSujzCHScCp/VoqVOiG6yFYuz7g3 ZWDGYk/rmAtk79BUMaILZwAGNEX8DBH2GLWAEk0mg0bGrkn/AA9x8FR6BHo4HOl8rj6OIxC0oiC2 MwDkwav/6QEqlGcsYMnfPHvmWHVBMfLguSEFg4gCrYnib4oLZEfMR5MMOLotMzaj0btQpYp3Cmp+ HS8kOw7jQ4mkiZTbv0CF/16mwpMM8ki5G2PR1DbdWLAi+hNSl51V2XupObkEW1zPRo+Rq3rhmFV1 ULY4+XvQrzXbkQWz7ArtZB2KDi4+20mlAJtP9ecCllSyJdR7Hs6QgqhfG+A/61S3mn0w7YmTElw1 Qk0wQmj8/NLayJ8Odk2IGjfaAHoI+KQqy4Is6XBzJCvqxlxG0r9Q5zuHE6uqjfS6uJwf5td39TBI KEUP7pJmk/IRTsy4EW+PZ6Bs4b3RMWiyaaUQa3ZayrCAX44zrTjvyhy7vklVHAgoLlGjf8Zc631F 8F1nAkju5XSGTk+qFj5QOqTO1O8W/bbukofIwTL1g4q9BzAoyjisKZL6Jyj33ggHG6QfIrJhUbhW oxSGOlgMvcBOdoPE4bVaX6fT8lotY5WaIpvYfB3AeB5RQLJGt1c9YpS9TVur/1AmMsS8Ll14h40T zlqSHifSdOxrpxDD6mB0OZPHrUBtKz2pfQRiORlUXiPfDRMzm5MS25OGoz7BzPTUpmGaJ8GlpDmB IjQdOet0svhg4nCDcPu0SQoUESN0gKdak5csNmbc0WupYbM8xg1R5B1yDgQa15hdQR0ZbTxQYsBK 3AtSK6zeI0JQlv5rXoBVVvU3x3NMqUlmEa7KqMW2d1QZgbujipkHPQXCt+9mTFmeTB4BtFeLkg4w uSteHckP4JD2eYlaCkhJu2IwV/OznVtWCYF/0Pnp4KeoBkSGTK2c5DYAN3Q2rshlvniUYh2Ix85G 2mu1TnnK2EcRjK+nrMXufhDVoI22gbO5Qje3NWMI2rtsFM8wrOCYll1q1x/rYbcSqmAJALgR5IL1 Kb6owiuzjgkcVJB0UmJP3BzQaQ65y6bGLqePix3iE3kIEjXyLfZjgQjo7BhvfAWV31UwzGBdUTF1 18n94p1EQ3ZwLt3Nl2jjipBJerZAHsUyALOEVR/2gTYYL7s7xLcjlK1UqDcuWWACPwB+DSguqdLq nZDdmYjQO8G1wkbYmw8Ubh0NdJvcLyQGLu65wnqnZlOfFIE3qbr5vNZrdwBPeK0DXY548FpXdP4x va55o0zAa66CmmINl9pr/U7cuCE7FpNhIAcNO7l4mwyaEtscwy2e8+Xk3WrMlT3N7r6nJF/iv3y0 8LwbIbpLKUVJy+vWJRtqOrH51P3dMKI9TsIYAy4WZn0o3IOLMGhqSnQPrxnYc+AM+BvalbjNGAjm /xGOc8kMVmGCzAsxurJa2cofyLoZaXFZfKVU/q0j3hE6KToLB9YBb0CFnkXGHs/Hb+QSWTcCnS17 3dq+AEqlQyK9rz5kMXRmW2qYXgIcdymVFzCpJX5tnmHe/OHEthvbFxlE87vmPnjhoRtsOi77/zIT uuO3Wl7VH1ZLtJc+7mu0I/VCz/dECZLaTNPWu8/UEPJATkaI7IktFhXjbv+yo9J1t5DuTfQjamNs HKMhv0xD86Uq/93Y9vLL8sphSgWv83aWCLDywY7SIhm+CExaNiFF7LpAsML/QqbtOclwG/l5R9fI 1eNVYRxWkxwRCFFoSseutMl70JtUSSbsozuEfeOEo+fTq2sP6bTBQvC5dz2zQNcAm7YaNMuWmUZE cXF4JlyqmnrCPFBYg4UxwNp0WIRH/f1stysm8e+dCvaMEMA1qtiCCoNgM7UrZj3Nc4ICTiLlu/1Y yjBeRSgkUZEvQoz05KsQswtrKAY82PxqyAudAD0bXN/NVDVOy6lktd0aVmtC/4DbSsMnOovaq3YH 9pWMEwAqgRkf4o9M8CIigGyaFOjHCvW6on0fps9YSoLRyeOqX6kkOR03xlQMXA7l8pwgrvnGLK2s XoYlt6Crj0zUTEpiKHkFNff8BRCf4ysbUUFO7w7C8GHThQVULoBIwhLiXsrpQG1h0K2cghhw6t6E gnnR7LCEFosJR5oZNUKdvUQXlR7PHKeYESoe81085GScN/xTb0a64kDiVhH+z9liEenqttf68Sid fRK+zgG9aLwvhtjOlPAdsROW5hU3+JJF/2uSjrgVE0eexhQLe+3r3XipKZZGgxW3X8hF/LlZb34r PBVkGdRBuklxQIRYS04DRep09Oe5K4ErtAYtFOfL+yq9zu8pL0G5Txk4CerExu1TFc6kOCSEZKSd T1aQN3tefZpqVlMZO3WVPJhvrE2DPYHz6YHXVb84qH2TeRJ39erMmN0oRE2oZAZRNp7tVtJwSe++ jXlzAyCmpbRjyonfxYyLv6uNFQ0Aeiaaw76RMqbEly59pBWBspwsdwoSNGA2mBSuM9pCf2znGwhK MnlvVOuKUiAVQwdLvAXIBXKknuGg6/B+lq1Yk4NeqiJ393L2qchSf41XV4A7YlsPqPaGEWMcCKMv ckG/dabb0NA3yPIk1CHePtYQI22WP6OsLGV/puNgKsWgMzFXoUcc2NjPu8Uol78wTr+yPxZ9FOQl t3WjSPexSz3L6fDZCHInWFKwumIboRdf8y/0JqW7Ad+fifcGVeyuHowWUGG0ZSbtpzuoqn05aDLC 4dGxi/4z/hYrBlBy7FHGEgBqAQYfckLF/hjOxUnBQBjFr+mDn4PHDec0jrPGpM0B2F/ufIzyjNhU zMoc1NvQr5coa569foIBb+gzhHSZoEBZRGOV+e2HA2Ryp8XYLN1uaZ2aOHtwXw+GPyIZXysmGI4O mgln9fYs2N1p9Dfduw1PHTCY3FFzlWRc+QVnXLtv+Q+q+5anQ96Z/jiN/y3ogNeG3BBoFgjd/yLi fP+NIQq5luzUoW6KZyCmSscSvfqXP5nE6ffWyhaI5Cs28Lt6YsmAqJa4Eot7pUzbjRSmOUSD63aR TpqUZkFLRHxps39tlpxJ1I7PX81FkmEz0Df7XCrBzwhOq2S+2u3YPX1viDIgT8i8vYNo5W0HEl24 YQaAvo+wVf2+oG5HK+SSiwtfZhUEhLyoCtzZ42czRceMczjyVG799QgeUn8kt4BabObmZgE+VELK 2UvPhP5m0XPzLyhRGJAeMWbzrK1reEivOPyjdSaTkrrVRQCr7G9661M1cmG2OZdvDKRskhwRuOVo QOLq0NUBZ9wSvAD7shq+vVjdXLcPJuccltTNsAwWyOD4E3D1WqXM1PRSUtoO1c7/W23eX9adVUud MNKk/eCJBInkOAJyNbenHtAZXWeDk6eN1bWi/xs1HGvqMVbXbwflGU5N `protect end_protected
mit
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dmul_4_max_dsp_64/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd
20
142619
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fMbQDq8vO/3YQbM/AENh/O6PVtFQ2k7D5McJCX+oPFrswmPz2eNRgCyxHRYc4I/9zzQ7riWYM1/q DyNv0iWlJQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block A2mPmAz9HWiWA7SI1bu5mvVgpq0jhAg/wqv7KcUzr9xd+E7XrXIW1cHf+LZRuUBo9vbA+c5IAFqa njHzwCqxwh2WHYACXSWE72aCpNZr8XEDHYh2VW80LzeH8IzZ4Khu6EToaFfxz+OWR8Bv82IRs4TL 0rJ5acueLwa4cSnJ1c8= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DMLAaGRDugefttaFRRmq6DeC/3AW4zIH8JzHTQRQgehlPjvoJ51eeDEIqTG3jpl+yuyUDNxveaY2 8i8mEBQFFYYTS3EISt5QxHA29H5+PumXJbAwWYaNWqQmoyP3RPF6kqXdhw1lKQNP66oO7RSt48+3 FIZ19uZQ9Ruz3yx0jJ4= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block laQfnP5jY9oAwSkVJUcB3Vp+nOAmoIUXQPUkcJx5V2Z7qRAUfP26Quy64DwgDpEqYovI8pPs3crJ O9MQdw93uLwg9D1zodWqmPyfGZGjJx7OiSQv15wIDGAcLmKw2GDlzAPuxeRyG2I6ynh25wtII5gt UKqLq3mO8Swn1CTLFpcpqIwgHCNM3g93oxQiyFpQiXpUyN/HygfRs/hOX1aLKsmqcjqqwwfj63Aq bTjq0JXusWj3CcFzw93g7NBemvDe0nnaUo1WiNRigUFMjrRBc55ouA9i8iwh8Km1MyXOEFFGaUhy 376u5TmEh2dVG7er7JJ3YEnIPz8tzXaH8XXGZg== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BjZnhdVQPsrvLh76g5p4dQ1di3VAARBa8q+zch8xqbAgBrqneORykITx8ONkgvqzUt2QBFb2zgGg QG66o9WVse9j5AMY9EQBLxYpKeV3PeuXLgUDBJ3C9GN+lZDzjsi27NyGt5EG7kklcAxws1ZgPhVt 5/0EjtFSRCieveau98BlU3+7u5TwYApSP6911mTeEPcQonZYmlQAbqtaPwtPlo4zsecNJjhPKVd4 mZ53bVx8o0mDtVpc1a1GJtr32T11p8uhKMXzOTVhUXcNVew/SYnzLKtKikmSoCSNqKRRdKrjrPYF /bNhfdf1GVjjwqMEU0a0pq4N2Wvm5rXPueKDyw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Q1RowYHXd6uUlbDovuOCiVyOwwM9HPLKPl5uyepEll7eCCZmOLn6Srf59qT0Pq9iJ+TX9zCWgCtu CQ6UXQpvkdHL4v5v4z0KqxTvStcXq+z1v0ayvED5EEqnMLA82zD9IJp/nYbU5USepE9/soJ4nU4i ii8o9XTNjYK3UBsqyTd1SA31TDsgJ+VCv71B5XJSxmMHfsBUB9nVqnsHN7Uqq7sXE7jdOLooksj1 0Mx5XOHYZsSW4+kts05wBv0fnYDJW7aE8WDrXF+CBv/IK0sm23QovTJXNekdlbW5GyaB/wMToLw7 TTKsfU9hcAP1OHpVw1N8qBllTj7lUaJNBSHcmA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440) `protect data_block qLAIGeF9ouhvcZgddw+1QT89kL9bJGXECuSSdc7yQChq0hFbJDkgrjGUOXr+SVrf+40YUcXz0UWo ZA4PQSL8x9RlZd3mGiZGam84Ijc/MIR3t0jsaXA++tmfq3rmTkskNNgr0lASovTJTc5XdU4wIXtc QA2x78GQozdeO8lVza6AMISBUkQKvKyE9GTFWKNJk9w5DleBIqZpTIHaS3sIvDR/9/iMd9HA9I4t N9TEgT+Lqu8OA4kLagafMPPTzNNuXrRw4OCMmywKTk3LLtttUR0B08+jp8FGianyAsXmyQpAXlig aIk+cYk6vef0u3rgKs+kIElhze5zT14+eoKAqJESbUwOEtZdOXlYGLWPVA31N3mYySy4FmDbBF51 yMdACL+Jh2nyrCBatFCF1E1DiSnO6oMwmWktDlqnm2Ntb+wsX3aNo/u8/mVkuM24mevxNbRBf9go w9bSN11fk2P+Rsla9qz4peYhwzhpKCSpbQ2R4d7q44WwzNhyIYABluchPT0OOSMHiEpmf0IZo1ar Y7WIu//kPVuqdBvDYUIy8BScPFeCQTLe3Vm+HGiLh1rThkqBPQdzw6fQoYDvwg3rNAXhBG6j8qpJ uadzDhkJ6JJMlcIDLVrw43HLpASwhv1YwaeZ/4jGcKKAgx1xy7lk2BvwcLoh3lEIKn0PkKKWFST+ s0pfw92Ez7QkLMFP88REqbalziTLxyEDVkLFGAxwnjCe+B3qonPRmu4NWZ+uY1SajiNFBLzQ4W7J B0q/z0Bj9jJbf+tB24I1UiFbOeisXx+EkzvqOiBec+88v/etE9fFaF0/EOB7gf1shQZvQZ5Xayj7 niXF7Qbz+I2U5Wrt6rgMCg6a7O7NT1+Y8qpL5LvlZhNb3ETN8NmYsMjTRE3zU8p6nOwZ4BTkJjNW tG770Ui/J7BlpZFPcCft/WUUSwDAQJl1Xb8+PWSzR7W2apLFulTj0XbnBtKInrERmuQmyoPFqDuv Us2mnZ/Dm0b5Ta5i/It/CDMmuAWhv6BSfPEVQmreX8oUIbX7PUS/NSm3WOwrJUkeMilB4xNrNhn3 XcjWlYZSG94hksTJWw9jvHSidO9fvvBfL1RwuyEP2qa8L/UlaFLknDiedbN3AM7x3cBVsJNs2nPj 63CnsEzpQ0kypWgEVbcZxUJ2QGac6eDgNojlAOjQfS3zu4frZwub4GMGk7JJ0c7OKXaljEMUh0kY 979x0rSFvgCxgQTf2lHEgac+OM/0ifcEl2jf2mlRQQkWLWRqqG/fqjmoAzJjW93gvCUAOE4t07s+ BJC198Qs6L8LiNOqSHXZNWotWRhEc6fv+voynWtd+5Zk2hYR4jXsazwxdqJ9J52L9nMBNkDHfADT WLkCijj2Ye/ccJaVpVgkyyLxPgrVkLAh63hsr4NsLxOnhn/xfQyLJbpZssuDoGOc63VELOyBhmBs SHjQ1HF+fdFBXpF1UdWxgoe2b3jLD7JLeC+EZ5dAaeVMc3wjuCbPGqK3OIHX2UZpXPsIyowSHXVh TNM+Ksb10WZGh4LqZSx7UheGuBBT7DLCU873e4Rbo90xl6ZZEH906FckYVOLwMxKHwdjmhibi9HM NOtSasHmvNEgL/WqCRTnrZtnYDg5MvwEeG+Ae8Hnd/+83ok6pCLi0QFjjGa9FAp1QvB974Q8fII5 d0MS49+Q7DuTWwv2L0xzkMZPjJS0JLS54tORfn6nR647qiGNOY1OWwezVH4IdT+awwMk8hXcBBVK VBAaD52y8/WcyV8OnHhY81cAXWxj1LrL1GH6XH47soN69PBP+hdoTI668pLj9M7daVX7M+zIDwfP qSVZ3B0gFu4n6MXmXY4gji7uXsUrDJFdc4JY4x6Wnm7suChsIYQIkiXDccjFNApkCrZr/AOtJ0sY U4APvIMX221yNyxY8QfAf5sNkBhOQpAaWhewisY8MNuFxPh9ACkmS7WAH/Yf44hwZAurac3Jk97z Fs5utp2Mu4sWuCe9a33msnhSugASfH934Sl9oLfsUf8gPmNg++WZREVBvDeMzhWkYf0+TmqsCB8Q RqHDTQGlHz3ug0OSSjCBuuPUQjVD03bd30kYMOKI1M57A0/LoneOc52EF1JTQDyRwGP/FqExon0j 9jjo/RqehaVVrbBs+dt8YX6jZaUr60vQpBfbM66WfDh6iqeUJQwgg1v6YlbrYe9Q2zDR9b6CExBW hPcSVDp/0/Tt8ayAXvjQm893N3YDcCR/gqaq1oiR9xPuCneGrZgekX8HnSp79z2Aa0xnsEcTzlyi dx7JJgtoMZzX/KAMKZpOkrQIVtQ8T/Oj2YSPRasixu4bFCtTbfhjkKL4YYYyvtQmX9zh0MIEZ0Zh wUZpzUXhrgq+11OSYrdfAzgps+FtFpmU/EUvWfkPhbaFpSr4riQL+bYYHZz8F4WHeZTfYMatZKop ikkRThlQA/IE5Fn1Ut5tChQ1FwAWpnT418PBry7Mj75+n8lh53OVQgJEUJ8cwoNYDJl/jrd9yBwO 5xX/NyHaYg+Ec+3I1P4Y6znqNg9Ywn5ktJeSSsrQVgzYz5L2HuYHzSGQXmYI+HwKZ2o3dfshKu0t FdybcAdCXFzPzaJLbJPp1BpV/CK4fY+m1N/7kTHTcmzixjtpCSiiiFupp34Yx8oP7ZRWSVso86VS OAt/V9GEdCqGOCiiYDAdr+2kh5ETWfPwJ2kMJm2GJBJwWLo1gurreDqiU1SwVq6+0BcCceVdMNU6 1S9skOHE2PjZ6fEqjEKH/keKk5Cw+eA7RIRsw0OBlK1P7fz2uVV/FC+b7dZG105nTNix7E4wt3mw MSqGttDXUYC1bb5yfh5ASTY1gLaKzHTIqWTypPImXzcMGTRuSpOJ6CIwSJy5I3p7ptpE/agHevn2 jLWjEnskRFdXhoik3VhqRiKjVullsqUwoHx59Dubu6GfT31wTH5R5kpXw93XstlGpQO/6MN0f52r kRjxnTtQtp0eZBoNgY/BHXMYMJZRmKvfkKD/r94NmtjPciyrfwes32C0BGHR2Z+IjRWKMmlMitP1 2ATRmGrktnsqgkjh5KsarR/HCMi5T9SR+O6Jb/wF5FzJqseeZ240cjK+TXoDw8JaqSpLelbzL954 vRpV3mrkOh693+4ODkAF2H6KDD+rKseJEKyaBFtP+p1x0qzqz3ZK8FjxE8R6ql59ZogkBNge53DO IrACRBsRrX2NHxJix+JlN8MPWq8tGTkq4z4i4S3MdCV3APO10ARS+Ksvr3ElUgKXDtnaiWGKNvQg HMqUG1COpknzxXLp+VI9TrNSdsO76h9z/v2LcOQis88h//G8S4PNqLpxtpLvyB2GzK9+SfUohyz9 kuAvZh0oZYiZgatLQV2qGYBieBUZzu8ILNYVFDwayyfj+Y/qiuo2wInSr7MtvpSrcRyroL/FHjW+ Al+PzoSs6s079oF777fggVOkSXwaS/smlkZLJ3BxmWpNN9SRQgTYUTOLb0fHQmj5ckA/UCQmrEwZ K/4LK3J6h+89YuXKwH2yA+7uS2UeE3lfvOpvdD4bX0bM8cq2nCN5oDDpUw5YSut5VBSFGkz3O0o5 UedKA8YxUxzLSi4h5GhcnvSI456Ng6Upd6g2Ex7JCyumknmw/nKNHSRyubQAEY5KvCc3KRBufSSD 2cxdFPBzBso4+eqb7omhw45brzlTpq45s+5/wQz2eMp/jC5PndCavuFKvQZdXqq+tOKAn6/PENUu AoT9PGa7MQjhCLeQtVfXAcmK2/PEcmeyQ37E3dS+G74u1aP4sWC0m8cN7VF0Pgr0K4FHFm8gNzx9 3ukjQY9AdBeRoco3efDLm/4/gGHUdhkx/dzM791FQTeePVSCR2J6ftLMNUyH37C/vKDy7RIg+21i Uz0W+2kRJFQtNiITya7TnYACZEFIjHwICbF3i3FDD32mfeu2nHzgECLsehZt3SK13eiaPPBH6A5s Ao3v5cXJfGAD7/hpxJr8T/g1kvOgwKelFl0O0r8RSPhzKxV3Xf1MGn1y+OZc7vef1VSheJ0qkC8Z hUiwr8xgvPlJCuC886rzT42Ck7xvktnNwG5tDHc87eD54qzt5sftz14X5t4SzQzw5OBFmN2YCD+Z SxzAmNXZPG+OEShVK53f2jCzU4WHnJqBrk4U+CU55j+Ud2z2PRAAtXO4d7MmAZh8kAOejTvZsYyB ooUgskXNxK68vu6pGUJf8yKNdpriS9RQuNIRr6TxQ+tP6+kkxNqtebMg2ciVCMtnkGvBg97L0xZ5 vcwB1iNvpp13y9Hc5LKKaNgtkocwPm4KVmxXziommkR+Oymrtbgr1g5S34iuqiZG/Bv2TGAGpDHd l7P8Irkmx5KKNbZXpzufv/u0T6HH7S6C4FpOPDV9Qd98pV/n+v3TTe9BzC2gEtqeforOX7Qk78lq QMUfX0qq8Zcwl3ukgcwTSQJoJjn0Hko9Sz+SzG2ZXxYKeiAaIq0JxoGpleRKBJD6Wsiebq42kmAd zV/GGDHQwERMvr81OqYWQzxnXYprECKwqDPw8xx08DJMivgCkoYx1P4xbqZyVm6kqvISQeFg798Z 9a+Z8PmS+uFrvgto1k82xDlHfzmx5OPBYyeCNTmYU60ds/FheJWMABtdqmKr+TrSV/yhV4W7bVJI UGgHUNF9KtXI2A4dnoTBfYBr1e5XA21ykSjI84LaxiUV9QVeeGq5wIj0wxV8aIJQAp/A6266K7Se 45H5NE5vLPe04aWKD9qNQYHncRWvYeidKc22qGBNvgxYLYFLShh5hvH/zFr1Ibh0mSfYwXvuvkkl 8qlIc2kJzbH5gQJRkxcvroZ7W1p/G6zrRAJ7BpQjZ/GSoEU4pOYKWzEOQmLbwQGAX+g8HhcornZ+ j6nBkSgp8d73R2VQvgufGeRF8MEN9JNE3F6M5jDZauQITJ2sRfhRrF20FiMtOETPAQWDmQmfGE4k 4wkMRUb7VMHaE0koNhzHnHU0nKomjjP9efM70khkITS9qnxOfyDPm8NRARKJaAvDYSEYNAtkS/82 byT94JfcBT6SRkorxIKG6ZRhCLz6zQSy57h9HHO3S5awoy/vz7eKM6SwuaiEIVDEXV7r2Peg1nGI UXbw8DdND90/3qRrOAz1V578ilyVcVsd7+iGzkteXJfr2uZj7C8cR8diTEFW6GLLeBOBmIuKXMvv RyOwydYa6S1WuaJG6J/BbB3kmgnA2sY2/gzO4/TXpvpUgzSMJfwTgxtnKn1q36mvky/WM240JgBD 0NdrZkCY+6HOezRSF0pxRFvn4/MTVWVjyizHLWShQdQvgrjCHnowHrrcVTiY4O8/0AHkK3bhIVwi XtukneGnbtDIGxnqnhEGnhZyS2+h6ttRIRVfBzvL4cZCcOpdJaHNDU8iafg4nd4zGnY2JKe/x1VU +DYKgvZWfLNBz75qgFq+9+ItAgd4oPaYODAR8IpTph0bHWeT+1TaSU6vsMzp7Ly+Hbl7RmnVa+Dq 5RsbX+pR1QR/repGytdGlHitkVEJO6r6LxcAuwbsfWUYyVONJLXWVbsWZ/hAZEnfH3TtP1107Anu LCRRoLoqdRq/LH9yUN/qi7jaqyTRvsA9Bxxg+jeXCBHRnqaI0X+BB5I90P9nTkj2jVyJ1ATCn2Wz i1A26gUpoOpcCz7WiHkl0xOnllXa+uA5v/U+0JhubMq/3x/R/uAQRAQVQaWLYF8mn3kZumqQO55E L/o23/3AIFlRdmCkqQK4FmTTA1KXLnG5+aMlDrKmbbQSIi7nE8r2Wt30JZuWuxjYjy/mp1RXn/7H u4O0QOsoTIU47p8IJh0LWp6ucBjjB7xWRisqOlcQCfI0M9x+l9GZwT6cRQY1RKq9S5BJ1z9QcYTs JdrwbD2RUodYv2Wew8idiJ1uegf8xkWMA8mWMKGaf6bvLY8Z1jg5DU/INGDilTgGWb4YlbUorlyF Pm5CVTmt4VYjJCvGuZ2FN8zny/zKIi1ViwepzIsqrLZLVl0zb/7FuT2sDJncvBo8vaU4kYPBvvk9 EHlYLgHlvfBFraNio37pfLqE+dCM0EeM/S1FTbuGRWvaERQ4Gx6yPJU2HXWzY3tVpNkZL8Ma4PAc qvYjs87U9g94ipRVbm8NCzD4J/jc4ZF3XUX8q4b1yC1xvWFN8R1l4T1kR91NZzxAOwIcUnGyXGD6 pdZYzVZStTmaR5yqXvPbEOqYrCrOg8rHCZEFAN9Y2K4omofm6l0nfrbMlzQrRZlrdOmEcWZ/t8uu z38xnqr4jAAM1r/J0oVBQJhqdtXqnhJn/d8LcVYhts7A3RXbRScP/M/j3RjEGBG8oTCuPyvW1laL V9fLnvueX4gLK/sP8EmkxuBfWaRJ5pOs0Indjr2OExxwY8w8an5TQfmAlJ578H6xQScUsZ8Pn5n3 v1muf1YETNt88snzigCKPs2JjxI+DkvtdcfR0oCMCZAnfYcGySMneVfFMjJUhmYvuWU9Jy+hbclt Yt4bjZSbPM/cVVjs0kC28UsJwaUh1Txoj8qBswFX6YonVX3aT92OZSoc2U6EUCv5dYEVxPxFlALj URZbSocjFvybB7tn6+AMTGrnxcxacaOvIbnUcjD6XtYqsMFxucJCDjbv3ZGuGm371ZH0Zrt7qPUK J5jaL5S0SRWJaK/YkuDuKvR1J1nXnL1bE7tnus3wiuSxdheDMJtR5ITx1zQNCwjLglpq+0LQ33GM EiByQISAZi8iS8LACsPzKEhRMS7cO+8Jt1aoOsS//zr49kF3Cs9Lq/+iv/Uqb4dMMLaSsgWfQxoR j+2+Yhb6OyR6rd4JOwAXXuXhhOI6y0NWbF4GF1SXONElvRwqlv3XO0IuTxkoTu3WISb522o3m0JY m7bY5jM+RKyOBgl143aCzeFc2uHyh6i1nrDcgFRglXIb+ma/ieV3UEY8QmDLiidXv9x69pqEn87o mVJmV7IdGGnDRcAByO05f0QZRI8JJn3gTtz/DKbz/QUZB+nRkiWfaXwkDD3UqyXEqhXkzT2HRqAh L09chh9mtRjVa09WEHnbsnOHCaIMb54HHp1VuIUA0tJHH2Dyc3qIcH+OiSBNjkYnCjmMY/qFwu91 yA6TOrCIeEa+yUP9AMeevNvDTk+dXABVvGCWiVxSVCp9VeprLuGmLxAtkn5BAtlGcHKrKrBiaOCF 8y1nTRnlKuREW7u3cR5yt0fRKun+5VUUvUmsgZ6Z4fxNI2mCIwcFpL28JIKL7wNh/eQ03yWTgsn5 b71TbqnDLeJyZ4wF5GHa/LMGIu2zgEQrNnTBB6UrrowT67mw1mBr8DSgGVNBU/eN32LLAaX0FB6T tA1Ihiw0YlQjzsVobfvb8/DppizCWfsOceZMQKPp8BG3ThKg+u2MmqRdybseC4nvOVySn7LS5pUV ajsjNzzqRdwodPecxaU18mjE/xkBpAhx9vO0lsbgScg+PcDx0BN0Eh7H3kfdLX8bgClJZ+k35JUx n+RbhrdrIVaYAczG3abxUXnl2ija35rbQE5L7Cu5Z5vT8ihLuLNZPGIRt/eGZDtkhEVgkXMzKtLD 4pUinNYp9RFfyt18S8CgcB18IvYc6gXVCTIxPPu7oMQMFQDTwL4Td9Bpv0GrbHB9wtctEXp6o4Fh 5vyprO2cyr8IIQpfi5Gqt9I2KnSxW3mQKK0dmv/Tl1a3VrmsdpMHKBO4iER2oHB1BfDTwbjqGIbE s7CAFItDdQuIqR4CBreotUzbRRm9MdFsn41C0oZ8AznNnHblztunOXOGHNDhiKgJm9izyvbhJvrc APEfTiUm3aSrAVwySAzNkYTzdJt8rKDlHAzdafKaeIfIqQvfSatjPv9nr52TBEsxhVT+PiQ2KDRF 6xAHmr8vNqMIxelRsOponoCsB8A0Z1U0XBW1lg+HngBqY7O8dKnedo0J9yGX9m1h4UrZzGz6C1Ze h+cTyz7+P6B9Y726FqhCAoNbXDhfN8XIqhzle1zXjApzivXGmFRwuVuNT+WWcEIskJIxjFubytE2 Wa/Lvt4+qobcEzCx6w0v0bQzEB5kB61nT74MawjRIuGrv85eZKk22rrwBUJ4nI+Sak9fqmFZikvR e6WlzK12FvNWSU1Zc8H7FeWKfindHpy/w+rVhOZoUyusNu91F21axmV2AN23YM5JptiYI51agBqt RPUtJ9Cp7CCmM8gms2QV1+BLz/thIRctwrBftZiCMiqoMz1VsdV3ieJ9oxpjOJoaOfSv7uCvyeFx 6MC3elwvBj5OuhMDfI7O8h3i4Xrmpqd4y7evpaQlqvveJAORqfrg46pUDx/nFKuyb10eztmRPTmE A//gTWBg1Oz9vYcElVgoME2rrVkn0DNTfvtT2bwFbStruL6W/wS1SNPoaWyNbM9erII67jZIeFZG 6beHcjbfeTkDdH+S1foxF6qrKUABKrVx1S73fY79xsWjnuCrJRJRdUxrsHvNvaMrNNNgCHaaJASz A5gSq3TMYRrGFHPuHqAPavqJNE6Ef+DdioFA8L3UKS/Iaa+3HrA6DMmo4ZLHX3KYYI/rRztPNUaA yI7VQ6a/uBbfNGs3liVe5MW4IWYgZFEsIB+C4Y9VCccgl7gqy9qbmd10ULmohKXvoketJo3DnHwZ TaRK6VgHFrqhznFSQrPlhelKS5B5/R2avtZ500zzlIHUJNAOhlntdM0ogCKD+63HrC9+2FQ66yxp RhRdrH2DGBFd1xDpErZ2B/N394f10GZMFO4QXbzdRHvUTebTa4blgafys6xIRgV8LL7Z0c5bk3Qk XTOfeph5rcRk61B1C1w6v7UGzNKDhkvcJyefOBVwyxydqVEfphs/GENnub0iFetBrWuqkQn5aejn 9YSf9CPBHwqqxxYd2ekv52LG0EFMyOYu6lvAzp3nZ3PY5ecIw+bQnVWzQ2kH+kdCMZVNWNbiozLB 32h9LubZl3RGXR0/Imh5wWRnsjhONEAbqYSP/MMvheo5gOL2EVKLHAXMNUiEphf37LVwGudWSkTg nEWWr24CZgRVfqHlClBAfHQphE66Z7JN4V1z+b5YR/85PIzc7qAWm9Si4dv3M7FQEXsEtzU8lVTC GMKYFXIhkS6NbzaxmRwRjb8wo98i9aUfLzmsOc+YS7nrwQ0OXix3g5gvgpHE/QWy34UNeCQUOoqZ 1GH2/ucLijZTzwjP/yUJ1Pdpk3FMNdfXCqggN8+kVWf1u0YPl5UM1QrO+ABC3SeF0fH1GgWnExEZ lEwOUIbr/XnhCqP8XkVViYK6OaJrcEmvlcrww9p8nGkxRvxwIBmMn1I0QRzXKT5pAkuj79zY29VZ NVRq3rFGHpM7qPqC8XI9c8jc9PuuJ0SddvOobPpzaR91mruMAvG6meQkrx6YL3Ujr716MKGXL0d3 CC336Yf6U2rhcxtYNVGLUWV9U/ozbin+rEu6JKrGZYDYn3b86qEQxX0pkOtYRRWIOw94P8oBkd7o EXF5VKsMzUDF6Nn44yWq9uTtZjDBaPqymaDHqaK4ALkOnzeHuv1TGOk2Mx1FoLMsys/M0cz5M50z wV3FM0OslX4Rmx/MOCNPovgkP7EEuEHzkwGfK1E8xGpD5iAjEtVvzRJ9efVSgPbqf7pa/WRtS4+j cBT6h9oCXSdD/1Io+e+1Bgt4RgiyhnJAblBj165sp6RfPd1J45BoK2HOMppW6lbmNuctUQubGNjs Zv/k7B9G0Db6hIp+ZCbY6AqE6hLjCgYrFKG84KylTtiadU16D1CSbeD0w+IxiJd8SA1cf346nltb jtNz8ysghBzCHfrMzED2m/QgtLMu8FHPheWGj8/ZPQY9VXOzEs663V9lIOovxjZRPuquzwbgTOQv ZR4/Nly9boCf36NyP2ET3lrTyXfx9xoGVMt6H4GtOA9PIlAOLg3N8qebz3YN6nPclGHSEF73g2d6 zEhu8IGyz5h3kTRED16GlWo566jxwI7spTtIbQwL4BrOJMWwi/A1CyNs5g3iO3iCXOslDVwy48nF P65BYB1dqdSSQ9ptdY7rXsVLmJrDQrpgZtsxnGgZjQMv3WWVmylIgf77vlDE8Y8MnSB4obt+WhXD e6tiIWNdfoWVJh8m7KCmOZ6ay4E8NPRrMQIj9F3P0na+clnd6QGMsByv2uteDg+QodZyO1503Svs BaqowjELZ0QYaKp1GwT5eU3ZjhuVECD3rX7dC9OD+4BOEQBQ2McRTADxwVx4cLQcXpqSSdZI+7N3 ES8IfBvkWvUZ7qR4sdWPL+WyNVcbWTTSWgJ+IJPcjiCgurdujMdMZk8FhbAaaLpttpmyo9OWoR+2 MExawHitZC/B4a0yx58copLM7cZT3kaEVKCxmYY0D466PrXuIs+27E4Kbhdl0xETlnP8LVZygw1X vcUL2CHFUU/gOf9Ac3LOm7P0Blzkwkep6gPZDF+TVHmvFcT+d9MknqqaMTYsVIpStIx5VTtFcaOe kh9h88DPhHFETmQMboYeBB0NClEfZkluGQFy9AshA+vBQqGF9gamwlJurcEJYCKEsVaMLD+4ix/C WQ+Wz81GOlAFQinasqius3ANYNAAdV8AIxjWG+qQ7hh9TeCtwtX9FLEjBoj0hIC6TYeLJbaXOuga xr/PSqPuv3JoD7SRlty+rKNDoNxIu5eL5eKjX6P2JY2p51D2hogxeH0w3UHKVP2VXSLsSUDyCgtp JdRfEHLfGgYIJfaon7BzKupm95ipTvLg1PIhTotFPGxrt9lAQpoP7idsoOwo5mSCIzlm53dcZ6XH ChUsn8AEdA/u6RlWCjJ2N/8DmMNqmg1pVAgeVH47z0RyBqlzjHLeDuLh/TEsY2P2mQKd88/5JRc3 +VJtkflPnAwMNOpKPN2I70qt699ztDwDLXtVjOAEPTf0wjnV33PyFx59CDGvMdBGoNQeE2Yu3xIh BxOD4lJRE1CJEWaU/TT0vJ+XpsldGCkOlHI4NkaOK2usfx7enoO0UXENf2fKc/MZ0BGiEFw13Ba6 A2XYgt0b+CpwRS17uffx0rk5svrur2LG85lBt8oIIXtLkKbNht3jLRZxdzhLWsU2M2HMGoYm3Hye ilM5ePB9XJFHXCiovWKvqmIq4eYeKGvA5vH+b0OMJDV1bwZ0Cb1MoaWnNdt/Nsw1U2LpKOtFFIko A9IzGIx+b9Ly4jaBd2TMS7gJla740vktYGIe3XO/XiMa5S05UIv4I/hP1+CzWXWWVMxKL3oycsvQ Rm6Hsp/KXkirpYyWkHKqTmtMV6aF12cG/2jpw6dtkQZJs3e4pA9voFxRqaRpXuoA4upNFFpFyGhM 6h3vVUOQC/pMVyJPV/ZoMMdIBILFsBmDCXQoevDghRkcRVJrqpXGUuX91A8K4Uw2Jh/cV9Rzaonw WRhI+HJn08fPR2XN+g2j7ZS0/AB5lJOXeuSAE4FXZ+G8cJ1LRUtk/4e7qJ90A5JsWp8MtM6y6b17 25DK2i/MDrbnxwEjbDyP2N3O0ZAfUvfbo6MHYxwagAlUWKdGYzF5TEya00QJaUsFaG3Wyz8fCaKs FcmrbG+omG8msMpaUM1CPs6RX6RR48DCKGGYxH0SQ57C0nELFsiTq+vxX8AjTxHDq4xrwjVfMIF8 4oHJfuxC61fxKkA9NrGOkNQFQ1vpwXVDoZmcg85AQCYaeV+/H1mxEUn5f9/vX00R3PjwO/N8LrRx EkUedOgAyfdO5Qhg0Ly8VgaxcF+f9U5G3xJLAdngyigODZxUPNmgmzhyTlqg/UZ3zohUmeyu6QjK fLKzSBXIVTtTyGwrRBvX7VmiJ76u08l62j3QkxuOSHUX1d3Yzww54+JGl3dBhJA33w9w535IXyyy xWeKb90fBhfQjylutdSyviViuFWmI44QXTPfG9Xs/3/YhlTmqGmvRemE3kt6miWL62TWsAz7Md6B LgA7MvS2nmbuaH55VT2Uodf/+MJZldVIQkSsdED9Zj75INDHDzjU0cqFn871xmNaDQqvXmGiuj91 9hYk1LSdGmoBanzP3IzqOUtZ9HqlfZ5zckQ86wFAwE5K0IKhgON/2bZK8YXYbx1nL/kj5rjxdZh6 dShycaMz+OYqe01HldFLCOHGQrFtMEWi9Pl8EaouYf33rR7ABUySnuxzZIDVayHa+oY/OUeD6A0j d4UXGof7z3uV/vR4wXLorV5E0G7fM0RCTT1ydluzHYVioyMptWymjrDj1vB5S9gOU3fBKMls990X CHurNe2JZto/60LNYcYhgpONOQYA/wzfaCWLn6qn2bbyglKZiiF3HtWeMDICRfZ3NJy3qTRQWMog PlUhGePCBKy1A/rCwkGBJmuhjFxDtfj5DsVdZId6gb6Sdbh+oSD+3qal5JFxuhH2KYA1Gty0N7vh gyys8uxR8DA1XCPHRtn9N9kg00/5extHVjSqhVuLUmWe38LaKxqFvrDsXt7xHBMj95156d4FFBvW EXKY4xQxzsehTfyHHL3UFxvtyX2kRT1jtAaNkAVE5lkl0L9rk69NoOxm8T4UFCs0ilxdD4hM52xD 29KazLW7K1+ZmS8KI59z79KwfdePBfnQh8PJ0tODt7W0Npmy5uAT8DuYgcYMpsdlBDspfc9ify0+ 8pxAQUFQpaJwyILfzMIVjVkKDu96NRIVyEYR2M0IrpmvHUBYthHY5qPg+kls0djc3B1gPmSeinrW SdI+RXygIBwnk+MYsUa2oqitEyiQq8Z3GwFAik6NTDbN3KdC7saz0ArNvYevbR/oC5EH+9vj6hsk tSzuiEvuQlEYcKHnT91FJG7U8OzU5SXG/3LiXJF7TvOzeKa1utfUenkJr5YDTdrl6QgaJFZlNZE6 YRekP1D6lg1KQ9UZShiGb2aI5grk9lnUr1V1Vo5CTOV8n4SVf+EPjHVrmnATNWDIXP2Qqhohkthm it+K2SCKu+O+IfEwYHfcaUPjYNcr2qPHdDmWiHsI9qJsw2fSTaP3jCOYuhYHT59JudYkh4m+gwj/ IYzSqiYwda0wyNEcV0t01wesU6fMrCHlCAZTikRi944cHxy/mgsaSrX4FDmCxG406YfGu/Adl474 OJfiDfqBHY7A3f197M97oxv9uDJyofnjh3JUv1IBjdBMamBJrhOTlgqtuIIotqoIlOgR6o67tnbp RtPAbfoeapEFuVPrPMBGvy+yYRq5ksVSjK1AGFqaX6HTMqG8V5gCVoaVtWG+0dQg0plXtpEuOq3u G2APwAQfVCV6Nt5fGYCmJpl963DvzVJJ2GW73L8sN9gLMs5V8ackPVDPT+48Vz6fCyU79LG6xlzN gc+TxZKDbBGyGzU7EIJQRQ1fMiW97YpiWxQLlS44FkIMg5+BscJA38WomgODyia/cqL2u/p1WPfk hvYYLjQ6u9vem5hKEPnRCBKjTWcEKciYK2oOsZlgyanDQFwn+mUDHC3KnpVAbdaRioeLPJ/DoipL 2wV6xxZayd0LE3IBpRjtgoZ9x+gCY55ZYiE80MJz18wADB8O+uSIqZCUdiHjEIcGnPqiO4b4b4kx DtCn089F8I8iGPQfNf0ZLY7d23e0OThvnqS/j0gu0gD+Bqa77GkpX4Ha9vOU3ELK8GvgTaLBlT1y xkQbj5V7b3pUmsvDAaaIKUjGaQr6YLc9WM+1wnecyjHVf7WHfffHUD46kAw5x34r9dD+myrF6X/C J8Oo54mu783XZsgaWIc0tWzKeWVDuCs/+j6f9gd3XpOVTeD4XiDQF7InTv7BS1FnPfLMiGiJVU92 kdBv1jpZXhm4T29xXnPbQ5Fjm+zF8fsVhHjCZvv9Vp5s5nBjv03btRmP5pzK8CqJYrKLDd3vlIAn Nw4CskQvprK4Ipj/1oBPTsvHvXk0CEoZI3s54fr1tZp61wYH/9EcnZnlFSAMyk8qOStHrPpl41NZ brqxO1YVhEg1+gbNqOx8OZzkqXRnZ7BwXZqGbtmD1qtwEYyHncSr0Pu/PI/kKVZrxs2GkG/VVkGj Vf7HZ3Ycdr9pkf7Pek6lnthTwlt7/kOV5CQZ7H4pQhhUUbGbKBA+6IE+GcxmxJJMu5EpUOb8Prr8 wOb4dXjduB914OJr3mwgMUTzZd7zA7DGKTzyS8Xa4USjtzgbdaikD0fcVpwu12fm8Fto7oVTZN1h eemGh+KHeaTPsUDVNczt0aYUkT/zHUiU5f5kKAz1uZ3DSPj6XOzlkIDCts48dmiKnCRkPkm7TO9p LjJeO/xSN2G0hK3WY03aBu0YlqQrremnZONKYpyKUyOn3jOb72dNhNu/dNkfrS5kYpY7h74U4PoN VNdpJA0ADDOoQBXswMIgmKtbKxFMjrbCNiDlClKiLm2HbjePRdlLELty6p+jcTL73gcwXYtgylkE ubIOCZB7iYRLBz8+pYAVLWpC8Pih80TaV1iFR8WVeLxjAfX0VRWXbndbiXruiIOufQ/Y3ISuzp18 O7TQfnOhiC4PMaBkWrdITq3zzRMJ4zfEVL14248IUUFqmgZJoKdBQK5r7Ut+fe9x3I6gKL+M/OOE d5LWfniiaE08SwBOH724foI23jO8T6YTP5bptEDS6QmSFDPsiOPDH7Y3UCcHMprZE8QF24rACZMq OYyVCQSmzQxMZ/BGlEzOGnmplDxnwEFoO0kPtLRdROeh6pJochPm6lOgZpJJVKeFb/COZDOrGdta 9y1Kez/+HM0qIL4+HWBPD3MjDb+M+Lyo2ofiv7ivsUqJlqCHKwu45+VznsWghbhRTyWSNT6srsLv xCd7Jwzin1wG6Gc6FyyjdfRzn27d7WuQ+HprcWr0oR2MF2D8Hmmr4P+kqsOL/FJG2hsPlfLpNyYf 86FwQjJ119AlJPiQkNaq4xbAYjpLifUVHk5cElwRCR3Ce7tYfc/dcf0l8gHuKJV24FkYl8hPAmI6 l4+wqrKrl2QYTohTq5w58vez7d1r8cmjrlEZrFkURiPg1vrqqjCJpcvQC/WiL7UEVPhv6youX12G GaCdjVxGyKXPynLpmHbOB1MAjnIJO4WO+h2P5b7DD4mjSBO1yYSJyNMtazzVQEI7Hzt7tNm9ZdXu PX79+s9ADOkt/nMLFtrqhjGSXQC4Df0H3EQ+fUBJDf8FsUN922vyt71FP4y6mGWXMN0Gq1AcS+44 LqEmFXe/OqUMB9eyLU916T/j778M/IPRCIBI7KGV21WJeyYX08nxlYDk+hs9StIEdul1YuDjAqGy rNBuRnbKux7G7r4FUy4PxEu74mdhMR3x8U4ihLL1GSInDDDsUyRmJcOnovhUozxG3Z1Wu19r+eLV 3zXGc3yGp+KMgRaa5gzVqqp8UASMWQbmvNd8gbtPCxxgt63Nss7Q5edvNftIG2tLdqNhjzalltCC /fQ/0xbpFoceONVxlrJKNK7iSvfZZlvQkaxI9qwqYnfUMfS15s9wNdeYbbF/Or5GAXY6J8L3eYn5 1s4VNxlsdh1GwTyfY1fLTuBNb5JGbbUjEXty8QjeHWZQ5GOS8GOKcByJYt6LkBO0W+bbor4mj8rb TNg8BEzhBqU4DiGxq8rtBGY3J2mVrIE5PnDGZvltYp2FFTTzVf+4F1mu5YlzI8M7PZQzE8+yo0CT Wymfy5AHIdNLsHT9GXE+nAV5fr6EgzuEvpvL0NM4omu+nh0z3tNzXJOeApO5FGkHj9HWNwwvrb+U SkFpyZmuBdOhMxI2n3vpzqnXr9Zf9dzGjoCC/8euWTcJW8ZMBMz4YGdRaN6FCZlmjeLSVpETnvLt 56xO4iu0oCCWvBe6jUWbpJ+q6ELpVITdsBnWm64UmuMD4cG5nSp7z+OXFuFRHjD9dMloKf4Feqkz ckgIi44dtu+Ngr6MRSj9WzhUHO6iu3sYerSwL97J0WPKMh2zpbe8eqccEa4qa1oI/X89A0c3ZGyP q4phRgQtLe8fw4Rh7wl+3GueZ6bmh7RW1PHi53dd2nHwotm+/gjhXagPU6U5IpJEQGBj3wISFtTs B4ITMz1CDHegDmeS0j2uMjrueNHY8Dz2kI1bjBa77o3FW4glTMj3CRtUmJSTsHF4b0MGCJ/RG5n1 Hrel4GwIuU6SG8HNlf2n2JRVj1vaF8OzlNxNvUCv/8BWPueruRlzn1JrXNnscZQSRYDa/1nm2kNl imjo/LRrPL3s9Im0sToRSpynOiRlxRKm7v0a1oBTlkcuJSl0AfYbtIsIPrzC3DZ/yobgXi6imQDR ZDcqBGpVMwy8mQdPqrroZKCdDDOZ60MfNuqBrdofScUE/qyK8lVyhoWLOIVl55Dmibh+UJ+yu9iS BvIftby4dWBHd5JDiW2uyxHC1Aud1XvX+AFBkl/pTih1TUyBYS+uyGktP7GyAxEBcDwcKTC42gUg H6fqJ2STfNidb++xbbfs8cTAFBPvORp4GLjGgMTJhEduEjIMdSdm297GihYv2nNMzU3NS0iIFK6S TjEoWdBMIKuXk8j92FGVMtT7v9dIDsXH8rOSq7Cgt9b3dnd/1zwWBKzJXPjDNCDqxx28aC4ltSeP l1VslTSkYLkpIbxYSTvg4weNjNcQ6y16suS6lF+7D3k+bMoISZqmgkUp9aiCnHwHF5x+xPjcMhk4 P9GIgju2hYe5Hh7TXfElf2WndDASgGn7HTYFvz/XsY5HLeU+AiuH8lXjWvIrFrSFLVaZr2q618G2 WHcUEb3SbytruWz2QfK6sWSL7z9NKD3iF4xRnKrnZP2V8KBauXRgzT7Cw2uoxq+Mf2rvlar3wF1T 5LKKJPlwIe4ZE4IV++5+z1y30WemjmPzVgifijOR6A6H0Hugrb7Qklv4EG3bsjstd1E7UyqJ7ri/ U3p1FoMB8hXKEpD+ubjP2X9bks48PgVnrF7CGtqIalVFars+U6nnYDZCUC9qRh2U7Q2seGEHxweF JLcZsHpCRfqFW6oBK6eUjy51jnkEXDwyLpV8uPgxJPzIPdlB75y/t0p4fsHMnsS7WDBlIhAdvXMZ T1dgm/QkqbDoz9WoyCp/gF6WIFvnxD82f2+AJDHa1r/+TASyE3h41agxk1yQAHzcq9CBpcRm9Qrr in/jBUgJQ9ub/uF56nZfLh+poBgq0KlLp4tyVCN2YMy2KXl7ltMsJq/yHsypeMJtJWJxVEKQref/ nHj4XiVWyAoOFcLvcpoJd+DCcMfHA2h7JEpIUMb+gosOkFl4ASyf8ZjtS94hM6UGukX67OLP4Pzj 2Xp4i86FKOCZmQ8VjT9gnoLhzp6Eg9NIk492kvcIc0zdPm6MZGp1QTpqICQ/c9SueFuDB/6lurcC 9m+upCitx5qA8dUfZZysBtqevnUy/o1gAZZGcM8geFytUj1J/jJda6BlaBTW2DGAjPYmcRDupssQ UCU4iKPN6Xc7z5HUseG3eQ4x8Wbc9yR8nHU0/yf97VNn8j4d8U5W6bDTniTfo672gJpiutXfcC+g U3tU4Ru4qB9smyvS4FbeMYE7HSVEp4veMXRYP0dokUzp/fKA21FW9m2yyIIsgmlX0/3oXkmZwFgO HNVNJhNXEbiMapTykYc0n1Q8GoO7AO++BIuvfU7Mwwj+6e8wiWRWfvJTVQjWVAb2h+91VSMKSzHc x5vGmoC7aWJJYXUcgAIbypfzFl/P/2+Zu8s5rJxDiH94GZB58LTW52t0GbTLR9yFIthJ2VU3nSEK m0pqSADoMzAd1JtbhBe61fDGq57zlTaK9xl6yrAbSyYgSkHYTpxnStoaGAcCxMaLjqs8KWaDpm76 eXM2ShFP/O6a8yKb87dEyN6r707RmohK/y6IhYzCXnXuXAK5LihoLQ6n/DdqQJ11aOBf+m6iV8Mc iZGvWIX9bzjWxKimdjirO8ffh3HFqsrh7l7XibVa97h+pswvtUxCY5dzIrgcyRf97hHKBJf01OoI sYEheI6qS/+1sa5GtimiUaz215+WDtCsPl+/dWKJWnIFnpmoV8tvrpVfXfkWr3EIrqmUJ7py+kBK jwsXot/p0xcd4/jWuPg+YNlkDbXHAtON6MMEwdCM87qkVuljwVYZs3Im9FYnNR4SUcY3g9WigUUl qXsuUnmINWm6jOTG7GB9sGmHwgaWUcjxTr0Eyxm745HbgfcsQabTXayOxRk4SHoE+XnFroZ7KE9A vFynRWVgHYjVlkO0xNKIcxDLN23702Hi2hjxCo6r58dMemcAuSmUdh8EQGQhSYR1vYgHqk8uiYlz YjjSyBzL3105kRFrSKEKuS85UgJxuQj5Q4fiAd/LqSWkF1xWzojphrX3BYLeBx555ThNl8RcR0dg sv/d8KMxQMMRhI1kj06Zm01tq9/cAvXY39ctq4Arqulw1M9VT8ZHvYh6s9V/+0ZtNKMmo757hHlh kP082+5eX15GVzIQJLj5lQDzma+xWzyPxcMW3cQK5XF5v6/UtRUb8MAnvjM03DNfCEe+roEtYYX4 5tV9M1cfoYgm0ou961aIldtI8vhVL/GZlyLbBnEDRL6dbfudn+GcSE5F5jCIOfaDpm8WBKFPqvjB C5Ob+tk9Zb9nn6wHf7HhcZTp0Y2m9k93/44SiwyS01ThKxXk3kNA7Hmd/vN7pyHSOeAN1rs9BZcb m4N/1H6b5g0HdFPm15OXyGESzKd3Q+0eRt6Eo3k2nMgoa7xgmGke6qLszf8eWBG9ue6G3J+UNiZn jCnEiAFrpwbpqdUupX2cCq2nr6JQR8bqhGVosWdUYRRYu/IUI9/mY/4MTWZSb9CfZphxXPOSAh/H qXnDpc16t204pMtnCEB9J4YTQmA+XjcGqj+LQs2vgR9sUXpWQpxPap3zIvyL7jIjF53UmKx0Y2iO HHsalaOQ5jJhX9crz8eK2jDwJQi8395aOHT7s8Uxbm6WCrO54wEL34kKojhcEtwA45UNXWgYMoZL edws/CsEBCbcNERo4J5oFFVef0x0VNUnxW35hOLhU/I+J7OAow2hUs0bx253gX2qUK4XkBfieVXh oEIWdPltd/UNcBSPv32CPWk6KihB3V/LzE8rRjJOFO56/7pe3fvxd5Mm0R3NlAI3G3q6e31nXSYs KtEghE4Aca8MrAQxQaTUFn4dk+3uOFXulLKtljtB9YR0eLkwoK+Lna360NpHyIyxvNBfudcO+t6l QmJpwiD17/qHx4jZ/hp6/Is8Y4Jj/az5UH4JCBTUNOYCiYevDYNhKV27pfIBj3S2yUO5tkgc6p/c 4iONgleANmwsmw9E6v9YcwaquxOvy4FP+A79NFCuvSB5oJIEq2YzfKE4ofOC8M54xD4PJzIpIgD1 pDoud8Iy36KOhg2Cw7UMck/+nwWM/dYkF+dNlovCGgwpWTUw/QRRtmy4EsWHLHSsGSKYdSD7qkPx /6LObHT9NgjuIyj/muI+8/dGYoT3b/QbFioxCeK5ZgX7ROLuyPhPbapHFydQsnFj+gnORPKfZlbs JEd0wHPtR9f37y7V0Xr9EMJtuJ8ywIn6AXv9cDJxrESHTFaMFOEIgAsilxEgt9t6+re/22otlcTQ 4zzzAMN3dali/2w+T2ObpDJ6mKE/qqdOVJ+3zsPO96CU4Zm0wh7FltTHVWrQYmo/Yqy4E2N7p1lA 338fp9mpbo+epovWe8XIctjRqLh1oIe+MPYfqznD7iZtFW9t7Stb9/uj4yogj1bcnU8cI2Lg9rbE gmSeAesy7UAVkPxIBO49Foxi9AGO/cyclnfrbESoEc6kgW90cxzNpRtuyeXrwLCHPKxdSmEe0cLa JEiD6Bk4qccU0Q/c2e3a72sNgxTdNBbmAG4mkncmZJK3itjy8oyCVJaRUNRS1ojxJp52sXogAUo+ CM7sTC0VVcnWzv23kNHfd2v/Pv6FJ9D8jRDa7qsmMdiD5bXIlM8SlANBHazyoQlv/qTjNMZ/IU8c NZVuQOivONFGfe+FeTgY4wvt2oMHAgpLO9Tmsmcm16uTUacLhK6Mgn4ehSOxwl0ueosuodWEvDpn 6xziXfdNWTcJose75d6M7vyz3ZyfXt6juX+WBhWNRf9Z4Rso/ixeZ2bfy1jb2KNLklVpbY40RSwS c0kAo8dDZz3NgdB1BKH9gTrJthUHE1fRxs/MxkfwyKST+6I/2ZycMgpDfrFsiWSU9qVW3wkLRgLA SuCJRhIgZS0p/QqhP3KiZGnvixal3pTtT6pFQ3FTPazLCoc2pi6WvX3P1dZ9MN1iBT2tYgrH2dNs 7kMEfZdl/kodi0e/4YCWfaGHJQubMPq9V4EB2kIhwBLfgRRAdwWOU0c0S6mkMT0MQlbgcexEr9bm rLyKyFrY/nk91YHqKlWBGTZdh3FtHrJ0u/g2N01+B0GfSJATURNJWbhr8I88Y6b68i5px4GAzfxo ankRixXXgn38wTX+1vTfr1GDPpbLvvuE8FsuIY+sbFG0sk3ZgWNtZF4cDtZBsnfrluEgxaTpbzcY X/OeZuAeQw+DYAjhbFcapORmWBjMN1/FuiO6dafdRynUGIZF0HlwlZrwk1mRX6Zhm254T/bWAn/x GHnHspZFt5bvr/Nbla7EtAmKmvs7qy8ftiMVHzxHtHIC4VNmA+hgk8OL7NWvNnyXdaX/NTfuNFF2 miN0Ywd7Wlmx9ZCJRQUk2ofd6InTjLeJqhZce1VizdfaRK/rUU7qrnUgooSdWrY2s6CUGXcwT4Mn o8ot2w4kT1D5bo+0+7qUdSwz/O5RVo0Nmg2lMGx/yNCOPhJBSUKcsmsS4SmLGTU3PLoqmtrq4M8g 5exMUclnt6nyNrqiqltIj+njp5o2z9A/Sk2IkwVFIw+suGEQIWLu9bPP1avxGTxHq0EdBV6xAkOL E7Lm5HEyhsyGyl6mgqYhHqsfR7t68qDNcev2AepjXYacCvvZP5V6CR1wVvNLCxN8Frsg6pAl71X0 fT9JrJ2yHl9HQgRk8yOYo6RrBJLlX5yKEzqpiznGyONuvAx2BGarmOM/QvtacxLhi34bHkNwNS4O lMOV4ytm5IN2J7weWdzcNL8mLvLXSGEl8my6w2JHZOIDeUvs+Q5xbMFMh+Rpvs2Db4D73hckNdei xh1ATlK1qcdCPEiIiXkt9DbQuCI2tniv4XOVUae3L/k3vpycVv6sHPFBzzZbiSXyMRxjBdqOhaKL 6pxYIu+CVY+RmFIWCQ5+VxgAaBPSK/25adTaK8UsCfwDRgVItdHP4cbcnB3RKY/ZBYHh1XZq6TrK qdFW3Ckzkx1wIzKhKtXcKTd2U6L/o85hmxJlTnJ/fe8+rcwyvK6CIHTTmmbycMIJn+FW97QTEjsm RQTDVId6xJIov3/GlwphukFECXJfrdyrjlE9GZ2nVx4oGIQ1p97w90zVsfYFLJXt7B6ozumYyEqE YjfNDiJgLQfOgQIUPgUpMkCZ4LoCafy1J/Ulb326Yva8c54QJFjpzM/XO82XX2oMWa3/XMrrOLTQ 87QT1XK58wYZYWArHKrjpP7ygwL11yjhw/flzkoOuT5nNxnfmJ8rAmfmUrDbDOG3Tgb3TYzOB20t 77DCiPrt29Z8tSEtPYpS+NPglIJIJzm6Rmi3XF7cO5LofhDYa50B8Z1hS0JTzQ+Xa8ruXbMOH2Dx MOZY/ePbDeP9sJLj93fQkAHwkbNHsbYDOxCczp9lm6NuKP+XgniFo5ybmYWvgR4NH+cvU3ZFV4/J 9f7iG+6QuLXJ5nP9M8ostkYjojK6k2bYInXTAZMxRetIE1bWdmuxP8LOqnen5Ko0U6XVyv1VrYVm 4L0CJWJKgLoHb/qhXL7x5xjQEkgZbMD/2CR0gYtkNpAv+D8DiMkKsWBpND8+CxR1ZfZHgrWkOYBJ nAv0kFI5J9hya7y8q9Ky7ocq9JJKtfkAa8+u3y6KWwsbFqnMhPpH/HagNZCp7EwO5n49b3TMJ9zc g7vh/SFaii91n67IV78ktkeapCFyy4H0wJn6WbMI2j34kj5Zewde0u/vJVh0mJtvn/fkmKiRjJtM xoItwaTelqwGbKLJ70JekIJ4FldB0EXg19ZKlOrlUo+raYI1z6Tf+bUJEG5gltHU7f92ncnBgkDo IIcPPSHDrvnLtkFN/r6MAHSsscfre4NXuA/RIH3qfxeuPhC3XQBeVosq8p6jr+Wprt6U8CruT+8p yuDP1PM9pvB90Wo3V1fvnprWQUJj9LWkSZB/aj5T6TLD3tNDYqRFi1+1KTQjD6QitRE2GLs6PvSZ Wq753HfzUpFFnrjhhuyQ+n5cRoGAzOGn08u6CRapy6q3QB+ZlLU0Zi7iiK879lFwQeXvsfZLZznR /cBcsVF71kO0B+ZHz/nNLdqvG3rny4n0bv/WK5G7g2n5yrq/gX3SqmZAGUcbqEnk00Wj+iJXoQi8 mr/sNveNRQ3nJBlPF179zAUH+zqBTnfpl6czj1QpQ4gNWFQ5ow2Y3SU74t+AzVio27AB3aJdlcNl YiAKqfp+ekkHzqapsx4tYVWMqcbXhwxVjly3TedZ5QZc+7smj5FBphsyR0eCt5/LZVQXlByn4NLj 6DfpEdL1f9LZlgrIhk+EM1rN0ohHoTtNmFe/pwGoi8v8PnTUy4DaskS1YX9mYyeEDKnRkM7l3raR Z5sIrSnx1HFMhL2ROTjw2KmbAboR3miFONq6Is6o1nzIwLLwANsvr2yAselOzflPWwHtRXAUL+sw LcSgYAP5vbMoV4mk+v5nbS3+AzuxnaQIPNS/H6yauf4VDoj1k2bgZIL/thDGL4s6Vip7MbrJSHtt dhe30+lGCLnj+0tE5/MjqowLZX0rSRbkGywJMu8Co+5hTUnJlZPRuoemNojKnjb0mSpnwbl44Vsd oyFWpy8/Up/42ZURiKhLiwb2sQ2q1yH4GURnXqA0VhXNVAdbZR8HHpwb6QI2zAcLzcBP2F0t5kk1 ZLVm0+DNpzgqM6gur0dgI0d90uo9ZPWmlMNLARUcM1EpzQRllrwZuCFH/1oO1ir7uwhrTAIHh3BB hzQyf5sSLPJdO3+FIUE5HaE8kQxU9lOUXEk0GPzq8DL9r83JXq5FDB6Kpq7Qryh3EdyC02he/jM+ hl0of21/sBTJ9TKc301ChXBKigIQmNBQUqYD/esKqMPIDuALqTCqjdPX6S0UOkc3ZJaPOOYnTkhX bO8o6OTWm4gIWsaRs2keyejJtErNaqCQ+mOokQNzujbSfbbYX+fZpQMX4aA1+Rp5WevZcME63/4F PzWO28LpLRqXtfMA2C++h6bemn1559WBHTu5r6nCYMYY44W+swPDRTUUsAgqr9tlyzcAyiqFrWfw BzgUtpJ6mU+ealFErDH+EFDrCBvFRzv6Ui85Fofo1lX4bT43RnepZBNWMVf0yN6le+EDnncPhftI eU5gRoh96sdlxRx9J/lLRQp6DAuKxIn6MLtk+iPCdfZlke+Um70jB3wdK8jbSFcYtMJ/nXtRYwui gzZ95ZsCC5yuD18zglsoNnxw8qn1ypLrQTv3e64HEAxOc/2JRt/nAxQbvGjG2XeLzxV+RpVS6nGy 2z4cjO0DsFhlItQ9SkOiJn35AXZTqBtmHJYh9z27PxyQsyTm/0kgjbusgXWTCkWPDQyU1XHYOmYY Fy6qNNDca2mpiq9JNGtgE0ApElkEHBiUguVmB5DD+Mo91X+QIfqlxTqKEu1CRrx1NAHf+1ySNeSV E7lq8TnNJD+8r37rA3YEK8GJjgYCtNPcmuM/tPEu+7dPgt9CORj+uSJ1nKZhzuQcu3UphGIW6Qsz JS53YqAeEf0IaXi5QjKph0sUGSOixHT4Ui4aZG09vk8bsuJrhcs/Q+NE5DVkV0LpqwXS8Zuu2TQ4 kUKtP1ONP87It4wYawJnkN5hH+G0SAb1Xd1baBeFZn+F2gjDO0DqWv5/d4M1qLzncRBypclkup5O IqzCbiPoTYfEFtwPnDeJ+3Bk33nW40Q20r2OH20XPG5ma0hcq5o1qj1dceG5G5ASl6ggZK8UGAI8 dqRNCE5n8RIU67yAptqUJRjIG6UM2PJOXKLbPe1auA9gCBkfucsx2jgqrH4Yj/U+7jxUFIZyFQGD sRfTHWxc1Qkg2ijjy1ssimQ4jyUGdX3ZNyPGic19MOoz/rFfxogP88Grpx3ZOQN71e+36IzGa63h AnzDUeeDIFxP6I2CibbMy+tqA/OcQKi00A6709E1KeICYqg/mGwSqGka2q9kSQPAZTvcCaNk8oxy AAgc4pDMSJsmjRVHZ4NoScRgu2y90G8Vqw6GntjgaOo0UH3uaZ/F+BOSj5b7n9UJnXTdSAIT+aH6 jV7aSnTFE0f5gwlvTNihn+NT5Gb+8vvSrgifZDWc48d3ZoKGsj8LX7o2/MdpLE/McMjvI9ri2nhy 21OI6y4GJoiIbmlJbYQht2FJPo/4c6BIOfwISBu73uBb7nTV0Cqdwj5dGyUvtdtDvPAvwpnsVqbj TCMIc92PrZHFA82uayqZ5tFXW+phUKlOkXKW5c1Jg16HbKp03ab/T44QgO5xUYqIYLXZ7c20YSmo 4JvC0oKiYwoqIKZR6xJlxhgvqIKHkbooQD3o9NE8ZU6aEb/OpRGICQyzKKZIbsuEoZE0a64RCGBx x2ibNZM5prPG8Ghq60E7I6rQ8AlXb7wcD/sT3Mb5qvybmYZ4UdPliC+AVB/1hsTWYXIufilLkCxH r4fuYk49zIfLGCOP3r0J4Nl2lezf+vit+7UnFONq7rL2+JdC2xlUBkVLac0tS4W6//M4vEfNPuLu aJECj43QAZPmqMnETRPO9j8DKqsNPiQLEJR/bYJ0WiNfut7m0SLXgjp8cxsLGOpIRE0TJ/FtgNig qtqQpDASb3pb0+7DT2Sd1AEC9baEu0KWCKnu7rbj2xoVPvb/fm/v5SnDf1pTQblpa4jrW716TrPL U/59eqhIjMzv+s5WpkDLa7JMydaEmjoGCZCBhGfypTzPuEVWbhEifhB0KUucw7lV7CBcXvT5fJeN FZEReHBN8iEWdDBvNZUYajgDauC7owgrmHVtqoHKynYapDMAN0UWHnqVqW9WswPHRxmRbqb+gkle aitJv1O+F0IbCm9peGaWDBKdL4tSHd5aObKfLsv90Wxcbv3mpr5CxLrqiuUYBjGh56z2687Q1Lfl gXhz3fYuh6o9iZa5ZlH7VbDRx589iqdt8zbdT4DUMZDWmnZVQ5PyT3VUC9KhWuGneiPI2M/oxQV+ 5lnoZN7LTBwDP/o8qdR9wn6Xbq1yCcs2owY10iMTq+Metw/qlo0GQSMQt3jUbjF6fCRqhjVfCntz h2mpm9URMNJH/MOBRNvLYpUrHVlTFV2ws6qRLY3QIqsXxI/9UHKoO1dLHeQaTHT8PeHFP0Wm1tnK fvDrIkk1AiWnCgmnD4dCsDnJOZhtAvSPgAHQywcWz32LDIXsEalwlHJtALLhu5I01pZh9RgiyybP IgorBXP/Hs7l3CIkFK6xISeK6veFpsFcCgomRh/WosZe1p+eGa20wtlRknFCGHgLMu7Xg7Gp5r2S j+G+4rxv5zKdzxYeVqmcseChBWiLF9duNHi5pRTLlao35u69HzA/cKmQnByPssKBwLkZpu+XQ8Mo DfGLPhnkmCcuEHKS8L0QEpaCNn+bXfOmDQDwJVjdimx0C4sWXuxwqXklMDBDdtzH5q4HveWHV2JA 6WlYHw/FL7evm1z/Zsr7gOMj5NM6nVZNkxRNeO58ZyZk+BNOGurYrciLKCQiqC/q2d5LZZR8sBda MW78AatnX5KpLMXtirpfHt/hB/M1LAz3p/M9h7tenCvBv1eOpvPv34a7KceVT1vcTyW2Np/PeTUH f3IepezMSLdta8Ispq9wF2IkuYHkqndoI8nwWLUARCU9lGrXL4oaG3eiXr6MU5iB76LaZH8NxDfx 7PDzBtGQF76nP6ix/ZReBSMkRwc8JZir2F/R3H3xplENbtxiiHiP/iwfSzLzNhz/MDkdMZbY1VfN OIAqmvwse7HYCqmvayOBPv8sDgoEzsOHqKDy/pM7iMArPxF2Eb+3z+adRHSzsQxxPloPS3Yy5lDN SziS4PJejfthahHBt///jIdz8hfSdxmqqXfQby6Ja/wxGIt8usAynntgMAn4t963K0WCZjmYkfXd C+KoQVOs9Jgc3v7HIW1gASflemF1OiRn3oElFEB3uRn829oWhA6enGt0aRcZn5GU8Y26qojyF+Fh uZjI7PSGxPNuqw4i6LrI4eBBX8l0sUpYuvlvVrldfhhU3i1bV4JRkKFb1daDuEItdEYHSvMGcKWG 604c3jrgDc2NlQlA01OU1iLiUR6JrOwRtaQuFD0RGKZwrneCju3wgB8XDtYlHqzln1eAh9Uecb1d lAxWWSZ2tFjrwlQH2ABod9pEx4o2fGU9jWNUHZ87QQKyCsvQbET0OXfCGQBFsBh3OpT/mu59c8zp mOvvcTwpzsBlxY4YdtS68J8dOInhDAkkBc1+2XkndX0nk5nAMSWInZvVtIr2FZOq9+3ja0C4EjyK Khfre+NR/Ilp0SqDTh3QYsJLKxz0ggF1cCpb2ntPyD4Yhd+czzh9ZnCRvTAKNtdJlNckzeTGUN90 UXfo5i9tRMDlc+aZ4BD98MoP8KJW+w2WDKxiHOHsQ0rgyUg4EhyqVob/nzqWa6HTkwOH5SsWhnyB YK0IErApwmcJQ8B6yoAAOOtCnvJLsfMmnm6E8h7K+RnKXpgd1FLAqyVQO6JDlYD2m1EWYOso9CkU Hxqlidfs4D+0JE5zx/VeHntro5QugZuhEFWDTlrSScz15qYN5bOmKH0v59CkORWaJ1due2onhGuB VlF3qr7RGQuybJdqH/4afh0HYnmQsN7OozsmFsCnWSl7YdFCVLe3JGwz03bmVSXvy5Tua+Z2KVXJ 1Nprww4mIcyZIMtHophFQ6sErF2sINbyXbgrSKrwMnndpbLVf2TwjCPye6ePlTyeYaxk7VPE2RqQ 2Kdhd8ZUa/MjtMDtr6wpaOEowLAlbaqnrPRvIOZwBTibnUFSbd1Apn7n3yPUy/FQVnLu2c6n6X0l LM0dHtVOpWhxpWKNyJTxfsJCPwLdxpGA2eUmzjEGA4H6Rzipf4xZ+yJjK+ATx6OC4gWUpW8GPYTZ wLUNFKXF1jhL6wbkdOj88PnKiyksRxN+1sDuaz/8LYxphFKz6cEVwksktes0iIyEzFRPlMcxAJvT YHLtlLOJxsJTkqFyNG6rl9+uGaa1PpLpcp8IVcBpOFqg4KXL+4GgdaPOWD/Fl0rzn1iIbIAGlq5d SKZoXU9gndH4N5hS51/M5niVH7kZ8iTMNZbo7JYUUTCkl4SjCgdwz8KNe2W+Lh5YQwd7+7+47aOk zFVal739ng9XjtTLAulx3/ryNBe+xHXbUvLuVc/J7OubzAws15zWkKs4PuInuYroHBIoanPdd7TT lzJw6yNCiTCc+4NJ3D6D0ICRzc9HjhmZDWDiyqzsSggIIOd9C+CCvKAtSPJizCP5eZVqN9m3qVbS OhmBcck/75gUFYmCpS/duOxJB3/ZmdEj019XFtypgH6s/lTAHyMJAoQ4UkGI3Sikjk5FfLFx0qoY Hzpj33AOWwH/nnzT4WS8MZyR4xrGKztr4stNj8DYEYV4wt6FhxwHUGZKQEGWqr9hm+ar6NHzRhJR R90Ivp4babaJ6f53MulUmBNVQBraCgwzpp1l/YedLZCUpdcdkGyCCLlKJV628e+I75oXPR5XGbxm rDJlErjCHIieFZ5h4WLFmzkKwRppsBrilmHdIrp6VfxhILtwJEs0Z+feIpUGDxRIAwUFWLGNlrf8 szp7H6c6mW7jQaMK9mJKivyFMGWsLzFK+US5sc0hT7yT3kMu1L/s1vxFpquKXi7m6cg262NYgjqD lJQ5vW530I3l2KZTd8YzeLwbMnTg7HP4e5Ik9EUeJbgnGYTWRoxKlkrDtdXxfREBH9vMg4Sv9fGc uKIPr5qTrnknm8v4GuhUGPGuzasppYsOk57hbqTqxoXYsjljN2Pi7Jc6IjjFTKidAZXiofCBwcfd abn4Oi+B9FINuvW4FOkQxtqegNIjTnVXg/dLHQCaWlzvzObIblAxzlBeMlfYgjNUXHqFuiOpi3mm Vo25FvM4+wgJcPFgiWj2VP/8Js0K0HpQ4Mtbm1sC/nCUs6ElmpGbXt+MNPiktMjrvPNVP4C4ebdl 5FsFq3BYAV+qVjD1ZFzPCFI6ui7ru9uK5URy/7JR4ZO42kQoS8GZSbgwxhhbtmJ4ZDf0TTJ/GAQF 0qKS1oK0gg4t5YIJf+5KJz+OPhv72bes7xpAdEIvezn3B62Si9QyXE4DcnTn6yMBEmkaxAre8kZl TkUaXbii7vL18T3K/2xa6nvoFCO1TS5L0esA8OOQq6RaZ+Qu8b6ZO42rtm8V5rVmi6wLDvdESuTN Ppu/EY2Yf6xqjGPSvwlypySNHqnlfv0125DPiGw/krsoN/LcWWauwn59TBdcA6Lc8oe1onhURpcD 0wR7hv0D4ZkpXZOW6rhfHIXSgdU5wK+Td/8B45O58G7k1Y7fLLqJMHHORZgeijBk1cuTWOThFQ2o P9hhvnboEggBrpkiPVEwEOLfLrHRdZz/0wTKzgTffEZq7exWd6DGhtkEHpylbwVrZB5eUtVJpkyT ci8YhoBrJ+aS2mdI9VP2LM0PVBbB+SYcLF1de8Wz3S2AaJEl4QA6wiJu5NO5NQPIesQ2Ajxtlq+5 ZbQ2Goj0s5sCr9MI0A3o0qNawqaebuFkvOsVAEbTsAn+9RocILjv5aK431t1g3+w5UFvJh3E3ryW ksS7Bm9V/5oTZhm3TSmuqbenSdSYduEaNpyU/VnfNnTwiOoFqfxWvseGuwWcn+THGa9mx55N/gWN YOLhowIPG2MT4uYcv93NidWMOZ6kVJN4iFnzvWg2QImhBUWpzlyXAlME9p/SB2QW35zBtQmeKqF1 p90VaPCaqUX41cR49rd4uvwHPwUwUZZ2s4dWSD3v6mtvCklaxeyBpMYzghiogqZE8hyktwp1C+1u uVKAYWY0j09ZU5OzBqUWYmo1PZK6OqqpgEDvZh1AVWwnB4XOX1ttDi/D6rRPVNiAr9ZN3EtMCINx m3GrjEIWlDPMFje2U6w0bFV/3b8+OI/HiHwwxt9QuG3HD6rGqRFs675s3dA7NraKIGAnMvCbbLVP CH+ZlnC0mcr1xE1hfJ0Q3rwnn8DzUjY8urFRWQ9IJWy43LcQEe/8Xm8/cyclDLe2R0gjpKHMCP6s X4rEyEz6d6fi9Yp5fvufgAxMqAszC+DxWYWADhl7MpvG+XuTD9Cb95Q3P32hfzBKJMOjNDouIfVQ zX6iRlcE5On2NWNXqAj5q5vHvNQ8SKgwKxnmPEnWJ94DVy4ez0X7XV0FtSBRrl4HS4zV1WsPm1oX 9hJLGwMGwnhjvYEVVZKmLp9BjsS0L87Q8HrgLxoMb9AnW34sN+afe9lmDA6z3NosF9JDU6w/Lay4 ACuKl0EvMbm+JCFPsoo1eDQWOIaKbaXk4ablSjEDqZ4ja1uYhYoJXwpjttGF+J70j+hAcQKpecmX +A8pJy51nn48gNjMXr8VSMNDwyPuvGYreUAy3eVAYE6TqntS8/o7r/eVagHWFPxeIt2YwYFCdF5r 7OxKQ1+Rio83NdrR95BAhMFi9rLWbBe/Br6p5qo6QVpQbOuQf1ogyoGcq1F4qfn7k93gaLgCLpdy KxpGUioAc2kcQeKIf6Ls/Ae2K6M3+HUjhQked9x4gHHtn2M1vv0d4Jw5f+9y6/BmkQ+DxMfCcsI+ xeyHTF4nnN4wT0m8xzMtNjM4jcvm98+/mqz4DIpdV4KoMpzI1qenztU60UUFWAQGZQ7S5/Xpw+js 6ouhF0SE/HzqBEBO54/e9nlRiMKm6PDq+EfkN1D1T9tNivCMoVhmOoBU5Oku0Z0Qij0cFy3B4xZe H5vDM8xuQ8tFcNFwr8LfG5FEq+ms1Qpz6V7zFgU/wsDzAII0Bi0r4Y4gDGLlyAaostt68OAL4cPV krK8hT34pnrAZszQjmwiYGSGQ73LVG/LQYY5td1VZnQLRi8bMEXxEmbyNahg4Qavsr4XhWkgMJHA CLtaew6UhWeM2AnUGGJota0uurPP8Z2jxBX7LLfZRH64Wqxg9Ig+s/MutQyYQGF40eXOMddgUEeM E13fgkuNq2+e3E+3ib4WBQOQzmb5zu8mWdqWjZlVckjhrIaifB/wNSqZiIOZ0dIGozbMBr9Pj6g0 JzT0VcvaopBsLzHR+h/CgEi/m7227Ha3RT1XFcy2WLnRLGehLKniJ+l5qFaoVkmNUDyku+nwB5tU 7h6AeAux9MH1LIWDUf6bBjMvfHYzOARIuqfo/GfaEtEKnN4FSzo2vmmAcH0/JjcRRiyyMRUQs4Pk +tZCZMFurI12N/uY+lQViKnrzhGlEggpIPkX8uWNpIK25qQx3cPACPco5c4o/4YVnsM+70Bs3NOv eobyfKNSwoDMmsdNM+PDsJIicOod1gqqdYrbkY9Kv5eUhqlAAiz5vrA8XpcwLqzw9BPpZS8/Suma 4fNOz9NUcA9DtFgailbG6L/64bFr6Bl6MWIcGhCN8CX5/IFaeArw+CsUkwVGIN7HFxmIGksspD2l UDW0FyQMZ3sj6qoU0MFrUAn0UP+inezZqTgNgxCrkM0BCx25ouOhLF5NHN6HQ/LxDb+AOloU4P32 u52cT4cH0MAj1aQdIimV6BPclMDBh2Gf5s4lfKPyIToDDTOzIKOv23dVloXj7io0hnYQ+ZRKijOc +Skm/4TMzKraTfTtTqpjM/QEUQkiyF2ptk3HOBGQF/C7XmJ50bDzZcLKSRN2Fi0qjenOGXFsIBlG x/jjugWXTMc34vcbBI1wwY/lBptP0nnh65qG4eT8rY5MbxOKn+tIqZxfHbxY+tpGANP34MtTMPuC 4D53XUnKdoDWJFvUU/AVs3rqYVNUQ9O4P7iPO102rg/Ri6L1ibPsZidTzDSHqFD1LfE2CNv8j9Cz DwcayZ35vRF0gnzjR/9B1TWsHJgLocPQUWkEvF2poajuPOvW9Enog2td8dJ3WwfGckZPp/gtA6Er SCHsvI3DU/e9wq4d6Vqduj9MxIvaiueXiaPNzcnLnxbTS9M0t7KdKAi6k3NSpdS21MNKwe0oaIHP C74gV4Cr7/wM98aqHBTkWcmxgL2HZ8kvElsnP13aUKyHu1JhHd+/P0PFQ+EDD9GOm9Xh24mlYo1s RL9Uv/4q04Fpd7UwW8+AMqKidVboD1n4PCmZe+/FFsIwEtgmJ8kHzfoAKqc+HMtaY2EUcqg7jFYK R6BCdDne1e1mzRWqYRcLP8lgtJ1lCfk7B97kBM4DzMeB062j0VbtRRAxqakVnQWqu9AWPljyQILN HXUmLrQ3Ndw727AxBFy8f7b/RgJgc7y5KZ8kkX+lkjJms/cpjsTkBBzhN7884BixIO8RTklWlx16 3UPXJliLXqbnziWrZwcLU0zJ1aORNQMwgRCKdWfCeedhsKBHwEi4O2E9XyI0U53F9QBnCGa7Uygz IhK3HG8sKtqSmaQUSW3LbSnKCUz/klGFnf73wRMU7KXG7xwiCL04Z2QelqWazUeIOsHbQj8JcEQG G2gP1yWWZ0LGlRbVsIHwwZi1Dc59VRiXJQ3+xElf6HS60SedWEoPEsPj8CKgXb5jFDRxUJL3CZkF y1nuS6dWfsL1tejQMTwZaUm6ylYbU33NeHxLWM+zs2DTv6ielmSQsP8x7Gfd6ClI8fwhwWZaOFbC 1Keg/zXDRWjM0QOVxUnmT2lLAmkKqnzt3nszjbZpQpm7OIXrUKLjC4NBcGyyjGtMdkvPXU5vSX1Z bDb81D18sxFRiS8atH1Kla7ztucYDu9TAT5C3OxXaEwOHs3kSbbb7jkJNTj7Hm3cQb0we3Aizzdb vjubBjWbSRRZIgU5cRkHXEy5MdRYUTvIvGqcenCD2vIkRk9x+Y1aqIqyBalwXG1Q19B+CcFVzTUr iJyGSvI88sy2I2AtnJU/TaiGzPZwY2sTgpkJ+7b6wR1PcuPsg+1c7AB2UkiGLOkFhSMww232+jUM UZl7+CrummPf1uh9qyPnQZDbtasGhBUXXL5Kik6uRvTKr8PB40ys9MGBw5xlYNe7OeuBnexZ4byb BOYV9Wsg92IUH3gVz9t7HwO3XYjJkfwdDxW5m/wb8RiYcb1/QZjvw92a/t6bs8fr7gWewUHDDJco o3U3ed5o/LFvD8uIO/ON2SqJFRWbQQLyDUN0uQzOhObQbTKu1+HU+OgNkqhLQcsOB+cc7mWDhhfF pXD69yGNbWfVNfJO+Zx7KTR64h+K7fmhIXCewtzAPjOQGQqZnxd6o88uhI91iAut+JeR6SqSGHAq VTCzM39cb2f9WG6V9YmE2964fYiHW90bgl8D4RvQZ/AhHzOCv4Ux0UOlN8AQEEWokgplMcDsKCeG 3AOCvtr+go2iMBhfRRH5hZ1z80uAHqD9IE5a/bJ3xcp+NCVEM9a9wbq4NtTUBsRGhQP9IHOw7VAu o4RPGK9jLYko5zH09IiS4oTteXYL6A5zs4hhCd8Msl0I5LEN2Q7OYbIlMC4cAfgABj18GoULeA0B dwcNQI0oI9tgGJJWJ6pijUwIUA7amQegAFBMd53PIR/SWUoUcK48GZhP7sEvmVn7JRutJh8aFojJ f0cIuw/jR0c3FTwpja1USjqkXV2E13aKuukpWJeZxLJH6ORHiSr5vv3Rs1aj275eAK9zhbJvELo+ c8WQoWgllHYGdFGHf1YhmBMl9sz5kHpqyjSc5IkowCjFDTWzIBQpcdle7a10uebVOm6qNXsn4xLZ NUFusBulUS35tN3VE+3njXucd92GHk04Tok11WKtx4Vaqim4iVtYa7plN8L5227xkNKOhYWWgu0T 0NothneiWNxBqTrvV62s5EBorqDuuLuiRxglcAE3vXnsQbjJUdf8TYe++dKfhuCXK1IkFTKKlgYh KmYf4dJ0YjMUPwWopAR715cS7xId7tOYrABlX+whu0MM2Mco2qlSFEyMDt6xjpp4gr4ImLpYShX0 ig227MJWnYn67hBSJ/fb3CjcOfs4rYqCnaiV4YjwNPN5NT7crduaslwBdc83JevqHLOPKYplN1rF xNUzbwU2otC07o28yjITHXK2WcDyL2CABctTcYVR6NCJ2GHxSDMIp+cdZzGIZnrkm+7b9loPEEEv FEznCfttJvESXJLTw5Ubk0AWeGCB818q0qoqONCv/Y7us/LMMxvwca5v36Bw3SqXEiuX1lRNilrH 29VVNQOiWudCgJDk5iv2D3rsdSdT/yub32D5qPduSUVe7NOpz+496and5/seMSKEXrJUJLSqIjm9 8lqDb/f9BG+Y5wMZgTH4eRNMmQ0KTEXrqPl90FWErWErJdLuxrx43TLP6B6+LWW4iSGqyQ4Q3ij0 bpxXzKmCoEZWt2idvHi6wekm6yhfaTPJW1Fif5JDPEbMXC0+7l9JyGzNGHz69uzKYrD1I7famwQ7 UStotCLScG5zXYQEM8z2Dx6VU7L73nwbxfcLdyK8vhvUCbNVH2mIm0zFVdgvg4Ob00T8LZ62htV6 uKAgnHGnMYVVPsXYyL9XIAzgPpDaHLW3j4DtFO2I//Y6RkAyBKUiRBPMr4E9z07PdUV34rOwRTN9 T5UVemboYGY5U1msQvmWBKPBRJN1SE8Jpjn2j1M51D++Ct5TCW1mIEjxW6bFT9zcS/HJwIO+Mu01 n9q+3N3i8xWjkdJEtfxu0JU7J1pO2QMxYlS+7qDbqNI48qoWDJDZvg5TJaj3Kkk2MUO8wtawssII 8nSsRgH3DtWVY7lY7VEw3/PMofIccQttLt+P3+EYUTPydb33OoGbnHoAVpVAy8EE3ZnW0QWLp4nc eusRIiD4YeBpgl+SZnBMzuHXrEimu9L/vnNzvLCkbJ7QDTjlOqFJrSbf+ijhiOOewHfb6fk51wFC pGVl8Gmsfmhj3q4q15PgwZqxNZm/XKROzzvDy2pnik2AezgA+7vZHjpYY8AZtMxwQwC+w/sQR/Bl /CbXacBkz/LFke2vd4Mit3EGFYMy9LmsehE0ZwzG+0aiSXEBM11fpn1gNaMynremYjiFUj5DXrua /vPOHzIOYCH2oxOSwrLdj6iRon/JJfAtiy9efKFQR427rr+2WWOU1CeSDwwunt7l8fxrqhCapd1Q ffkslA2icU3qnGtdvhvHRkNI2zyljUxYN9fQuzFi0I6IHYYbXKIjfxALdeKVyZnLRYuOd4DBVNA3 Zl+ySf63zQJFGeStCyneTfkGNdqoadfeDwxt2j+Fv5F0zQb2UYrW991plFOIXJHswwPiYGX7r18r 4P2z82hpTAf73p7c9jDrGxjHalo8wIKzqMtcKgD0Pa4yDNHImSjVuagvyaU/aGa2mmQMVyL4beuP OYlebT1WLUgJ4UbnjZzXs+qX03JWKbaMgjRSwq7amDlS+V4A4eiijhBqaBU7VEknUUt469d+wiTg hIUS/SIzZxOD98ZGPoRsIFjHyCJlmO9nuz/dNMMj87ZKoeWwDrJuWpCsXe8oFsMuIx9in6Z2pRKS OQHHJ66OyxB/m4/qzAnJwS75YBBW8r7d6pt6tNzhhc4ahIEgO+ysMcAedn8gF6s/ZmcOC/xsXW2v 3dcPIkd9UdyqqMpD98kJaL69iK9loKvv3o5GVrkeArW0ZKbpuCK7SfoXhgt/7XscAov+i1f9ibkQ foeX2etTNrzyray3+VI/AmygbT9Xxl7t7tQfkc3fw8YBEGqzk99bs6af4v+t5xNH05JrktUhpwfI EO/xwmlcnyDtbU75nPYt5qbiGZHC/+rzgsz5jmEzmAiWeHi/rUYimmzeBHxKNsTdn+2FE90djRZs wG2fkSI/iMAglCW4XYBdzLC7PKWJU1PRipfpnv467RStfJEtf5LWrFfhv6AqJuhPF0JXB/qaqu3N 4QAjZzIFbWHtCeDz/0aGHkCyxdbiqOVLnD6KrqLUgwuYDDbIyRQ9l3SxN+SoUK1MxcaeWdOAnMlm iTVwIe51XOUsuYh/hwKcWQmLXOiMtZQTxT4sl5iOBKqM/dlsZu19G1Y5gBQx/1K52RDD2pPk8Rt4 zYVXI5jZY8nsZLCDahjf1/xdXKrOwgv1ifw8pwwuPo+fmbQDlKDR4F4dOrvYx7UngR612SWVuwwd KiBwJmRCsdwiN3MImQlJ4MLckc1mwNaRM+KVrHUmgg/53IDse43yXoETMVb4eDxQmyBcoqT7c6LR zGPpodLYMlpDnxfYO2/Ovy0Ts0QLUd9MHRnrYT0MWLswrOOvRHtP2eSrbGmQ/zS1pN+bUhSDtV5a u0fkcbYosRwAtcXAoSb7ycOvQJFiyaypiU3c2387n7IZhzHA7i5M9VpGeVMKEsz7CsiqaZzEhcSa T3BaZHwMQ2hvSBZGPwlkRZpBrV6B6aY0Nlw8ERgGf70iPLbnLbeAxUofrIuAqv8bIH0Mz58x4ulT z/EHF+ecjQV2kDWHiXx2XIl8ZE6VxU6HzhyXp/jbjCTO9XW5WfRmTk4jao84sZuDe2e26u0G1DjH SvkHA2zmov/aL4IhhIHle0GS3GuQHgql/QpgsXfUCSJNdN5qnYS3JWRZpwvcykEsxKTLGHXyQH5e J2dzg2DcnwQazST1SalU9VwBsvimLsfo24mr4wG8N8Qp7ak/6qvj/rtGvD6dTkU0ACGjEBxegM/R fGdVdeDHooVs5TqYONZB26FykB7meByOQNHgeoK0oDZkNbng18lV/rmH+3Dj5yUWVkchQdfb5gKP Sm5Z6kYOK/nQV5fOGiYg/sb+SpgcarRbO4UW8lzGrI4yczHlxMbTX/b0RoNR5S9tb/+EclJAo8/w 095jzufvFW0vSJ3rDmt7xsKU+SoXPILj+wMOU7zErQNr+t9tK2/g+srzEnRlBSpPnFFr6sPZdCm+ CNos08+aWqc6iPphezJEi6GZKbW98N/Sh8CrTBxkiR24qTxa5EWrFM2D5BHG+LQ6Oj6qnl+wTuht +m2shtuW6EhMzGEsKgISDLCpMXosDdg3ylzG5gk05ee9W/gzBwdMv/ehPdb1OdVai6y9iW6grHgt UCfy7GpbGqPdShpxxJJEx9wWNCKpYpW7GyEIugi6BA8MiFUoULoagVruhvGSfRVjpu3r8BsGaUUJ 3MVZ/VzKRJL8kxn+xwgObEWS947ctv6gSsgtdqUambk5fCMSbuOQuiSNAslr0KXtRiYoZO/TZfMo nD2BBSLEOiu8bTUmNnZUBLz/3FLMACfrphGkz9LrAmugIAFJvsPNPZPsNJZbWplPcsz+xoA9j0Bh MKf/xoWOXrtNE4tl4yKDHUKA0wLou6mLIUUJcd5C5AcrCzs4v5ss4qXKcfMI7QBIu+OhCNfR6MTF K1+7xyZLOym0IR2LzxY/17h4NDaC2GOiJPl0NKiQh6kQ+o2z1GoWEKW3Y2MimkHCca8Xz5BGYwiK qW0qGZarbApaLUkKsxxovbzypAr3LL6SPPDJD3MiHQ6534vAXhYgZGjZHjqrxBbG7GSs8k+yFmEd 5QgYSu3zVDT5EWtorQbNRRaDMh/AhTaklXwWLZBCJ7jdJ0Eg/6o5r3PzM7q4h4BNtn7pSMmHEjFT fEAwqZCFGt51k3iD6oIf5Yn0lpVbCo9sCAzlMtM4KqPnYp3WY7BxKED2mtmWAK+23YwHHc5zrT5j Wtyb8kJwcAUiGa7ZeTcJKjN+/abqrfNDOVoTb9IPFUvgYmrkOhkm/skVINy9OxyBX54mhDHAj/M/ vy/vWgISSAYWSQGPtm6U8tKC+RkF6CKJxac3fWkxd48dGshR1gfm3quvQCiObjia92EWNQmln7o7 78x9jPOrkmWhC15VNyY/qFp8jUvXhtWBUf59urCpOHDNEIqOoQ92oN/X1YK2W7oNVAiLqrjCWjKD 7XP+uFF6fww5hUbKI8SN/35PmKORaHSipqSNbEV3NYVb7jB4WmaYqkdysO7c7QuR9BgHEJSbMkHm NPHYmF9CQfEv9BX0MaE8dH5OIVMzlKvcP0K0FDkKPv4JvHtLitNbyo4Hhz7Ov+spXxDxrgAvDKUj /WJ0lI7TMMTabXje+zCW8gcuUQM+IK7KU5fxXtOk7Wc6EbRb7fK1fHHvrqxs/U76SPFkEvIIXKyq xSwiEwHrcDdnVCH/XycoMMh3r+2GGwboRwISCAuQ6e0mF44FLQyPzwfnlIMlD5GswrGCz6gXZ1d+ hXNepEfe8lrekKPgLeMf5ierz2IDD3DDWouRDtwBpt3ldhp1v+bdoOdc1eokY+yqVcdiAo3JFoMJ bmZoHE2ydts4Deq3S1SQbQeqVjAhV6fswjMv+INFDX9IZBCQO0Qubu4DXJLFMi1wUIqsIKEtN680 C+DifyJK0AeOhkTArOaXKQFgyDWNGoVVEVaTtwnpHTO+1Y59EIidO0RqtDhksnIjktT1nzzSjLSJ pNZboWIxLWrUACUgXKJfk4HDaFLr8d5XYpKEv73hvshjRv5XZTrOSL5YQUZFomQoEqDYA2bI5W5X TaIiHkg6n4Fjw4bg8H0fHiNzduKOLx7ydPIMfypZ5xuDQQzfVbs3U955/Tc8psISlBeCNxb3CGbR sJ5Ox7UkLXqfFPNYLxf32GTi+g6ThZUaxP6Og6+o2pWSgfptA0/ri92iJeHSRj6Q2utN/lkydwTj gKDGmkNUK/1s1DNvhd3cWcb/oUCNPsLHWQymDE1ehi0jUidPBGm/4RpFg8QD5bVJfW6YoiVH7Uha U31DsoQcxsbv9s1AgPNU+QB3FI0amI1QU7hWOQMpzB0d3OVAjDZlSlPssNZNpRxdcBt1306LdQqP p/lw3NG4FznyS33NTFObmhb6YX2POqWyN6O8bKr4td8g+ZGrI6elnWgDcGk89H6LELOLeEZ3RLaB 9NkvVcWaVr04pDvsCvLtsfqx6ymecZKlGGlkvvN1aIJLNO6SuavdwG9mV6ZOwegVZjSLouqpDsfp HJulGRvigdy33wwbYicuxtFsCLojYSJ0Wjo1zLmPWv6yxXW4Xu5SqL5IhkdxcNaSyj8zi+8wL263 Ecu1v8A1/OCjeF27Vumqyz1naKFKdzhuNV7FuoC9oDhVBR65n2sk6flbCenB4BtzGdXMq5uLGx6i Il0j9kLXZE2inV544RpV9V7y3QtSU1ys4sQpiKV/XzuIImDVDEIIIzXixTBhnX8jOS0QuZWGTbhJ WQcXx1uUpS8pRPdUpg1LP3hRZ0csY5mSxzW86Z3lUvdyonC0b1qSxudSHIg0cR8j+WIlxLXeeU0W fzcKT2xQzpUFOMQ/+tSe1SNA2MeJTPFAx5SYS2D2InBSMpVLSd2zNehoFbeQR4Ik0nqH6bCtLYmt L52WGXCM0E0nNAYjskv3c4HCcdoMVGreOfWa0W9Mf2XqPfFkmAO6I4l8fayztTbDDM8oBqjZt2TH 1qO/ar41UBXgKJsI11p2DVF8RGMRgGMjbtBvcjCZHA8t4uxsS2HQe0+ZlYkrwPlZ7hSBVrdkahh4 cfDvrvTXtcG+oC9x6Pu6cR0nNhXARuWd6OsnMcFKrc++Cf9uf5xFnaqBU+I23YpXJNy2Z1FE5GV3 NrqxljdimfF0AuTpIHQwAdRB+tAEpKyhRwASIzv93FuKb1EinvYIGUagsLV4SyuZp121V256M8tf pNI7ogzcNVOdJV5xAFvzuxKx+J51qZtV3ZYp+mcuraBprHF3yr6EdSKagFM6SJcSp0DuQnvGFA/b NLqTRnbnnkpMAA20g6/ZxdB8SZj8EDP8gXtYsAkoM3Ny+ufYfkMSiP4Hzg1mD2hg4/e3yey4jQSx bQV9k2SSKcdxVsHOenyek+HRTEP8RxMk8USVtGOWYueQIIr4VdvgXRk9gqgfJPXGcUANYxlSQy3c gQI+Ir4xWUIRIZLfzZ+g3lHi5/nl73AhQ/a8UAmAsaLPzpSpOzwVQtPIZ/i1DVVJ+93wnXXDVR3C fes19FMxM8+vseJsHvCEwRUKvW/nmNvNinZeaOBmDl+i5h5o2VKvIGIV/FHQnN+zGpNvT1u2QDGv 6SXIoS46AAnajoYDgrcKiBrPmbDLVcWUE8PvCtkJuTjPBw0XChvlYEn+3K5GDqMhmCuDz8fX14p8 TGei7B6e3XWOHapgJUoxkCOH7rec+qzhv+N0EvSGoeJYt2BhKP5zdA4pKqwYQkFU9pT24AdR7ShG NIkAn0/Qyw5z7M2AN9D9G0nxCBOV6sEk1OcpWG+ApCM72oze6ufD3EHJp2Qc+IXAyX3rm29TGy7T P4NxD0R+bOdzKmpHCSdXNmSHfjHEh5YZra378+Qox4+Qw2CiiK1h8n6533Kjw8UmX3d1OXnphuTN mXC2iV8veucdHzW2z8/+FERkKd7fmdVdwFVNlmOUzINyev8TWrVqewW/vmZau24jdwJUYI72hY3M 7solMuSMqVWpzEPdfD4jKz+4pDM1SRGpCMv1upqXteQct5LtQlGxbs4/4ShjH6+WAxTVCIpz+4wQ GsRsLx8xEjTDD+kdlaYR56u8udt6JwdoVe3yoZRmULw6NcH0VMYg2XulqfE6t3XqtSKYphCetqMD rHr7ecn5ed1SETft6RtudLVR7prkjOAt+FDI9nSBx1Lrdxbb735P5abHQLe67uVdKiYBjejNrqJI RPDYHOAjp8Ao+GHlaTk5hjOgWuopUKXv0FLLwbUXWwgkbzAUbUrSuZGhFdafa0Q6UnKyfirEVQLv jGdWUayFIC3t1dD4v7opcNPO8ntey0ToOVgI+pEPjnp1Cddt+on30Kc0E1d+VAbPH8mb17KqQCsh 4VLM/ChwPSeTXIRg2A5WuRXhCSapDrJNx6EwMdc5hykT1FtTIEMJ9J8lxNws9vEArj9lAMgEPJ3s Z/bkoCWbF1qaF3moHsV0dwsB8bampd5WY1s5jU3uBYDcUJtqxafDy0uJWlm5NdAqclIb8NZPauYG WKCDNjUOq0BuqueHZOkCeEytYqKBzrzGByhRzAVB5J2d4UbzGOGvCugQWe+NxRb2ox2eHaXDogXo Bkn43Wj/lvv8FLhORFeO01jZoEbMo+eOb34O5/Qa63CW/dh68pStlPZWDeb2LtoVsvPqH+RPBdBH UzFSpNioMcYjkM8vLf1uhNyheClFJoB+H4jwM/jmXvKBNEeB5XXXwqXA+XkRrIa9zmGM2y2dC/j4 rMZCCkJvqQcF2hBp/r+WZ5z9aFpLX5Gyc6jCJORTszONIPdM9q6mOILWkuoEzqzjv7yALgz+v1Mt nwl5YmnCAJMZ+X5Xec4hvfWoxSk+WFjc9SdVtybpNGSxF8gcz3mpEyaYTGvTFMUE5Ei+PlrZ1ZCz AHzGvXIhTunbSjLswbqfWxDPLOC263ot5I564brXaLEl0Ex6xRXAdUrmfDtEnpq+SCaGclu1Ixlk rS+adqfxi7nt+mmKg9yvtSzrep8CW5tRnAQp+K31LwSg9ZIvUQLD5zi+xJ0nGV7Js6tzmk0jHboF zasgYvJvFWd4KrCdH1M3TI8XVcj5YVMGoBon3CJLQl8emV/pzopglVvkOBRIz9mWrJGPZ1SdEdXP WrRGQoGLLJ8s2DtY651W14KB44RKVjK9moPSwTdVCCkX5kMfyg9ZyHR5DyegdKj6GrQrBQvuvT4B eWbeXnpjThGOPsCz7pJgO0LySicE9CNGQSaTvCgqJVW2IKudGUwfAQy84CJ6ykTrXNgU1aUHY05m hXFpAr5vYfqIwlEGgfbSHwwCWZ5g+pKFTAACwV2U2fdO3Q9Xvmz8PtDsjqYmSoS6tx7IQ8j1SNPK NplHXi8mzr+1qUWQgtvtkkPWA2waYtAFi6bNqvk8SNQKybHCtG/J8LA1TDPRvUy2uBaIFBpFrtkN J5WtwLqHwBcGtamDhpQm8HTnoMUzPP37XVP6us3sBTi6xSGQqoqZOBF97B5MtLOGYC4gph4MK+oi rAhRpfBI6FDbmu12BB2uBLAQewZYq/DG27YEqLMRNcljYcKnniPRPsGU60L4KB0CrmdpJm0r8o9S eIzyImCUzC3O2iIdzaBPdvt+C8boyGZNAZ9Oce6SPKZZblEuG1IhLAP+C6p3NIXheFlb2MDgrLX2 I50E+CuHlliDdlWQFlKILeRlQ8H3ye5GhFtfWonnrsSejDfb0cK5iYA/mlYv535cnL1M9kQ4NuKG 3Bko3n+WzIdXip1bJxj4qSZ0Re9tOnf6K9shvJVmoRv2wYzfWTsC7UVN625qnscypn3o7S9bZF4O fZBYpyNhDhmGlyg0fBPZ6tgJOSa00KXUXIuwU+9pM+lfP9tE8EAbMrYE/irtP11291xjDL5ZPgdh eUX514qsyFBYOAdmS+CTZ0vQLCvWPfyayyWWVz9F0Ee65B0uPUl81yUWpBHVkd5xaow91wnZXve2 CUzN3F8Zp9/YQ2sDAEmsrktlhzTRZc002f5ju9ilo0vXoHGJRzqGzSQM5bZbNtH/VdRSo+AaDkzS JRGlLLykGDFYFAs/Ac3DShwdUnlhQeOaQJidUR1yEP+HlFjYD8K7rqgwKzdiqV24akr0JzixvpAT m8Z8Pz/pDemkhnSG3sXJY7Pm31wEqKjeqMApqYxOxAr1VPu6n2Gr8fDUryU+uNtvRjmXTdBTRA0y yG9eoDpzkLqLOaoSVWKxwQjWwIXZ0nNhIlZgYvDDBli5wAYCZiR/VBSkqq+vX02vU5Ig4GOXlqER 0O7b8vBHfabjTZZ0c4Q5Ob0vlpZA0K24G3NEBH2Yzvp5ZTD4ih5i5RPFTNNpjp0ZEEbSo3rkyEaM w/T1L2eRx45kvRfnRUDKf+/i1BxYWeDau3eqC5X/4xI+ui1JZcOp1d5Wn2iX+I+zJTJ5hjYiPGt0 DOnV69fqIqh9ifRPQiNgDQjgNfPmBVA2ZO6z1nqg/oUiAbTjTX8Dc4hIn09vbv4Fba0i3i3mbkiU aPLGt+38HENRgd5h2VI3pOssiohplo1chkaQEEfqQNptQDLNJumchx6RiajuRRT7bR+HbgmYvgAr 5/7kNRnZTWSbYfawaxjOrP9cM0aRDjVg11Dwm9KeE0faA7QQMwKyjhlrEP2HjDeA0+VwT1y7sVK+ UlVunWvNyYAWiLrVMUhso9epsho6Vln6wLqTdZepSfUO7VHh4waUaIbFouF1NpXUtiKeWHAc463P Sr2eK2LrhvTZRAHKTqM4nsiGmTXFsf3DS1MOzPCYW0iiO3zFjq33xTUNGQatw5Uptl9H83ftpbJ1 zMJeH0xC9OOOnx7wSy0LZfhBmYgo3E0/xo0AAVjWJPc8qG4/CFZnfbGLHP2+ezpbZ5tOFhDrUUuK rKOUubLuPcTNxjj+C1/QsKqdG5pKjpYopJm9OI2zZeysE6Uynoi2ICvFu7sElAg35ySRgQoZmsyS TzOjwNhyV2QPMNxTgQIx4foBuMJLSfAelARQIAa4DO7W4RVtWQ3PZR/eKc1nB3DsT8Gb6Re0+qAK Oi9Num8SoR9PzDwa2QW3jH7GmRKFDVL1fHY6ATO1+MxKqKl18ggARkI4zbJ2iK2ua65mnbGWfY5B 1ur99rTXHbyJhalcDDfVSZDSpp4W8pzgbLTr9th4HS/HTHHtLuAp9Ha4W7ccOxj1rMFjQGZdmFHc UW8KOU2Pml0PCdLdQRnZ6AUVB7c5xgjYslW4o3PPB9MrddPssMZBlVOz5lUOVHRQF+ZZatFXdIM4 oQn3kQg7xV8CMiaA6qF2yQ03iKQzvn4xVvn7Q2lE75EM4OHSiNYDvoZzJzRLM0efNb3Y9e5p1ssT 3KRLd4TOix/sfcryHZXosccfiBKrYvu68hM5lFQHEhG+4F3K75verNa7Vi9akIDxr4BuZ5S3+jB9 FJBA5E9TxRG39Q0/OwrGECPxRrKgug0AP5+tQwOkfJBk/H85YB7CO0P5Bus52/D7yE199E+H93LD wW/OC2GDZbSKxHu6NZrrWyDpFdgOn4btSBaFP/QvbByLXUf0hOwkiJ0h7k2fyByVhD+XWe1Hh80u ZloZ/9k7hP31Fft+XBlX931ijyf/+sUL3ikcIB9Ldr1YuUIhQy4l0I2ak/syeq03uswZNrLIHEsC xGIMfZdqLShRxXpC6/blyVmpY7RIF947F4xnKj4MrWtOF7duTFW1nBY0baHofN6v30mmPjqoiK3b uVZ9KkRIDqIMfO6A9PeZk17GBCvIBx6hBMnB4/oTHWTwr/nnLRIMLi0nRG5DSSEEgs7IwFoIbr7H 39Ouvuh2yKJPRoWjEqm6+hYc+ApPrdIqXnJ9f1AhTpwpo98Kkyw6PpmQ2iMPr4dc7YE/3mWua40n 3o680RmuGd2c/Z06n1ck/OX8BWaZzL/7ulaOqQtb4E1Ied+m12AuUGKoAXYXQ9bJmlP0rwbO/Aw1 jl0zc2VKR4fP7TVao8w7JkSVgNo5Vdx6ICKdkiBF7TiP0G25UA8ZWkWtMZ9Ok4xgB86ip9G/d67a 3c4YmB5/OLZb0v0KSYMbmpb8WE+kQwgzLRA3VYNCXShy6W4S4x/8VJFHeJLSGGiSQNNMVFWS7V/Y /3GBXCDDj7TpIOgWIUgfuSUyAtJxbu7ymLRs6Kheg9Gn8t0nmp/wYJyoDY+eGKsaswiRGwdU+yox Z/+4n7xAYCc41EgnRbRr9XZZ2qLgrbTt80PgQ4RBjOe5uAAcTTbYlFQuNr2HLi8bixelintzUCI4 MHHVxNyl7sKDmqnJy8vpiEAfbMu3Qnb/kQyHbXyOX4wmnnPAfVRZuTPD1JyTIn/5fYQ9yruQ8K5J SRTPYx4opNMmiAkNuWzyUdqCq3MkRQ0LXKsk29wXsMsL4DY5PFS9addfiRU268FgMDRz8ee9MRcV 4v8gqXtrN/RjyQKUCBnxX8RMuBKzhgPL3DBDKXIqQyILqs7FpwF3Z4lOQl7CRyOA7Z8G3v+qjFHo uH+q7z92ZQ9u+BFvT5MDHzKFGq/wy7DwxiqUqvgVDPcMIMgmLwC/B1DzKWjLx/CgrYJofShK6W7c RnRQ6hjGMWdpcK+oPjIX0+P9c54+fSfL45AlP3P4EtnpPqW7/Z0Vh4tmQ/HxVxXSsM6FT21mGZDK rrtcfKNox7H5LaZ8kP6SLjoOGOEKfvQu351MsVWUSmuRPjMiPUhYKkDsLz1rYrmmSDmeuXN8GB3Z gwPM3uUuPuPDeVu2omX6d0SCyb6f1MDxwieNvIchSuIhOtwoJzbgpCk6l27b2P10OO808na6aCEa 8BWkDdhnVViFb3tJCW6+AKjFp6quaVNR8SwyQ6qLxBgD2KNnDOn4a3c/L54LW/qm6X2ou1foqNyt 0xY6IHqBDUE9uhCM3jSs+/3ItrhmpThdgFNADh0eg1GPosqZRSnQVSeqYcGlEBk498x47nuuvVO8 l6vioWeJ1+mmQg6zj5IQ3BGyM5warLT5PpwhQPcITYJ5+iCu7B5UInhTEVLJHFnQa8it2c7oVVNh UIU77fH4B3xHWPBRdo4SjNdVyxoscdSnhHH8X79StGvds2YL09QSO1AbOOhYktAbatiujwdMboT1 4nj4s9gztQ+FH2sknKJsUWpInnN9EsgYUCa+oejBDdQR+6KJt0+wb7BPjQowjL8Tw8vGX9CZh0So PS7r3o6MxI+HGAPUerA1gwA6JA6Ft8fywvhZwXpoO2jmKZYq/CoOCaBX3jjcrc56NVsFvMhUKG/0 itJ+8xhARKgSe5sUIVIGor/ksRQosw4FgE5s877GQwbRlLVtR0KJTiQuOojvxfesQr7DdhaVOHxi dvukUQ0dSG+vQ3jKSScIU4QJ1hkt4OQ56ALNiWw5He5rYXext7Yd0a6osXvvmEahAsONcAw6KcBJ OeyWee5V4vYDbwkN5t2cj5JVvSPPR3PobEpSU8zj4suvLJdrZDxwfM1d5e+eMC5ThMncMLhqnVLZ mCfqTZCOAJH6UqJxyqqIQKzhWuG5mLArcpKDH3LENE/brWi23j0lDqkSIGdkfn5+jEjMwoFS/0zF VfSvla03GFLHpr5YPEwkr2MntTnk1+4axbVn15OLT7e40W9MxOUAN7HlhjZRTu5J60SnCI+zbSVA ZqlwE1lLUz+c+gu0g9oe2ZIy4FVRdPMB+XPGtZQf6sK131US0SyPwepFWdQ9Thrn4Rk6quio6nsK 0+UlMz5EFdFDRnTNcWt7BicmOraB2PY2v60GkQx+xA1Kz6zAR90Qh4F8boeOWmtEg8MxS+zjzG/a inPmTzwo1SMfrNpMjJQOD8+GqhmlNQcklzIPCaVATvu6yl/xFiLFjSs/0YZuImabznCnpwutQWkX d/J+IfQa1vHz6qi5ob1Fx8vC3WEZcwC+Rmi2k5BtphLzKQd+R/BssxK4Rty6RiAc1IIYGsYnt/bb NZsNffXEIknNF5jkg+7iUv7VDhEhkN3MZ/BnQBBtAy/veCVPj6hSC4h4SOvicRmou6tshc8iBRTG NHhboTgPvzBN1gIXzIhusgkJwoiQPmW0/M0OgVBOjvg/kGrhDZ/cWxDyBw8D/71ixCaLIpTmQX6L TlDqWG0Z5WhpeBrDP8xvrQV0W/2gZPyfBx/Wa2G5GrIKQzd7e7P0+SoogTHp9IpbU/bsgEGrlPum YcRsDjdNjuByXbQQAqUT59nbbDywsRlSVNUzou3gpJUABi0K4JMPccccxTE+msIVlSlTITaGoWsh /PBau0sGUFLzOlcR38sYzpGM6hPp9Tf6j+eiGGZx8RIFZ9CpjmlvYhk4SpXeCFEWGlc5+EDf3FiD L1yC4O7zNQTelxu0SSjetwUFmH5Ll1x7QasLRIXc3BREr1fQbRbQF7q+2FjhxasxUR+oCERC1u8K ugzVurcXsIfIugd4xwKkarIf/Cx/yxHky1tiT29XpcwCH/mILV1P3up+kEX+YmMFKFeS0CKW0PJj 2tkhEZLRdUB5BKDwSDUq8fxObtweoW6aryHVHURaOhQLPdjU1T1xr91wqIs0pM3zEeb42jVchIBZ 33PgV7h+SHxMnXA14niOQ1+fPXAzaATonP0bxU2QAm/iWNNtB1pTuZU/o2usRfO5nPzmXkfUl4Na JnJmi3DAJMP/YuOSiU4/FlLCMAEnC675kBW/5PNT+ODV8f6gtGBdwVn+9PPvyRGB62LT76++Yg1k ZmrYuc9NL0a8fpubBsGVzYYdSo/Gat92oK4/KeLBEH+QF5kL4gisUqAjDYIbjnib4OaBi6U3Kvwx tLgjNDEEgDqNMt4vQUAOCaarqu26JamLmzqhqQtMLVnNCQl8FpGiT3OBj/GTylbb1dZljEBOKGnk XJ/u/0/YcYyxnfb7DH51uFpCABRbrLi9qjCjD/ReG98+W3DNogREtDrKREGyCU0CAik4+fJ7ihF2 0x36zHhOOICoZ0IuaedrSbzcIwS6fCRR0QnD7EJFFZUK3uqCt9aBFr2MX1x/C+s4CDrZ/SLrFc9+ V9F9Nc6VUhKRMF/lmG+807PSLhe08cqQMLbwEzeXHd+9KA2bQwSAhb0g21UMzzI8ayz5Md2X4GLO 4ptgsSfYDy98Fh6FuQI4YgjQD/HSSkVPcBzVXpg+dw/TtHwKN3IaAq+YfvGZ8eIvPL4hkB101x9n CpLffKqtCI2+QmsmfhYBRkC+z7mg7YUYU0Sc//pDuWejg2HChHMgXUdzpn/rimQIRMHoOmRHPxbi sEfTK9aOWpaKAiHKjnRY/sS+0+damvI//+bfM4D3jU4rEAqJuvCUsGx9c+BmEsuTTj41DPG97vTd vPMq+JtPNIjgJtNNPiR7u3+QgTV8y6pXTmn9J3vHAyJwVpGPotBb5IbFZROzZkVxfggqcu5diVSO U+DL/nEeBRxNzCxmScmeACeW4eSvlSz3lLjT9IR9NZhsWti7l8l/AsGD2wSWita/QeH4crP9e30I NE2Cxyp7RHL29hDIHKPQAexp42SaJatiBewyHo3c13hqRHNnzYdkE7sfNpPeRTpKImBPzQRQH3Ma 7zjv1m5wzrz9TLH6VT7FR4Th/eLAUiPft4mW9ObKsOZ2JZEYXAAm3cGVos76GP2ftuC7kSXGINmW JpipzHmE2aMUKsRm0Dn0HcBCH5TwV5KSFjcDKZN2RsQFzYGCxOKAmKCdVAW4NxL6vSHfOinMZiaZ lia1SK23hCQRlOOaZSDtzHUTt9pVZ/lXjZmaofSvyj43ocOyqqUNDYq4Uw0eS/OPQwIAkhS78lNC fUQH7NqS3SZysoMNLyputxlDJ2tsPu8jXzRzAjvjDvZcK90ZXM5pohTGYchX5ADBmEFYU3C/0QDU 3Y1nJa9jZL08rCIo0hvBD4CqVHo/2cigHxD250xfddii81sWzRwLYfiJ7p9aGGHh4mcM935jW62V z14owSpop/Or0DVJcmjA+GnOMfF4TEvDZMajwGGaUxKZMjIMxfbxQqFCwFtAtVtxgSVIQMriUmgP HfIJOAlJLrQbXufFgRjO2QsR3m/ODV8LrkwTU90/pMFZHRymejyfuweiTnZZ/BTCSZKngwvgG6Hw RS8ukvtSDTrabxAddy3BdaKyZrGZLhMItlqnt2ItP+KErVI+t2iJ/Nn37PR8uXjXn/fZsAAzF9Ni f6YLQB/EIDtaWxIdaSGYnU4GIJJvFKGjXjhVDw/0Ay0H9fmTurIfFYt4itwpwWQYdDan/9zVE+ly 0oElv8SxD4zMqngxEALZI43wwuSoilIbyLEN2X20PFJFYD02AnsZElVCb3SmWWJoI/yr7Am1oR36 zsqMrX9cGTncg5vK/xhwr7wgUPMVekcmTn2FIkhofgShkI+tkC+OcDNKanmUJwxY49kmh8cRv+Z6 21wTw1N9xLoaxQBUrvaHp+zITmJ1IVAIhnuXa12SfkQG81J6qsaDTRdhRUNZGxhTr+tlcoUb5znk CmxueAsie86U77Ib2x0cCAr50LI+amHdw4pX9H/JC6FUxjUfdm68iHgoFaFAkrKUk14NYhUoUT4T EbzZZEZVfPPfZUbg55DIfl/3NqXwNPZ2q+QPNt6yblM0PT35G43F6OhByfIXEJCd7nxWOgCfoOvA fkUx2jOCyEekE11TxYtESrWO9xm/381pdEcRoMg3bJ+Gx9Eck06daGqV4gsuCj3u7dy2Dmslw1zL Hxf7TsjTOI3oECnZdm72+w57JJNrvuPw2VSg2Zp37oQHDwif+R/lO1Ul0GZz2gQ/ErjPMDFALUaH CwhRfCiuIZnEzGr0EKUP0TqDgdqMZyEHnnQi0TN+kQMQhafrgw7P8jlevJNYgcOu8gt7eHZkLfJG UEUtzvREoR1j1seItNZn9TmHebGgeHgraHRG52Iz/Y04ssXrUhkzojYaK47ZsgbQo+KsPswmZLMa sQWEcInn/q35YfUlG5x8JonND1NO9V/nxfY7w0eqtHpHWsf0UGF1hh6gWwiK/9hsRiSs6EfJW7k7 EopOA0jNYcACj6PKdKYQP3/Rr79Qu2IrRaaIo88q2SF5goD2d0kl30vtiukwtNV829OlWNT0YOTC tDY5hGG8IGI/p3nhjJ4+ar7zSb7hyo5ZIRwXKjOF+Up+sSL6YYOgc9hloUQ2eLxm3E4ZNMnZeSd7 xDST7ibrfdc+jAZPDago+14XKJvo+U+fi2oHHICj0veMwstWj7rRGcnbxTvuxDBoLF8jdA7pMNZ+ zBJMFqMTv6D2tpJDZeTvSUJl87dz6O0zi3OUaYHN/KjqQuox07gW3S677hJpNNeVy1VmYWQqWc+u 3GEHf/RhLC4klV2OZ/sXSva1SBHKhnYl9tuOzhZQmn7D9sDj4XJ+VbBEAp5so5ELWVwZtsQAAgUj YoABhDDQ6znJ56tTCaXzuXxQOAJte3Q9rsMSTakZ3TE4wGXmmX6ARLd7cAu7LCsw09YDfLeiS+wm BXiKXx/0z9+82fNNU5Sm6k5V5GpaPd6GtEifslDhtSB9B4o85rypr4+R47VNc3mR6VulrgqV+vqs XZ/732A1K2+rqy9l/5QOMYbWfJkdbL3sGAg5xkhtOVKT+zrjs7SM75/GkYrqMQPYJKBo2b6OVmWT taaATAQI1suPNyvvgB2Zuboph4BiIPveE0z+JkIas1KFPiulmnDDXCO5/HjJ1kzLTTyNUHtWtFPX IAiNvYR7caMRFf/qB4lMGXrK67yTGYsRarNBP9JBsH0XWdP9QnHSj13OYiLQt4hP80t4Hm4So/dN F/rQXf1Er/sRCKpC0ykyq5mj5HDLgBwjHxf8CyCC90ZDfcNVQcTacwql/uLMDRkosAJHMfWSiH2L 56GtX7X6LVRkAbAb+qKxr6NDH1Kedviq0/yj+VT61RFR1i0o2YrAsGlY8rGl71NK1U7DISuCbDQS JDCd2c42rYyviMWuj2hLKVVmtX7DWp2XrqRS/JJn0jBf/SF+HntopCL6rGeFgT/Ze1Ewon6+sxZA 3rA/73PXbwHhwYJoADblxZfv3mk+si21tf8QfOAwxd2ILowWJmYKZZ6deKv5cJqnI7I+fmXwXsq0 68q5kYEsnt1/NsbnFL5zjorb0YA/LWiha+nzJdHQfw4Iv3HAihpi+NJB6ygIWADTfphG4ldt2xjg iBEIdhA1fQ4dgOwVBcLcbNUXIPBhBr+NDsN96sSHdYxjEeXO22tJHmSpyzNLOoyxJbb1W5f0bRg2 rh6oY3UhXm4fJ+wSsWCeXTMDU1XwgnZySYvQopFe7JJswrTXtvQ6bm1xQDqzXFRj38OYriGmt6W9 V9EM26YF2xmEIErlWSM9BnuIEYRK93rI22hoc5iNpsusxHpEaAm4YyjmNUYuTD/rVmENsXPNW4I+ AAmRlzSY2W8gNtV82kTdCaal/VGY38q4/7nVEKAuSDInnH3DTB7k+9rWr8n0QozyfHmpqzebmncY kN1MgSwvDbuDbwyW26dLKtQxVWuuMWZIOk153sc6U27lKMmp3gYG4fFl2+KA+MSjgf2NxrqKnI7x XI0uH+hgwIBDmr09W8NTOe/OiLKNIQFTPDeBevA29mcRzEr3aKYlzis4vEVWT0DOM/3dd5IsljiP qYBoWGptnRwkcqCwBrl7mQodHsl2QI5cZtLl8bqnmdZdJKDKY5bPC968oFWKI0ee7MayCjjDypNP gLONYq91nEXzndG5Moy0SiavRDVyMdbGIqUu/81PTvDcbLYrfDTl/mS/+62osPJ5oEU9MMKI061S eW2t9XJ27UnPVQN/fDoL8j7fxeH8xka9eKkAlDIBnqRsZI0f6nIPmtKwuJuFlMa6dmMUm/gXNzJe 0GEQe5dB2b+c7BDYsVsoR5RiN29YF9argirsgbp5BRYEVomwy3htqoONTho0pIivBD44Awjz2rCO f7DY9oDHS4WjXa24HBteIubxB/g+3R3rIGTmK8+JJHY0S1DZQKZZmCobq9Pn6VkmYU0Iwkcx+Dl/ TbsHrjn4LxxpN42JZwGh55Fm2/bLLg33pd42lQf4wZ8qAaOQbVdaB6/VgJnhXRBaYHhMrH/ezKfv bfbphntbN7MkFPLWRDANI1lIuAwxktHC7P6GSeOGHW0hysKxc9E6VaodrmnH3+S3e2gM4iacmJB6 vmuTYnbLDHhVOvtt87RfyggWEnRHvn36Fw/NYocetui27bMKIyJZ1SocOXjhPzHwb81vXnjiKTwL HO/2to0i2EiPLyDI1eUqiguVGIGqjvi5rDCXDnDLEd0v7cRxCdAj67HM7ndxds2iNcAsSkjUzRkm mWpS2ODMID/WQOshREwv3mgwD17oV9zy0TTiPI20eUY0C4WICp9k9Kbx+0kwOzN299uHfDx/dD9P Ynj9qfxJ/Q/WJ+vWE9QeS4v+Fs/6Mmiy/RcCveFr7fyN7MepBusE/PgsqpLH6qD4EzbjBbJyLM7n TvJfPbj4dLMIzZKcn3qt5LfUyYNnDf+xTUsLegyWoeyklfrpBsS8h9CXIyn9Kt47PzybkAHEyaho AB8Nh/tkqGNlgeKUC3LARbzYmRHqW3FGBOPMFM/iiq9bYjLAiMH/C4bwj9KuZizh2EGjAK9Xv81H BfPttRzum3rXcEkcdQuSxO0CYISrkAdHHLNKGEIEqHDytlz/1KpB0dT/C+BTcPubkVtZ/ZrYmhXp LrhVzDcQ01EoXho1KecHtfNwQ1Plb9z5u8d3ejbW4Dq97qF21uN2Mf1Ych/gQht1vCAHhP/+wrNd J0AoqzJS/ARJrErkEvOVz6yVHBuLPXDRhMm9UmytSQJw+1N+S8NcdrqZD8Zm4tpwVFXX1U+YopG/ hydOsQC4z5h4C/WZheiEZwzH3THhoxyzGkXSh0wIRs/w7fwcI5oROZDHfgUwGmmV8Z68nATL06Al 9NKKU38QC1vkpFjBWv0iKMkg0X15mAYEG4YFjiXT4s8ozS1qys1rX0OiU2540meGbGHduiFJs+8W jSvC8d62eyPdlmPOwvbisfO98sjKnARgU3KNXW/tUDpfuubyUFEbwJY380l/C/eHXczp53HcdDyb CdE6Nb0G2CGkBzCn7L15mt4tyAojj5w99HsG+44lbElC7NdbkEhvArYFEmxchhz18cAt96yESVGq TBWpI0WHNcz+KUESalBbpoZeDEEwsSSEDPbzqHzGLVstRTmPblNX/UxkHUx42uQxTN2H6s/Ljhwz /7Rh6Q3D8S/QcoTfY/y/rPJ/ilLNFsLVTE/ZUBzUUIGTsB1W4cTGhQ8GmBJIuDbF4rt78fy0Du6h Fey6QrzWHE8K3kC+2VwE6cnPCQcs3kkysbdMX6Q/sjbi/eDwg6RPaUet9j+UBKfBDTNVyeZR5OnC d9JSIhtUXJKFDmJRY9dPhSGrLY8hNuPSRvDXXPiUf0iW181g7SEQb/Y2JO9XDTAYZP3aXpleDXcl mZm1duIx3H2MDh0zGLHmKg7H6iQW2ByI/VUC/ywoqSFsyJ0fL2SpcUoPcKFJ2T6gEobSGvMHrGsC 8Qhzwc/ZaP8dBaIAIcIZ0MqJxYHgTVB37fd8+fv/bHV4m+01P6E3LLL5kWNT0kEHdkIfi3W7p1r9 Q8Td2nE/kXJXqS/C1pWWiP2/cuxVcyXlkgWfXFcs5w1Cv9WfB7sn+2PCBLBLBnnZDRh50Db9f/KB Q8vVsm85Kn6A/kFhwVcVfe9VikNsuUaYvoPRikqeCU2d0bnK5qnTqMjQtJehegNZY9I4qu4pTdGC Rt3vRXgtqvGeu6rxxotDmoLVHz1jzcABDKhPxzca5pzhb5ta7AMYSpqcIpLtFHNQU7Alao47rGIc 0F1A/WAwIdMrNfZ0hskORUaCgAPUV388ND2HuwgQhTTr24Ge/D9Qel1WfFukiJzUU+lvsUlxrU7H uM8ZzifgEv67lsEHsDFl10jXFndT9rYiOisZ7SiK4gOYDaRWoojp+iS6kF+SnsTNtu8ljNzJ8w1X lLy1/PpbCem8fSyF8yv6j2hWiXBZxGZzOeAjWxFIwQYT+Cn87jFyH6Ly+x6tIKOSMkfxQudKNhqX VRd2hOBt7mF0CZ1TaZ4lqYlUk8u70n/7N4fgvTkKJcU2t+FmAWdhVDDdqURwleIrGLN511RzF2nr fzDdc5OwMVCZBw6ARRjSbGdOtFbqi1T/3yclt/wzygr/Pk4uPejMLcDwnmAZdfi4JsiTOCFEUeil WKQzQuMAajAzbjz0P4zZw4u1kDp5YnjAjtF23E1eOroT5EmDVUFE52txwpo+5bTlkkCplpa7r9Ys LO0JgkXn2DsO1HqDl/SrmQNTP6csQrVkURaxYnRGTk5b33A5OaYwsr2AZ65MP1eCeWkjZk5e0Jdp V36QSMZqy0g9fCQDMPqG2Id9gtlw3mez9SkD2AUhGj7n83DzZ4bGF/l4tdHJULwHD1pHwMW2UQUb BEUU4A23efvj9fzz6jMMsaRI3pGCizkZYn7yr0mLg5Y7pb7LqLMHNIlpoUFzqX2CCXfoqXzXpBVC 1UNsORjGHKerhEWEAX+G+HytCzmLyw+lgW8owmBJaRcL0Hn1NbnmuERhRK0N/Id3Tjd3NLgp+H3e EbsVmkG7Nd7toWV84kNcKYlHPGqN3k1+maiRtQkMvWiJG8Qkh+W9sThzoZ2sAYFufhw5soywskSA cXENopCm2buosVXcBVFOlMPzBxVcf/xGbokqH7VBLnYlwmtkm2GfICe4z5wB1fUac4sMXFc5dB1S R9i2ErYvZKCimYC3m8RpEcBhPxMJyGWPwJ6yemL8yL5SI9y2PbozoF9hLj3o4nAma7URNG1Suj+F fb20aK9+414P7s4AMrUJkLTKygOcnp2M8JdJbxMBf3DW5iY7EpmfBepHRDbY9Xd38Pna/Btykwev 3dQl/NWO20uhRPJPRUNMhbqttwXPo3uKAbS39TC0dDb+xMpKBNjJDFVzMvDT3mEWwrX3AmMcBqUT 3u5Msy5RAmi3c6jO6st8lpfCELFEpq798tOeUzkxSuJFBgOGtxjCzPJs1+iVjXiL/RgddoF+/H2X BBD7gMADjJXBMU0YuWrEzD4rnfiGlHwzPuXSAm2/iUBQH8icpaQFrZR0OTLbTpZCzz2OdZ7Np1bb Gt/2Ss97HQjChAfQtuv06mH6vzVkZpgc23kqG3buWB8NJNuAGDqDzQwVxLrwaZpKAjeHtEtKOlce iZU0N+FHHEYpDtBRR7aI13etJqlwzupDcHPTvnja8+QYtMO7px/54JZ7FpVXWEsvk+2KwjQ6ttY0 2xxtKwhiyjCoiKHicH9rXaUOfaTl7lQHPq4i9U3yG93xmbYITRtIbhuL//HH4R+XUkRmMoWLWbCb +6Tjv0DDZh9Qyz3fp+iM2ESEgbD4f1dduqLwi0RiwBqPhjD1FKrQPj8tcJqLmkSpNbkR+Kxtm/gY FBv6HZAVtZKggL6H8f1OBbuZ/atrz+TxmJwAnRjS0A+UtMBmxagE4VK0Ymemjml7OYL6KvWrRY61 pvMUPIoB5phAsUGcQc1A5jWzrmPymKBgIP3qdxBuNEoRwjJmlu7PCqc2GhDWRD6FsC2scXRCYDNr CfiUvxt0kTV1OSNj0MWDBdI8uRWBPxatbzo2Z0rPdpZaeqoelZprV4rJ8MHM7QH2trW53bahFchK UESIA9QPJ0tkINCwmlWDjXTUtlOrpxKpnFHA/ca60AUsMxxUcmkExY3DmwhivBjhWjXTwC9lZjZV CqLpYKj120IRxcHz2H+rRVMTxshqhmvPnh4uUsz0k4tnSFcUHjmHqFGqPXg+SEk6+UhmWDYDjJRp Osk6ZNO8joeCw1as7EMbRt31dIToDTWlAkqFmvIIAi+2Lg/X3nOUDR/6HsoZgtQx0JRYO7AteX05 g81a4mYQj0zkCvXU3A8khhO2UhouKNgtvwSOA3EGuW+G2Z9AYhySvz3lx0v3jDGMuDzK2J8xo17w xKKbIiZn9MQMM/kHnfhR4s3d4+2uudk/LdWtQNh9SqnC23tZdD+4YxNID5RuRnCHR8He0zQiHyE7 03whnDjB6dggrPz8N7v29Q64xovfXfr99jMzh/3P3s4C0krsW5fSmopTHfkmDu9jT4jVgTHS91zQ 7XXPBGe1HR01miflGj1IJdWLyWkl1bsZRN6zWBmm0i74ezXIqLiriaxoynFjwSgSe4OCpTHH7DGy fSBo5A9z884nOKmEwVCv5dvmexCc37wA+Y+g/AtSVZ0o4uk5TGuLlIA7Y1bY/7fOBx5HGUNlbwAX PjLUxZF78UqvW1F5VO/AE2yR8A+8BcTQwF4yGPnDRls+DoRwaxfYJqOMwDVQkljTcFCN7im0UVo6 Zpx+WXLwPAws6Mlbpa9vrfKux9bq6H+2LmAm68l1HDmGcmf8LVwOWet1TGPnlV56SDmX8zB2LX2p j+Si+EgUCAiGPpiexTVLf9wjcJiAr4wopJxSaTjD7oFuv1DEax8GsxVp9WZvgQc+dH+vlYnTipxR u4SoaT98AvQNvlDZHKIjm/HZRn2uq8Z5ioM+Q+Phzsyqp2JDVnVfGG16/z/QGkYNPe2dik33fD9R wVVsIt8CR/TEwHm5AbO7osOLcUA6z4mYNDE7xMhuIfZxdzA24etjfNk0drG/GElaa3VMKE7xDZ1O reN4GsGTn6p3gdchKlz3NvTDFEkFHFo6UIrSnGiqAIYUeiVLDZxoErRcU4diPZOFSAve+J4QJnxt IiDo0r3CWQuDyI4y93N1t7yca4SJCjcUt4HlPPerBDLjXM53K01GyKNxCO1bvMfUBXZpZz1Telys 5sK1W+N95k9qwku52z2WCLyEgQMEdaar8ncXtv4jNhUGOq+o9UnvdKxPgmz7rftah0Zow8HVZYE7 DcFieZdl1BCe5uSLT0MdpYFriK38ExK5wJpRoz0NWEP6Hb9I3zttHK56vCQVj45AZf+K3rJyJSzj ELZKUNNGJci/dzFwBfjH00pvhRw5Oh0JDLakiU1NaRPp7RidAtemCnXm236qZeFDTIlZaDHy3Dqf 4I3/D2PcPEEg+2DHbqJA+gUKSimqw4dUy0aqueROfg+3LcWEAj5iZ7p+kEmtAOKJ/TE3xGNjngOh se7FuizIVXJWy4SNAWltUKcOk+YEOSaTejgiOYPuEWQmsb7WkEp8HRc1UDgmqRuuRF9NN92uobkN kkJQwjBom616PuO1gdAHZzQEHnbVfSdDP1R7IPyDydRGvo2738AB37fGmTm+PxtheP+zfaYsj9ws QcWy/qfWsNH6B/IaRe/T7czYczuHJwiK6MevW8bRpqNE122mRTnk4rwbU1v63EwQupJx80OV/DSX ceXcTMWL6TXmJudn9ctq72Q6+F+0YpXCTgMPhw3N2J4JxseVUwwxV3jpnUMYw1rGF0nDXCr/6859 PradEh0BiBNJcND0CM8++TZQiHOJ0XQ/9QrhEnIbwO0sI5HRe2meTbeuSLHQMIxnZbri8YxNxuQz UUa2akhqz6OpqcWwQfcgHkVLcFgoUd5CnMpvo2wn5DJcoscBWerU/8qxpd9qkkIyh2V9qVoyDKlC 3Gd6vYbDtSr7UjQV7kh4IskopDcnEhbuGAE4v4SAUfGWVT92ZjRAPtTlR8ukbpGaSFFGUpjcGO1L Nbbu4+sUqdpfL/9lk8VpcRutScH8POQnxrCFRNewT3iU/qjRrxk37FF4r+iy+EAlkjyaPqTuQTvS sNUg2K7nMMIaXr0HG7WUueslYo9RX4GAtc/v95pLkJlYjJhfrZzmlG7smnWaEL9fyCeQBq3LKW6Z ZhQ7b1HEUdCwz8ndjYmyoMZqk/g079n6PMggoIrQ2Phv/bcW88JWezjm7qOhOzIQXIbYxgWTJ8sL 3s1RcwD8/hLpEi4n9Ik+wPVkZ96L/+ukZ4iqKh8ltyaLB4gRKlGrr7uEN4mWG4EgHJsrSmtp/cYJ ehIlAokB7TBKm7Klu+bPZWyPLa43cBShoe09aEciMLACpAqvdb440lHdMsPWjTxoAs9HN1aCcgsH yL2BBk4z0Ts2aMe9Z8QBx2QYILzMKG4TnzvPogQWqOeUaDK0kxGsnps2pJS3fwpH2HI8Sgh1ODTi E9H5p1OvIteXpuN2Fg2dhI9tWQLQQmWYSHrFTOWXutHsuqnWRjDg8Z2DYqe6pyNcPo2rMQyOtNn7 V35KHxtfaykq8KIuCHYISDoqVuEzS/Geq+bEve84Kd2LL9zf7qOMNqoSCT49gEcDeYL60oeYi/AV 3qTtrk68BQt8bWtumGQvPIABbl6uIJuE+66LhE3QgNYzeV36yeSIiVQ4Din88jsVpai/h8yNL8u+ hidkt53wpxBFxnvPu5DJCT6hcKo5zoGu7nln1juWXJJRdAOn9hMI57+RvbsZyEZUKF2MgcT8OOTk f8odU5lGo9Qh10egrjyswWUn1gT5+yEsl+wjaPG6I2kS4pST8oBMqrpSDaNZkok6yH3ROcIptCui ovT5F0NKgPJZFpuH6qVgEuaeSLKcmidBjHlCB1aByh08KPJbvVkwGDLQDGsGjSNH0RMm/8IQSJ4+ GcuYSZ8z9zqaO80FD9CIQq/svTyPFmsHRFMrtzsCt3r6ZxfACRmiVAfZmf3hfRXKFiyXhGU+9wsD MEWf+Gk37JgJQRUj8bdPR3ntqaVSzfi+Gbvx0yZEFXUnCdlb6+s0mrfMlJ8zVvUl1ayWjYsxOyY7 FqRvzd4RtPkvC5SArv0F8mBquzf83fespvmi2f3F36VNUCL54OMAo2OvDXzOQXSDYvDXOeQRyEIp +OzxBDoFON2eZSsN996m91E84f42ePnEh3+RkZ44mBQPON4+OsIgU3fniNKFjHbhqW55aawZzjQ2 7x8IVPRO9/caIC8V+TvAKPhqo50RW7YUl1Q804wuvISG/mpNb196RlY3qwhkrDMLbeldFN1tcBys YMjO9bvbAwVBC+i124OShLvwEfUEw0SUQfgInlmong7A0BPAQGH/+baZMWUlQfYnFppuvyR4PgK0 p6IKigJnaMFYKJM+kGQnmi9bNh8iMS13IF31NzrlKvDYVJ8bZb1QTD4uTo9JFeFm3AExn43+bbSP IFNZ+LrFfLRAzYrv0MjQslLlp5nR8/3xhXHTJlwa6Lx2i//JwZvUUGokp7aKW6jRIhbyqTmCpRK8 ybbUJued+XCsxbb4AcOIgRmwUZn8FxkB4+MQu7k8iRXYqGm1NQUqrT4HW2oNfdTlaMMxz80tDg3o 5T1sqXxrtC0cUO5xvpeT0Re5CStY9AiqsxJ7C7s3nDliCDUc1AhEhlMHqzfCzIRs5l3vyMigBnaN obMw8N6HStyaHpFdUZxO9gSUd353EcWrTyZpuSoaiaz/lR6oGNlO0a+RPWz289XtxZppdFyLb2rM 9aIfytsdIrKdqOC9xaMQ/kPtcb7HR2Va42meMXOApH4VZWgpr/Mt/xTVFn12qHP2W9GhoeRLAKHB QwY1+SBw0VVjs9lQJn3PpNFqlja2v/Sd7wRzghiH5p/S+zPhtokHa4LCnFeNWgl2VVa46yIZ0hn4 TbzeSsQUFwo2Df1swamCtAyLM8/BHx7+MpAiwcThJxICOkggm0WsrwK5jiR7k1HsaOIGaNh1/z0N caYwW0PjybpsZeO0pSJ6BS0ebyzyzFEx61OljxxhPuf5HueQoBSeiNLj9NmIGEUtxVy6yzlvXOT5 IRZpvriRw/2mhbMmiZy+MroEOhIAVBcdo4cCA5PakVuU6omchbNxUFNehVgPF4jwt8zzpawjLZq5 CHTri8me01OX/KZaKo56cQuMAo4kvTlpcZsu4c4cf5gBTCCWrm/6GuBux57Pzck/bkJ0M4hx25+d MM1MCYcR7v8g5Hf3CeehiifRJhELi1a2pPErnF1R7imqqoiHtzmFb1o+n+nwBMVE7KCpppgAClhe OE3ub8uTGt8amSJD7XK/6MyFwINsA+y+Q7WLQ4KkI1E+9U5g4/hplMbkQmjHc/SetXD7Cuh3KDtU kv5uuyj/x9hxvGRgvOpau2IPrzWRQgg2CbBcQXqdO2Wp/Gmj7mf8iwLt060VM2UwTlMHnXA17hl/ exIV1pYJhcGh1xIpFHdlT4VHsRbe50VP/jehggXh+Znwg5JOZsbbxct6Rebedoomg7tzYcR3KTdJ srH0wp9uKkOidOPwmMBHzfwRUCee3pGvcgU2Db8AXvBk2FetoCCDhW+g8xmnw09RnCi6iCvk7wY+ cFcBpvsbXDah8NcVAfI1Ukzu3eaUPWiBcyv9juneLPxJsCKka+yWDXUu6TsDS8ax3dr5itA7M5aK Ad+1jtCrxgGhvMzHXnh0EqN7rnwjD8IElolfhoIvtzqKsabGGhF5d4tXgnThRywETCcKf2O5HAK4 K9+hrkVyG8nrCYZzULpqypJNejSSUs9FVGS480ZDUZMSlvsXa+KUUUnG4IFZAFsBGQ3+M+IiF2f0 q+wksnAywivXZfIT4iCbSycico9//QQqlZnzksRdhqcaO61TX5NFdptLFzIyp8Sq9uekUDeiOnBl p0QEY888esI4WiDnRPoNs11EcWGktoEPtov8jGgQWCcT6mW6HOrMc0dLicefGE1QJ0F/gzqYDEnP IlVA3zByRCJA9CPwLqyhf301jYrtLSKI8zR0Owb3uj5jlp4IHg2FqTEjCXwNxKPBidGbhhza/yzc qQHMcEA6dRrhImSZupAKQisy9BATXTy2SbE7O5m2Di2/6ens9RIo4J6rLI6H6nfiJvRqkhy4Cvcz XvKDWSycqCurFCaaaDXFWnnXDV5elWNy1RQmOwckKE5VV3hyZmPHiOYRy1clzDGa3qoJu8s2SdZf XOJF3Diu9adZEssl+0bAmEWbPXdQRhlHa/ajtWeo8hv+38mC5n6Q2jzHXWLAKXpGxFpxaGB67cEh yBl8lLtDWUDxolfrniDwat8vMWCkzeA1JzrUUy2lU+2El0/yZK7jhbjq8Ht4YZnBudxObH6hsOEk EK+02sk4ZTCdyaWdEAz35kJaDbhnDzRuRAUCijUKQYht7eCj+9rrPWtF6JbSmOI9eo80/xUUJhAX LPbftj1ggIkxu9g50OgUDAWFxb0Ws02j10X9s05JRsjAIzX+3pJ/zAqdY3/ph5FkqYjXowY+ungO GJuacO3o4tMGkChTUD/TxeG8zctRIJQUaME65YXgKYsnMa+oT7VGrN9lwIoRBtajfqmabv6SxbpO WEprzK8QC1AGbv15FbpZP3dcpA8CLjJDmWXWV3oNhICCib5vqzBZ6MS77mEijwtqYuS5d2hy92Yz mOHhDA8mla6wCdiSnoxkEW/faZ5y0sSvYtPSHhxsbAY+PkANoM6PsEm7FX2+0LE3/8FyNAC1CmTY zNcL4vDYhQ9s4rdYOf21cXpEo+dvlC+2rbSH3z2NbsHnTMmK4loj23QGiqYd3dbbnf6qROgMLol8 EN+elHsYUBVByrLda6Tj9XfkKaNgiihlGj+1IhnaKQX1qttgbZqYfFbxjAEpbqFixxoTDahhzKwf W94o+KUJt81n+0slSdxU62zKJhkTSCRKCqv1q4glHEjUIdmEOD1f6GN3mzmpg2nOLJui4yOwisno csyTixsm7IJvFbJy0kgtkiWwcQMS5LeALty0g8JaNRdHd2DGdOmvIp5ZcFBF63aumyRpsAfTRWFv Vh6quxW8KovlsICBXWGUVRnTJ50myNX9bUYxs4p+JrDahegdLOqtG0r+Oh8XC8f/oHTKi6eGotHx VceS4TDHf7tlcBOU1MYtzTcOiuDO82D1SYX/PZbIk/o/aISMo25v10n/PfcvSnxfbeS30B7NkE6f HC4pLlLbR8qfUnvg4eILM7cbtUEHdyi8hFpC4FMkkE3VOcF7tpnukZ2R2P+pdhNGllFLc0lIPOw0 kIKwt4AKNUfZBhY1k3A/uwGPcXzqhR96Dp/TJgTWkGA5fDn+yAuDWG6OJ+gWo38l00KFy2BfvGR3 Lqi7X9V48b2JLJ+zCRMN/9XEDgyVUbpA2EqaMN2M1LZF7PgnLo4AHeRID9k7+syirdMqJO3+JMTi f9W/tBvogTyYhVwlGjCtLRzYbwd7L+lZaT3OkvGGb74GKMUfDwZdLzpgh283timBoonAUcgK3oW6 OAOtmLQ7cns/hooAOUBP0Rz+yW5ZpcxP9eEOEG+HfUUWZEfd1NQweRpCkXJneCSR0sHgnA0vn0lT hFqVe/a/J7BKmquzMI8WHfwwTCD655/tl+p3LY7mHGHbaAqyfH9ycVv9vzW/LnLafBApdugM5eTD 1HNGZE7Uxl0bf+lmBV6ASALBzO1SGBGwxh+zKBqzrkXbvD6hkVUV4l0yn+8FjbbI1M4irzCN0TJh m2EB5+zYd3YujQHsyKLVULW9KkRw+oHymvpm8Z2lq4gmaUHdVjHzlPId6hcp8/AapTl16FEZvwcr gihsN7jGDE6OSk9ZPQgxhP3zqBPFlNT3+fuXOws2d5lv5jvDLEBNkzsdI61vcaLjDX8zz6BB7qH4 y1gjLWoxmldaTcDG8tmz8/VxVg+7pELHBlgbGXDuFnXzYn03IpgQilVe3IPgli9ldUMuaSf5YdII N6Dfk95yZJTh7ingSm3ajLlL2hkFAoBBc59oUMMYNG+omX2fFDP8Kcyi0aIY36ZQL6lsfn7zdPnq +Pj/LQ+jiIdxhMazHqcQEJMiRj2hQaza7036EMkAg8joQQJmvRrKZ00kita+OIQZJ7IiXKHi58Cj 54NilFPdMDi7NDQIGP8kwsa0LtD1XHuWxR1f+0pNRtM4pml7XGuFEcEiVt0y1SK/mTyATBhjwj6W PAjxLCWYb+WOSMxE9Bf9YqgdtRtfuyxusm/8LS+Tb/nW5uZhDEcgsabK061fgxqFyCZL/2NMsGrp Q+vHcq+pzxv6Jt1P4hfZVXhrGAEe9nVbXrtx/6Vlvdfra309CEUPoRWqKcNFN8U/QtBG6VIszw8s pNhdFksFDWm4XURrJJe+ZNunE++6JRSYW7Ky6kMRQMtdvvhgoIl8m/ayeSXY2nvwyCeMN5inenjz HGG2+OWEpS71F9piidWdcxvIySJAp5trVZFiIDsv6DTFlrCpuhK/O0EVlOMRqzlZ39XrPqbBuY8n McBG1fYQVZDBo9dXqo3SA2RA49Sg/Hh1PVLgym8zfxlDS9A5E4j6lNyeluOsCWzouWeMV62n92wn cIW2dDTEk0+OMfHgbAAVIB8OYKx6g/fundQGNqlYcyp2EHOYFAY7TGoUnxyYMSwjxdEj8UZxUpq0 H1Xr76lxCrJYmFLwaZCDPalH0qUNB+thdMq76jxlJFwNm2tR3T7Nt6edCP4De0okg1v34KJUzw/A Xt6OEBn3NtC4C0Sahqf27Ppy8Xn6SyPUH43nbHcuyMlaZ7L0X8DA21UqFKkzEl0MF8TstSV0bGOQ I2Nmnoe6sNoHeQbFZbxerzqdlaTiLntd+LDjPrPvjiUYXxGIKXm8gKW4z3j4i9nJ8Hc+30AVbIEe 6F8RblhEgptvevRIdmdmQ2OGnO10qOzrQDUNvW8oKJSRVzOsiW7vHeZHjOTCVhrsjHE196Z7ByXE dZe+NPTCmXyHYrjq2Kx7gCfcjBGXbygWEi8Fr0GZtDHf1HGVQsKMfmLGkBWDWRXYB/wnYTLpOIIl 2MRuGXLJZ5aoTnlh8MmERIva3x6xLckD3wSG6I+mONXq89axPUhK3EvKwUbkj4sWDPJdK7if58NR QX98gRom9shj2o8JJvKS5wy02tM5vAtWkbgxdibmUT/qmPYsU0QyiBD5t0D42TWcaw56pFMVeRwo ITFXuDFmFpnu9LqqdaHAJ7heAS7DFwnPSuskjuzptqEv7WLjFexBO+xFYAcz9G7x1cQ+EK6dds0x UCFUXSYaIR6pECX4bKUF9kXOssRNSduvFMwqSpRp/r+DtU5N4se7UBQFC+We+VsDBF2w+bRfSQXJ sF07B1E7e9/Z2UIjNmLwgJ3gGZBy8XRJzwCJYc3FAcGGEBNXkjoLJYD3sYFMDMbFYuNcSpWi+M+3 IHLr6clKXamcNvNYyUiI6fWD3RDZW9gb12Hqqw/unrjLoqQNP93I8aLkn4RE52JsF21yfiI5nW2Z 243K20EXfNJD7qEohNvVTeJYytnyP9OhpzrIvh8S8J+coxUr8QZTGZD42QlPv7CEt9hXNdygu5Qd ULbODy2aX/wgnGLydQORF2zKOtYM1obpvOrmk7x7JRSdQoRr1wDSycGPZJA8x9GBqyH15jVBVJ2U ViXj8SOk/ceG+ex6T3jXaa88COy7lEBeRFL7lnXbwlCDkAeNMn028E0Dgv4hsbSOzXanc+rj6LPR +U25NBU7ffXZG9tdPrpUM8L4qFdiaFr73O0v2PMR2xNEOyusq3CQaX8t4ZY7EUYM+QGGrS3o7zBB XyOUuu9NrAUm8Nj/a8tZOBaA9JwKOTyggxi5xq5+vvhYiGCWRCvFKE/IclxVR2BFA4Ye9Qv9CxmD qATX7d5nCmKjN7sW2EtCgG71mD1DqHHKT8eYlfeIXs6UW3QggR429B/Geq8vvcEejhG3iIb8ySOT nz5108u0bWAOCY1zpFVny5pondKbg9nX//DFtAzekdc8Oni2reKAfiilFSi42MnGzLYE2osF6KMa qcI6rMO23yH3c6GAJD7k4QzOf/arZ2kj3slL+K7cIBmd8H7NLQ0qu7E97dnjhDIhvHC9A2bEeK3V IYGK+3CEDYFtE18OkWkcV++twCuUXIcQGYl8Pr751Wklfl3RjsFpuvaN9kp2TpwTpjCkHbviOm9f RY1PhA43p6D0hYuqJBTGW5OK2Jl9rnjUKKTD/RCnTYO24wmzeELwKxQaG5bOjGUqtIk9QLZr+4Ke bwG2LW1KyfrgogiDpaH2trmcvUhnRRjfNIwhRbIGhHa//WiPH6ihDOUA2/mrKwfSabbccwE7JcjS 7dh2em9ZgS39pHieqnPaWxA1samEBW/VwAeZlYyNiE1ECo5mEI/2QIAxFyRCoABUV0Ldr+GgxGfR EHncLIQ62sT57TeuGasFsU1Pe1SM2zDlT0m5rvSuIYgsOYLpinGcPsoxxJBu1dR+76OgaNIoNooK nfbv+fawAxgRVSWehAjljlcEH1OekA1C+2lgYDHnPEiLAUUTMS/x0u5ZGV58ZVljSJhXeN84RRDV teFEvJBZMOyXFBdv9xs/Lxnga4H/1FurmI/rruNteVcxH/NcNuATqrd/tZ9UTWMukmu0JsnCCFtK OxINW9ZJgzKVvzaaZ8zpdG0cU9zNNm1ZFpixMV09oA4HFVBDOAtRj3oSE55its+XoLx3qWxVC3pl zwIjqJUc0Brwi8YMygv/Chv5hcleQ1Gvhn8v+d9YXmllAWLLnAq6p0vecvL7PzcYOA3Kv9SPr0it 9bKFgwKt4EKXWpF2IdvCFufhZirgddV6Hn9ZIaQRg0+EtwixErtYT43+rdz4DCgzq/cL8gzvgyc9 k8e4UdRY/E/hTzxTGLjGaajNuPHCUtN17ikjvmTWo14PmjS8/49xEorljwsnM1UJm6pkZUE8JnnI qwnk6qulDeLfME1ZMXUdJNVjnoTH1roq1xNk2iiNmLsc7GTr9GWJ35AB4lCwujrsisRqQ3sR6XfA +CHKfl+Mi/j1xu5HkkAO+v0jpA2B3ouH3jwiTIUBv4lK9z00akSSqTG8eRz1Y0/uKvDbBekypYcq kVC5Yz+6Hkfj1EBc0Kdtmv3kKisig7QFJ2QGnfiD9jEC81HmAiFWnwddN/2YohjMaQtZuUv8oxA5 p+iM04Xcp9IusV0CkboFUpcp3fKr1W1W9wQjtQHEoReGM+vpalc7TotI1Qfw8V0T7t1nfORmvIL5 I4J0Qht9lZrmayQi+8WcP5ZlkRWEZNzLUE/JH0hesfWfAYd3NvcgAmPLfSRO7OQH2R3vZKR3Xr2/ 4NSNgp56WYLNoQ7FhEHn6Od58NRUpv2U7Y4O5BLoz/mKtmZUEBVG89oceEB2dPgzGwef+Bg59wQX ELHmh0cEOEzZA3Ex9jifdb/UlZImfqAR7bqQQVvh2y6P7/+2pRb5ffYoBCf8USN81brol0xEhkCx 6IomESixz8QZtGQAnLckfLUArwIetiMZQz4LZACygMqLrVVKKUz3giDYKmu0j05NUJOKo9W9bkc9 R+fZmIPne+qto59ek7ovESyHOumkhYaMOmekTblan4sEBQ5H2vGDxhbAScecEfKRPYBYrvsBn9XB nvvjpyBFBGcMVYqlMxvcA6lrqSM7cKwGgIX97ML00Nwin5wUq3YEZ/f3zgLdINqp9ivD2Km1BP4h Ao7HTwMMJ5Uf3QGnQrnTI9+MkqsR6RxEbNp2Iws4BGXFdMsX24q22EiYaKDr1QAsK+mYzZPBvIkI BHsPAhRLRCqgIqLZsgcZ+cW5+tbFLdEeeSlxT9Zjc6v+Tz1DbDbYcOkvU5P83aoKSE0npucu/rT5 CnZ2IAi6vY+L/824xhD3UWtk18NMfvu3df5ue0Lc3VBk73YP6r0FAL/QjZryHqLO3YwJzCOQ5KG0 hZLvPBx25wW1ODID54obk68Y1RUi2wQpxC4w2pRzMX83SzXltTznFCzDhEQu/BJwZXqrlwLpqQ7m 2p+0PwpNkTm2NwDbsodwhoNzHsKtdDOf1NiT1oRCLF4UG+xRUTpMq3pdylCMBgebXkOxcr6Jm1fa XOVLiE+Fhk0nRrzmWAwGVLqNxuu5URhJOxtAl1TVlTHJB8eyFy/3Y3PxAVmz0wQlNqqmdRhhMJz/ bsIPZNnyQCeswP2CC2fW6TCo7aFDeukz9yzOIvY/iENeFhBULIeUsuQ0Ar7O0lSYLY+xJXXKwXpE JWHkBhYQaG2pwOs7hukd2iq0yiMRgnYd4mLCjPLFTFVKRZi/7YcE9VAsfnsPWlmZP/XY7TAvtDHd 3u5vZ20g6C0M9NlEM/a2WWWzgNBZoHRnBY229yaj/3L02IgpBma8D+RracQ3FbezLaOu3JyK7wu7 FjwD+aeq0fPxea5Knzu+il54VhX6xgqDbE/MHzXj8gnsM3bQryNaQT4t1EY2yaIB04pho+dvRo+P VwHL7oByc4cet5aK5X0NwFsg8JEN/H6rJ/oszAvloz4brg2wls9lVhLsuWWkes3epdgzp7ywC/Ar tAq5olq15DqLinkq/sQDTc03te2Ng254Wv4GomfQPSF0JIwqsoNZ26sNh6wub4Jk2FvlT3yUk0OT u9XRZgNawefPe/3kquQ7V6aASjxXGxTgE+jywfWvKssOu1/UcE4gBEWXPed2fm2fxWt/1o0HdvE4 HlpzSGUEXrzYI70BVX+T2X20KmjvIDsdHDFOuJkFHMKPHGoDHiLQ+vZPFtLuiCPbkAlQfaq51irb gBJy0GaI43QmP979qAW6PvmRRjaeYG4x94NCY42OpqtWT61RUAINwc1ULvEdjAXT4PFoFsT74gdA PZLYp20GZKf0HW6C7WvCf2V7tNDhPUvLwcOj6dt/7Z3v8TdFXn0q27947dWOZehnC4f71iuyri3A 2QS9J/5fE+GFb567AIIg2it7tAdQcJ9P6JuOB1sYt3EkX+aexo+jgNPeKMJGV4zgIQt1RPTDHYXO lGmZpJh93NFSQJWa1XFPU++IIAIeSjljmZbR+Oq8bX68+I1Zb7j/tWkU8PnY5SHMrgJUyAt4P9vf Z8fnWdPD53F3W2e9MTlUVHeRz9j4gfzVffjAMI5YivDfkKTbFalLFYYMRNk8gUr3PCHl1/PG/Sql GHdLzMPciDBf4ATwIX6VDaVcODNQxBNWZQlqeuef8aoR69uXXjroeunOBrBd2TDCBjf87tH2kzxL t4mS8+vdSof9WGVe2k5UYwbrU15UgLasgBOh2tDZlWOWybqw52luHfMI+JLW/vPag1iKKOzXBra2 lc/xe3R8S9lrKo8r6jbhkpbAW7bBHZLFah+uE5y7hnITn1lnitSPMNCO6ocUNFOJbkCWM+T0B7Cd HLFbjlfntBmQsMQFTsoyL1OMIoKkGwTQzKvBNaIjc6Vh6CbHZyhpjUK3Lw+pqabO38tYoJsYsgic gDSPSyad3Xe1tT/dP1a+sgAM2ILA3D63g1Tt4IdOSSVk6r7fuUqVFdGhBCkXaouDS3pzE2xHLpSs vHxD6sjKFgF5dlCLyZZm5YzeiQhlTc1ygf49VxJMR2unV+ao9W1BytkZ6XyzuhARjNupDYQQPh0P IdcY+3TdWVsHrO3+n1k//jnde/64CsH5sseIQRUtrvymFU0APYI9qAbSD7jbvtt5j5sSb87NDTTl /Fbgm8RBxvJCq+pkOiuOGWI8bd446bW8YV9jBaOvptZd3NiS53rWfVOnTQz2OaNWD4kZaZq/LvjN pnneKJjFYNteZV1jAaT+bnzl75rzbUqgQg2UtLQTsdtw4jxALp/H2sIgUia129kSQM0Yfxr7knVW WWLFg91Alsrvp0hG8tYkXDa3TIbWf02OnSIbk8eTdmy50BgPjj86qNYsVYWxzbmZhoAnZV1z0LaD ABXHeA/3zdPWgSKMYgm/GDilmg46/hpm2qWkxuGayxK4+H5Gm7uO+mqzw73Edri2clSCGG4oBxyR FLz8O0JuqThiNTFlyhM+8noLirnj3S/2Hhai4S6hO81yW8Pjhh6muPLBqgy85h/H/I2dMLEUbOa2 wHINWic3ZnnDFI3xtBCqYed7E6hGJSnhaDMmDZboXM5AeZFLnsH4VQa4hSII3swqOmB+1gLcN7iT HPW/h//FZ4PA19MyVqUYA7ivMSsrhpFggp5b7qTJRfEXhfdzc0M/pcFn1ri4nBKXpOITGQ/Hb5O6 rW0yO5VnWdJ8DLlENmiXOmyrNVhvkq7hAt7EroyWTyy+a8+mlBNIehK8AFlhgWyGCfAvXC5rvTtO u7Vhf730OO8aB/0ezRSL5f5guVMJJdVZtBKUNHOPt3A7fT19qmbkruBQfKLjoI/3CXE8aupf/4/D ZJDYgB25MQubuTw2GAsUDRa1C/O5LwBo5BXQcxaUlCzyicQKNMZU8SWDznunA8EZfJur3UzbSIk5 VotuV0SIMHX/njIS1zQXJETW0oV35W8WGCla+f4ner/56OuNz26jTAWCLFWYOf/Oe/eypn7QPGAA gXzXLw68MDJKu8JQ/fDNP42v8tt627DNvjv+HoWX3K6iSgm5Jz3tCaXe/pFM8kX9WZNMFW7XN7n6 kYtAyMHBwZROP2qPmAip17JBmyFIUv3dQ3u2xyCJqwjATWhmrSRu0GUSzKwcCbvu6Es87BATBAr3 SaQ437bXRpuPIpAuzDprdFVCUx9pkRcbxxryi/rwQbWQdaJ3MPxOVCczAsEm2LFFqoH9rb3BByhJ HanCHge10W7seNRvWWptWfABGJw7TSJQ2KUHcnVfqYlem7c07vPE/YZftrwW1sNItPHYSVhv7bI5 TsD5ij/bqnJ9m/ode0F3ebk42Z0i97oWyY0FD0XIW33nQfvntCPoCugI5JgPGutvo0PQI+RBcwyg a5hdyW7in4rWhrfIAdWytyhTDGr9x/tvcV1/G9NDxm0MqtJkgbubcGFYW8VybzZ9g2F7x8aAzKLn g8gmyC9CvTOtveQues2Rc4VS1wv8zotHep+f8f0hksM3vb1z9yLQ4B4tL9yy1DB68VMTKyLu/PX5 pAnpxsrWtgsWChWF60LjUanVbTegYkG2pjREuPV3nR9os2/Z5260vM0GxmSvoxUuXcgEuQ+homtr eOoC2udWo0bsBA/1hSRy/jnAx3qWmztGJ8MV3oAfFoW0PG9Xwp1NI64RNQ9ixiCEbMDNhddvWN1f QON5gR3XXG5nVj6isWIC9exQtxr1Nk2QoRHXG/Q9GtssM+hgEtvhmMdHilyaUX/HYUfb+nWAZY+q YkkMWvpKIoyqlrWuyEgH1rMXHcrQToAqe57BA+reHbdXusEYcMTKuXPeMCvNPc9StMpVtKGTLXaN p0ad6EDiA2NDkZfsKISJimD0QzILsqlJdktgS3H4wVIbPikxIiVZepzD3JR4kY92Neoh0XE4c5ss neutYyXQ93i7jNeGrjItJ7t7+c1FaPrkofcWA8EzZXtF4ZxfFOxrXDxajjJWN3IeggxJ1v9RwR4A XTJpP0W671usOI8VWmLSfGRw6oUUqKZ5j7W1mG4NWGC+kWPbtleesj7tggwlz5V8eato/NlV9Z4o DvUE32vIA8xPdscZbdS64YU2+TgKr1z99RW0dWFyTouesyubG5qzTeh7azwN9P0ol6ZdAFYfE/9R RXSpJXR14zKHb/j/QlT4G8sRbB5FeBwILs+/ZJSeTGohYrFmLHstx8kSb/Wmz1zSS5s6U+cjUna4 xMgBmwxXt9FD4I2XHTog1XQXglaKAN7mxqzsxsTXPxfH+CKV+9MOZfCZs77ZO0XYQ2SnZw6n+noQ 968XFDKASjfhrAT84GDtHSPPzgn4TrlBhbzfiLuIZ6t3UpRgirDMSDBvAEwJ1JTIRlinmpMSUcpf gBj/wEZqen92j65fPrV5ZQmkhtz8cWU1j358Hh97FFYgtEET3e0hJUa/BP5DrQypb+XF/xwzhonP 3VoPsmGtvq/KqYPR4GU4+0ChN6b1frqkjacA59zEkcL0IL7W57ACeZ86WrW9OXY8VqV3x5a0aNh2 UkPqR8yS5QFJSXu6+4xLejgHRlbaZ1iOyD7wr+vXiFXcdyJxhG9fnR6enixzJ5lEgA/pCw7XnBeD VQFIMDpOsC4lFlQ8lpztj0G4ulZaPXQl012fZw75UblNFtNeM9Ak4AvOAJV04gJoeIdfrQCwn4T/ yicLs9UQnVQfOVMqiksJzGjgzou2ld/uVaznn/a+wHU7Gu3+U53zHuDTvKcYy1rM4q34eSqWEjN9 AWcjgJr1XoBftO1IY66vc7VQSDvtwIuKNjfmcIXG8uA2MD+S1XZwx1VwxGF1DEDDnvQkZ7hI18q+ nmxzgYtO2WfK3P4f8GkTYuZBL8Uld2ceq/Rbvc5cGmcBlUJFNCUwYFOlEEM6v4HwtITSohQ55fzg oBWxmwuZxhIn6BVmZZjgVCxtCBC8bSIYyQGTt7FgcB/am8vhgvLPglNS32fgr4T/j2Uc+JDG14DS bZ1aFXokc5D7zitB7rtsDy4pTgnwrHNrl3B+b4jS5svUxJWwpIAZy9xvSckwRCcVInHnTxUwqZpT 7EXi2vuGA028z+Qk2MC/u/ml9+Sczz4OQtkDw4NzrDSlDzLMd+cDLbS46WRfDZeXFJ3xc5skvXsf R9EG5xq13hVmPelBQZDVbeUBT7UHu8AOjAPRV+3AFgB9Af+njVYsLVTmyZoYwtTi1qS/TWDwQTgM 7zgnlmPIVGhON5MHJ37dNWD8CSiJyHG8Ou76quFY3hGu5qBDv9lqMAbktkQRJZzUZKEynor/tSG9 Mfn66NFeNbA0NugiLvUuRpL3ahucMOw6aGI3kxesHT2pvyK7XyW+4q+wTxvMroTWaU6+qZtuZ/ea 9l2aX0VzBhHmACTR02eI6APpij+8/MDVpy9oNeV0LJMQYTQDrkNonI8etA/SIDqMavN+Lad/P0mA 1lOhQtTUN+bdqlmUwKvPXUU6ZLiRuAIXRX8dHRUO0uR2WaHkKpcXcnDLXm+BTisPU7j6qTL8AVpt zCYycQR12bchFH2Ud0TV/gKtEaOq8IO1NEnrYxJfOXzAMo2Vhd4u5waMqRji2V4+gtTTOG6UCWct 2cuc08RzKdf4Mbot9jw0GmU+upRsoMIbXskotHUPUhLbspwBnH8vrAsGmd9gjltwndHKGJA4aUg1 ZwsmDlZDR0lgS2KP6QEL0LMjItAWutdTcxrDmUHxG1kxI2f3TYJk3XKvgs0GmttJUEuExppUXF/O oK7brbxykKx14ZAi/hSFFiKdHM32W3AGrF7IFhwY9bY0YsulJsE1Vk5XA/geT0C55u32u/ixMLkh Ig5gKHTj9A6H78LDaKu7Mpd2f6SmHX/TrUp1OXYNRcuUkDBPtvq5j/LFq9ghpC4FNN3zCcrk3nDD +chm+yCzti9ciN09wdBvO48wfjMWC2DMpM+BmAN/H4URtoCMRqEk55Aq/62LgcwL7zomTFa9RpnD QKzhNvsvtIBNEauwfOYmIoCIj+tG7V7ORRT6/E9ir2qoekqjw2T/aHRTNmen1Bd5u1z1HBn7IVk8 84fTYlMUDhHdiRtHe4Xljzm3Pn/5TBYkOE/gtKaHpbD964G4I2SU1rLNtGGZmzCJAAzyeGD9Jbgz Aw+bF4uhDWEK+JyBxwwT0/jBaZ+HQs0KDQPdlHHFgWYpaJYiLZG2lZXaBrvDWt8WZ24ZJ4aMqJyD lrwH1oc++AUpk5IWFsBqzYWa7tld1kmrsg3zmKbfKWZfKwsnKLCknpxVvhpbl8mt/Tmx45u3VT3/ RgI2W7meujua/dWbeDZP5Og/b9z/hAYAPGZaMhhrdf19n6nmAiC+jiuX+kfj1FdSbwtG47aKqroy oZPbwnwmAx2LA1IDFBb0DVnaY2bQkmA9qRcR5DVv+HwhtzhIa6GVkl0Zp4muPpTji6EhfzhVx3pM MBF4AZOYl6HelOv4ZzMdNMnLflgY5ZAuvEC9tNon8GSzDIKVLzFfB7mpI8gY0cWP3KoEZoBx07S0 Dc6obNERoWTnqL4PNrWvpxUkWWH6BAewUbzyptYxy9D8AP9YsZJiUafh6LGJ0E5WmOkTaX2288e2 o5hTlxucpSkUGGZ+lJskjGW+OXyFEEKcrvvMLe92AO9Hsq8aGwNWvxZtceMYgRktzmrtrGHnJrpt +UmPkwU7ypUtH8JcXHHQBiWlSk/tJd3qynIGZy8izdeiTJC0gvrW4RiX/SpmoNA4Tkghh2d+gFG7 y/FqtRAr+GE4f+e/qiicRf0i2vuhgvWvtDDwylCmddJ1r+GIMDfsD5OHDhtLZIAB3fRa74H00Y4X jEx/mtim4igBxH13tbq2d+k09os8FHPkJqBB7Mpk5rRaK5XqGC8qPp3iIrvvPYJZxiWpWzTOke8E WRdZqKDsm6v7f0Vybb2N4rB/Jd4V+i2Tj5/5cyVt1GQzvdioiypJFLt/u0LEOIfrZdQRAIhnzpAk wukcB2owHCQJsJWkjnDcRMHqWxat6cXPEh2RIYozXV5XNdFOpttrvf2fI986BYZ6YOtBuadk/WcH RdBaEooQ9e7pd2j4zdHqmGVetdoySmyOK3H+z19JHTO90LY0ZeavsSdnO9EVqrXyeSmZ6I9Xq0+V N2f+i2yCLy5qY7Zp1/3PUF9dy7EzE019waptKHAJIIEUg5LI3bcIOaCtWr5RoAPzNSouSNw+fdMX p4I8iKtTpxVYyDGc7ZFnOtIlFbWbdwU1s2Qor1D2gaY+7ZkgZdt2p+OYvaKNCJTRR9aLQSAmF514 6jR/jp8SIp7hYn0PHjeLBvv6UNB+/WEG8jiNj2IZ0Pe7OAEAMq2FvT6k6j8iEPLabHld6vDH/xkr gagj+y00bUyTx4nvypmu+0p0PkTkAFDwChhbliFXqn0GwWhsRWvZU0FScVV61bPDFuPwSiFWqlsM /SXCG6nk5zPTnYKCKJDV9+xCxOex4fmRrZnsXXxZ5mYdomGufuoAoqmPjCSnamkXqMCgFn2TbXOX e8QFM3LRx/tKxABre0BDkcRuqS9qRvqqiLc9JlKjW7i7Yy360N05ozgToRRuKI017x+3hPQsCNTC j85mCwylcgqNQj6WLUuQYmR5k/P0N54ZC8rPBsrxWCR2qTqZZ3vTdxjIimeigKRSMM6jyYkBHMkb 2TUt7nUr+ysQLl8bhv/fQqGOsvWzTSTOODJX2jH7irK8ZU4QKy8jC8AWulXaYpSGqDSkITPvZ+2U ZKJ7InAbxYxzUSeJpynfBmFjo0zgF19kKOtMbBmPXQjyA76ZWG8IVo1dzHhHyGOhre/rz6QCSjrl A3EOTFyHqfUMlPln8mVEL1O5S+WLl2pxcbZj8FSy6+yAayuS51Yh8Lb+n+ZUGXGLbvh4wot2aAZc BCpRN5tYOZ/OYrM+MMnEbhifFC7Yn8OBg3etnXv7LCuGYsK3WPro71PeqNYg5+BRT/UQpLd1yUWs hN6k6m3tvCg8Dspy6CmrfRY8dmcgTDhG1coPo5QqldhidjRIZI4qtQN8hDf7xCOpAysu7p+0r9zs QvE1fNRy08SFuwnEk66Wh09ng2KBzn9VyRkBcH+s9aBPrvXacUdMFrmZGpuQvc73qmQ/m8HmNXSN SRupAS3eWhOXxu4yoircYJyjPWXRiieI8RUh9OpI/ulrVmsRmvrs/xpCQogK3L+C1YIo5yJOH2EJ ociJS92OyIP4fgUW4lUi0WL4VJB4emj9p29DdA5dXmNN0WCpB8BGOAU1ZmjL5q+1udvMSDLfKyxW 5OH1N7/0BOQbfhGETXVd8QZaWh9d35VzHy3SotMPsQdJKpmYJzGa0rkzVeso55Bd740mWMj8L20a VwKMxm3OaQdJ8cfZOyBagCqwfWKaGLK9iw3Aiv3Bep8TKI7NdmHDt6exjlgJdbWn9ON/5oub+b3c H2nidAxqka/2FUgBCOrnY78I7cb5dgY9L6Sk69FZe4ZGWzvzaGLPBlKZ2EbyOlMJQLO7JOcpN95p cCuic94TJQrEY/fSGEH8rgNGADTG9TbCYK07oGnSivZzMWbyoMVL/dg85kNLVEbak7Tduc6pCord Rm1P56xW4ijzqCHZYhX5KIdWM3w6syMdQNrHt+pwKbzKEOM8Tez9TkHyHAAjvo1aJZQJMb4GNJJx 9JtZemSekMdPApbsGNUsUpJAwXkyfgVu/yl24peqGRoKBAAGLoirgY/2Yj8jmZKatyYRRXkp+jxT f91TBCEg2kU+tKVkcsmPfDhKBcln1Y4CYN0puSuMzhRMqkxF9cZDF0h0s98Kn82TeRc8kZSld77H 5xJpmOh/vcQ9BBYwL7vfv0O93Gb8JIDlPvWg2cHD/4gU+uGzZcUoOv69o8vZD6l8Noot7SM1SVsK aufyNJ2oob5A9xUUhpSeWM24/e7Kei3W0ELMBkxP6wOKXqtD5XpNhRDjt7b4Ky4gI99qMDwHRL4X LSQG/6Fsl2HkVmAT8XJOISI8avnynYehxJMMzNGPhoh6m5PlF1uZW7EvdmP2bq19rMKhRyxpEcEF yE0FdhtyUNC2duO7WIBDhec2eBfGvDlbGlp2YfMoDA+1KCxksJMZvNiRdvVTHePO6Z6z2bZzdqC8 dAsCu3oGkE/+Qcbt89dBIUZxh+87eZgWnIZ8yZBbU0Xka4KGnuGlTuNcEpwFXsXdIDDc5japH/2x p01MB7APebtbRGVhxA0+dMWdNpPgsBz/HOfPPNxd5ysd3+vHXJfQfvlJu2uskhaxJzr+Q1m4CZ1f x4WEnjm1/oCmP3rqUkGF9h8YFuc2xSW7tdP67j5YUH2I4bRV/eGKfxzoQCmm4lZpHUGqCzYCaJdZ 49n01nDdiw6oylQ5Os3fwnSnGxYbqUTmwKVIpqU9M3SwupjxW5RFflEPtd5g5S4Mw7Kj/gLP9ZaH E2qvj5cDFsoLdY7knTPWs3n8cdQj6POEgMHj4wLsX5ClnC2ovGfTrbUSAZ8wHXd/0Icmfe1wwLb+ nXCGzO506wrd8uLU49MnhKHa4wxPhSIYaVhnr61Ifs3SFGAQXkYcCghydeggD8M2MxryQjjlm9dj hLJMlr1MNJ4UsWMtxe/ftj+KyEJkEtvqEREeSrFSLzSsu2n4bROkj2VpMNjrZUm2bINybZLTO0pB wAuyBEMXe7RaVevm47hC1S4SDu0oQhD2M/lZe62Q256oi0G8IaGn9pucyFoyvzJJEP2YB9ZQnZ5t bEa5tRNXs92x+nw0QsV5OB5w4dQcdpdNzP6DVNK8oO+Rv7tTor6GvRat5MYT+uTT8hOWnGEapHu0 4onCc2AUbHFS3JL2S8KqIG/LAGxO9jBvf6fZCpGneRs80OnY74VsmqRmDZ3t50CIyovMmThjVo6M CqvLWvv3Bf0w39ptPhSrT4plMC/TH3hUYP5FgAlnIyiS0zjxdTjIOtxMsXvw/7cIaR2q4iNgERGM UzhFBQBZvlvqTS+Kd1Zq1z0lakcxvyNYYDcvLA3xNn58IY+2ZyKd9I5OIhJABQ2M8QN7eC1PKdQ9 gaBycVd3ehEwwTNoEFDLcY1w2g15FQ5dZtP+sGVHM88u6Owul6qNVT5ZoH/8XGRIVQWMgzy9xfLs NpePuYfyREgUk6JgdDo3gmc0Lhl6l9ykUzB7wxpn0DbC+r7icakEpXDDfbpmBS1gbLoECAZYuoyV xup0uwJydqSnQ1OqmAW2R9d8ChKgoIK3qUYi+tYNqKnKpgeY0O3ejvtEBQmRcDKAdA1oHAMc/xlq BF7RQwmfsqaFinflxlITl30DacVnHt5QoUQdDMOPqY+D9myfgQBxK6TTMU37znAUsaPa+aOb1sj4 nivPFunf+oiKsGPbw470oViOwaO1RsUfhIhDq0vkjdrFOkCj4WubA0Ui4d3DlkW8ME3Iajm2BD8f etztpPv3Qzq95NLHCt2P5s0SlnNjpiOBPoRITyXk/3WXSfrR9wwA5B8XWlVngYNoBl/H+dK9N1ks XFBWcOj0JgUBDD5/CgdE0eWzjpPsXqgBAsSdhsVopttNMDjQkt03kXj+x93vyJRabJSV4cNDh6DH +UzQq80k7BqGiXHmeU3lM6IfGCogVfRBaHp0YIQnUEFOLTtrN8VstCIieh/tDDv4OgeCfYAFj8eo Ly6S0d1XYHB+VKHaalb+93TYtMqkKbycek70BRHNjEbeCs3VEqkO/hgBMSjTfgB9psMnefY19qXu AO0peQmQ4II6PTIBBHT009JrzrhlZYysh9IQmZABMBQQmHGvV4O4GoC/ulAJPnSBhnmzRy3w5/Vs enrcBSNUux+W2cEWisQCuLT7o0i1catc6KEoAsiN0o0rEWgNIMZYa30I8YQdgj9Z1BvaE1QePNrF 3I19BkrPUxF9TZfCvTapfs7bY49uwBM7qfUDM7ZfkjkaF7ZDWDjic0eJGiAiUO/nVn27au3Tc91x 59y13aKDRCw2YS6LMIUDOej8PsBXXF6F3LxDoWitad6DiJt0HFrZC2z/xSXkR19EWrlsDsKHQRLf HywKgu/s2NjJM06/pTbSc5o3EFe5SxBsDB69RYqK/4Fb4zhNDjZxOUn0Gg8s0HB12XCUpEtcs/qe VL4yjJLHsTVqMBm3d0W95gMYZhTqGvuV1Hlo95oNuc8q5l/dyXgV9oHV/XIRjV6BZIAXtnuKW0PH yBXj3z2ZD98PUheCQiXWx4v64pky7YVjkrLG2RnO+15HQ7Ndh048fU6LptwE12+py0V0gWgcvqlE +F6W8/WauxD+eD3tUspChoFndRBRqXFR+aJfAv2Kc9ejF2l1xoMiqJolGKedX5B1RRGqz7fLu0G7 kpLjmdi+Jc9J7m44+T50tPHazORZKgjUb/uamAwbmI1150YWPUoHrkctxcLlTwFD/CDcyqiWBjTt jfX6h5ICd8xyr/OVk63RumUdfkbcR5GZm20c5dKsxFECiy9Dl/bF9pikAGxvXF+CLMgCIbAtp/M+ V/0qwor+8a9R7+XneB3qCaZaMeDcXwqC4V0ASwany8QLtaIGoUlt+sqJhR2+L+jQlSDEYr6oRAh9 wbo5/9JTT//9oDhJcgKze8fWQkcefOgWFaHiEh4sZBkUbDRgRoHA1Rg+JrQhMO93P5kbZSTpnJ8L 5HPnEMjzTszy56gRHWMAv9LBZhaCNQSAu8xiuCE9SlH7VWjV74dXPdD1lGsVvNhePSaEOTRH4sCI Flsxj/nKCouO3UCoSDFPYGyuv8N03zz9TVBZkH9HbWc9dvcyQbYaf6Y9xaFRCSh/0e9ielrXqaoF ZEHei644xGj1bMNjJrL0gkUIivLv+7xzC3jpe9a8y/vl1PJvMeiCRMcKcsaSQ1Z03LAo/dg7trUV Jqoca6tNUO0NkSHtif7Xp5IB8DpEak6Zo2UUU/Wr6rYimj0GRsCCV09w4zTrA5vzdqcAmnz5oTZ7 y+wGSLmxgNh8/XQf4pcMXEyiIFKJ/aUkSBQuk2M1bxub3Zxq4aOL5xkf4OXxDXnfz9JF9qnhyMdm OiyZG+sH9UySaVWL0lvSsOWBLct1AYfKTrG49WoGwLJR1j1M0nd4RX9WDQijnZtWC6mwUWc727+P X75XpHNd6VfRXZFuftrpp5NvjstLO2eUUIgDDoM4cwOVubn77L31VYXqjzlEKQiBqdE+MZBPmSsy 2tWdMNnPoLqdaqZWx1L/qiglwsdkrpDesc/yDIWGQIfSpF+dz4HWA7+IAW4NdKMT95vIKMPjTfCK xRorVpO2Wd0SFRFbIwW8CFKDyovNqTZIL0KtrtoNpH0ZFWvAZIc6dERIpaJBnZVRg19wRRaNtM66 xAIPxOaECxjGvwcBtmu3KFtzP6j3pxySC9H7qWz0eYsA6NtNZM3V1/QHQqSNCRRV/ShXF7AwCasz myS8orDji/5jIwTfj8LaeklBs/sBHDcLWQI8qeuFQ/mnJfDsxg9r3jIFMPQVqy53Sk1a2W1anYc+ JNRndNNrMwmhV7Yg0SGnZO3n6E8+Qm+So8TkYu/pIK8Lrf1GgWrIDeapTZg5ZxrDUc3r96D5n8A0 A7kCy+NfgiV/fnuki5Q1MwFV16R+9rVyw1/B3uGxw4lxGcV1c433Ot4HjrffzIQXkBl7rFGs9rPy Vdi5dLIgWz9upjrAlZFKyC3dpbbsTTC3sp2o+BamigBMyBYiPcihgBK9VdgagCQ5eafizKuXFH4Z 5HoTyvIlS5jR3GcB+ApV9yTN9qIbMjPHLBNTldkxSXrFJU+cfQc3vpOi9vNcw1A2nphN9Wd3dRgO 0yoaPOOceQY6rvvPEsl5XKFYCYNNer2sfrZjoZkN2hhFh5YCg7iSwjyNPKI7mfAoerJBNz8uuxHY 4owAtAf0fqGOls7nfOYFB6K/C8BzlKnXtwYv09DVzuiNjPwC0OTnOI8JtmyqFjrlZ2sC7ZSFvwRw 5Hz35uxWEpTPTFtycBrLSCBJy4Db479xntkQQWNk2yehFZ8PczMwrXgLESTkxQJNrpA4O94tG69f xmqQJGuqiDx96vAO4W8UK0AINqpMMBx+oU3nZNVY+Tu+P7nUp1oLp0Z7lpoHuO3i7SureSjbSmlB kLIOd8Tdpw6SYy0k9BPGo7mUOaX70wXxIKO3Jv8aJ+DrR48uvO9u9J/K9+6LjQRnk6Itq9qhZ8r4 BfRi0vPIUI+7RMVw1FIOj+t7oLqpHcfEnWcGzdpg7h+YsMQ4sD5UJz2MQU8mXTrKDLaO5CwBKlo5 zkeBuQlTSm3iq9EAf9HuOfoEqs0peCZ1aEwn5+1Leu1XPHdJtfQkqO9QqCQYr6gzT6AUR6eECrZI JRhquC7FXcJcslIyO4r/vsAJCYSGezYuHRaQqI+RAILRSEM+9xHTiKQVNbYrcOEb6ZGNFVxJRa9W 2AE4JiOeqv1+yz3q47qKpR9xsypb10a1Jqo9/pAQjn3BtUcstF7oBzPVpfbzK4ULvVO6PzwgvJ1r 6NUwRx9XmnuTrXVeanmLD1w+I1JTGUMjl9GYmSveEK7QE5f2PWetN2FL2AhrxHRkx9loyM6Zvx9X sMu3A+wtd8Cyo0BcR8xszdUobvyoQ7bJOh2QjrZ8F2cAINFG+cx4qSzkfuP5FJFIikUZgcCCTLE2 ao4SQLfj6Zxx1zNbjehmc0MH6LqfgNSOhRS4huuaKqm24hy/8wq5Ez8VB5KS/NC+WA5GE3Rtt+pY j1VXmtyA9ZAAQCZM2DupKt/eCPZMuM21SxYmSAE0NJsXdHexxW0JtDJ1vFfILYJ/NaRpXtUB5DDt K/He8oDlcNrgm4pX2LCMJfUlu/bIaqdPvzfFdz96qOT1j8xOkSXpnIscZdl1orT5guMrOnZAyeUq MwWg2G8iJbmeE/tazjjUgnOEgMD/xWC4ElXHLDGCRT1MBmwYsF4U9bTppxI2OduKrf8MxJDln0qt xlur93EAuDot7QqhN3+CdeJjyv71nUxxGZkjx9asFJ26ad7y21yJfA0w894aCLIw48+kKDQ31hNa YFal6yiBaQLZa5WS90OOna6xKesozP7aMY37puoaf14GUgb2eQPtH0+EVlLLbvvqxaDBn0Mw8suB 3KyzxQJbvQXf9Y/o4DkRMYBdtK7074fE7bSVAQ8px7TKkF5xUHm5HeWVaFfEwOPL0CZFB5zMTsOA tG92Y8V/yJJGiuG6jNcm8MNXyJoaTtpd6a00M8hfYNyPD78edZQfoFutHNbw23+GuAqUjinlWYG7 4FMgHMPACAnyprg5+/BmJt6zLstD3VIE0I2Zu0HEFGa1Nu4K+408/MZ3PawEFrqvLeHZHsw44kDC f1hVFsAHneiMVuBlt+LoALXs6a+obU+tIRJsTzq6ZCIwMkMk04FKZSmChiosOkDPr6uOfbD9A3jh /LnOXPAbyuwkD/griYk1H1yj+qwzxxuyFzqTTohBuykApyR7sz8N/L+7ekudg9GgQm62Sq8hZw7x 3N04vbA1HnJPqTX/l5P9R5utRQyBPqzFVLOH9fTYk54W69R5WhxAksNLCrBBkni39XH3Bmx7JlQ2 +zc+D4cmEP1zWgJjdodcLYculZO4oSbjRT5nEBq6/56VeB089lCXdrNogE3gsai6hhcZz6ahzNSg P8GbQtvFlsNSUPjcdqJfeIRg+B51Rjr3YgHb1Nb5I55fOKJEXjj4p3/uzHZvaL9FhsYTias/GwUk m+7CE+LHEQnhcocubqkO3of5Kx4ZKHg/RL3HVT5h60SQ0d3wEmGq+dF7DgInc/ZIYFZi20pKKvio xK9dsNkA2Hs91+MICQknt2SdTkHQcuY2zK4BRPsVSZI8UQ7yK3sEQsGCQxhYwqyQCBRJDC8pFWhM Lbd4A2yp+BhPnFta3tmcCqMioHOOoY2HnGMhnK+5nmCZc1FyH5+5ZQ0yaATvVEhFHLcWQjYDZYIy B7JXf1tJXGTZ0vaNjwIhAykiLY17AEvNXI3xBfcptKfjXCv47cn9h3T2xN442KHQQ2OWFeOuxnPr euurP+QnEVzBjywIQo5k4vq+3p75VcRS8yB3ibuFP6Cv/29hVPThOJbF4moiT/FHX2ZPKPDpGGit OThkohor2+OOCDCt9o38zCIzIkjr5gWLT0BxWD9Kr1e4KBjALnFJRpaKlS1b8QtpjZMCSpjpso7B kMv6zfApwF2UiCjpie2I6wGx96NE7FzgXMiOaLCpDDO3d0NQjnho3kAgG/gfQ1gPcFMxqsIlavhX XYqFKGgjV9GqoLh1YkyBxe5qPMTkvLDblx6AsgJoRQ0iCAUrzk9bu7iMCoSvfF3qj1FEgkt3d8AS CXBfT19n0J3L96h/cTxzFQFMyQjoo3kcqkkq30tzBm6orA9cTa7nnTBHUItI9aC+0Xffb4iRp1uU g5WCg4oslctp3hwoUDA0Nm4mJutGrsGnN8W/PFpaszkT9jmiGxDWAGxEgsMzajI1UzYheRaOAFJL k5lfRAzcti+VOKM/e5TxvBK+DYU7KhA+gLw5BXhde2I0XTGcANbbFG1QCumX/1Z/RVPF1tcbu67h aRtBD8Wirh3UlR5/SR38lqCMlp8brnTxpLJDck1GsghFwhuby4vtNRt1IXuKaTjqonxpDs+T9+mQ hjrb6o9KKxUNESBENbOtuDlNhry56o/VOb7tr9qeB7CDQjmaAo3DMkg6ktEG4riug5AQ8zOfChrb J2dl5Tv9ToiwkiBZKWkMUQaNS5UFSLo337QAcndYTtunzffKCd9JD7663DSLMEd/wdPwq4xPupKp OrxQqRZig4gP40pbFoY3qDSR/n1W4EA368p6Murrts4OTS0BgESrZ62MogUoFJsRIAn78UTaeoZM QlnFWVNduc0BgJDNFx5BPgCv8h+uNw4gSMg+8OJsF0SrfBM9OdDSQ2ciYDpGVqI88XErQHXuNEu8 nrHIZBqcUgQSWxri5JSuV+KH/Oo3xZFp2XwCGDcmmlZyMQbs/85ZZa8xk6VoGn4Hh+p7Dl5mskWY XhfcH7URxtsN97MKMb9mUoqjuIj1rWygYnIqmM09B19EyUCIVlPAsTBaJiuukDRMuUVQGMveIeH2 ga7vNb6MO1/mA6svW9WGNHuiZSLqicnMXvNes+/O8SgLcw0VLZl97XJJ+0+DrAbCj9ILScDZ6Th+ zdTHwS55exD4YMdI2Ya4ndrXbE/6IYkKVi+VqQfNZOQZAvR59auXeTeFHv/CgZV0ppYi4TPTZ+ew 9X9XOP4VL05GF/zDMl16l5wj59E44Qc1NIZcYwW2Xp/Uxy40cfvTogU/doHCpCfVLG6AfXqwHXib 4Skdi5VUQ8xW6INgZm0RsxDEle8OeX5btwyJDt0PtxkVATNVz4Qjz/BIb8GYPJuKti8n9s69g/Qm ptPI7pMjZ1RW4XG1SVlJOvtF3D2im0W0wgzaOeJiVP/gKJJizxr37ysKSgrQ9OpBESbp53RB5mRb dXFLGvipTgr+eBNZowJ4q+4WL+cs4TOgUUSNj7uX3tJEfyfgYW5BdnA/wGVvy6ixSWU6eON6iJa2 a2DhlRhdzQyZykGVwe+C81js41DQe60RNrimSEKsTMFkUlg61rtwCGmKoEbdCO7h6KezyyCt+ICy df2qHartTr1rmNVf33MA2lf75axeVqpQDHEx3GQIf3z2IvcSvqCItrQdY6aSqKCx3Zya4/2uSaln EpBMyNcOICSjAKkufYhdjz2AOLuWZItR7LEiDgvrz0Bpb5R5ZRwgYSI+nkVTIMLarVqi7XpPKNPk YKagKcouVtnzH9cv4Im1+W2eA+wjBS/est5coOtD16NuVmR6X06S1m+4YgKie+Rqan/heibFUJYe X50RSsbYO/RXQvhlb4JT4ZHBk/6e0NfqWUmE8GzDQyS107WleNmj3HwbGrzfaMlXeQ5ypqi+rAvS mxAMwFON6FHT9bSwgbvHnc7JfVl8Uhks7UpBD8olSclZ9PaK08OJ9NlQGxVxls88I7NRzVI6ljqh YJUgcuh9bpPJINCacxj8/wRqTBoJeqIggDDLXUVl8xKn5DBGtErGcIZKmOcFvRvNQT+5Qxt/XmXz 21KxGh5x+v6oIEEZNJqENSxO0kpobFn+V/qTx2LjwKc8dkrW6Vd7Fck2eF5nJl3BZm4gV0/T3mWa 3wm6GK5DfdMzJBsHaGEaWls7j2xKt0vm5eSvCVB1uKn50oyAoZ+RF8IKxyZjn8DdOFxocpWEmM9b PTTZ7+WmzpJmC7HKG12kSwzQLKK33/8wOff4waxzDBEGy3jQGKSp0spdiOm02nZQbg/2RsF7GKIk Iud/rsELc8gzLWeXBZJaw/sSZfaUcApqw82ofyG1azFpOnhgQs92RaZIDYSOaXnviDIDSqn/sUM8 p6OT+qYIdwkM1O4pC/Rerttb2FxVcce9xnwcrKN3marqAd3JMfcsA0jEJNHwz0Ikc72c1SCIPHUR pklty8tPFEQgweY/RNCHOVri50UUDqUKiIeKCshf5OP/SkTqAa6ZWAVBxzrlZ2lPVnaLoRgCf/7A 170ePv2i3p6UOPebjyVYP4C4D5X+r900nEC8kB4Z0VRwLxAbJGzHFypWMWvZ5wMZ+RR64wS1mlys cxAFLZmDwBLNOfUwgfaKqWYPhVLKx8clJ9mip36vMWv7OjPVB/xUJz948bTLa3aX4xdc69yA6P1Y sVHuKfm3xBebF8q3Cl8Rh42W9r5VYWYOBnM54J00NcoA2A8zQcJGYignHRsSBDg7PnQ56O3EbvHa XnmM5WdIx4UsL29vsSG+zCLo4++Uhx5dwXamTyNQzg/L9pQEgadEScUl5lwrDjXHi5He+R1QanGj VRKaOsW+k0NX/AWC76+zOup2ULcPzFLvdhYfjk3m/5b1sG2crJKZbIxKqdtcHUdgXoxvQJheJUw2 ZQr6QF12ClJICBp6GeOIkn4AJDYsaWFN+wLYTjlw+N44vMHIY937Gn1POKsGgsjQhYzX1MKsROAD qKsThNDih5SGFlwJh6zEUXHOVqIJB1stsGPoNgw60/EQ06ma4Fx1tWZARea7kFvnOjnBNenI+0ZV YV5LzXhPERLhYY8AG5evt1MVh31Mbuoj7+CYBQXWa8M0fD5iav7x4YLYMldC2Vsq9cDPxo0fxtYd 0Ibz2VaS4DpWs2ajdXqW2CaVle4Y8x9W5TxK9b3yLf/03DiGZb7W19yGhwC7wJCd8hFtZ/T7zzJZ 1GAUO4bbKwYBvJ5/LN98fUjmXDqKM8bG+rBY43KdzCmvODVd1GAHcB0guw1CFXCOIJc7Lb5KDqX+ +jgzsG6x6Z32HtveNlTiNQ62Thh+zkyZzTk63Iv+Oi+qwU6nnl3bqjFU09jzd3Jwx2662xc8J/Ve COJmOAxs3ZRC2EGDcjMwEhvUyn71ICYgfWiZtMgIUzCmxgI97TxmCO3H0ey+ncNXyX5XpvF2Nwsq 7yhMwkMpYf3bKjynCuHWMXP6/RAaYG8dh4F2MbU9+Yv5KPKwaVtrqA3ong+0QVvIQjBLZxr0d0sx JtMsnGGq7jTD3OblaBecxJplV5Ilng7eSkl9652qMA+ayFCiij63XVHJxhgC3GolQ/iul/slWHhP 3vH/AI4G4v+Js8HWGBHO+riHHI2nSeJ33bVFHGiRWpE9u4Ha0Tc7Sqvg7dSqAXTGvcjfqCfaF50l 9vDSqGRgTr2dMi4j00zAcx6SO9/aoQ/3uTTQWzcarfmEZv7In33PyAzEzi9Cs/m8AQ0Sy1mOvFQw 83eJlu8r6BJCgrBr6ViujZQ7luYwsB4jBjvniwaVpsJgmBpUKx6L6FJk3iyKZL/3P/C+AyKjiZ98 Kn5aml01hBmkvAovNUwbkeT5HsuAOLnMRnyzN9Rs5NHHWxcdWE7VPYEVFx2v5ekU8m61rOydZYyD YOP/PBCYn3uPfShJYYcTDW6CX0nZGG+T9kMV8SKx+1VQUw8NQL4ha1YvCx+F+O5v71FT/WnqwvWI Di+DzxXqGUB2A1/tSNb5wIyJB2DYDWfJCe5hKxCwMnHL6wJ2H3oPwOb+9iAxTlImVGGzsIr66psd iPUg+mXYqSXY6ed3+Zv+ctJY8LZVxUUqESC3LKxMWA0mejiXn+oY4fupnUodfSADe8Znr0j9vYE/ CLXfxCYB1PUVm5MyC6p9axitImZ2weaPMhnVggBEr1DW3HvJbYsOhnxU1yuajDsgTezXMz1Mijra A50DdgQK4AwIXzvIXFKTUUor6zJG5ILuv0TzeynBF7PwwQISBYSFguuZeTDgJN6AxulnejQDk4bR sUUcuBIbxGybuUOo8B3QzcbjnmW2lSLMYFCFpO5BdkK8NjxLL3fVhAwYBLudmIeDCLaOVXVjlu5r c0p9cPU2aHmfXgkmjzX/98McYxsjbItXCkRYxxeIeS/01HdZ7F0L/lpBkpYRWOGncNNrHonv3xo3 qsam+FChxUHskXNKlU1bX2MAfiI1KqpYwcHDEzudJg93pqd8EsE5kK9AHboiD9/Y5UCizKhsqfcB FZcfGM1CsndYoLgbOj6CYq4fXomsAnZ1gpWJhOtg51uiLaEl/UW0ZYkbHT22vcIgcB+7v/0P04g7 knY9sUauTg930viUauihmVnOKqZw+KpIgCgeljNS1JRW1nO7lclCrSsKi0sdaU9aCcgmveQg4WWa a2pIRSYhRTIZXSZWhhd3sH4+gmW05XjwHEXmTUDXuAw3D60XIAitoWsxyfvZMEnERL4u2xGz5Mri 4d8Uiq/kuABJfdD+he6ZTV1yWS2LtIlF28bv1Sj6b2FhPCOhXSEtRcxLlarJlP5KWSpCNeNOgQlx vBjdToZ6exhb/I2dnJxI6Go3ScLCITwOjDPcQNb2R6cCaueYK5wOrz770oKGCZPW7M+z1mhhQhyu aXneyjx7snJ5yv5vOXaxtT55GPIbsd2bHusczQq+8HvVB0D957Mo3wKFU7R77mRvv7/tW49TCEJp aGiuRfwRWi0jvigdAXMh4BEqDl7AYG5Dv3t7wnWu9FAYbXfqGKqglPthd2rk5Q35lA4vFBWdu5lc vJjoHzI3b/MhO6Y0mrKmBFPnZzq6Mkgktl/53lQwCrP+4komyvu0LJNRASLeGOrSRhup2+9PmWaR HjU22lkUHT6RyCgj2uqenszZ/dN3IUdF7zQwwFgaDXbQZOjyvxFX3Uo8tUue60qCrVlJISwrJLoP o5yPjAE4G2vo1syHFIU4jxzA0k/zVZDI74/+6ZaVRdR9ePVi+71hLgNAWBP7d29bFvEmXqFYvAyt ARxv2sZye2YebfTDnrWHXYS/T/vtWVFKtJ4yjkK8XrKvDAVKIffQGeKY+z9QXEcsVaKagioWzz5J GDshKp2AF776hOpkP6gK/DIztj3gzKD6Vk2eP7hDdw7+aEfnFm6HAwIzOzhefoT8pIUERiihLvq7 wUhakjes1Vurjz4rdh2/7W+4RhZld4wgm+/OHQGZU/UEtf4XYjPp9+rfOGHPHbjeATajZ3112VEt f/g04wzpiOWyxD/kD04xsnmgvXMOceA9lmaGHnuBM6QpQvIFvgYatDHcbuecRj6Uj5jIg2rFQVMk 77b2nGVZTJj9S5AmxW1CrSed0nxXmbJsxz6hGFWDw0sWJdd4AXq4y10JoepaTRKuDC3p9hY5/ilX ZQKW1S7hAg/RCzrLPh3/3Tf8fCmDH2FfzsM9wDrJQ0HxLaJODnUMRpQa7trRktVe4vxUOTIeK+rl 3QtPaucWf3MHTsCK1MxQSxXujuMGlWtlo6djHQ2g9JkPaY5GUJSlddHrJGS9Ulx2hBKc757X5uAJ JIQZoJQNoHA0bqr83Bl2MMX6HyywpD4LTHqaZwyELK8AVfcXqjb7AaJ+ADY+eIBHLXiosEk/tKOn yfxkfktnTqrj691opT6WoiAYhFpHu7I0HwHxO8n93K2fYkt1vE8gqe7Jvx4/RZOfRzuvCl9y2SC1 J5PxV+amTptndVWlFbaGGDwEfeL7ghkbO1kkdCly1goE3W1BGUe6+7Rbj7iH+vnRA493JnRzvMVr C06thKDazFU4shldVxQT/o+DUD9UukdmhwQTEFxGwf+11zLsNht8t8teSrSldZV1IWAGsgV78LRu YY1HdjlY8mNGB1RZK640DANkTxypdcmsKEWukaLRanhgernOlYvIiZXAP3KNy+zTgNnIajeMWvmV JnABRjO5AdSdjASz+yvlSx6d/xEwaUDCc2aF3pe1Yr4gjDxx6yFjmeI0S7VRggUHWu9W5c852wgl z+7NqnVGRhwLeD1vqt4O02EcXBEPXFeaQqy/CUN5yIIilxLfGD5O80j5x453C9Jr+lXkTZPqg2aM zoSqRFVnruWONvn5kNXwDI3VgbX1qS3tU6rOq6CDswea06MHt+3cq/H7L3p2HvdTsH/UqsNgZq6L agsR/d6Rom83gsxDAJDlH00w4s+EOi22ansrQE0zG8rb/VYEntOGhcjNPaN8P+qdVhyVh07NZ2Hi /eIka8RWPtl25OTYgk8DYTEItgfl16ysSfJiUMyQzvVixPX+jHmxttRI65SBPeEhWgneDSD8tyHc rXAfG0XZKVb0e1NAS+ga3DWQRxDA1/NNvaHYC0x5qg1K5peKyCMFIz6FxAbpWDgRP6D3obVWm62E fNoZ6cXLyi4maFLtFUKSqUWg5nBRDWSz+xRgY9ifZfkAmSdQERYf+nKEuJw2qvfR5l9E+7nYQ1dI l5p6MdIhHooE7DSiZUPeN//+vYWWf1/XisFlxJlylpdUtyj9KMy5ckK0nmLnjBWVGw/29YKJbAgI z0rIG8iwIWwfT+5/mD47eLEpsawJO+WiEg8b/YkcjWhTA1XCp+QLWwpPJfyx4rnyhMErjiAI0cc5 dNCV3XI9G1HA/7vWMrm55VWK+HDsJ6Ln9lMp/Y6Hftmg34VVELevS3ZXRDxt7nd/CAamwMlNBim3 qVpzsjTj1/Y310IAcjF7d0HbprnS4TCmAUChylAxXlfan3lIzRX2tmDUKoFIHRJhNW5fgQBFaFjB G0nLFuGKC/pPrF7NOP1MA7Onu74Jefoxq/2IXPEVVI2uVrM6Li9fLdu9WBt809fsC5EDfmOTlW3f P4+dCsKAkzMNHm9wdutiI+wEyzrMExK4Paez26fzYMJjLHq9fjw+0TO002QYb4HNZNxGuMPeU32m s4NOpKGBEsQ5/luv9YzilH0tx49AZWvmO9id2HpjhyrdDRHIm+nLfNSGRoZpnoWT+mQHJNyxpPx0 l63NGu/gfxYSjRUpkfRVu8f7QPp8MWRoBCSFfTOL1AkhL3CJs4qWJgcg+7J8L/Tm1q77cklgSnt6 QzkfUuPnirXwBUdMHr/jTG7iwuzqd/vOgSEPXfC09FRnPFUXzMaPpoKBZGe9A0g/GD4Z85b6CNn3 D5HPAhhEM/pklzHbE8tyRV5hPq6Woibr2Q31aX78r/mEeNvlmpEn7hq4vzLJc6xBtt0/arCGv274 G9DiohLkou1vwYchFIS+ZKfrlJVEVvgivQXc9bzzqQ6a0uQ7Be+EcAHyilnWa/uOe6634ljhZ9t8 lbLQuZFmbmhHfUYYXrY5kTq8PeGlWKhoegPi3XCjn/xBZPE1IevXUSDAf4CuSbQPbbKfsncMtFBQ lZrdKW7PzgKmgzw+UZE+QUnuEGFAWWhMewMWkdWrIoEuwmQAovcqIUuu06hD26Wc+3AN5IAmKyzb BaYf3+f1qM2iBJCVItGODZsqRUdOJ5q8scApsjlv3Bzs30X4/6YhX7SYNWSDs/WesZn5dIhH7Uc2 GgHr44cAmRNGvfqFxkRjgTQgsn6S5w4knI4FoUW5466EipYtBxB9gwSRR2mmzRM8Rn9iKsASrcV+ 9FBK6CkZhNZymsEwddavf3E2OGt16y5wVeSgwMK0vmTZzkVsV33WJIX6kFfv+foq/mFwy9Ze/eu0 ZDw9ohZNCUWyBTPpMCwz2xvXATwDZ9Rb2AMyq9o1zbT6LnxfUxqiBQar+Q7qh7fvF+2fYTMmhvGZ LEDixU7nhpV3l6SRcvvjo2NsSjz1UzEPjoIHNoEASq2Y6OOORJTgSeuUg+Xyqv/ZoLxNSYrwc22y a/m55GonTvinmanYw7ar4sEbu+BDVhYbyJry9YrNv5RKZ/z/VYz+8foXbRka8Jm1E3RfvZbiWeCV LeLC9hlWO6CohjaWoaVpSZNEZnXk9r1BbTv/J68aeLYKLxVrWBXU8cuSEPLbgP0yYvajNc8vBOjj 5jpylHooHo2GkpvANUP/Iqxn5uEu3nj7juBMFy0PE66V1ij+EaIPCGPUW7PtBCUwf5KapUfajhEs cDMHLIym/K89bQvN+hgPl3JwF7b2DR1Bu+0fGkQelTfLkw3PvynNZmwwPwpLl7/NToS1TjaXG0jI 5Cc7r7Dmw32RQxUDeug66kIdxJ4ENu2QL22gnEQRJp2zEWQ43ap/ZH5jkEcLJpp3/gsEtp/JY2Th ANxzE7iefRTvpy+EB5bWCEgZa4xR32SvUPb4h9q77xw5MRxtWmPp+I0eaIhn20aauUgGMFuD+0/E Hn/J5d/zcSHK+VnSZsfbgOwIkmPhcSPrqFCeUHRZZQR7GxsBcujFWr5Aiq/Gq2EvxqAZduXwW3Qf xMl9hWsCrr772GfOnOKjt8dYoboY8zgss7DeCkQNXGiDmDQTJRNC9o5zrvpKUeHs9J7zgTYEbwiQ /jZ8gDOFUQxg+h780Rk1AEI9+7ME5mhDvN/y7UYvxyn8dqXp/lLUDORksItBrCFSVKcr7DVXM9P+ DgC+X/aBjj357R7NyhVlTOIn/ywETURrGk0n7XsQZGkGwgdzmnJECJJPLV864E7vS5vruxHtZOen PdcuaxnW40H6rRKd40Rpr2MzjzKpWY3TCVeDmNan4VNVshYlzgHSr1bcI3FqKWeIs14ydq+WVy60 ULrZ98wErTmWC3ui72+06lGv18V2v5QIG8x7wURVqLsYmio/rhXVJ8rDHtHzMPvk4AS2qVN1YQl6 bNz+rO34CvzHu09l4Z3Znw6Y69f8gfEQhBgh8VkSSncypVlcry55+waFDWvVP3BlHGVDbhu2x+dF cbv15t2P7xEgUS+4LnmaRH6JKvVdy88w8hQDfVtZw17CNvYLY00VUmCXjDMKd77bdUNUvXQP+Xk4 IWs2lMxx+qY1zWJOXjIqJNjRv9hlrEYn26pKawt8BTSFrWjZBippxptEeo4vBAkorCjjFmltejw7 ejbWV6bd928CwTThUARakHZN2cMb4P6kcLcXOGnrLJzGT6xG7YcVCuDRUq4gZ6WBAY5RHgsw0dKg J1xqx3nfB9Fthd+QdxsoJtcOPhaRFiCUWNoqh6rfuia3kI7LI218G/nDPByYV2N46WdernE1qNTB llYgYFerJ0nJFG41uMR+OZ5ctaNCFUNR6KialG+qlt/F4mJ68Vyi5DqhOs0Qn+WQ+bkyDFshMYes wBbZF66gcF67cpg0J9wS23J4UYp9Z25S9iwNggTIvEXRBfHOVAANem4lWafwI9+MP63mqZRwPLkN Ay99vWQodr3PYac2FNiiHqqWHPlPBi/m83ZwzFRk54QD5/v0i0gQYVL7hSvcRvl+doqAnCCYsYaO LaoF2ng8Sf9pA/Uaww4Ux95J7tX4Ofb5H0Aj4JjeJlnMZOuMs2M7BG4OkYL2323QWig7ycvTvOfN xK8Lc3YThjrZVziaqos5L/h2Prwk3HohN55jX80N3H2M+1vCtIpnJzYwB2Zs/25QLWeTcmD4ZvD6 mi5KoMOZUum4/SQFiuZQMLziCm0YfDtylXTzcHcYZZaN42thYOohOFos+C535x5a00Nxcff4o6g3 1D3lsBEchLNh9JtZWlEvI3ThUpbj2AmTslv9Y11Ji1aC/zT9hxnHJ2dbPIyBmk8boF0pwnjQGFkv dCBXi6NN5pT4K2ilub/UFfdoblNohKm1N7eZIQG+rUEKVOkt3UkP+JkTqQboRXRHqwDgAdartOeY GbQuSD7fsSln4RHGzxycNk4L7tzOEvE4opPgZlDAbCouNOE2zbgtOw6Qfb1WkFiJ5C52nh0OQtj+ WueKrF2LUS2toidLUSzXVCSzdkFUpyRX2SkuLMGzCyCjLByD9eoSk8+C2cA64FnBZIetNUg5e+p9 FY9R+axQrttaF/5aElliOGl+ECmbq5pGxuI6O0BhF7zCbftRk9Aih9+GG+u0nQlhjbiWuH3gCQFr UfWnwcRhJekRk6RYmSy/MrmN/9S8sBQc/49Kl0B0rTLU/KDbAxLKdadG3bdrLTC3ri6L88iHX+WP Gr16YGLBXsmecj7vA7ckot8wbqi9CttOkmt5RhUH8UrrcahKHgDyYFEEcMlj3ujdXJTxgr8uguOJ 7IKlaVf3s5GlVgQ2zk9LLZ/3SL94Np3MvQQVeXddcLLIg0kjq//N0buJHyT5BsADsdt6s+dZy+Gp 7+UTG9OgA5QYiQHbXKLY/CIF4WHkjGw1P89EDcvxDzNkwPBHIZi8rSpVnsLOAAT0vbpL8b/EIamH RL2t2WqX6Tz6kdi0gduxKTJrGoQ7iO6mLBfT1/mVk1kpE36wW8Nz7gf99DBr9nnr2oHMymIc76Hl uv6NayZ010tLdnWipKnfjrPd/WQxPeSfErxrFsGSfBxxzK/o7wBRlGqmdLQiasE4xT6zpULEOo8S /b4NdpkNuShU/pJI5kgicf0J01jDgWhBim4ZRVGunLJwnz3ix3AD8BU53+Q1+eEzv8NmbUUjrtq1 MYe0mT/3NKwpw+OSYE4o22UES9DYy0MKNVHT/YIbRJS35dxMBN0XwNidhZ1iEcy6mMYSM1r+Vlw5 q5AummIqokPrKgbrJfnF52BoIqELZYuEOYcSn4y9ta7qsVjuI2PUT3nmlzxRH8ioNgm76uU+xrjW mk8dFEvkB/lpIPa7CY+seGUlX/S57GLqPxvs/1iP/EK0x/DYNhZlb0gfVbx7UmwkAyZ6Ppp0FJ+q 7DnVm+hAopcnAiDdT/Lu0LvXDRS5QvzbC/spVWnZhTz7Jt09SLAhV+VQqpVeQS5KIn4LTS3cdcq9 XkQj4GR3KsRrUx/6Vuc3kLH1vCCLBMj0z9uuLzLyti0CGnLnjKlPI/vKiQAwMdBz6WIoXrp6Xl92 5cK+NI3CYbdpn3a/E4tQgkE+B6R9wDw+CkIZojfdLgtTswN8y8ogYNtn0pQmrqDwtTbo1RL/P2UZ /Jf5sssyJNyez50fHqQvcFD1mVUBO4+Xx4npKZcSjCkeQyUNagiKhhpmomQ7BHVMRA1jf/vI8A+7 ctZuN7ecY17NDswZ/WPA/aEDeKTwZyG5rJ5BNj6gidqqM0lXDOxk7pw0sy0876kouQ0lxJZFbjNi tLE31qvz7OXrjiIN/Vl5DPsQ9trq1PscoUO351+6/qmljL6BnHSHTBkZs+033JPb/TRBCuRsL9rM VJbvSwmU5KUe+m4nRHtApFBZc7aOF/X1Th/lz2gKIIe6Dcz3mGjjil7moe1w8L4N2VpIbzHHUu6d nyX/UeQ3raRVrg0EGrBE3cOoYPOwqyB5HvrPGrhFHeZL6PBZHPBl9Z6m8D9g2lhic+7ZBx+YlifJ HyjnwwPiV9q0meXwj0br1kaBCDcgGWLSPEW/rRFtXu+wYmRe2cJ3bzSUAVcP4/5rDS3aEt+c0JES XtSxT36y4zakIM9zjFsTpZYLaUDhL18BCLUvDmY0ThPAjELatZHBNf+qVWjTKdOtFm9talT/t7Ob E05CcH6WowMLnWzlNPgppdM3/Pihm/sF/xyjWI9ZHbLn/7TFq2D6FNvD+pA0+zCyPhfH+N6Q01dq k39+7vjICQ+TYr1yYTQgLEyGMYy0/FrS0C1bBWVY616ftqciKqxm4vGfvDNAVYQsOXlnG6sgP90o TdjkDrreGNRghau5OgVMBl936bfp3/Lk00z8HDznAQojlQrEaxvIQSYNckNpPzeBu9q+qJbSg8fU pr1L5tc1hrjMU1WLUrcfwksNZC7OMqPrC+w65A2QOnASl/vxxdCV1kyiBSRZxnJwpI6Ao0ItLUmU +Sq4/fxzOLGNH2QsbowL1j5N2UmGKHUGfwvu4oQM5ZJ9DWokxFJ33NTe1jOF7Ee4XLuIN0GZYFBi HbVqTjxEx7GQPVQgoPnJ/TPQrL/2WjpBVWtFhApdWMxGLbYIqdfPgpCS3+N4mT80jhSjS6jsT7VL +6Fhrfta56O6D8X9Lj9uLMRpKKpbF5FgYbObzXSZDNpu1NGqvYqzkabluOh8W6hFdqdaG9kCslk3 0NxkNZ06vxXe4K3hQmSF+unxFZnuBjNY/rPF3gL/q85DqqNNq1Vrmfi1HJ4F4aeYbKDXC+Dt3jGo yzgBYa1OH7er8Fkd+Ualedl+u8XiffmfZROucZvdsa/ROR2ZDK0irdVOVrv1RHSvuko+Y0LwIMAa VzN+ZRsKrVgM3fObVMObLlUwRfAxRkJD197+YCqIz3Ng4lJFK+fZPwhS1IXHb0VvcltTCvtUQc1+ zCOr8ukidCHaoftjIdhK3QvtA4gMlx3Jqb1UozOmkxGMNwu5Tp8I1VCCmZVkvGFXzP5VVy2jRHdx /htxZngOzTRjC2QAXFOvBpSlu3fHgmdeizdLSh1ZZaA62DN1Q7BKG0d2pB6LSS8Zfa0TSrmKkBqf YkuYewVIwHgAV0vWhWNzTaIdy74hO89M726+XGrMFLbmqTC7Wy89sRvMGfLim/i44h8u11ghDg8u UotAKD5BhMzGxiDOHbwTfDdMMy3jrx3owzJneKLMLKSuW+T6K00zzOU17NbD0YX1bgPdpy12S4QF wFUK1FFgXCQmCOutaqNf/tZnfkvmoQtiwtzy1BwwkqnUDGWYmnY26PxGN2GyRb/R9IFvPUeDFoN/ VhUrIUeWIm+lqS26gDPJfNRBWhQlR1wFWFds/jun0EbOf747ynkAKXjNATeDIfdyIZsDB1M0lwQU j+UQfqtV3nKezXdgDwei0vYB7UIJBgITduZqfJfqyLURG8ilEbqxf5mvyNky+o4Y+99VQFlQiTXt 1OknoF/7TbZUCJfc3AetVr1bziJoJJzXiKxZeXPBJEXwR5LttaH16x97t6q3RRYXBWyYxlEc66k+ 7L1na0DoaeCnHUFNWuaIUi7IRfFljnWA8A5UtbNf53Hf19S0KffQ5l7nVpSoj2HPIwYSY9i4/6Wv L9Csk6493vVvaNMoFvS+x0qwGEclSOqN1xwI3/7q8K1GPPipcVt2gbKw+bGfosCA5/60By0bn3hj rat34Q8dpUAx7c5NMkW7zAoT/MUogwesQIje9vYHVmHNeM5E4vrv6un2qXb3oLzlLncbVb+oesGW JE2WyrBQZ3GbF9tOxq6wbOQG3xE1/SYfPDvyXvpaPc0UI9VujUCRdZ2ChFTHXDWFJbJqlQtpTs0y 5DhYC1IdG4Ce8DOp7BFkIJPs4clCXeQK7jzAhN59YXXNWIUfnLXPlzE93mdwIdc++NOQKQzthSJd Ukkqsbe8lPBA6bcpvDQGnjItK66womI0+DLbBHvmbt7ugHIyDb1OblXEK5qM4T0Omqwp8uApKNfG 9KQi/dqNhgxqCLbZ1PdQ65I0cSCdk2vtHflK2nboQDGJxAC4sXvRWfTm5I+ylhvsv02JPWwLjUtf E8WoVIQ6DvhE86GvIggtvi/nSs+5lXOswHZ83RjY92SqKCEl+7YWZoZHiAJ34HRS40N1oWzwbyOu PB8BP24mI88cREwBVIvVSUCq9HxovNgeINs6xwXCQP4fohYpy1Aam06ddsJBRX/IHK/lLPkbuTKL gzbinTvU9fodBCdHXKUMJmggrsdvl9pyRavkBTLFroQva1Ffz4FqzzapKP4UW0dDbgIG19iO6i0b tckX5ogOERaTWrpG2G+gf5HcoSHyfP2leapb9PtkjJpcTF5wRo/lYJ0FaZJdEowmRJM5AR5Padrm qNP1IDTn80lrTOFdKyWdSZ9KTyHH7j71CX+eg9KW2TUp8CE2V0v5nc0h1fkPVfVTLCZx/3ZVjVxk +KMdOdqU4SZClBJrPXz7lYJ+yo7GH1HDt1gqPuyjfkUREUn32tE7ck7TNOY7/0ZWHz1UgI02zFxY cYmnvaWr9Fwvwr9CbIWkBzjzj8DwI5KqJdcuCHLA5G1S4teFdKvH0yUct+lVWrkR8PKa/4VvlvyK YwaOYjyVeAh/c42igF8nop6Vy+nLCvYdFy2UK8krcqyD8ucETXV7UToJjGumPmkKxQw+SgGJP0aV CADfVSN+JOEGNjTJeDTEr52H+yS2WbEgkxE7d61TGEdD5bg8kWSlyla5fyhhrp67Cl/3vByP/Q5Z mP9+Lin+Iv0lzGSzpYLJDEdfuo2ZP1tRw0FP3mKLJVb/aeuJjIIGIaLYMp4GC0pzNVwn5PFhJ5nv FhgOOsemzskxxx7hczBoTsDyeR5uThEu7gV4tU0r1zA+174YGF1stQGRJyGdAyOxSDTUJQWZAXhh RFxQpgB3M2RE7dwnr4fFEflWGQzrJOdhUt8/aNG7ziRyBf51PXFgWV00BF2zNvvDKHRo19JXyCXs XpgUxDhGScSqEkV9jbb56z5TB5FQIlmOmpU8/qS1ozuY2SUaucsCWXbU/+OXt8L1R01vy+fIubPA 899m+xtK1mz/UDwK4e178OxN6JkFvu8wcklP3O/1rUzE7IimS7ADRqasMO/qdZdrGPH8AzQmEuSh secL0ObwE8lbsgkgLUE9fSTnwnPg3+GNkXUv8IhT0ruTnPi662JI5OCyGt1m2Wlq5uVwPoX+u0xt djkhowW1yT++nedKgxmiz1a/ooCZ6Npm7/mK2IOS41iCoDnXywYxXNY2D1ZF1Ai2x8x+9SWBztXp Qc45/JElGNJonJxzF6dqNAT+0Xg8CEokbPD0pgsUuxKX5mGWDR9Nq6kiPdVmJDaGJY557WKwEq+R +RiKI6BYojXax23zvEuW6B1p1TMMSkKZyrpoVS2MGku7iWBpAdmFDiGQoOHwuWENH5KsxmxwoZOu UW9XyKPAEsviaYMPCQwQ7XyOy4es7NLv/kWvcmJz63YWCXXl1s3VqOWmLCdBDZMACH8F/JwA4xKZ fF3iSoNIyOeK7lxFHKRf5WUFRqVrG7YV+kULqn4Nzu8w6GSeR6w6kH4lrmFkh11pxZOxx2KWJulj HMzcU3WHDTMie04tfHEaLgqBONgAXzYGXeg5PAlk8B7CQVRzufgZEfHE6n5iIhc2BWxUgMXwCGfv D7nAJMElC4fiBQrLaMKhytmVM8y/txaJBW75B2AJnFZh2i8DtpHqlKXlEqX5nNGrGEOY524yQP6P HsNhDBo9O8PI5lMimKafR8zevS+5Ls06cNpMsVvKValpo8CIlKLbUbUsN/SPJzZCUDvD7nud3BUZ zBGxfqXO5c6vnjK69WzbiI+ULKvXLtoPXPLfIUpQwNYWUWnL15EXYITf0phzfKI3dPoYWX3Gftfq lsN3vL33qWIcUZCOZ468BFeNE7yN6Fae0iISqTP+A1dKJQqtf/+FWVxJFZTZE3iTZfShC85JHF3Q a5yYVd1CHNDvgFzNREyhu/7xkoZOFAgC/vOrVXCbB5scMACxChziETnxjRoPqoEihToxTp9G1Ahw 95Gi4IZycBiHcHtbQTcfkmKWLvULUg58Plmn2s+8ioObe3ai39z3W5OyIqgTJ8FEnRSUedHe5qvK V5A2Y0l83KK44Gz2h8sZYaNwwdwD7OMZ/eoz9C2PwCHaJQ+84kPJ2nt7fuCeafij4l5t/UTFm80Q cVG9x25bkJt5MwChG8aWu9Jfr+LvlDJ7OgfeOF47ronTtdu4nCOwvCbrgWuPQxswU/yiN0wYxKKg 5bIDUO1jiDW3yskhOrj80vAWyflNglb8Ukyr/gFyoiw7UPLW6YRWDxlKJZzA+SMXsDXi0W38OFQ6 YkI87SAORRsy4rbWnsISxoutnix/eGJePuHL71Pmu3f6aUMjanUxT3U12RqdU5o45QpIgZDirsuz x+ektIggGp4XcUzPKJ2B6LnZjFGOTM5TFyxxCq01FOv7R2p93EGJbN04x2E5WAMRjzUObY9Y16jS k0ROQAk1W46IdM1BGHqwwLz5fmRuIIWAPyiyTZ/UT4cuKEbpGYjbEKtLKGDQUj6dbNNyBDWhw6FA S8semjanTDrm0cyDUpUxgR0ReeqE2lzLF3FVP79JGd3X5LLps2w5aH6Cl0IuACGjdMyb58hfEJVA 9MIsxCyUv1P/RLCqOwPVt1bRedZlzYcL1iCEHlsIvgEPJH6CcCDyByBGMLo2jZMs/wNfZ3AOfKvx mWQIL7NNGFjIDVGCVoqCUrNdpOz2pDEdJ2I1sAjS9l/EF+oh5SnqvtumccADuO1BEb50uWsuP2OL rL0WhtIJvlH8NqnYVS8GqSj9vKbsCyHw/IPzi9Gx/KHK5jUae7oPdhw6mW1XmPht9t9qKHiin6fr MYexzRQi34HVEUBSXjSkixid85eyOQWdXZzZD4GEcQo/Lchja6QNybJgDr+ooSL4aQAcVliY2Olp YqT8XEP2jD3Gr3w82R2DAFxg7w1FBzkiL+JV6CPft8K4n8TKfgC2KWBzBbI/YdT4VyqEXMkNhhIt VolWA/iuwXI00KFzfFB7oPg07AINFchal5bFu68L3iUN6ub4JnrUsZoXBu+qZ3xPAWVgEESzSnUP IMkC3lSc+edxs0vUWR8uaXKMfZS/7+FJnLayWreFTeAKdL3euZk04mcwDgZRA7x22JU1H9ZESkQK nlZQYf8ZwlbM/O9x/mmhgeqIcMeaVr5MhSXBwWcwtl9MpplOmGoqpi8pifj66TqQuJAWzOaTRcjz e6ekofupn4o/lDwWKUrNvo6RgeNOWI1aKZxho60aV8lzbBkPZdYxnDPzmsNY4ooUHlBszQq0ifU6 iH9klO/T6iooUydGU9XGA8Rnp8R04O98bG7JPmQE+x0RU4ccWPyNqM2foSiI/tvONCyIOx2elxT1 LSKzpN2d2F88v+5De4T+P66kc6cz4H1VWXPeyT9nroWbEkwudvESJ2T7/+7XrvjI7oFm+b29pf7a RFIhLdjrj/IJjF0xxiWWPj7Sw0MoU+o5fVAiNTthMcb2KbbaVaQDtvsUoCJOBP3SpNI5jkFEPhOO ahlA/QnkFA4Hmz6Cv7XPHQMLz4j/oTlglJoi+3WrwVLdmXs3dzZ1BnEvqbF7YRYpkgb4wz6glHvA livWpySa7hZWumsjYLdvp6s/3eL/7ZFau2KCV0HYIucnzWp5oRXfhVEIX1PxKqRHrPA2IqkpMKcs 5GxDtbm6uqUvORQo+SnMynTgkrHpCK2QPVdfoMQZF2zu40ZJIWrT9JsIjZQAjpjUvkxQkA9IKnyd yONKfsTyLjmS6oVXMp8PQJJ8fou4hVXguFvDYTEjXa9pI8z+8a1xMaSTrPq+Y+TC0X0QO67XPtzK 0wIz9haKje39ADoEaEKNTGPtMaYEEQu+WVBNr3jk+CzLYjCixjVszRJoF7yEKCHXYGxnjvAJYf48 2s3hzyrddWP1/kfC0Inkp2lUuUqwtYlYckxiIAYFTSw2KzK1Oc1yj+1V2p6VKx4RE6Iz5k9BHaR/ VsiSRLo0xXr5ajPvK3/8uPp9y79tU46VnQ1wMe37yEuaIan3BZ8Q9rYFNkVqpLeCzGVxEKpXm6yC 6yn4x4D33FxObRc0EoPGKGmELF1kjw728mTyGBroc2lHXDkHbm7HdWwahE2YFH7zD2AHB12cNCgR lCZJN5L/ZkEh7KEDn0HZUPuiP3OVBZCBzZnj4Gl9z7tcbSHiSR7FfFGUBVckoFbWPz4V7XuWFTYu Ci7EA5XJtW3Dt8Vp3QMAPg8GJXhqZFTPevjVXysFQFuSJzVTigwdJzo3uzoC844+5N/Sl88Q8VtI QHkkt5FSkTL0bP1o4PTKs0Mlb0bH5d85iLWbR5oyE/y8GR1gvYaEoLkPrRBOrzm9LWoe/8htmYDy pniWiEwy7aD63duVCjsjGNqkD3iZ2ZpqxgFjaSsX/Kp0hxsm5/iUgMoOu8P5OD7y6SjyQhhIIPhj /4ER2dbYmLyztga26lbhcGLoUHA5sWhGsZFjR8EjbdCHkYq/aID42OGmVVdAYzt7OAu4h4t9z0Nr sVzgZGyS1Fqs5xbluSXLnhMV4lsPiBhz7MYpoRUHMNXXZxIkFn5RguHYxSZewdX/hQU5j5IXNkLh 1J6CSVRq2TTZyjXRM6QrpbfBEpDk0A9i+LQDBD3uA2y8Hqka3xlbwJIlTCCV6KWYn7PwqcSS7dB9 u6RznpoDCMUQ4/ti8K4B9abt/xOpAr/k4F1FlnIqCjfdPjceVO26DEU8on/ikmfnIwEGAzkXbVy5 HTPeeONutoXC7vQ2KKq0yFQuzUP5IxIcL+JXdoOKyXZWCJCyWHHjjXz8CfWxe7YUWCS29ZLlNmgv miZUGM3StGZYEsaoS0fAPuruS+Jz3vSlTZyTJYiWCFmjj8+v2fSvcZhzEHzTsk0WoA0eAKy2oeUV 0dnZ/Bz8hRRIZmEZG1K3nLIr+DjGeUSlecCGlN7mI2Ikj+dP3RMCUHVOQ8ZU3nCdmUjrLgDydU+u 3GGBS+lPK1QmJl7PTWsuh6TNzRoXTF3gT+CrxkaMmPQF9Chz7tTvOu3NE3ojdCi7/C7Rh3tNuwId 6Y8Q3QiFCDd3ySLZa4uV+qVmKoIZGzUCvtrjVtIlcpobf34RB+srUm6+bodviulE52c1BVkwNHHK BQtGOYYiLPQKXLlEyxXgMDXULYQCzQrqSGbzod6lYLtCufWITif5DvxPMWu45qwkHHR1D7cw3qSA 6G1tdrUKBhrf6KUE5IaBb91NxuKA8X4V3KogBfA7slYxglTQfPWlO3ph/UNhUXTaFO7wmeoSM6/O dGisTRsklqHvS4MQB47RZQsGrVJIO69DC934dPYP1XFAbFmOTSX82rPO0tQQxvT94uATj6ORwfBp 4R9bt8OJWvnKXGLrDByzdknZX/5nqGrsCrMQMIyqdE0qEcOqz4lm1v+riOPAdeMZq0UyfuvoL6he gb8M8Zfl2VROxIbmObNKp5SXJVGyp0AXyHkbct97bXh7G8nVnQmz9NZa2Qdxg/omiZfOPrxSZ7Am Nu2rTVgx2Yb0gF6lz2kxfpCq8ifPi/SjjcgD/smnPZ0ILxwwIXU3A/dAK+A4aIIDrTW8m2qrGybW vPXOdIyqNyD9x7zcO0kHoHUoiUp3Qlz442BuBL4bYQ4oElKvReqLNqzZYncByDVhA+JBG3U8pdXC rqYT01uA5Qwy00UmA3L6RpSf5J4uJPljxsi2QEUxobnaRr1Jd1w74SgHkLfD6gfdf7XKm1DukYm0 h64cAV4R5ZIHX+rIRI079j8tpyPR5KMYG2IY2nA+v95TLLG6IjxCAf0tiogJdxl4zOqjGzbjHuVG 1Zxx+DJ6Gk8GQj9Yy1pj0CUvQodz+DUGoWxt7mtceAMnjtb5JwhSFyHFxf81Grs6WCSGqyf7892R hjsT8dQ9sphAdmQoRX/zEcg8Z/KpQ5d6I1yIDl6Fgjtp7ySWfPEGj9SdhALVmk91ZSB57/k7MMkL 0Qp9kFeRwwbdrFPOjNT5tOoYZXgHvJdcfpc4ybw0Hsr4a7YLlp/vnPE2EBdgXvY4FEr7ayxeSIn5 WZ6UUjbhED46da9TKPiR5lScVYiNzg2koiy4LuyeyC66EkwMxHHGPnEu5+vXT/2eKdEBUsnfbmtN n9zDa/wiHrvBnvB6QIM/27il6s9sm5J8EwnNDInvOB/P+tcJ1W2p9284nujv0GRDUGzT4V358b63 YzqkKay49E+ovMDRM1brX3U0Og7N+d39LpmouQz+Jah3e/0pP6ZxQLJsuajJjKR6OZvZNX+vjWAp XkeFjA+K8vJljdZZR5IYr80WVVTbi6sYgRhf8pCZsojNOl4iJ4egUC/ibl3s8k7rFLjuE2br5sff ObkGFf6MODB124oJCyC2YBZ+irwFKi68W3RybcXBIMxmxYfVM7sR+XmugCX7cmdwR00rK9y+1x8T YrR5yeYulFJ4gubrMLP81cIJr0KnLe5opbbjwkG0Yhtj9AyOD2sMxSf6cwb74YOPN0yG7bee/BS9 hs4yZEoyu5aIFWvjmQNkfupiD9l0Sfwxyfz2tkECVp80OMzQY5TGP80RDyQdTI6CIIuppBfOSshd Bb9nw39li60/wi3y96bZtEl8YJr7cMhKeHYzHPYu3eLyDzbEZXSuHBo0WxAN3lew21qBGoTVPBIr v46kNmvn5JRwX7DQI7uEkO+fMqP2MvFKb5suSrtTzpaZFztxPwQAWGZYIdbMQkKyIfOrsSupJ4x5 v2pk0zC8vd3waIb0t1yqltg2ZdKTt6EWrBzhhFadbFX4g0rm1Ybgw4YcG/DUnjSe72L4m6dHJz2L bRnULCrM68aLCCYPV2GNolWbPvJK8xvsZCKD63ZpCiVILNHRvO4C7HsxJH9Bnvh9pONp5/g0WOPS LKW2wplg/Q65Ppug7jTtRIh7cI6mFknZ0MkhH2VALR5oP1UtISXl0bNsAGYtZSZxvOk68JwRY/NF fCpk8pQsPKmYmrDCgWgkto5IOhGz1g87GNvloQy/RLfx7JV6uFg5CYGovZ0dGdHz2pYL7RytcTnj SG6rrIf1LJ59/QZZhmTXdb0BhY/g6cNgwktfbJ8COQ9dk54s1w0kOy4yBmvLw+u126ECZi/qNBdQ 8rwWqbcejrUCSq23I9igOtPk8uy1rPNYV1wLU12eEn0NZDuqngaz3BD3ygAwju7wo6hrSZxb1cQI 1SnSMdbWq/nnMZlaP8cEegDlJ5aiBtwsYs50xo7Wq0Ra39830KmVZVP065ILAiegUNqdZom0X2fO OxygYDjmA8EO4+nTWUhBVtNnRqOdJZ/+bf2KWqDUmn3nTz9kMB2Z92fjCGPIecg9uJ3Krk2ps/DP Z7GO8O06sbRfWZXVCrk+DNDBI7gSXq2W7flj6xkJrczk2Bt1AObxGgY5F/8r+NnbZM2sQLiDcvo7 hBJ4b0jdE8pNdJ1l2u0m8drl3PsnEdrZ43acB0WuSAQOVawEb5GvsHXljN40D1AdDO2jBKjuidUu 84JhBsa7lHvXGRvf0Z63+JM1VhouPm21KJySv9ooGBedV0ZDg/oyU/giHg0RYQUOZU2dfhg9Jzjv PvmoPZwNCYhdSJDqwPKapRmnsLSCyNDq2VaCdrvh7XomPvyT93BwXQ8RkNRX8Pi0fAbMJgqzcwqR iqgJfzGbsHoWXH0NcyW2214SVdwIJCxopNjThxicXiwW6cU3t/g0SgDyV4lRa6nLEkOsQO5+uOw9 X2rpEMXKackDEvpkB0ntIdoP0U5qPEKz3eiLPuTTV/Y1L9b04OUbVyn6T3aoyheRUUXQ+ZTLzPRH 2vnoPVUSn4tqRL3yR28YPEVFCkpu8ZCSpW4lu3NzPPhNggnyo1ZQQ5yckqOVkJiIbIxSq5YJ7EYW BR8Kv7jm9yUx6U8UNLM/U1Gw4sZm7U9hO/BmpnIDEGGaEnBlT33UUKHR6ARrb8QluGntEsMOY8gA M+ZPfvHdnZDKRg6tG0Qpc6QHbOSLi/9Awh2B303p6uQNZwALzz0vIRvsHbjMmOPHG0xxdKA+iZyZ RDK7zw4+yYRoS55Hmpo+qxs0yq6U+hH9Gead9i43XWogGHwj8+usE3rIS5MPK4o3XbUFi0X7LfLV VW6vBu5O3Hu2Fsi4EC5YUFNsUcNNoP3OWkhMdDI/N59FP7lsa+Nm8vTBah2ni3Ea2mK0BZ1QW6Q5 +sXKsSSNhULjWv4fgIQuUo02S/PcVrZEOr0ktgzd/ky+vayxC6M4lzRIs8oxsnPByOaOghxCdI34 pXV1DgMZxNKWRo6WrE7KbHb70jdHsCntOZ4b+xojdKBMZnZ/BrWkMfRkYzwYHx3Ksn7gkhyr1NbN nxtjdMFa2S2zLxL0eD5XuwVIRVJ2NsOF1bQNbllvaQq1D1G8VwrxfXdsA/oraG6GOQDk19DEjvJG ICU1BtWnXOzfgC5IXCUMR+UUfUmrVGFqzjMP5EZ2oAbrDB/6OVmk1t9rmcJswrTis0ZR2ei6WXep XTsF/9Eh4UK1rH7LPBNyadBIeEq7ehogSe0rhIDQA5+KNNTP8NDK9/ScUz00d5cYu5Ko08lSs5VK aS7Eh/CUxwhjf+nK4NGdMrN13VCQbNpRUpRvVAjijXEivvj0+4qGgoQUqqPMnUq3+71yL8IvI0K2 2P0AFcwLgMR9TKEdEU0yEo0RS6mQnpVzIfRf5W5/K5GuxEYG4UfW1OD3QJodwBXBwI04Ns8W82Xh WQpkUhbDCkb9ODhPbG3P57N5qmtwkaklTlRCt/YRNC7olQQwK2fV9qeA/3aD6xEsKXm73VsPPBCG NeLNqI9MnfNiyPBUeIp9716QIzmEec6q6cfvoxhrhnnhnJo6jcc/xo/c9xcn+sXL2i7pRrux1dlF rloj7OPPSvg8QFJ7sNDzZehCivwe93ZlRH2jgN3X2OLv+z6/5NnaxydukSX9+Xq/LVAxoncpScoa KO/bT8ulwJachaOSMuWeqGgDiIvauT7AX1umIDLmoF59wER+IWjAhrkYDXKNPrAedMIp6qY0xaEl NoT2hK6iuSs6+09byYmaRPmFZrrcTQkXCUzPTpwUV8QxRra2+Oxi/qFGPSdEyDN2h4ER3RuqE5j9 U2hRSBn8T1LzAr0c5vZ3YqVH/XXzFjuBNqOlIcGtrDtZBSvqhC43k3HoPaKhIA3Fp5TqDghJNmbe S28IKDIIlN5hAqo/pTAdq42DI5hfb9SCEQUTU17S6RkfFX9vIfOEdYUfLAI1zRCwF720sfRXQ/4P fmMFF6d1Vy6gbIIDXdyRhU7PXhKJpzRuiB8zscoI33JOH2u4P8UqkFW8GVZa7IX6ms+KARoAwhy8 X7I80frQQ9TtJZJ7MIcyZ571tftf/sRgwAimi66zGjb/tvXjspQJ2EmjzkG6td7yBi+c0sj4kkMv L1h/sh5Tubbd+kkkpwa4F5EYEnvENbmVTW69nZlhTbPklhwpnF/Z0Da18/2ADD8GKz4Kufh25UYh j8MNXbX16dNwgHa61h5pRFdyTw1zhAMa/impby71OXBY9ukWx5aTWGdUD8UVGzFUEbGPxdgZl4WI F9q9TaAt/pHMJs9YTX/+DUYPxF91eSVxdjNzg41Dp6lCo/Bhcim6z/Yvax4v000zOTkDyQkiHZ0J LSA5ouYw0GE0Tqtmsc750LEU7x106/XiQ/FF+P9C51JtUMbXBR1IiLq+mOilbP5bZjT7IzGAgGpr UE6q+5CI5FpnU1HazptOv/rQxPQ4nN7epggivIeiBFM5r7e63ta3GTP0g/PILerUbm/tf+itrU/o /SBltiPgqD3lanS/n0taNClIzGdLh6D7I68MXTqXDTlDKYE/O2USGTMFjAUpdmmGsEZyiOxOMY0t Np62oxwrgLRAs+mquVO/jgyUZRxip9FN3B1esp4KX5Dlhm9MKzaPe9/FF1gAJiKzGNEftCBtKAUU qA6qJ8tQ8WzAIZj6JKAUGwgU/Pq+6p4vbE7Qb1z55OMXIvyV7AkUERxa/k7vzKW7pJfKvIHMs+eP Guh6ujoGjHSF/JVw2f19T9aiF1AUFiDUCH2jX4bSzQ17hsN7ZQ5kbH0IhInUMhA25ZCben1Ghvts OBaYjNZ5ZihU3cvbT/Kc2ID71qfNArxbB8fjUruivFN7mfNCEGiIH9N/KEihYqFKnxW5iIFSV8lt snKiluvNjskM2o9FqHci4agca3CvkdSMW7qMaVXeF0pIK3lqglmvi27HL+eWseiHgh8yOhinxqf0 ggBedhZH0RORDyJaEecpun11jce4HCfwRtaMtIK4Ez5FMnfR31UB25/O11O+3j13G2YKymhe8tKT 4RqRFyEeky3s3y6usuoSMn6kePTqNXzR249mK/gLmfR4umv87l/pVIXkdw4ULdneLpAG1GXZNe7d snRj1sC4jrRjw05Q1sb0eYVVqnlNQK+43dr743P1g9iY+6dpLCJztSVlRiUvMkLzh+tM+iqU1U7z +7CEbm7d8VJU/shNd03V7ezZIIcGf3FekAxFmCf8PnHuJoS3oauh5zrJYjfWYW0H84JQe2fnHjbO mmBVfcccvIGjFjBFHX0gglO+Weujzx1z8f+WZwlwcQk1ApYyzITDLywR/ZxSEO61iquWPx8pg+8q YIAweT19OUmPcwCYdr52q2gVr3Q4mqAVMsxlzSaDHmuQk8+IdwNiMwCLacjQzYcDljvxLVsAbGjl xF54zF8fVjQAzO0jgsr9JG0qILARJeeHMyXKthGAfK0AN4f7vDcI1ck9IWG12cwtsY8C1r2DpJ5e QH9GT0rYTyNSz8zDBn7LBBgE/eiZVuAcyr/E4nT+rfVWVyPrEx47b4qLBlDE1ZTzx9F9oRU7f2lM dVxx4fhNkuO/a0v8RdmGXDPbRnsK1BAAS2pRusYjb82FIdKuwgSwbt7L1DbnKoECkvQVSDckhgAS mTtXfr1PFDbBxqI3YbYO9HHKxg9fMrsWfBt597M0FuQc1Xg1vRwr6FpTq4x44mAuPt80c6LgYSDy PAUCNihNmhnR5YsOu0UV6xrogt+YwvnUhSSpu5NXwicc12mJDWMX3QLGi9SV//wZLMRfAo4UwwHL 1MR+4NWzgIKW/z7g7ZWoffWxr4Tyo1nN+AvNfAZV37AcIZOQ65sIlVDZ9YbPqJLrGuTi+l96zWEv 4k+JNPyxiTNtNScBWtPJFpU1Be3q2u9KViAvxaDcb31n1h0UBJhR39D8WZEvEERzsdEgawS3nQU9 3xivKAjBGVPvdacU9nmnX2RyjSI+EvfEyERxJV2QDNaWWYPnIMb3bkOA56UuqV3OyMSynYkBItUl dQFUJ1zF1aD4r6JKLsAXa8hKLpNMHRUys+YQp+7ZGeXQcQ9L0074tuAsDql9C2K5stNYQkPWc6IN cCKzgDN5GhhEbgoQFS1dB3JTHpCuCIXHkCSWoVhP2jAAbs2h9MMJ+KUZou2iXP0nDgNj0mwjjL4m MMvzqEsLvfuyMLO9oTcytvSoXrTbC/RlL95SIe4+74YVl+OF94efa0PmURsdK6pxPRDp7tQDpyqC 9+EFRMlgoaG4HES4lnMMh9W95La11GksDTwwsPBD40IjpXcVWQpBWzewHrN1SSLCgTgDQXpqIupb o3x++d80I477fQY2Zowbau/dfGePqzcN6m0QxlCJxh2JTGQ7uz502wjK7NRu5JmN/6IkmW7n1tlZ 7EV0cJNOa3FQiMMDYY3aeoCUOFOHsdTOHsPT87ieMD6ZMFQmihbZhRI1ii0nFb8bXE1Hn9iqPyF9 jG1Nxu9+yzns73iGOqe1fPDBg0hm1JgcmNTonlwMKWX24PIAqHibrJq/T69aXDtXSqp0Z/AmrKJ0 0g9AZPWtWH/B8J25AGlHzy2U2VAnlzyQ4+3kNYNlY4eF9tI7/8Ntf6P0EoUKCknmc9RXna6ivxNP qHv+zubHTU73sK+W160IgTgPOzbh8vp3qZwIqboBDspfvJJQLa0oKDqcZ+Y7QgQpvOGFIdQhZEDH STIo8DWN94wrkmmmVrwFjMaov6NVMtGTJGU7DKeXp1L52zM1Bz/95zKWLMhFSSc7k6ddQKedZE++ 0GkCNvvPx/Vb+P+AEEaN7JZUwRHk/y96JGF+hOtWgGJwQcS7XtKVgc+YwwQ5dFAsX3L09OYMd9b2 HkxqEnxrp9oBtI2wEvbwe/pexX1cOAuAire4Chlzc/IVcFbK4en2cFzxHDCKyI3WUfdH5Vk3YDqO tc6bIvzNygOTlwaqU6+uMEYsM1xL7HF1kXbEGmcurpaLH0i6KqdTANVaWlYHufaZFLv6lSB0O9PV urwvhyhUPsGKr38nKC8KzK7My0T08IG6oDNFFHqGyXmfo9sWvKRK2J/P4ii87hNa9XwCcLb+v1gH FbUIXQBCO3FHTy84ApVsCKEwL/7yp5DjYCfFVch0qpnjaGpu+2ot/xSk4h0fPtdWNedTLjYF3iqY IfADSF8o/xOf5OIAen0mmN0fyzchl6Y88mjWbyFPrQ5BQ4ysuly6zLCtVtMsSOZ8TmOYW0HLR/Ru CBvMQr+/MmAhnQKBFjV8YwltulXXeH1K+AY2VLYHEGxyMeMAUo/nFhD67gh3kGhxWwKT4nJ2RwcC IkvY6rIQrkR1hMayDa8DoGqYoOx7nrF5hzm/WtQoQBReJOiAZ/D8YYM7DUW/7X9A4PJijxbV7PUK jf+VtjsfVKhco7AFhP41tJBT3EiujXlF9lxZc2DfdUoGavBKOoDJR++8495CDR2fBYDTybpJzGLL mitOJtZMq6DowdpdO9LushTtfNU/GI3PUmyNgdpxG1kl3hrHK1HnT/YMq3UDKQ6YIEXmMF8QnjVY dG4UvVIrE20S+qmlgrgEiRjY2gW2j/aMCf2hIWrSqWV7Hc6X6v9xsYDHXFpdbc719WN4PBvDnZmA FdaxNP4T5DPqzkKSHILjhLeRqAkUGl86EYaifgmCF8uC3KE6GedEhIzk4iQOjdHzBuD0oEaLPnpR z0iJmvudPu4yIbVTTCUFIRd+hSVsZY1ZmLUmaOmvNi3y91r3dtVGsSK5M/x7a99WRrwZS1BZi6ox XioxQqB5xRqOMTCwVpt/ot4i4OiQJkFfYtxDzLY7hveNXhS+EiM3HXcsb3ejVvj+7qAKtR/Hudny yN2yCbA6ZK6yGtdfgz7nRxJQJJevEFv1UIGMeYqfU5cdyZiyLAiBIHwJzRn1aByGT0VRH24z1A7F YzG/3CRaxN6XNBHRQllazkMti4pA/+gTKofJFFzbw7ohdZDMgMZ94exJpapf1tno2cXftGtw63yK fIQKxDb2B1jWVRfu9CKS9e20JuVaFNnouft9kAo4yuMo6kim+82hh2iz/Cs5KtcLwCGOXRqaD7ed 4/os2ZxFIyu+/trhdobct89tDEO3atHfCWH/40wVI/6XwxmgXgENhIH8M8X6+TEd7uZr57vN0Jgq heNDqe1bEAl3fIi2GlhDrTcQvzV3L/1W9n1/GpsORicbzgm5ekKEYdiREv8fuRwt0QHfEq514huj QYnnt50QeOmwnsDn3KIvFbKkQ5vLBYEjg+SwgF/8g21CCiqBMsGVQEQiBdTfkKdCTtL1QO88KKFe RSdsoN5P1mDJ4WyQ/4Od+HBeUpGTaTvufZOHGB5+El+FqMCrPYElD6PbVkdzJ1QxUpHLG/Ohouek uoyTzuCiEWwCUvWYDknuOaisazMgV92aOAc4VxJo6UrJG8F7igP4USpBm0gtM3ml8Y+QndTlJISI yGFv3IjyUTaN9k3eUtXM8anW/uLs7RdOlnRWpV7g3crLqH1Let0BN02GFtYXB8ZntozQroIgtxNn yxJBtzjhp5xKhVaQ3nDNHsivem7dzuuwaq9Ua69H4K/r3DrRluCT2A9ksPoPvFc8lj1fC+QfrwSt jg8G5bwlGMgCPf+J6YP92IBHcSkdDyBr33umNO2i/B+WRHjGWVCbveKYwNQSjbz9s1lJXe3m1H+W 4uGfoj9HfIoNCtA618WkJ/TsaoYDhAyCFyiXJGODrWLCRBYkKW0hw35resOlzsIhT+hdQretyxPi CDrA0K0c6qZPxRWlgbQOQKoX904fkswCAyp0ptk2EdL/645jxlY291oy0cx1mRetTyuyP/BBUxzU LND3InC7xZfPV4Xat2cfvXXmJbgyNbusdnLL0AHpIQzS2QzuPqheHOZhGLoG1U1XOXIiVA4ivdOz JlbPLDX4qHcntXRCG5dfWO3S4UgJ4RQKrv92Rop/Y4984Y8GLokZvnndcwIm19P/nZC+iK+GelMx xZFd7iDdkiwwrO6SjQInkZaJBDGqOL8npkgXghJkB81TaaEM0qN8Ant9EFoZQPjgDDFVCLCPA/H4 pAXJJfaVJQzJQO8PBAFNF70tXKkj4BA2ZGpTRuogOsW9hQRfwKBBQbli59+YCRBnPOAvxDV6aq7x 4LNQPyi4hdSPy7j09MCUEzCVmUGq+mfDlmb7D5vLTWxtXQVOFqk26+PqdljOJpbQ7vFuVoB+xtrh bTroGnodbSSZiy9IHalAL9ZeXbRMyQ12Tx6Y10SH0s8iZUsf/15P6CEmbKFxLQEDvcHfdi/cr2sB IwUc7Jb0kdN1WjW6BIkjlst0BhvAnrME2o8PvCaJtmfhnE+hLHJytewmnbaJy1lTSZQMFioGE4GT tuZ0ZRHkHY4MwfWxxlerN+AoMN1KynRzB2udG927fzwDX1da4h5yoGaNhcmkbp8YF0+pGkUymBJQ kYXqBhptTI2bBDzxzDBS2R18U97ZEY4066Gx595McXpKQ+wrtCGkEnA+Q8SXWR6V/PMpo7V1G1cA AiLFgd4bYPUaAEisOuJC/QYHloOwHnv8LA+gYQURwgS5NUArsofofR5o53l8UgX7rEuyrQpUT7e1 O7UZctzp3Ldx7XHdSP7PFfqQ/g6LRPQKqCissUimk8rS7T189q5xRAzDp28ckYy2nYkGdyxHuidn y02LQq8XasxgcPbF4LTQ6O0HcNNrqjfB9faa06mRuCNfmC5QC9IBrvjwuVOazy6ybTZZepaWKc9G 7y43lRDyuQ6Kos0Z2PXckVTZps/OxC7+MBjg1JhOaASnrAoPb1S8AKEh00b7ukNf6x/ZOuRRe5pU ZLh9q05mw4ijSc1axt9RybS+ET+oBd+ZWGEB3xrAigWIesSwcB3hEiRZcrmkD8GcD2cWGN807W87 I3GhoieqoWpgUaNihgsnkgbiC+EE0ODbkMhksG+DkNaN6LtIRXQA/6mutqbFUNAg3RhBE9OMVJpJ P5kaPlw5hGu9UlBHNOD+aYhFNSwkm/7MamDHcfw10+7vWEfprjeHRhg0PDy3mbHE+AvdAVE84eYL ElvSo3NMu4BcOo8gm4iIAsAoRDR0RKmAtldVHwIRgFsOtARj3gD3ixJ0cBkYUQ2mKOrr5kSUptmH W81nf5a93y3gAcVH8n3I116bpdd7BqQBkZnabMq0sPaYMdhPjnFM2rOT5+FEqADUHJLpO+zvSfuO EwsAeoAn8yqxxZtw/bsrvZbCrqn1Z2BahwVEnPNRhJG2kkZr6bstOC2wqugYmjaWVV26UMwmE79C 6iV6Z7QdJwwsUWPUc9Kh4Hf322SJ/ui1uoy/Ru5Vqj7eayoiOJKe2Za2xGYeR8SSSKXoNE1mlEGa /Zwk2eZkT+vCJHzwMLVA0sCMzL5vd7yD8N3Fj5P6WFMgXV3683YZzm4Wa/Vk/GpOtdtyWFW3eQ/c OxTWax36kUt4Q7V0yzJcEEHAoWFh/Atbznx19oyioZFF/S+ujEDSuJc+GZYWtLnAi9rQDqstHlM9 ewcq0R/GYPMgQfIJF/eSOLqQALUMWdCC06ubYYspQB0gBZ6IDFFS9MVLvPr6XhtUuD1tRTC0sJ9+ VeMRbvbFBfKXfZryfLCpL5vKstu7rMfmFOF9AL8vIuC31CLzKkxB3px9HqpuCGsrQUfiVyVKK4xe E1a3K4c7WoaybWl4HfpNC4CyMxIvb8+O3mTdWsYr3u9lO5EwpEAiyg53KfKIvMr33O1GU78CRIxQ YNhfHO0hfCMhGHmFM7XGb1+yyiVPo4fi0ybN0h+ld0DD542eWxTVflLU94yxF/mcrZYED7RlXLOS yOyYIyzuSgaDxD6py6WilnUTkbtoNr4mp+L2wAHHOychj6nxgZmyFep34YTlSFUc2IQAkODM4tUN +nMLi7CpZyYtsysoyxegZoBQPNXes9qrQ3rBTckdkUrqn1SBsVFqOafFOAG7arYLATJcPQ8Iib3J qdDW2MYj54mpRsuww7in5JfbXJofgP7wghBLd/bBPMIIFjeI8b4Nv03UthpKvgVcTEeuM/MC0YSm WbQ0DqH8rqELCpc3r9Iv/A/DXBBrLejAH2WGln5n0+/Qqs+RS0+jhPuMrC7QE0cFOKjSoUi2+5rH xax3jrDrXQK3Z8WK6bq0TFX8enYb+4MRXxQHU6zTfMIirrsoQ/lTgc16FLvpMDuFvyF8LiKkiEhe +WUacJgVShH5c0GFBbjBJu6cq/anK/Ts+jIlvrU/Sp5pfIiV9I2V6ZKSOS6dUJ56F2I/8TwiHRk7 lZ0hsOmzbBWUqE0TD9Xra2K+5zyePLlzum0tQ9VwRucr0S3jpZ5NcSB+oRADOdKeFBcqVb8NmgSs uh73kdePbApCmW1POjuTsKpFiW0rx/RYTPwqxvQNUWQkU5ehKuipIiCu5JKSjfmTgM5LqccP9Yfe jb/fN1R7sVMD6B3/66HEwaq9BqD1E4mnloabhmpQkVjzHOL2ftp2qhKp0PYWeJ4mwjwhY3hFLZdG MqBSu1a/XEWTVnCR5KsEfl3dDINcmryvzwxbR1w1b5ySRaoFC0iR9ge9gjX6EnjPTgtYH9jeBdTg V4kdoRsBkPW9ZfAFbPEYaXz0LBaZT3DXbAM98vpvLK2hZoti+F1+QxdK5s2Oq0C/5cs2OnDlMom4 KJnaUIeEl3JnMTCZ/ZeH3pG9hLFkYAdO4+PjXbH2SfsfnT7RTWCdB5857WkESIwx4d0VFu7jOL/N 7uplZ7prGHZugCZ3Ianu840nA/Yd92YTAH9h0pinH4a4Vlxb01R65jxVbCclnLzGrubBdkqEvlej BFrAS1aEIDMG7esQGVgwAGysLCdaKNU89YhXbEoCx+rFpL99UmBjPhxPmhQoHZofHhXVH16280Au aigaIo73GQi17R6sjE/B5WKeZ+8hRqQkoyxrp+kha26Bj2v/2tvb7TABnEApWCek4/VEYlyiy3vV wo03zpWyxjCFlGHrTVVm0j60PEVS6iQ5XQHpycAwfHxuR/EWH38q2jBvtjed5tx9WtsgZAUBgXsi UcqFuz18GTeslFvHS9phsx1+p2cqh6mITZp53tHNZH6253QfdHV8w8H+wcmlhN3G7UbRS2DoqNtq 6aLRE+m+dj9++4aGyhrMlYA+34/wnWXkyv6aeuyO4nJlR59DD3JIjMhUm3ukdb0TGJXEr4dhqggu Yzt2gVOC6/wl6Dr1WrcydhQv2Q0dAytKUFLAP6YyrlHK+D42F0p4MsdDcD9JjKJXj6SymOVbdIrJ Ea94M0TQv0KQ3AfSZfEdJiNlIfqTHqPj7H+h4oXmw2iurr8pnActd56OCnR399DfJ3r1RcFxINgf y4xiBlZA9O6y/kc87+kheV5mS9hZwShQBqYa+6JncsDZA1bf3g9FvsYhDavNqB8xcvlIoWnxKJIu VkRU98krTLJu9Sf+NVpRCWdKkg6aFTGPE8PyqrBU9rh8MnspLEOJ3qPlnmPE82M0dziYAeDoUiZX HW21HLw7hda7pck5pOMUKfJydxr7fsus1Gm7NJBr5FgOBPiZlQ0zmNDkvkwhflPgk1FOjVzY1nYh PpnWOQXevIahle2iDwUL7E8jPrchklug72874M9PzEN9laws0RZm5jbiztqy3/kABr0ovCOxTIud D2LS1p/4wKOXyLX1gsgAoEWpH7s1xErZ0IzEK3t6d0Rcbc8lRn2Xe7qQ15dh0nd6GHaNNvxxQMBB 7M4DN2td7yjZ3IjuWKog89+S5yNMDiLz7TT3aleu0JNe7hEHjwI4q+wilF+eJQDyJEQue2cd/1WR KVh1E+fpJ1fonmUxaFJLyXr+ZCHNod16kx5Enc9bXSGcnTv0SDOg07U0wwpqyFJXL4Flc/+HmvD5 Oh6I6rNb48K2ivp6D4G0g0iTNPjnXEPhChuvlz9lBXMWCX5wzTGTJSkUU6nv7odYpcFfWOxwcTFK wXiq0wXQ4omgHJHLGW1vJ7J70RWErhNwpBzy1FHm0nHvn9Fk93EBU8YOc3Q6f4b1/iGs4kdctTHx bKlWuqOHqvRUAtImEHa20spFF42SRvqTJpG7726OoXrSCrVoHlxueIEb1R211a6szxpNxU4UmyIK RHewN2h6cnXUkXDT4YgwylHW6YKtH6HBWghMb2KJ8rgm2+Ntoe9U81uAgK8H+uD3S4f9YF08mLKx /4eSq8gbaP4gSIiO/qveXhvceEImthsy0tYhUhT7hPwMubEeHld5YDI9TXXsWOwQrCvv5tPX7ZHa anizRUE5iDhw9fvw/20mf/3uXqnkcgDp117uXubIsH50YiY3VK5pf8KOPQpCGOhV2T40MbaB4Bg0 codnViRyaSljZY729kQg0tCc8S30BMM/4Ay+17z8vBYfkK+R03fhjmPU5K69u/rAs42o4MHh+/CE nGreiPzkLvrkOxByVl4ZPrSXyMLfuBb1fVa4iGvtj0+4v7YFY0VYQ4MB5mcQrJE9fLgAg0IJg+xi gvY46spzxN9zJCDYYhzKglYK+qLcxANbbmeu27X5bzKIga/LeS6iqThCpCjJ8+HkGf33wEUODyg4 gZpLiDGwhPUlfOSl1vi0ra2QLrsxpalK7Nydb8fZHAZUa5gvd60vqLktXq9EUO4vMOY+XDQwl41z IdC0cU+YVGShyW8zFQPEVKwDyXvhNPDsHWL44wthrI8cYgxvp4BBkTWB1cUORG46fQ5H+fmY07QA mjxaQF5XbLkDTvcWjYmwOulrHd58aMd/x+gCsnOKxYP/sdQ4rsFWwjO9Fq9bfQEqvdmEJTJjCZI9 v/quYbk6UwGaVXnb1LGMVr5zGhEFA/if6vd97TAtKPWdo8seFNXKUloqG0WTL/I1fZW5O4xn3x6o RQkv/JrHoGmNmQ6c8ECJ+37VAAYaLU6E/PrH68m5wWzcftJv7hv9Tj0rwRhK1WCCHm+9dyQPzA7D B6Z+yoaGMBzXtmaC1K2OCb3g4mQdIpOeTFdGqMPQFl6X6gltbMh0TCCbCmdtH07DyHA/cUrwmVOJ yvtHa3nLBDxQcGx3LpUP7RiDxevm7G6ql23/Hh42pl6aTZv50f083IUoJkucPd83Y2ex5oFJFzsU mRCvMe8PaNS2WYGzGYpmV0KjpzXbYmI9YDL54NZTz9SAhtAgHcQVSsKlw9GRpacsNCH59sf//tLJ gqEN2Q+ce6Xqg39ZOt348faW1Zvf4cjVZVF5hmLSyJasXaG/nas2TnXgI6p3zZUczLlQwnoB7h4L gsPTAr2f2/Us3LZ7MGsn9ccjNv1vv3vdgMSzvZA2JnZN4h7oW05kmrRXfcREcGT56V+K4QhQr+vu z14PefJijqtJ9BHT3q9edPD59Xq57kmfClKwAlyNbOJAy75shzhy7NTn6lackmcJRETxt6DJ7hhe koT1LN6k2cLWyziIcsZFSBSV2bExLT8Hbjf9Uk4jH9BLSQ1isGg7kxcqiPCNqnZODsHeQ56gFe35 Vv2aRa/SxwIQMafFPIQIGBrXe9ZSYpz3uWlanKs7lrEY++alHOoPh0/XIeWe1RJ3SNNekC4Q4sth bEBofRp1XUidBItcXVIXGt0CA/SpS5ehkJJqBbXrI1VLAMtoNkxQ34svOEgR/zeM+Nk+OcUqk2g1 dW2mnsWv5gAHJgTGsP2iQ1DH8/5hLzPd5uJ8iQZzIy9a2EcRlZhPnUiDik63OEmCHPpWR3jQshyW r20Uz/no66NMFDf6OA8M28ejqebzCBPUAIXfRQUxAIj6jayAUABkQXw1s5MJ9hGwlNYFCVtC/kvX NMPbeOTpIz+9C02xGiFEfTrBQnQig10QSyNXbn36hXfql6RJRs+AComJ3xu9WT7c9CeszaDJryJl Lx53c7I1VUiAEJU0pBo85L/AhRMjFcvf0jDEk7zMF2myyju9L0GL4BM8E36r2QQHX2pFB0y1BL9o trK0Iuvx076TGTqiKfZRbyocEFgNRD3x4/5kNxZWVGMRbNqJ4riZr5V4/8NsZA/Fc4oW8sIEHVpH YPLrT1I0pydR03zjxnaGrC0Pzgr8ELbC+VVzLT/16z2AANyY7xGlf670KTLLF8UnZv0i/v2bdv8k 7HHimW4x6dIJVxxiolSqdW/HAGpoZG68N/phc8F5gCo9+Jq5bObWAijhAoRUFDualKHoFnIicjAR Ft0lNS6mdyFgCGnU0OYqrAdqKqSTCOTseHpWo5z+x1h8L5tAwEEYIKcnHV1knlm9ZMC10n+qLfj6 67mB6agrNEtp94Ra9eD7bi4lRHB3J17N7OtpBLB3kGvbashtBlpi9crM1iEOSJOaOTkpHdIhvDhB lwWhKXQkbtEjyqCUvZvDTUm/RZtRReC+Tj4tLbffsZ7njrX33L7ElCBHIlzmcvi9ZDgxSgXAa+Vb H8JYhHwZdrv6Q4rrnss2kbCfFEjhrZwGzLQiMoHVvzVznLzPoBW7durTZL7uPqZzlu8vruEwWIVS q0WnaknqVDmdOBjN05nELAkII77AFbKKpAgzz+7QKusbtX+9NhKncVWW1Lbk2thByb6eCHhC+2qN oXpx3Fr+vbOZhpGNF6nV5qcwsza6yDM4pep73Iu3CHdKQtGHVNDUhUmDnkC8uh4olV28ADvej7gM YN/LTLRiSKSuIRH8Lqq+wurVDve+8DDkFAIraLgSyLDJexFlygLCxF6JP8rJ6w39dlLqLHGmufB3 vkgc1zjqSxxxT26/ofT7UR0JNfyUadZiOaPP5WoYH4XnOBZwJudoHZoOY2LAH6HGz9oRXPCprU1I CoDy/7UNiM7iocAq28qtrVZJF3wjnd7zjhqPkgwUnvGVRsQOBwa7mQklBEVZ5HEE6o7V2FArRI9h tlqANMuJY6qWVpm7477v3uKgl5qq6r8wmaKQg8zDR35QJJFbKqERWeUkN6poWHGVLclcwsfxja8h LEcDPKUBZ4YcpONTWIMkNAyKxyBpPNxtjNumXwIWEwtVjDmcq3omkhdxPUt5/6eQOG2tr5zLbuRY BFXkcC69Fpa4uBHs7okSl2+y5EfPWPE/igDe80XJNjG89XS+DVrSoefGAGJcTWTaUctDpMjLANBR DQ2dUur8mstDdBU0clpMgVihCxsRq7Qj0P1DpaYTSM64tC55DpHia5KwbsKtj28S2y6hBvN7c2Ib kxK7IVL9SGqGbeP/OsnCpC0ajihsyOJvJJnCp1CXZ3idq4NafTH6FCIqtgUAqlCaNDel7+dY2ppG aDHzygaI7TKudyumZeoX3wAp8gjs2vsZj6ebVWjb54J+0yOP/GKYZ67qByF0LDG+BTKVXbAXscmY DwDKTgsGgt1/PlKvQ0/8UJcQujf4RYvT66u9wHbxp2/C0NDfaN7umB2b0qimvG6U2EzBO0JGTduT VKPsTWev5lBz6poSXJHqNsGvlQU/9a3WxqaFuL0TRFT82Ffaf0ZNOq/QCLwm0WiTKVPnbV05CaRZ nvFz6+2i/ouEHOE0x2n19Vvac/RZETaQs+wdsKpLmU9CQugna1YkgBF4AvYZDrmd5JJ+gtS/CLv+ LvPSzCSHU+LZnLMzLM1Y8ZyBPdy/+X0x83/C4l08fAq16MsBq0pP1sWZOpBONRkptmsWdbqGtYd/ rH8iLcv5+1lqWeZkI4fmDKYibm4h5b/yb7BJD0Pzd0+aQrONDNUjP4VsBbAVhTaZ7xQq6HnCin17 s3qUwhzZFBLwOoCkJ/UvNVIUdinyAmhMsRSTNQYfo4+WpovUfHxhrQ4C0yHQy6k9jHCLFHi6qaFN VUz6B+SWOUqXsvV243jkEHhimJysMwNyYI6oijd/HNB9wZCWuawtRylTqL5U7hUaaKc1XgRoVe4X pHMan9Ur6kM2aJhN/bav+Bu9i+KEHn/ADxP/qsEF1qNyyMP7qgq4N6E81J9LcwDmM+64mSRgihoX VkGNs3EsryfuIPRZITdcd+IWjcg2viFz9c7/N3LUHIVxrSS/a+nUfbkewmDUOlb55hPeUgWj0Ky2 kjaO1rVQNgm2+DIWtKvy2pAhH2WgtCqz9iQjEmhYqGTinHPRZ6G6XeQCyIW3DboUVqrFwCZ7aIvp u6IKC1q7IB3fVEfMCy1iebD2c6OJXy+g9Tacl4sHKIMVXMcL4/3GhjgJ0MnqCb+c/KnSibSr3PgJ DGkUILDOsdRN2NcpRKDLEDeGzCi0UYYZW12SzFNglY36UzJeEhp+lP3HvgM2iAMC0shvI6oPZwJ4 Up2Ft1d92pqAl0A6lO+CfqeFGPMUvyrYhQ8mSkl57FbA4/O8i9Cpr8/AhibWZr68gD6OYPjOpre7 mSkfpFHiKP0x2LpVM3j5KBYUMkuJ7vKlB1akAGonUpsk/gB7SE4tgDnKHf+fuI/1YK8EsD5CUF5K qkUSArN6mxpd2vYgUN6ChzRp3Iiviq63oqVddTqqRGXuE2jTlpVjmbKu8kNOi/RBy9mBvSRZ2iTm nS6LIZWQNShtF7uygvVB1N8wky0wRW+zkKgolspd0oz1V8wURugZ5pTD+xq0FoPWnIEvuXgUKS45 POxpE71x+/l3oAjOPwIjd2JHVDGgNXw5DW6737m2AKgY5nBMAj4xo7zWBdETzUXEnF1R/D/c5XJB ESYI6pW78JSiqVMq6PGwM+53XOGyrpTOryJyAScEaUwDXFZN6To9Xuah5E7vH3lLP3M2o0MLE1Db rFHSnj8SZ87VvPLqmhdqeXBaTeVwQQoF/pcDegYKCpY3wawMEyiHuA+JsJOnGdganS28ZfABg3Jc gMNbTJ0BnDZrhm6GK6iD8rjmWedpTmoZhCF9KlUztnblms6lj9aYy9K7dEW+WiJ3f/TbgewUMqUd gCo+BSRKpkrDBfT3UOxpOIZDE5Uh/CIOJCDYLZeOWiB0hY/8I9Kh3Ro4F7wKUZ489prOvdtAckND H1h666tNY2Lq7wnLmqia/hESAbMyfjHB+J113C//z+rY4otwYUr80bMBqbKsxQJdx5bsxh2nJ9hT EhIgWL9URTI9pgdgInJY1MMu7gHyNMT824AnjjdzGgcG75ZvyS/hKyz1Vb8A/GaDOp5XFnexKfX1 7P9mArDb+LUDAiPBE6rON/4q+RKtTWa3gaPOAmV7Qd4SlXaeTVtuUDP0PaqI1db1HO2BdhMQfgo7 tv9IN6gPO9NdWRKmj8IqnlCLeVnpizso/lhzw8+TeqFb2eVY5qWXPPIQVn1LzgyJ8v1YFvw4m8nZ J4QwWttidaCxQ/Gm9fPXpFgho2AMhyi8w3XbZDHijyzRzdiEg6VkrgQ+8ao6iJdmoCoctBYEToeH tEUTGiJKfJgSvVKRl0vhgttVB7RVzenYn5xX4K5lN9lun4GIpX8QsGxlJmnB9mzQZWcMKZp+IYGt PVPxccYNWzzjn80B4nxu0h/j9azU/aOFN08i0NsQstJ8IBZ/h4+pHx4EuW6JGVUAyoOQYnofb+vX lVcKV93uj5k4d8Wt229GEpXVaeuVXuXRFGUTrSzAeQNhlNMB8XzbYV+5lEpbaRzB8segyuOjeZxY I1+Uk56h3UvVl7PEfZrrChkUVJjZmRH3YkdSsDvXRDfbSJNu2mnwME7j6qxh2CHk/RmFyYnALfy7 qW+vGSdeE3uHHEAEZc2qj9zGuwkAFXts4qNnpb5a3ULcWG0YSCH1Ip0P5nQV+1UCfwX1v8E1p9lR FWqD0s6FUBK+E+n8pFkuMXVPDTfvDMo5iiZyGTIKg/nf8Aa6CcH0qiVJXGhNy7hMmpapbXtyEK/7 WiWm3s2AMpm92uSrtLQAFJQrliMfzcvSGYt5H/hMQO66OokFQlEe8uGUelc3zQm6X9VTh+WTXbQx G3u0OwcX4LcHPWHXDmngmx8YRt8Df7z1AetHhTQKfrMpIW9cCL0RgJkZ0QhNdIhB4rU7F4yhfIEV 8fFrr3z6NxTEhtU+6zb79vADkVMSN+w7rE+h2xCKso6JfsbmHRhh1yIvRHj5kRnp7USTNOv1+osQ LCP3U4sxP1wDg4/LZYeMN0pmoTTapNbdMQ7XzY6hD1UO7xfreIdnpwBBGMWivNtggD0UiuULx+dH BegD0hd1gXo9cTiPVL0Ct3tgfeQ+R7TdQEQTyF/toZPweIgQ9FYmeC8I9rFWXLAKFGLdqSho29p+ 9A0zSGve+sTLf7tLIn9GznZydVuUMwm7nar/Z6NfbM20Bcow86kWKSAGHibJFDr76TAKFTb6tbtT 9oXs+hqw8P0piioDx7+zwa5JDBw6br03X/BK+iX3DdJnM+fIM8xkba4uLldapNnpbkQ/EMF4EtXX Gz9QvGYD0mPxSKckuwH4mCAi5CjKp02MHFZFplz8PnHPf/wANQ+O2p5E6h3hkjQ/c/5h2D/f+DLw UT9hflHbS6hIUSRvVoM68Fskp0uWVrqQHVZBM0y+nrx6CPq8f6hMVlsYtQ9+DQ6uAgh2d9z73Rw5 tkr+Jy+KExgwDPAf5YIc0GaqjtrCtaoFGuFgyskZGgz2R3mgLpH+QrFNEZe9wghu2OHOWgidabeQ EWrm527z6KGnb8sMX/jszOSmJSloed36jo5c+sZOubybB2THSOlRsNdDLw0jp3ZNQDAi1V+tpiiL TdorlhX0xDstRMUTrHT5BQWmwS+UBASFxMwjTPvf4yksn1Z2zGJbfR4SJ/yTJv35BXT7uCK3iLUN dbMWQm3N6CvFBD38W691EKUFw89VO78LZ8PeyLF0d+8xRiGLvRG9r5IrokrOoqTtDf6iPXrNc/uA luav3zDqtYdXR6ircXqJwPP5l1UARX9f30V+7SzFOpPEP8nj4fyaxvYwDpmqu+f0YTNsY3cwJxNB lFg0S5eBMcuTdTZDOaKquHak6fL8wC/EAZ86CXO9ZqA9An9Uv1OhoIuhQMDdWinfHzS6HS5TU0f3 1cER06YGlXGfPA0prhFXgenrZ6uCNqU3Nule0GHvruy3qIRRraeYkNBwRddq5jbRioxCSwL/ZtoW zSUlIdoYurFRq09kp5rMfgQAgJuPJGPowP64Cew7HaunnCi2JvHokvUiBm24GjqbXZoPMTz0jO5q 148rFpty/3ACycRifj41kVEYlV71vzOXrjV7vnw7yGjHb56zcMMYH76zMNVVqAoU3Dh8cAUdiOA4 tZ7EQDY+skXgIrAkhndcYAYSqf5OwLGnd9j0bNvWc8wYiqa3V9u/J5zSPmWZrgVplYpd3r7kgGNC +bOo5445zfS6Rw3K+H5v05czces5zBwBoguxDAoc5bb3HJF714ASN7INin/KJTPpMFoa+2zwy4/M hdUwdYoQxW2LsOd7cSu08nkU8A5yQ7xSqRhlwnfVI/6AgVWmII0AbngN53bCEBwOXwlzE5qLUs64 eUZhthsVgA0QtwsVZXXnsORr7fy5QUOs4G3rMkcANG0xLxdo4jliJqPpJQFDdPmOHulI73Yn/Z4M OTuuylqjkapiz+jYKfWxWGwcKgGrAy2+AzMylU3achuYRl5Wrsl5PVSaVvjgVJexPr2ak8XG1v1V m3/g97OMbCztzjTqVIJHXca9fDdvVXy3sdDNRj5bAo2mBeB5XJ+cSMOJjBC9ItZEGDCxRJSn2Rkj OOpSp8sDZaURsl4SVr/E/YFDqYe5HZkpHUaoHP0JvOddAhMkiTLrgikSkXr0MIQjr8Oc3leuWWuh ozsWFij6/ElWp6pPPU5YQZLENYDyN4hMjd489Gc7N3TPRbvxHEkG5wbZDhVWrdlNN0oK4LFIreLS kJur4+VxtLEqXJH/crYNN8vYoW6tOBKe/s99vDhLJ4wV0d/X1U4jmOoZMu6EFzk2RCTXgBmAnTLz 5GbpXfx3ryZzGirWZW5UBD57hbswWNadxBPj6luAPjxUonOi2j0zx34E36AD9A2tI2W09zmA8MPD PBRso45VnvGgmlH25Nivx2hoFvHEPQOLltKHKnoStbXjhZnmu8ajA4PNQingeywJ/c73I+VpRPcX xokq6U9XGmmfvRPZSZlsLTk9CMHZ0HnpsnGgUa6sNBSpls8MUQc4u4lNbJ88sy8XZoOVLCFajCit 78UsXRasXFgu7cw47HhFrABay0Hbz0Z7/rCAVvsuO21fptKl5rEkOPWbUn3mfkfAXRBNQmVSylN6 2T2csIO23WkWqIuOCteo5f2P98hqLcz0EnvmJKN6iv5X6mA9hA8I4GflV4Niq30U1br6/J9Pr9wj HqIeKty84sQZnMpO0Dst7qjUuB0Ayo8EEgS9hgQb/X3DJy/KPR/uvXXqILuev2V/5YgFt/AReaFq kiJjcGJ5rckavSluEGuiZi1m4DBikuTK28V4+d4ZmmIK2cf36eyqUKVJC35FXcQREpeRXhoal16k VP8c+Alb4p7QCUcmO3op1LYHZ4U4sMsM8qFedC5iVIzGnt4PityTrC5AjN0D3aBs0szwoDJd1Pfy Cv29tp2eq4IrIr5wWcK6AziX0isIhGNafuF4XH6xxqyJY749iGZJN0Utq1iNLu1RyO5snf6gmOLI JsjmUsEXXvQYBiCTXucnxFwfgiYgOghXWbC8exXHgbc2UI8fILx5vFgr6gOopxYozLxbO+i0eAe2 ufo4VampwlD8o1P58pUGhs4r+Tp9CX6qvdb+4VxaMS6w3OJuhAsRaKXFcI6tgd9acUXLdFO8Ax9W iUgAB77y7mXff8fLRtciZoay+SxGuDdmZsVV5rLa92gRQfzkrX1z6eYojlHrf0g7c1+KSt2lMtQ7 QAptWjIS9AwWFDBRzkN8vfC6DfBpVSliGD9d6dXH5e0ZWXG9qGUIW6J97Nf3H5h1Mxm6GAH5F0CZ ZjjzujgZF9CngqWU0PhAEp7TtxJX/hrYw9JOrhFuJ3Ca9xQ7Wk6IA5ah/Ut0sGoMQ2d9L4Pt2KND 0EknWV0fkr+XUuow+HWzCYQCZJyZowI2yXpQAET8HeZVBUDlll+IPiI447wepZTTK0Zp/f/wJGO6 rXmDXNgd68tQMi5+h2WdtW6EoonpvJ9uaiOPZNRgUS+Grp+fHCNZvpt3R73fqn25IG40m1mOTq1Q /jM61X25qc0OXiWUPzpLZ6ZLFsd+xnjwm5clhlsPYuUo6RYLHBrMRfFD7rfr4NernE98uBMaXtcB qIh8bHTmGaoN3pkh0OP32iqdglFpCr0/wz2sKem0gYfcC0ki6J+5hLflWw8Wo/w9s40NAuXbmf8W JVEoeyqe8Butk9f+3zPAEoLU+W6K8DYQNnY9ce27kFJzaA0uLLaobhExOttZpmX1o+sLVNyiz+ih T9sHnr2carhZqrf2X2wTC5yD8lx5ratEfnvXhusAL715r2Y+zBPhtqqfxn8IATvoSK0STLb/Bm5w ltmo6tbJ65KMOpPisYFdwCvhCKhA7ByZq5wJX/MP0zjVHE2xZX368Tp5d+6LIWKmTJTzNn9wz8K+ MkrLUX9yE9tZO7BK8E1YWhH9UIy7juYyCo80hHHBFcZAZbxt8+MMpphYOYrlN8FnE3lIT1SsQfGA Hd0Ye/zdYqDtJyreWmFQBpOD0EFhDcLug9xGGOvcvaVy/qTGsxJeG+wWNoYmp1l8JBhx2lO5B9l5 0avOhw8zEZP8LgxRwr0P08qNTQ8mQiki6QsFVPNGIRM0kX00C9sf9/RHmyTQN3batf/Rtl4BvPtF 7n5TUF03XvPZWc0B6yvnAAaaS0Hs/oPCIxvrWpXbXwyghz3owk/BMGOD/q1WnthPJQuRWIuHOO4H zCguLyFnfuIA1klM0t7GtGr+xswMRaxR85I/FDg1MggvsoDrrz1fb4l4ilKIl1MMnxuSrzHP26Bd ahdJzGv6rVUSVWFsIbA0tu98X84Upu90yiJwOdzpeM8gXSeHZasnL74BSx5LO0l4IMDcVn76s47c CacPwMYZIpiE5ZCzAUG8ziz/+UpS+qyXHQGzWc/fbC9dOR3mbbGTtrBbk2D2ud0OQxI3N/6VtqVT SKJqEc6YC0n12MqhuD620w45tYtTOqfVaLKjkN6SjhHwZFRrWoNyIbwzQ1Qw9EOAdPpUbWhZWxFb 5qfCDfWZFLwbYR8Dtv33nkftukIZi17DUvFgb+NmbUpxK9+dpSFwbjCStzYUiDnIyZqG/B7+m0gb PbgFSBR09COZ9wD1CVo6nOzEfqz1rhLacMJDL4O+GB/inuZqXTcJCfzFnnOqMcz+6aJSl//iDw2d ZQvvz8cEJRGwLC2iDUKzrAsEBN32Tqd8cYMPutdbTsmnTyO9BtsKxStjLjfiQQ1YSNmtB0zfq9T8 Sz/fuY+M2ZXlBAoBBNY/lGDZ6itLnEChCHLn35A6crP78Vmpk7eOIrtxZNA237kgO17AkhQ8Usue 4JM2DoXl9JwsgITplCqkNG78TjvmiSqJsz748NibdP6tz6OUBo8X7hQEt/ZyXmkFDNfrzHHgtJAM /MJ5mnEr57BcijeR1W332sInYSUuX7Ir/FDTi3ekv/M/3xbgNGjjdgui71M9KhZj+ecSTYtLzC2Z czTjDruwvyBbOZhL4Rxm6xpsVaf38iizaJFyzqgfS0amdjhIDP0h+mbXloZFy0Jk+q7H3Jyr6uU2 pkKrcAIOhxOo+Tt7nGcyeGKplEEqpBldHdHYjZqvgZKurA/9u5kSlgNhl57rLIAfQWSt+AdOClAg 5TBAFR0oOG6XuOw2GC5jJPTzppIPzWstXSLKJcPdmANaILipRFEu2za6iqna/63AXnFKOe8xMHlT 8bCNtHXXW+nHSBa8Fbw/ZqyffjiirnXh8lEDEYb5EBhM3yTNyN5Qde8gx8+L38ZM8jIMvUOQPy3j VMe4G3KOHKh/uCefajWS0Oe5UOUANgZJ82DKGP+V7lG6CV4dVCtBrs4FdztsiHf0bZL5D/N/w5iA 7MWIwU2oZvrzoIvbfKm9Ls1qTFNoSPij9pgchAr7nmYtv9iegK8j29UVn9NWzbrkNW4WO3YhWtpP HcSLgwRFSDulv1d24QUDAqS/05I7Va4yGG+zmPivboBu6SSO2zX6XrkC9A2bVqJfLEEwg+9UDfpz GOXaJyC7pQGqV9TT9d616m44s27mg25z0sz0mzk0x5a0Gt4GiNbud2Bvm38KOaE5mleO3JfY3cYV 0efMw5omOOq+UrTFBoyhi1raPtPfM4TPl23uuk8XgNEn5JqxR+nDFpzeDwF8rhy70n4P/L/UQ6lN VhQq/nPzgL0gjuPJ6+UQdMh5/9EU8NoUSUwEskLS5Qdq87ZB5xO0ssCrOk3AcrQfyEtwnQolHCCF JeS4NnnzQERPxv/eA/GGtfsusu1a9FyO07npy4BfJfs3uWoW8o5Jc9yBiOAX0jH5noI2EWnvzk13 IAzXzcPj1cIL5EsoAtzec+SDrCZlWMFCiW452+H1ZTi7iF2k2aEXC+b1QNj/sQQc1Mo9cXhH5h2f 93M9EobPDPJxojZM2OdFWXPaRdgwnl2VBIgPQgXYS2ygWyGuHybOSs9IdvTKKlt/YCI5z85SItTm Pd0KoGSHUMjBbkuVxisBTkjY99h9wZionWrGpg585KpN8NNurRMZT6hDdPxleuzYNlQmuqgKbbtD GJ0HoHQAwrk+oLgoWqrrKtwsLVfqDfk8QgcLjzFi58sR0a2JYg/oyqtNo9LGRd86Jzya6r9EAZly LnN317cgvx1w+g3vMvnrOzX/6ZE8RSsFb097UHB0NcT3jiV00SSWrbCEcS3abhOvxq9C5iQTlHbR VO7gV9iq7N9GRy6QE7kCroxDn4Pt3CLzvmn+wIUAH7W+XEWuE8rfFBMv6syvyrIyofWJbs7bmakA bkEy3sGX0FEvb/scjvG+6CoruTh5Ge3wA4vxSLPSBxcf6On+bFC5tD4C9l+K1G7LcHQcx6ErA4ms 2aYcnIYfLIDSHFchBYsnYz7vpO3iBUrNSvotK34xSG2Xde8jlbyB96B/f7ScUf4vI8bPt8wsR4Y3 0Y1lQimo/r1+XEO5OlTknE+k5h9ror7iXfXUH1Pp5EiecbgdA8MBf88j+p3wjSQgb/EMCTrSTtbj 8m9/+VVXdWizhhxq+7R54Fo7s7eaKgVnkUrLmkjaVfmIaBNMPMlJCT1w1DpYNSo0dQWrFs57lCZl WEi5kT2PihKhVTcCfjyibCXf8tq7ROFeDPFgFzN5a1Wf9NA9TK/Pmm7MIpI4py6OWlXhTkR8R5d9 +51gJnmu5QwjM4/8HKMBH4L4jMq0yr9ol4+4xxPfKAT7lA8vesVIsownLg9APAyuS959IzYyUaEw thqzw1d+HpOmjOTVLWTsMZVt70fRDiWJ42wZBPCmhiPu37hV02bVKrx4pEVgRDElJ3kRYeP4hU9J f11zpAPqPqZBaG3arKHa4G4oXCez7z+jdyiTinkU3WQp0kndZDO84HllWQ+iAvOZvcUETD6pYw4Y NSPmh72BsA2SXFSM7t4G7/WBK7CYH3rEUnIq/gppkl9Yxvhe+CguuLv9IG4B94u6CRnf5NHibZIO zSWL86qCitYAPdIJrRUOpkC0EyffZYoG9E2cUkYVcPecCrNobaQi/U9odZuCbivVFSV67ptJ0ns6 AuWqp0TAAO5y2PoG/QDVYqFv+Q35C96B60/jRXPP8wwm076m2LK2WzOBoSYb3uIJhGCl3AQJ9FZ9 ZG1Xa3W0d89XF6PppbU9QIKoaAPa/OPlEr6i0GuI0KjF7hfYDjlvWDhWm4t7aruDyv3xP+HByU3V MAntE4WUKbxaEd98MN7nJuxvYvoKEI7dCQhg0WVAuQrkn5lWfH57cQ/CfyFD7OBqKSVUshdY1WEC ueWMdIvSQAe9ohV9oP3VUeRvf+vpxHzwDTp05Iyf9Z9ooUxf1E7CYPTcfa8HkPbd3/uJHs/pUOI5 UXjhDrC65DdyRBnysuuKzxJ65JRJrvj796tWM9TRtL5V6IYn9o65o66sff6ex1O0epvJNaZWO+dj PiEZQ87DrdUSvqEXQNWLlg/rMoLGdHs1mnk873XStpvuAaCOXSp9DduINRIWmVMSrXxWNSQT9Y5b 3tFJo+VInlEl9HZXnsYQbUV0WOBS5Q/H0zDQ+8U+Cu8HywfnbSjHLn8Z5AD5QriRv+FelpLIBZEK 2ge+sxA3LGq9npZmTysojF0o6YqCcihgnaCUB23S7OgVP4VgmZQC9ExFN6kM/ZV06X9NdeDngmrH UJLz6YTSsbF4nITdxF1iPT2qVXpBiWE6+34I7hf/2YQp1ykx1VbPEEKL+ku1FxDn09sRqW1NDShd 891P/1hIwGHT0HsBmRzhUG981PYcUJ+t1iuBWp+meEkb7VSFQCWVPj9d+lds5G+uijmRRrRUNSDA ZJc53xinzoXywNtFbWtNZWUh5DjI3qT1jpZYI5v4YtythTICXSsZ90//uNV7cd9vl3n71UgjCkZ6 KbkbPQwb6M7MisKbejGVOJ8pj0Lw1KEUYiEtIW03t8aKXI4qGk8XqxXH621PzMg5Wgrf9/0/F66k 41afq//YMXRebEB+PogL7aEtOGwseH+TysF70k+sBaLHVQR5EIXci1yjLanBjqRlQWeghusd1Y5M Fm1aD9LMadpLCnz6HbMMjuz4K1nIWiyetawpXjIDJ6UeBWJaSki1sn+VZ9rrs61y2N7AkG475mVC mVxIbQU3hwuCumZhWVjC41FV5OstvN43ghFbs6qmvyvICV61NGKQZOnIloou7i0I0hv444iZfXd9 H6R4ZBUsVWxFK5wWKCUvWcKlUOkXD6O3YgIcTr9pyMO0Zp4oNf3q16NulJGtHZxUALC6E4BUh2Dw gox2DbMFdjkKPkQG8nVn8mybSdbATK6Fr3G7RcgnxRlHco4mJyLt1foBB1LS/Io4AZOrbdf+S6Gd 8DDHrtIFFtg8ekQxDMcxcQgp6TeQCuZJNo0FBX7uEnOilZv8VLUdO0EHRWReEkChgixXHdW4xBHh abyHNbsRbiHS2d7RHFC6SGWWX9nWi9szvZ2+KOLGiwuzF+SOTQryEQ5h9+vAvEPD3ly4UbZ4xbP/ jstIaioZ1CGCpf4soY+QHDmDBLW/Z9SGm5YxBRLafX/5aG1A8bTqM6+GCZgCWOprFRmAaXwsyNUm BVJGZpk+Q+Ox1fjP35hutknDWVlNyO97YVm32lXS2xbwI5gcxIAtw/lFgILineGI29FDuM2yhaIX S16DivyV46CBb+g/ExPupcDaE/kUHXjUUyEY0H6YtRcRxcFfL/e95I0Muq6irkq7IAGbnvt42UBn /JJ76WgdCGU1ISII+bXRHBbC/idYc07UBkiAHrz4gG5XwCBfpoAlqkBtAHRsHiDPRcg84M0QRs2z T/pt/sD39qIILdi+BWovBTwzAymaeUUIjHFh+eFI2fUveEliJYhdcWP/2qoGApEtZWLFP1O2N5aG dpRyHuTIkYclb5OVACBZ5nyXlOiQykfSui0bk0AEok8+DYaeZstgeIiCSlBMx7uGGVsZbd84WFKg HVBxiEnE087B1Xm9Pcclm3Cfd9TpvLYq35G0/xaCJs2eulgUA6gF+KQqU31naUHaPmbjZ5zbNQK0 RCVgQmpoJmusbySYaY9p0K2dlxm/rIxHyvNZLv/SJe4Pzij4DV1dtvziD/M0l3SlYROfFpKEbO2e e8H5yc/AY23DVJDDK7fKrD6kGe5sigXQkmWgV5ZoC9i6LNcmfm9KSIofdCptMJmepgg9JX4oCLy6 DCbD8TfgHEUe0RlXfS1eCKngnF659sQydWB1WSxS0MKvLdH71pW262Qoie8fR79r0MCEZrdvpq7A W08aakz2mzaPDREC4un0Osqyx+D0E7RlEVcNxy/zWFaW9QGDaGnZauMBJGQMiQ9AhGTVn8flxMLB ruZOtf/A3OCPC3bgu0NqD7nToqLX8Uel6yeAYgRNMdyavPS0saiKFgH61o5iyu6i7Mz8AXUf+QUi PL2nkTHtTO5vBAbm7Vw7PeyZ+Asvxrq2TuP7p2o1GyjeMu9n7+wXStT7yzEYp4Pcs3wjhbvJ/Yiv WgWAlLt8LPLd9lRV/IHaOBu3SNfG7imxnTUNkLJm3Wq+/+bzwS40TZonClpklhxgHhyXMC226Rgg SXbMEkpBy9p8XJiBrQLvEsj5XLUkqCLtW8mmPSGl9hlLWLpzgLUbhuuGYEcpa/Ovz1dMfO47oFdK zsCtV3bnAfzXuwC0GfqkfStD3obl6dRPRxZXvCRmbUF5njGnrt3PP13Ev9q3WgmfGhUEhPTPIGqA eA2yTCs4Ec00V2/GUUbdX19C6EAMTSFtdFMYBNkFdroVieGcRA9uIVetICr+sT3EczSqhPGLzJS4 7fLLg69fESmccLkeEqH6pgc7LpB0vjbnkEogz1VPavTR1I166BheZS6W8rJQiUsBn/wc35iOPfj0 bWUMD3SXP2TEkone3ArIOtSFDob9BtoXvGk9CdqaAQ8jJ9Hncqzfkka36F9r+uLQBc3yFfD3kIit tbFO465yUv+Fhtbz00zd8XFh0zeFNdTAWVFD04olwRZhm4Xl4vt1Kot9Wz9QxJf+8byvQzwfIixM dOKs2n7Bz2GK+UcYW5iON8oICCUerDlKqRKWGbmAXWFg7rHjmtcCqt5VhMxBGmMbY4KsTuggiq+R Z+a4l3JQFZ5+WeYyluY4PX2S+WHHB4ioG2YUCkahZwQvDTUSUJjsiXiT1Kkj11oM1udUh88XwegC y0oH2cNbcK2Sdm01+U3fFl0/f3z3VVbZDQ6xF0L177Bz+A+CE6LDRczkuA2MMrWseh3I91W/Zfdz DiKRMfLZyJEgjmgEpLLlwS67IRJqZg3P71KDyzBHNoDqOzAdBDXqQdJt92+DkKO80ndJ67oRizCm IdNb9MCUAUG/Qdslv/WvJiffh8hA3Alr2/C0wbj4g6MaYyFsbuBBiOAyr5d9C/eqNI6nuAtm4L/I 7aU5CYtuav/8CXkUvkAR18uzFKbR290quhLOfSqVJ4cQ1azADKoCWIZKo0Fj0/qOGE9fXRhNyQLy 5rYJuymIfY/+kc2BQwRNWusAse8/01UHsJ4lyJcu8/BFLUkO+5esUYAwRJ7qv23unTJHTY+pHWKC 4q01N/rLK+BO7fOrhc9A8ntypWpfTbOaVXtpmfGnYEyHpOr72IwdfcieshzAW6ZhiCb0shLyjeLf 9rGkPDuy+AaK/nUMmKKiFnrlhK1wAST1s0G9C8VghYFfsRoLpo+oj1FjQFtrAmWoIsQEnbg6rXF4 VpNryMlDbJ3cjJn5H/ryPgx4IHcVU3fEotoDtwrrg6rkR+i2lOSTdLJwljBfhKwqEi2iveVZCB7g /3VeZ1OGW2K5jMvDqOUzQZrfyyhoqCPZpPV5JRpqmfmLiKb9cga6fdgbdtzc9tmpMlhkCD2KsrtR lc/Z1/K12kI3MZsRBX/eEjVBPJ4Xcg+c89J8WCUpwyRyumM9hmzaFbM4y8nbBQ181UmizJ3XJKhn cUx1elj6rkPXT8KN4+mXsyaam96z9jfTXNC2CfxAXLPPRhMqny3mEPhcVQVfjinpH+IB9huloWaf UM8K2MoBomCgxI4jStd0N9dQq0VVhrWrDBWJHDjlJNIxV9Q4lDbDxg1VGIpBRzoo3gr1OwrGDO/V X04b5oKavGfKoqE3yOSDLM4cmFYpKCRyZ9t//Gc5JNT0h4Pttd/9p9MHY4AKaVM01PESossWdA5r HMK6EwzO/14/Z5WrhqMbRg2nGnCHYpkY+kqu5DEqzQrtVGkSSd1wTaIHyIOLEhhaRk3XKpChg9P+ PRYZU2VukfHh+Pz0kA0B1eo/k5v2M4SINtLYKxuhgjBR1+e+0FFDULXg6nX/W8jIivrN3HaoaBIN 8DadJwHJn7ZhuAQRbZCXchXbkr2ojbVhd4xu8M2SWSBHjVJ0TCICP7+KwXMCSey5NHkTYPoAAtv/ UKrX9dl/W7lhaEAxVHSZlVz6kWblbTIBzD/BPJqTz/KDbTnFuEWPHiYD0sIArnstRg77reTdH+tl 7AcI1cipVhH/IkXacO/CWR7Kz7UEsNpvmC+/a6Omcftxayp+a8W9YJC4J6q0cTlvflRFOWzYL8Dg SvVu6H57ukP+KW4oY/4BD5qufo2fB1Hig8/GXvMcRhkIaAZ4kY/sBXr0+9Yup8StpOBOGvbcMVLt xmE6OT8pvYivmjcV/Pz62YHp01iQnhW3VQPJxcfN6WBxWe/TuV8jYAr9l8HUoFZQqP4OPEPUU3nt SQH8TaW1OGGS3oDK4Me/L6O0LzpfPEuPxUgtZoR6M3oJ4vMEIG9A/Pm8yp+MCQZEdxLOIKDTv5BC pjaeiR3PqtD6JwQ3W+gFNJWqFiYwf8q5s+kzlox4mFm9hLlyo/tWd2qvMpLd+BSqQ6+utJQ7B0G+ w0r0jWdNZis3oqR2NVFWrEOdIHdKtVIdydVVfA2HXYny/OZhqTiX/2LcazuH8iIXmzj4gWYS8vv4 VPcavQjaZcPlob4LunsjFb7YPKCWYHVhpjZkllUQZjCKLIxJVPOXkKl8PvDBHsWCmOHonNrZe8e9 YEABJnLCLJK25KHBjUy/BW8GWvwDSLj65MzwZ0nDmrIpLqGZ/AS164bYMN99pq5K6AmuZAqE0L5s 9+lbgJF3ncCHRz6oUaSbooRqe6rwA+4gjsr3KtD2aKB4hckMUVWVqBVWqoSy8wfjR4RnqdKaEuz8 6/HSMH2EX3p0iM5FknWV8kFYbjYIGM8yBgrViQedyPouH7sF1n+slmSvswiJ5hCu6lSI2asnZV1d ordQ3uvfKHCDIvtHJ4AXf0Gvqb3IpvYcdE64eVYi2HIFFZ7EtHjylcYD/Q4vdKd8AT0tK28xwtxO ZhrKr/fA/TJC89B2NNCfFh9/rxyJQQF/R0hrncMqAj2tEGtEYLF19kURifgZqt53CzeXNI0BPqrp jUcIT/EtIwfEbJDoHH8YYEh1TC0q2KnvAdTEpgsMIAghSEigWDb4UoQUdnOszGt4i9NwCaih19S8 oi36py1i7HqxIgQbbSmX1OGJOS6oGpzN0NzfK+6NnwTX07jkLPOGetjtRYvdwOBMkUdJa+5ieaYJ CMGvVbQkLEE7r5EerQ9wahnpAeWwhPulbchcZdgEMC+aVS7FG6mTYwzdNqrGgWYEECXJN+yDIpzz aoUrCAPE9Fm2H8KAVRvsNCCFpu6VHUozJXM3UOzyQihLLONbSoOSak9ubj0We5ASaZnC7PImVrMQ v7TrAnkn0cx/wBlyLUtnedFVrWySVTK7QGC3TL3YqZMW9f6H6UVd5ipsT/aVOMT+N5kumUTveHVO AUqnV3pWF2txxdzwBk4Rh+wTDXMvfPiii41cSfQVHg0gTN+T4i3Dned97NxjkmwT3UUX1WCKcnId fx8RfvBjfYvGGUoJfSXO8bsM75YMnKK/6YlZAY/jDHfJgc0v+d7P+6nqR4WiebYoU202LjWp+oux KCFOYj0VCLKARN9d3+2oKPa7lq/1hMr4agVUlCrBzfp8lDzG9tUxQTWFmKckYFLNw1QABdZTH4nN DZyH1FXwU7tiYGRgUxHVjAngllkli/ttHUY31J1Dxy5gwXUapmTM3FMWJOZpNN31T5e4rABmqlgj ke4dlNM4yE/92XpVMDkzP+RDsp2dGV87YPvmTRbU3QbSMhsGAgRdQWsk3gxpJrlwIjWVB5K1uYxw UmaUM9V6NrakE4/8mNnhrnFh2TMNKSn6DMGcPFRSYiKC5U0fypDsYu01qQz8RPnxlvP5V596GxYN owqU2tVu94iQh/mEbZ6RJNWt+sCiil/znLKIlCKxLy36ylCYJyvLs8Cr5ZPRPcNkXqF41eSPQ+mg ldd7qBURvRPK8V2fJthc+fy4DtKWN1Q21Zmgt/JHq4tvK3wYMuJIGtMrKpf9ojFl/hTLf2r9iuMJ T9+3vhgp15pQwXH/cKCshbQT5jmEq5KtrWrTBUUNUopA6QLPYjJO5PHvTCee8tTBvhDTyfJ5YRVc bfMtC35orBhskHr6bFcXcF/XY4zPRpG/ucWTB2tw8qi8/TX1IJmhA0UCkX8AMHTYJkMs8z96dW2p gbKJsePDy629yFyLMKVVEMk2xL7EuWZATiSWa04FkN//bLzTcoN07NVCOxco24Tgf5wascpNSulH vjrV43c7quuZ506rDju5O4pm9vyh9kIdDMDlQDDY/VvASQm4LjLRbo9HcfJf/E22i/59GNVfVkhO MQ5NXatifJ4vAzb+7ProIh+V41ar99f0nbtoGhp4Js36z4TRtXArnqn2pEp45bfP3HSd/unHXoyj uRkzKSxXNDIXElmI2lK9CFgogtxqVu7RE8LG564fUhcOHB5rfsRU9z4Hsg4KyWeMMB6eq0vr/2B8 jMf6PgRXCQQyoltE+HfVmtObAlu01dpREuwNuzRZOaTVE2mgzHQrMz8XGp0epX5dg7FfR5+2BLW7 E4vcmvAF0IAozY282QC2+WCg6oGZfSfTHTEoDBAgxtVIWya4j5zVXwvvTYAoPe/1R7OgYcf1ujy7 bk5VlMNo0+ZHsfBC2MJXF1Xj/lQIpk2UOVS1i/+j0bKK54if0Sha4FV5fl5hID7dE0/l6h0+x/CH 42HvwR4wEe+tONFyrSUcQI9VMMOZPRYKxS6rRhMpc6FuDYFF098+HmX2rbhBrNWPD59p5waWp9F6 OYD5lF+jvvsR2neinoG6Qg71QE8t0Cs6RRhUI1Q9vKjz62EHJ11Z/3e98AxAdBMQsA4eAiVMvdPd EpGgBSv/gzOWni6bWG7fmC+9aERTJ6JTXz2w1AgB9jSZPr4P/LfJUMzycF5rHR6R1SWv6IF0v5Rh YnE2inu2x/ArFSWG6Bs+L3FRpXw0Z0E9y242DXEWIT4atU41bDEzNRtkwwvO6240lem2SxRL+see h2lBgsOvOC8jIIpihUjyzOohNq6b4D56LWIKe0q0TJhMbJcaandiAdvD9BJEpfKnIFo3KxeQNILd anHb6nDOhvY4OzM1hbEe6H6NxEF4asvnNTLEIAcH1+FlJN9G8MgV4YEBjCC1oVYa2uZA0nkahbz8 v8Q9f0G1nqa6EA7uyeSnaL5NgCLMohGoO4Uw19lGCJbh+WoIHTJ7NXJyIYCqlRAtco6as9Gx3WiI /m5AUjwkyBavhjNPGM66VMWFJt1uGBlIXO24GyAEKvT4+EsRNrk6teQQ6X1dOedrA/kmRlVnpm+0 H3NkiG3kjCLRIDxsyi/JTJ9IVl4MEWNVUU3xRrHMlx16ff1O7MTzbH0am/t57Y9Zu+A8j6IIFexk TNb3LAT4aB9uQUim9rzFdGgEIAUwAQZ6RXOJUCIqO7FMhy1VYppJNBuIxfiS4xidmhqs/Kl8QGWU WfeZZpkATb7ClGE7v5jbHZkacP2xuiqyx3WprPHp1AFXzElC88boW+lBv2itQBfLtYyYBLSM+3Bg rbxWCahunM4iBkOv3Vb0y/JqN5zeEv64QvhnYVOfqxDQEEKJMZrUj9TStq+5eg5nVDbdjUhgaseV uxka3spStz4xNocX3gxm3EFHLtK8VoTGA8Ae7iZu1q3si/IbUQ7HDJIXFaW+5vmgEy/G4bdpenXr bHle/zYl36uFBKCgocE2Q9a5ZK22rWNwx39pXZXwA99p+6CUWOa0AG5LRYR+Z3IeW9aRSGzkq/YR K8zowMpAnQ0pE2C3JvmlrhvxTgA5QAmUtjrmhubKmnh34e37rMYpA+R4oquR0a9bYE2RRxZo181H WS2VcNRMgQJX4Lqe+R4WYTn2LW9qHd4yuVaIoJbHMeo32xNxJ95sxL1QB9KwBb2lSYKCFUCJQ+kQ tGbmZUildfWG3lbt+YsZ1i+pq7FtUZ5l0FAFnvzo6zTSbIsV+KQEikXEoPpttQP/U8pF8uX4Iovj 78HBOEDTXUd3/P9rz3NdwweGb8t5LWBs8RXs2wQawXT1WSwjkPEvlngxaX8ZpjPz3f6xMIfP45ZE cdVXFsTENE7ROr2RrYLTBiqZXq9JwDAsRQvssicVZfnJWRWjsgTRJwV1hlc7+kZAYC+k+1AHEK8f OyQcKM+hVmv9qdoeHhrT93LTloXnSTd4v+X84j14kfFISVN3Y7in6pnBOmasi3+/m1gEZGqnydEe W8SNZx45m4w44yhVD6INwXnjNjl4UqRgcmbMA8dTZSIaGn0AHD7jLVXzjV07TYc5ISEjDLQQEjQ5 REdZE76bGRiFRF0kt0Gyf+EoP+2BUyELrfpYY78lEEq+vpLgYjFIx5tqviu93u4aDI0mN3NbU9aO wsJY0avXtXudHp5ZbFVrqhBiQoG2qxDqnT9VwgXaqhavoByhU1h8HHTrE4lN4b3yfwyesHwnLHBB feI7WyqjVwlzJ1rz8Zx4wjsE3Yh0wNEK9Ns3RF+TVvHDfN7ZpnGY7yzN3OMZkGwP9/FIf+SjjyEe ylQRRUTzli64MXn9z4N6C9cfMxBACYE1GsKawfhybfGiIafahOXzVDpiAUhx7MpfyVW493sIT3VU TIDtdo0gr6cCYFodMBpEUe28lK5KMYjuh4OMQKJ7uu/hnbbphQRc8CzEBAUK0nlVBjNy0Dvxa6X9 Qt8iwLeED9rU1mx5DRfffi/tFNwnzUtSJf5vB2OPegafu7JxCdcDy1BlI1wKjYGPkSUUEDPlHbtE GrfT6jiEhv00RJQ8JH+gU/+yRahHoafl8MK6hbzMnVJcg5i24VUkhtVc0C5MHwRrzjFHbzgnIzGk Yvtkn7maLq7nso2I/zpNCVoPEgebDFm9AWogXJ6LkwnXPtPxpHqAAIoiHqdZgyaKD3S9t6ESZUao Kj9zhZZfS0/+UPgUZ11P8zhR4J9KbBRYsl/a5DfMI8eEkmShPG+Az6Rjrf4jk6pXkPs0IToJ3V3/ HFZ+PZVtIqMHXBvhB6FCukncfejeTRRjhPjMwIjIFgnmkwa4sAy2d1xN37YvZVxG1vxzO2PK6nfJ zSqPZWaCHJSC1okJXVA0lgUIVLNKpURWoIB5fkHw70MfEqPUzU/QTaVZL11/hK0G2rF908OGoYQR rG412t+MgIw8C96Ncp+gINDQ9Q1R7dZkNdV/4eer35oOhu9jzzK/vtHF063dbK+VXDir8QiX9ANr zPvKRnnuPT4mR7kENiOm0hnzHx6ZXYzbvxFR69VzFTInwS4+LhWuFigd2rghQE3D15D6VdxkbA6R fhge7rRkE8n7TMJY4vdXRXQEZhu7/43luysQPSTX8w4a9DlAGuxGuUk4ZmuZsUscI6nPKp815922 czmRz05vx6MBhMXLSrgkznDpxYG/wDgDDQG9Es5jnV2KL9/lwCri2yjD1thv/3ZSPFO7LYK4qH3t uyVoWWuIrprnv6ORiOJiM/UW61uF+Ue04fH/oa5/IXXP4xbu0HB2CRZnkqBb7UQcu3VweipxyQ8R 4WHfvzuz6Hn4x6rt4NUoB+zSmiLTtW/x+hBZIFbmxT37Zp+6u5Rm9w+76NAH8ChVY9K1NwixdcGV VhkkEb6btEFfZaRftfXuI5vb+yu+czwKp805KVpU+lzj9pVl9c83HA4gw2H7rbAmSjmSUZV/D3Mz 1hgdLy3RuKqBfA4VK/jsVERCRxDza3JBiv284Mf3/6k/dzfmoOo1dOcN2YRTae0yC61RkxPWeTA/ l4HNm0V1vLBND/Ez3BVx/hjVkCsiuqGYBPC4dYnboPv3bcutWdczpu5yKRr9p3crZ9GgOLBjIEF2 vuVpjrxTCoKeYjYZR0HMortJuMFsNjnbZmknp0UBCzNac0VQh1YScmf3RMFBtHXwHGbIB/Uvcv9J CXMMs3ad2eizhHoLUySykn5846Do4Jl9LnC753g6khK9G/33B3+lEz8F/XXfgGeyytilecrgFQw+ 9De9cFPya8N+cdBhx+5cOobSxh0pVvCQiLbJacEHH7DFSLqH5E0SxC3XQqRK7cTY8so4ycsz3Kct P2reo5eVmdfEeNMyqSJ7jiaxNvrG1BAUoVJg37NRBSDX8/Qz1izXG4szs7xOQLRb4VjlEPyWDH7g krgMxQTSBcf6ox6nECENKNealVpjm6PlobzVFG+3mBQjV4xgmEvPg6qJ+yYxStVsj6npQKdhltuh HElE2t2c5OOruhOCqv8xChRj7Ek1hDbscCo1/PN8HmmwcajtHN52P8GNYY8f9GsvucOh9ltY9WeZ ZBdHMCUFzhQ/MC5krNmNU5MZXVTCp9elH2MTLhhomyiWT2IFptE+lglAILkJ+mjzIlFzO3g0EoXs vjlFp/oFtW7K9xnn1/G6Z2lKXz+Da4Rx84mN4vGP7v6D+v+l6VrFNxYsyP3aqudlffiX4LeDRu7n cpBqhLxxjO+qAUwYdxSxYOvPUAMee67x31HPRwZrha02AZJjzpMWQNOcc7hdOubK92z5v12CHuiR UcfjfVRaQ5472zE5qq1DWtXJeZtZsqmJwVpqOaUtnhT1qbBJb/DsBhugGeQBa631sdNt+8PR0P0H 71x4s0OejtY00KchpEwnFzX6VcrmYb6LhTRRQHJXnJVBZCsgxp3/mWubIPYTvuFydg9dckM/EUhV br0XzT+g+yo8CO8EpycdRdsvutqyfBggyRuzWIrms6FK+isb32RauglStZwLsQ3iTAjJXyTnqUSp /lCnPsuhkoC0Tkn3jM4NKMjeKe4KwmjzpOOaMVX/4dKn0cQAvSujzCHScCp/VoqVOiG6yFYuz7g3 ZWDGYk/rmAtk79BUMaILZwAGNEX8DBH2GLWAEk0mg0bGrkn/AA9x8FR6BHo4HOl8rj6OIxC0oiC2 MwDkwav/6QEqlGcsYMnfPHvmWHVBMfLguSEFg4gCrYnib4oLZEfMR5MMOLotMzaj0btQpYp3Cmp+ HS8kOw7jQ4mkiZTbv0CF/16mwpMM8ki5G2PR1DbdWLAi+hNSl51V2XupObkEW1zPRo+Rq3rhmFV1 ULY4+XvQrzXbkQWz7ArtZB2KDi4+20mlAJtP9ecCllSyJdR7Hs6QgqhfG+A/61S3mn0w7YmTElw1 Qk0wQmj8/NLayJ8Odk2IGjfaAHoI+KQqy4Is6XBzJCvqxlxG0r9Q5zuHE6uqjfS6uJwf5td39TBI KEUP7pJmk/IRTsy4EW+PZ6Bs4b3RMWiyaaUQa3ZayrCAX44zrTjvyhy7vklVHAgoLlGjf8Zc631F 8F1nAkju5XSGTk+qFj5QOqTO1O8W/bbukofIwTL1g4q9BzAoyjisKZL6Jyj33ggHG6QfIrJhUbhW oxSGOlgMvcBOdoPE4bVaX6fT8lotY5WaIpvYfB3AeB5RQLJGt1c9YpS9TVur/1AmMsS8Ll14h40T zlqSHifSdOxrpxDD6mB0OZPHrUBtKz2pfQRiORlUXiPfDRMzm5MS25OGoz7BzPTUpmGaJ8GlpDmB IjQdOet0svhg4nCDcPu0SQoUESN0gKdak5csNmbc0WupYbM8xg1R5B1yDgQa15hdQR0ZbTxQYsBK 3AtSK6zeI0JQlv5rXoBVVvU3x3NMqUlmEa7KqMW2d1QZgbujipkHPQXCt+9mTFmeTB4BtFeLkg4w uSteHckP4JD2eYlaCkhJu2IwV/OznVtWCYF/0Pnp4KeoBkSGTK2c5DYAN3Q2rshlvniUYh2Ix85G 2mu1TnnK2EcRjK+nrMXufhDVoI22gbO5Qje3NWMI2rtsFM8wrOCYll1q1x/rYbcSqmAJALgR5IL1 Kb6owiuzjgkcVJB0UmJP3BzQaQ65y6bGLqePix3iE3kIEjXyLfZjgQjo7BhvfAWV31UwzGBdUTF1 18n94p1EQ3ZwLt3Nl2jjipBJerZAHsUyALOEVR/2gTYYL7s7xLcjlK1UqDcuWWACPwB+DSguqdLq nZDdmYjQO8G1wkbYmw8Ubh0NdJvcLyQGLu65wnqnZlOfFIE3qbr5vNZrdwBPeK0DXY548FpXdP4x va55o0zAa66CmmINl9pr/U7cuCE7FpNhIAcNO7l4mwyaEtscwy2e8+Xk3WrMlT3N7r6nJF/iv3y0 8LwbIbpLKUVJy+vWJRtqOrH51P3dMKI9TsIYAy4WZn0o3IOLMGhqSnQPrxnYc+AM+BvalbjNGAjm /xGOc8kMVmGCzAsxurJa2cofyLoZaXFZfKVU/q0j3hE6KToLB9YBb0CFnkXGHs/Hb+QSWTcCnS17 3dq+AEqlQyK9rz5kMXRmW2qYXgIcdymVFzCpJX5tnmHe/OHEthvbFxlE87vmPnjhoRtsOi77/zIT uuO3Wl7VH1ZLtJc+7mu0I/VCz/dECZLaTNPWu8/UEPJATkaI7IktFhXjbv+yo9J1t5DuTfQjamNs HKMhv0xD86Uq/93Y9vLL8sphSgWv83aWCLDywY7SIhm+CExaNiFF7LpAsML/QqbtOclwG/l5R9fI 1eNVYRxWkxwRCFFoSseutMl70JtUSSbsozuEfeOEo+fTq2sP6bTBQvC5dz2zQNcAm7YaNMuWmUZE cXF4JlyqmnrCPFBYg4UxwNp0WIRH/f1stysm8e+dCvaMEMA1qtiCCoNgM7UrZj3Nc4ICTiLlu/1Y yjBeRSgkUZEvQoz05KsQswtrKAY82PxqyAudAD0bXN/NVDVOy6lktd0aVmtC/4DbSsMnOovaq3YH 9pWMEwAqgRkf4o9M8CIigGyaFOjHCvW6on0fps9YSoLRyeOqX6kkOR03xlQMXA7l8pwgrvnGLK2s XoYlt6Crj0zUTEpiKHkFNff8BRCf4ysbUUFO7w7C8GHThQVULoBIwhLiXsrpQG1h0K2cghhw6t6E gnnR7LCEFosJR5oZNUKdvUQXlR7PHKeYESoe81085GScN/xTb0a64kDiVhH+z9liEenqttf68Sid fRK+zgG9aLwvhtjOlPAdsROW5hU3+JJF/2uSjrgVE0eexhQLe+3r3XipKZZGgxW3X8hF/LlZb34r PBVkGdRBuklxQIRYS04DRep09Oe5K4ErtAYtFOfL+yq9zu8pL0G5Txk4CerExu1TFc6kOCSEZKSd T1aQN3tefZpqVlMZO3WVPJhvrE2DPYHz6YHXVb84qH2TeRJ39erMmN0oRE2oZAZRNp7tVtJwSe++ jXlzAyCmpbRjyonfxYyLv6uNFQ0Aeiaaw76RMqbEly59pBWBspwsdwoSNGA2mBSuM9pCf2znGwhK MnlvVOuKUiAVQwdLvAXIBXKknuGg6/B+lq1Yk4NeqiJ393L2qchSf41XV4A7YlsPqPaGEWMcCKMv ckG/dabb0NA3yPIk1CHePtYQI22WP6OsLGV/puNgKsWgMzFXoUcc2NjPu8Uol78wTr+yPxZ9FOQl t3WjSPexSz3L6fDZCHInWFKwumIboRdf8y/0JqW7Ad+fifcGVeyuHowWUGG0ZSbtpzuoqn05aDLC 4dGxi/4z/hYrBlBy7FHGEgBqAQYfckLF/hjOxUnBQBjFr+mDn4PHDec0jrPGpM0B2F/ufIzyjNhU zMoc1NvQr5coa569foIBb+gzhHSZoEBZRGOV+e2HA2Ryp8XYLN1uaZ2aOHtwXw+GPyIZXysmGI4O mgln9fYs2N1p9Dfduw1PHTCY3FFzlWRc+QVnXLtv+Q+q+5anQ96Z/jiN/y3ogNeG3BBoFgjd/yLi fP+NIQq5luzUoW6KZyCmSscSvfqXP5nE6ffWyhaI5Cs28Lt6YsmAqJa4Eot7pUzbjRSmOUSD63aR TpqUZkFLRHxps39tlpxJ1I7PX81FkmEz0Df7XCrBzwhOq2S+2u3YPX1viDIgT8i8vYNo5W0HEl24 YQaAvo+wVf2+oG5HK+SSiwtfZhUEhLyoCtzZ42czRceMczjyVG799QgeUn8kt4BabObmZgE+VELK 2UvPhP5m0XPzLyhRGJAeMWbzrK1reEivOPyjdSaTkrrVRQCr7G9661M1cmG2OZdvDKRskhwRuOVo QOLq0NUBZ9wSvAD7shq+vVjdXLcPJuccltTNsAwWyOD4E3D1WqXM1PRSUtoO1c7/W23eX9adVUud MNKk/eCJBInkOAJyNbenHtAZXWeDk6eN1bWi/xs1HGvqMVbXbwflGU5N `protect end_protected
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/system_rgb565_to_rgb888_0_0_sim_netlist.vhdl
3
5896
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 15:17:13 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/system_rgb565_to_rgb888_0_0_sim_netlist.vhdl -- Design : system_rgb565_to_rgb888_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 is port ( rgb_888 : out STD_LOGIC_VECTOR ( 15 downto 0 ); rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 : entity is "rgb565_to_rgb888"; end system_rgb565_to_rgb888_0_0_rgb565_to_rgb888; architecture STRUCTURE of system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 is begin \rgb_888_reg[10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(5), Q => rgb_888(5), R => '0' ); \rgb_888_reg[11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(6), Q => rgb_888(6), R => '0' ); \rgb_888_reg[12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(7), Q => rgb_888(7), R => '0' ); \rgb_888_reg[13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(8), Q => rgb_888(8), R => '0' ); \rgb_888_reg[14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(9), Q => rgb_888(9), R => '0' ); \rgb_888_reg[15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(10), Q => rgb_888(10), R => '0' ); \rgb_888_reg[19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(11), Q => rgb_888(11), R => '0' ); \rgb_888_reg[20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(12), Q => rgb_888(12), R => '0' ); \rgb_888_reg[21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(13), Q => rgb_888(13), R => '0' ); \rgb_888_reg[22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(14), Q => rgb_888(14), R => '0' ); \rgb_888_reg[23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(15), Q => rgb_888(15), R => '0' ); \rgb_888_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(0), Q => rgb_888(0), R => '0' ); \rgb_888_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(1), Q => rgb_888(1), R => '0' ); \rgb_888_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(2), Q => rgb_888(2), R => '0' ); \rgb_888_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(3), Q => rgb_888(3), R => '0' ); \rgb_888_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => rgb_565(4), Q => rgb_888(4), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rgb565_to_rgb888_0_0 is port ( clk : in STD_LOGIC; rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rgb_888 : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_rgb565_to_rgb888_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_rgb565_to_rgb888_0_0 : entity is "system_rgb565_to_rgb888_0_0,rgb565_to_rgb888,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_rgb565_to_rgb888_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_rgb565_to_rgb888_0_0 : entity is "rgb565_to_rgb888,Vivado 2016.4"; end system_rgb565_to_rgb888_0_0; architecture STRUCTURE of system_rgb565_to_rgb888_0_0 is signal \<const0>\ : STD_LOGIC; signal \^rgb_888\ : STD_LOGIC_VECTOR ( 20 downto 3 ); begin rgb_888(23 downto 21) <= \^rgb_888\(18 downto 16); rgb_888(20 downto 16) <= \^rgb_888\(20 downto 16); rgb_888(15 downto 14) <= \^rgb_888\(9 downto 8); rgb_888(13 downto 3) <= \^rgb_888\(13 downto 3); rgb_888(2) <= \<const0>\; rgb_888(1) <= \<const0>\; rgb_888(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); U0: entity work.system_rgb565_to_rgb888_0_0_rgb565_to_rgb888 port map ( clk => clk, rgb_565(15 downto 0) => rgb_565(15 downto 0), rgb_888(15 downto 13) => \^rgb_888\(18 downto 16), rgb_888(12 downto 11) => \^rgb_888\(20 downto 19), rgb_888(10 downto 9) => \^rgb_888\(9 downto 8), rgb_888(8 downto 5) => \^rgb_888\(13 downto 10), rgb_888(4 downto 0) => \^rgb_888\(7 downto 3) ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ipshared/bdfe/g8_to_rgb888.vhd
2
359
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity g8_to_rgb888 is port ( g8 : in std_logic_vector(7 downto 0); rgb888 : out std_logic_vector(23 downto 0) ); end g8_to_rgb888; architecture Behavioral of g8_to_rgb888 is begin rgb888(23 downto 16) <= g8; rgb888(15 downto 8) <= g8; rgb888(7 downto 0) <= g8; end Behavioral;
mit
SoCdesign/inputboard
ZedBoard_Linux_Design/hw/xps_proj/pcores/adau1761_audio_v1_00_a/hdl/vhdl/adau1761.vhd
2
3959
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Create Date: 19:23:40 01/06/2014 -- Module Name: adau1761 - Behavioral -- Description: Implement a Line in => I2S => FPGA => I2S => Headphones -- using the ADAU1761 codec ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library unisim; use unisim.vcomponents.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; entity adau1761 is Port ( clk_100 : in STD_LOGIC; clk_48_o :out STD_LOGIC; AC_ADR0 : out STD_LOGIC; AC_ADR1 : out STD_LOGIC; AC_GPIO0 : out STD_LOGIC; -- I2S MISO AC_GPIO1 : in STD_LOGIC; -- I2S MOSI AC_GPIO2 : in STD_LOGIC; -- I2S_bclk AC_GPIO3 : in STD_LOGIC; -- I2S_LR AC_MCLK : out STD_LOGIC; AC_SCK : out STD_LOGIC; new_sample : out std_logic; AUDIO_OUT_L : out STD_LOGIC_VECTOR(23 downto 0); AUDIO_OUT_R : out STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_L : in STD_LOGIC_VECTOR(23 downto 0); AUDIO_IN_R : in STD_LOGIC_VECTOR(23 downto 0); AC_SDA_I : IN std_logic; AC_SDA_O : OUT std_logic; AC_SDA_T : OUT std_logic; --AC_SDA : inout STD_LOGIC; sw : in STD_LOGIC_VECTOR(7 downto 0) ); end adau1761; architecture Behavioral of adau1761 is COMPONENT adau1761_izedboard PORT( clk_48 : IN std_logic; AC_GPIO1 : IN std_logic; AC_GPIO2 : IN std_logic; AC_GPIO3 : IN std_logic; hphone_l : IN std_logic_vector(23 downto 0); hphone_r : IN std_logic_vector(23 downto 0); AC_SDA_I : IN std_logic; AC_SDA_O : OUT std_logic; AC_SDA_T : OUT std_logic; --AC_SDA : INOUT std_logic; AC_ADR0 : OUT std_logic; AC_ADR1 : OUT std_logic; AC_GPIO0 : OUT std_logic; AC_MCLK : OUT std_logic; AC_SCK : OUT std_logic; line_in_l : OUT std_logic_vector(23 downto 0); line_in_r : OUT std_logic_vector(23 downto 0); new_sample: out std_logic; sw : in std_logic_vector(1 downto 0); active : out std_logic_vector(1 downto 0) ); END COMPONENT; component clocking port( CLK_100 : in std_logic; CLK_48 : out std_logic; RESET : in std_logic; LOCKED : out std_logic ); end component; signal clk_48 : std_logic; signal sw_synced : std_logic_vector(7 downto 0); signal active : std_logic_vector(1 downto 0); constant hi : natural := 23; begin process(clk_48) begin if rising_edge(clk_48) then sw_synced <= sw; end if; end process; --i_clocking : clocking port map ( -- CLK_100 => CLK_100, -- CLK_48 => CLK_48, -- RESET => '0', -- LOCKED => open -- ); clk_divider_2083: entity WORK.ClkDividerN(Behavioral) generic map(divFactor => 2) port map(reset => '0', clkIn => CLK_100, clkOut => CLK_48); clk_48_o <= clk_48; Inst_adau1761_izedboard: adau1761_izedboard PORT MAP( clk_48 => clk_48, AC_ADR0 => AC_ADR0, AC_ADR1 => AC_ADR1, AC_GPIO0 => AC_GPIO0, AC_GPIO1 => AC_GPIO1, AC_GPIO2 => AC_GPIO2, AC_GPIO3 => AC_GPIO3, AC_MCLK => AC_MCLK, AC_SCK => AC_SCK, AC_SDA_I => AC_SDA_I, AC_SDA_O => AC_SDA_O, AC_SDA_T => AC_SDA_T, --AC_SDA => AC_SDA, hphone_l => AUDIO_IN_L, hphone_r => AUDIO_IN_R, line_in_l => AUDIO_OUT_L, line_in_r => AUDIO_OUT_R, new_sample => new_sample, sw => sw(1 downto 0), active => active ); end Behavioral;
mit
gutelfuldead/zynq_ip_repo
IP_LIBRARY/axistream_spw_lite_1.0/src/spwrecvfront_fast.vhd
2
15262
-- -- Front-end for SpaceWire Receiver -- -- This entity samples the input signals DataIn and StrobeIn to detect -- valid bit transitions. Received bits are handed to the application -- in groups of "rxchunk" bits at a time, synchronous to the system clock. -- -- This receiver is based on synchronous oversampling of the input signals. -- Inputs are sampled on the rising and falling edges of an externally -- supplied sample clock "rxclk". Therefore the maximum bitrate of the -- incoming signal must be significantly lower than two times the "rxclk" -- clock frequency. The maximum incoming bitrate must also be strictly -- lower than rxchunk times the system clock frequency. -- -- This code is tuned for implementation on Xilinx Spartan-3. -- -- Details -- ------- -- -- Stage A: The inputs "spw_di" and "spw_si" are handled as DDR signals, -- synchronously sampled on both edges of "rxclk". -- -- Stage B: The input signals are re-registered on the rising edge of "rxclk" -- for further processing. This implies that every rising edge of "rxclk" -- produces two new samples of "spw_di" and two new samples of "spw_si". -- -- Stage C: Transitions in input signals are detected by comparing the XOR -- of data and strobe to the XOR of the previous data and strobe samples. -- If there is a difference, we know that either data or strobe has changed -- and the new value of data is a valid new bit. Every rising edge of "rxclk" -- thus produces either zero, or one or two new data bits. -- -- Stage D: Received bits are collected in groups of "rxchunk" bits -- (unless rxchunk=1, in which case groups of 2 bits are used). Complete -- groups are pushed into an 8-deep cyclic buffer. A 3-bit counter "headptr" -- indicates the current position in the cyclic buffer. -- -- The system clock domain reads bit groups from the cyclic buffer. A tail -- pointer indicates the next location to read from the buffer. A comparison -- between the "tailptr" and a re-synchronized copy of the "headptr" determines -- whether valid bits are available in the buffer. -- -- Activity detection is based on a 3-bit counter "bitcnt". This counter is -- incremented whenever the rxclk domain receives 1 or 2 new bits. The system -- clock domain monitors a re-synchronized copy of the activity counter to -- determine whether it has been updated since the previous system clock cycle. -- -- Implementation guidelines -- ------------------------- -- -- IOB flip-flops must be used to sample spw_di and spw_si. -- Clock skew between the IOBs for spw_di and spw_si must be minimized. -- -- "rxclk" must be at least as fast as the system clock; -- "rxclk" does not need to be phase-related to the system clock; -- it is allowed for "rxclk" to be equal to the system clock. -- -- The following timing constraints are needed: -- * PERIOD constraint on the system clock; -- * PERIOD constraint on "rxclk"; -- * FROM-TO constraint from "rxclk" to system clock, equal to one "rxclk" period; -- * FROM-TO constraint from system clock to "rxclk", equal to one "rxclk" period. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.spwpkg.all; entity spwrecvfront_fast is generic ( -- Number of bits to pass to the application per system clock. rxchunk: integer range 1 to 4 ); port ( -- System clock. clk: in std_logic; -- Sample clock. rxclk: in std_logic; -- High to enable receiver; low to disable and reset receiver. rxen: in std_logic; -- High if there has been recent activity on the input lines. inact: out std_logic; -- High if inbits contains a valid group of received bits. -- If inbvalid='1', the application must sample inbits on -- the rising edge of clk. inbvalid: out std_logic; -- Received bits (bit 0 is the earliest received bit). inbits: out std_logic_vector(rxchunk-1 downto 0); -- Data In signal from SpaceWire bus. spw_di: in std_logic; -- Strobe In signal from SpaceWire bus. spw_si: in std_logic ); -- Turn off FSM extraction. -- Without this, XST will happily apply one-hot encoding to rrx.headptr. attribute FSM_EXTRACT: string; attribute FSM_EXTRACT of spwrecvfront_fast: entity is "NO"; end entity spwrecvfront_fast; architecture spwrecvfront_arch of spwrecvfront_fast is -- width of bit groups in cyclic buffer; -- typically equal to rxchunk, except when rxchunk = 1 type memwidth_array_type is array(1 to 4) of integer; constant chunk_to_memwidth: memwidth_array_type := ( 2, 2, 3, 4 ); constant memwidth: integer := chunk_to_memwidth(rxchunk); -- registers in rxclk domain type rxregs_type is record -- stage B: re-register input samples b_di0: std_ulogic; b_si0: std_ulogic; b_di1: std_ulogic; b_si1: std_ulogic; -- stage C: data/strobe decoding c_bit: std_logic_vector(1 downto 0); c_val: std_logic_vector(1 downto 0); c_xor1: std_ulogic; -- stage D: collect groups of memwidth bits d_shift: std_logic_vector(memwidth-1 downto 0); d_count: std_logic_vector(memwidth-1 downto 0); -- cyclic buffer access bufdata: std_logic_vector(memwidth-1 downto 0); bufwrite: std_ulogic; headptr: std_logic_vector(2 downto 0); -- activity detection bitcnt: std_logic_vector(2 downto 0); end record; -- registers in system clock domain type regs_type is record -- data path from buffer to output tailptr: std_logic_vector(2 downto 0); inbvalid: std_ulogic; -- split 2-bit groups if rxchunk=1 splitbit: std_ulogic; splitinx: std_ulogic; splitvalid: std_ulogic; -- activity detection bitcntp: std_logic_vector(2 downto 0); inact: std_ulogic; -- reset signal towards rxclk domain rxdis: std_ulogic; end record; constant regs_reset: regs_type := ( tailptr => "000", inbvalid => '0', splitbit => '0', splitinx => '0', splitvalid => '0', bitcntp => "000", inact => '0', rxdis => '1' ); -- Signals that are re-synchronized from rxclk to system clock domain. type syncsys_type is record headptr: std_logic_vector(2 downto 0); -- pointer in cyclic buffer bitcnt: std_logic_vector(2 downto 0); -- activity detection end record; -- Registers. signal r: regs_type := regs_reset; signal rin: regs_type; signal rrx, rrxin: rxregs_type; -- Synchronized signals after crossing clock domains. signal syncrx_rstn: std_logic; signal syncsys: syncsys_type; -- Output data from cyclic buffer. signal s_bufdout: std_logic_vector(memwidth-1 downto 0); -- stage A: input flip-flops for rising/falling rxclk signal s_a_di0: std_logic; signal s_a_si0: std_logic; signal s_a_di1: std_logic; signal s_a_si1: std_logic; signal s_a_di2: std_logic; signal s_a_si2: std_logic; -- force use of IOB flip-flops attribute IOB: string; attribute IOB of s_a_di1: signal is "TRUE"; attribute IOB of s_a_si1: signal is "TRUE"; attribute IOB of s_a_di2: signal is "TRUE"; attribute IOB of s_a_si2: signal is "TRUE"; begin -- Cyclic data buffer. bufmem: spwram generic map ( abits => 3, dbits => memwidth ) port map ( rclk => clk, wclk => rxclk, ren => '1', raddr => r.tailptr, rdata => s_bufdout, wen => rrx.bufwrite, waddr => rrx.headptr, wdata => rrx.bufdata ); -- Synchronize reset signal for rxclk domain. syncrx_reset: syncdff port map ( clk => rxclk, rst => r.rxdis, di => '1', do => syncrx_rstn ); -- Synchronize signals from rxclk domain to system clock domain. syncsys_headptr0: syncdff port map ( clk => clk, rst => r.rxdis, di => rrx.headptr(0), do => syncsys.headptr(0) ); syncsys_headptr1: syncdff port map ( clk => clk, rst => r.rxdis, di => rrx.headptr(1), do => syncsys.headptr(1) ); syncsys_headptr2: syncdff port map ( clk => clk, rst => r.rxdis, di => rrx.headptr(2), do => syncsys.headptr(2) ); syncsys_bitcnt0: syncdff port map ( clk => clk, rst => r.rxdis, di => rrx.bitcnt(0), do => syncsys.bitcnt(0) ); syncsys_bitcnt1: syncdff port map ( clk => clk, rst => r.rxdis, di => rrx.bitcnt(1), do => syncsys.bitcnt(1) ); syncsys_bitcnt2: syncdff port map ( clk => clk, rst => r.rxdis, di => rrx.bitcnt(2), do => syncsys.bitcnt(2) ); -- sample inputs on rising edge of rxclk process (rxclk) is begin if rising_edge(rxclk) then s_a_di1 <= spw_di; s_a_si1 <= spw_si; end if; end process; -- sample inputs on falling edge of rxclk process (rxclk) is begin if falling_edge(rxclk) then s_a_di2 <= spw_di; s_a_si2 <= spw_si; -- reregister inputs in fabric flip-flops s_a_di0 <= s_a_di2; s_a_si0 <= s_a_si2; end if; end process; -- combinatorial process process (r, rrx, rxen, syncrx_rstn, syncsys, s_bufdout, s_a_di0, s_a_si0, s_a_di1, s_a_si1) variable v: regs_type; variable vrx: rxregs_type; begin v := r; vrx := rrx; -- ---- SAMPLE CLOCK DOMAIN ---- -- stage B: re-register input samples vrx.b_di0 := s_a_di0; vrx.b_si0 := s_a_si0; vrx.b_di1 := s_a_di1; vrx.b_si1 := s_a_si1; -- stage C: decode data/strobe and detect valid bits if (rrx.b_di0 xor rrx.b_si0 xor rrx.c_xor1) = '1' then vrx.c_bit(0) := rrx.b_di0; else vrx.c_bit(0) := rrx.b_di1; end if; vrx.c_bit(1) := rrx.b_di1; vrx.c_val(0) := (rrx.b_di0 xor rrx.b_si0 xor rrx.c_xor1) or (rrx.b_di0 xor rrx.b_si0 xor rrx.b_di1 xor rrx.b_si1); vrx.c_val(1) := (rrx.b_di0 xor rrx.b_si0 xor rrx.c_xor1) and (rrx.b_di0 xor rrx.b_si0 xor rrx.b_di1 xor rrx.b_si1); vrx.c_xor1 := rrx.b_di1 xor rrx.b_si1; -- Note: -- c_val = "00" if no new bits are received -- c_val = "01" if one new bit is received; the new bit is in c_bit(0) -- c_val = "11" if two new bits are received -- stage D: collect groups of memwidth bits if rrx.c_val(0) = '1' then -- shift incoming bits into register if rrx.c_val(1) = '1' then vrx.d_shift := rrx.c_bit & rrx.d_shift(memwidth-1 downto 2); else vrx.d_shift := rrx.c_bit(0) & rrx.d_shift(memwidth-1 downto 1); end if; -- prepare to store a group of memwidth bits if rrx.d_count(0) = '1' then -- only one more bit needed vrx.bufdata := rrx.c_bit(0) & rrx.d_shift(memwidth-1 downto 1); else vrx.bufdata := rrx.c_bit & rrx.d_shift(memwidth-1 downto 2); end if; -- countdown nr of needed bits (one-hot counter) if rrx.c_val(1) = '1' then vrx.d_count := rrx.d_count(1 downto 0) & rrx.d_count(memwidth-1 downto 2); else vrx.d_count := rrx.d_count(0 downto 0) & rrx.d_count(memwidth-1 downto 1); end if; end if; -- stage D: store groups of memwidth bits vrx.bufwrite := rrx.c_val(0) and (rrx.d_count(0) or (rrx.c_val(1) and rrx.d_count(1))); -- Increment head pointer. if rrx.bufwrite = '1' then vrx.headptr := std_logic_vector(unsigned(rrx.headptr) + 1); end if; -- Activity detection. if rrx.c_val(0) = '1' then vrx.bitcnt := std_logic_vector(unsigned(rrx.bitcnt) + 1); end if; -- Synchronous reset of rxclk domain. if syncrx_rstn = '0' then vrx.c_val := "00"; vrx.c_xor1 := '0'; vrx.d_count := (others => '0'); vrx.d_count(memwidth-1) := '1'; vrx.bufwrite := '0'; vrx.headptr := "000"; vrx.bitcnt := "000"; end if; -- ---- SYSTEM CLOCK DOMAIN ---- -- Compare tailptr to headptr to decide whether there is new data. -- If the values are equal, we are about to read a location which has -- not yet been written by the rxclk domain. if r.tailptr = syncsys.headptr then -- No more data in cyclic buffer. v.inbvalid := '0'; else -- Reading valid data from cyclic buffer. v.inbvalid := '1'; -- Increment tail pointer. if rxchunk /= 1 then v.tailptr := std_logic_vector(unsigned(r.tailptr) + 1); end if; end if; -- If rxchunk=1, split 2-bit groups into separate bits. if rxchunk = 1 then -- Select one of the two bits. if r.splitinx = '0' then v.splitbit := s_bufdout(0); else v.splitbit := s_bufdout(1); end if; -- Indicate valid bit. v.splitvalid := r.inbvalid; -- Increment tail pointer. if r.inbvalid = '1' then v.splitinx := not r.splitinx; if r.splitinx = '0' then v.tailptr := std_logic_vector(unsigned(r.tailptr) + 1); end if; end if; end if; -- Activity detection. v.bitcntp := syncsys.bitcnt; if r.bitcntp = syncsys.bitcnt then v.inact := '0'; else v.inact := '1'; end if; -- Synchronous reset of system clock domain. if rxen = '0' then v := regs_reset; end if; -- Register rxen to ensure glitch-free signal to rxclk domain v.rxdis := not rxen; -- drive outputs inact <= r.inact; if rxchunk = 1 then inbvalid <= r.splitvalid; inbits(0) <= r.splitbit; else inbvalid <= r.inbvalid; inbits <= s_bufdout; end if; -- update registers rrxin <= vrx; rin <= v; end process; -- update registers on rising edge of rxclk process (rxclk) is begin if rising_edge(rxclk) then rrx <= rrxin; end if; end process; -- update registers on rising edge of system clock process (clk) is begin if rising_edge(clk) then r <= rin; end if; end process; end architecture spwrecvfront_arch;
mit
ptracton/Picoblaze
Picoblaze/ROM_form_templates/ROM_form_S6_4K_23Nov12.vhd
1
32645
-- ------------------------------------------------------------------------------------------- -- Copyright © 2010-2012, Xilinx, Inc. -- This file contains confidential and proprietary information of Xilinx, Inc. and is -- protected under U.S. and international copyright and other intellectual property laws. ------------------------------------------------------------------------------------------- -- -- Disclaimer: -- This disclaimer is not a license and does not grant any rights to the materials -- distributed herewith. Except as otherwise provided in a valid license issued to -- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE -- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY -- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, -- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, -- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable -- (whether in contract or tort, including negligence, or under any other theory -- of liability) for any loss or damage of any kind or nature related to, arising -- under or in connection with these materials, including for any direct, or any -- indirect, special, incidental, or consequential loss or damage (including loss -- of data, profits, goodwill, or any type of loss or damage suffered as a result -- of any action brought by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail-safe, or for use in any -- application requiring fail-safe performance, such as life-support or safety -- devices or systems, Class III medical devices, nuclear facilities, applications -- related to the deployment of airbags, or any other applications that could lead -- to death, personal injury, or severe property or environmental damage -- (individually and collectively, "Critical Applications"). Customer assumes the -- sole risk and liability of any use of Xilinx products in Critical Applications, -- subject only to applicable laws and regulations governing limitations on product -- liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------------------- -- ROM_form.vhd Production template for a 4K KCPSM6 program in a Spartan-6 device using 4 x RAMB18WER. It should be noted that a 4K program is not such a natural fit in a Spartan-6 device and the implementation also requires a small amount of logic (9 x LUT6_2 and an FD) resulting in slightly lower performance compared with memories for 1K and 2K programs. Ken Chapman (Xilinx Ltd) 23rd November 2012 This is a VHDL template file for the KCPSM6 assembler. This VHDL file is not valid as input directly into a synthesis or a simulation tool. The assembler will read this template and insert the information required to complete the definition of program ROM and write it out to a new '.vhd' file that is ready for synthesis and simulation. This template can be modified to define alternative memory definitions. However, you are responsible for ensuring the template is correct as the assembler does not perform any checking of the VHDL. The assembler identifies all text enclosed by {} characters, and replaces these character strings. All templates should include these {} character strings for the assembler to work correctly. The next line is used to determine where the template actually starts. {begin template} -- ------------------------------------------------------------------------------------------- -- Copyright © 2010-2012, Xilinx, Inc. -- This file contains confidential and proprietary information of Xilinx, Inc. and is -- protected under U.S. and international copyright and other intellectual property laws. ------------------------------------------------------------------------------------------- -- -- Disclaimer: -- This disclaimer is not a license and does not grant any rights to the materials -- distributed herewith. Except as otherwise provided in a valid license issued to -- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE -- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY -- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, -- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, -- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable -- (whether in contract or tort, including negligence, or under any other theory -- of liability) for any loss or damage of any kind or nature related to, arising -- under or in connection with these materials, including for any direct, or any -- indirect, special, incidental, or consequential loss or damage (including loss -- of data, profits, goodwill, or any type of loss or damage suffered as a result -- of any action brought by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail-safe, or for use in any -- application requiring fail-safe performance, such as life-support or safety -- devices or systems, Class III medical devices, nuclear facilities, applications -- related to the deployment of airbags, or any other applications that could lead -- to death, personal injury, or severe property or environmental damage -- (individually and collectively, "Critical Applications"). Customer assumes the -- sole risk and liability of any use of Xilinx products in Critical Applications, -- subject only to applicable laws and regulations governing limitations on product -- liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------------------- -- -- -- Production definition of a 4K program for KCPSM6 in a Spartan-6 device using -- 4 x RAMB18WER. It should be noted that a 4K program is not such a natural fit in -- a Spartan-6 device and the implementation also requires a small amount of logic -- (9 x LUT6_2 and an FD) resulting in slightly lower performance compared with -- memories for 1K and 2K programs. -- -- -- Program defined by '{psmname}.psm'. -- -- Generated by KCPSM6 Assembler: {timestamp}. -- -- Assembler used ROM_form template: 23rd November 2012 -- -- Standard IEEE libraries -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- -- The Unisim Library is used to define Xilinx primitives. It is also used during -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- library unisim; use unisim.vcomponents.all; -- -- entity {name} is Port ( address : in std_logic_vector(11 downto 0); instruction : out std_logic_vector(17 downto 0); enable : in std_logic; clk : in std_logic); end {name}; -- architecture low_level_definition of {name} is -- signal address_a : std_logic_vector(13 downto 0); signal pipe_a11 : std_logic; signal data_in_a : std_logic_vector(35 downto 0); signal data_out_a_ll : std_logic_vector(35 downto 0); signal data_out_a_lh : std_logic_vector(35 downto 0); signal data_out_a_hl : std_logic_vector(35 downto 0); signal data_out_a_hh : std_logic_vector(35 downto 0); signal address_b : std_logic_vector(13 downto 0); signal data_in_b_ll : std_logic_vector(35 downto 0); signal data_out_b_ll : std_logic_vector(35 downto 0); signal data_in_b_lh : std_logic_vector(35 downto 0); signal data_out_b_lh : std_logic_vector(35 downto 0); signal data_in_b_hl : std_logic_vector(35 downto 0); signal data_out_b_hl : std_logic_vector(35 downto 0); signal data_in_b_hh : std_logic_vector(35 downto 0); signal data_out_b_hh : std_logic_vector(35 downto 0); signal enable_b : std_logic; signal clk_b : std_logic; signal we_b : std_logic_vector(3 downto 0); -- begin -- address_a <= address(10 downto 0) & "000"; data_in_a <= "000000000000000000000000000000000000"; -- s6_a11_flop: FD port map ( D => address(11), Q => pipe_a11, C => clk); -- s6_4k_mux0_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_ll(0), I1 => data_out_a_hl(0), I2 => data_out_a_ll(1), I3 => data_out_a_hl(1), I4 => pipe_a11, I5 => '1', O5 => instruction(0), O6 => instruction(1)); -- s6_4k_mux2_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_ll(2), I1 => data_out_a_hl(2), I2 => data_out_a_ll(3), I3 => data_out_a_hl(3), I4 => pipe_a11, I5 => '1', O5 => instruction(2), O6 => instruction(3)); -- s6_4k_mux4_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_ll(4), I1 => data_out_a_hl(4), I2 => data_out_a_ll(5), I3 => data_out_a_hl(5), I4 => pipe_a11, I5 => '1', O5 => instruction(4), O6 => instruction(5)); -- s6_4k_mux6_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_ll(6), I1 => data_out_a_hl(6), I2 => data_out_a_ll(7), I3 => data_out_a_hl(7), I4 => pipe_a11, I5 => '1', O5 => instruction(6), O6 => instruction(7)); -- s6_4k_mux8_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_ll(32), I1 => data_out_a_hl(32), I2 => data_out_a_lh(0), I3 => data_out_a_hh(0), I4 => pipe_a11, I5 => '1', O5 => instruction(8), O6 => instruction(9)); -- s6_4k_mux10_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_lh(1), I1 => data_out_a_hh(1), I2 => data_out_a_lh(2), I3 => data_out_a_hh(2), I4 => pipe_a11, I5 => '1', O5 => instruction(10), O6 => instruction(11)); -- s6_4k_mux12_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_lh(3), I1 => data_out_a_hh(3), I2 => data_out_a_lh(4), I3 => data_out_a_hh(4), I4 => pipe_a11, I5 => '1', O5 => instruction(12), O6 => instruction(13)); -- s6_4k_mux14_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_lh(5), I1 => data_out_a_hh(5), I2 => data_out_a_lh(6), I3 => data_out_a_hh(6), I4 => pipe_a11, I5 => '1', O5 => instruction(14), O6 => instruction(15)); -- s6_4k_mux16_lut: LUT6_2 generic map (INIT => X"FF00F0F0CCCCAAAA") port map( I0 => data_out_a_lh(7), I1 => data_out_a_hh(7), I2 => data_out_a_lh(32), I3 => data_out_a_hh(32), I4 => pipe_a11, I5 => '1', O5 => instruction(16), O6 => instruction(17)); -- address_b <= "00000000000000"; data_in_b_ll <= "000" & data_out_b_ll(32) & "000000000000000000000000" & data_out_b_ll(7 downto 0); data_in_b_lh <= "000" & data_out_b_lh(32) & "000000000000000000000000" & data_out_b_lh(7 downto 0); data_in_b_hl <= "000" & data_out_b_hl(32) & "000000000000000000000000" & data_out_b_hl(7 downto 0); data_in_b_hh <= "000" & data_out_b_hh(32) & "000000000000000000000000" & data_out_b_hh(7 downto 0); enable_b <= '0'; we_b <= "0000"; clk_b <= '0'; -- -- -- kcpsm6_rom_ll: RAMB16BWER generic map ( DATA_WIDTH_A => 9, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 9, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"{[8:0]_INIT_00}", INIT_01 => X"{[8:0]_INIT_01}", INIT_02 => X"{[8:0]_INIT_02}", INIT_03 => X"{[8:0]_INIT_03}", INIT_04 => X"{[8:0]_INIT_04}", INIT_05 => X"{[8:0]_INIT_05}", INIT_06 => X"{[8:0]_INIT_06}", INIT_07 => X"{[8:0]_INIT_07}", INIT_08 => X"{[8:0]_INIT_08}", INIT_09 => X"{[8:0]_INIT_09}", INIT_0A => X"{[8:0]_INIT_0A}", INIT_0B => X"{[8:0]_INIT_0B}", INIT_0C => X"{[8:0]_INIT_0C}", INIT_0D => X"{[8:0]_INIT_0D}", INIT_0E => X"{[8:0]_INIT_0E}", INIT_0F => X"{[8:0]_INIT_0F}", INIT_10 => X"{[8:0]_INIT_10}", INIT_11 => X"{[8:0]_INIT_11}", INIT_12 => X"{[8:0]_INIT_12}", INIT_13 => X"{[8:0]_INIT_13}", INIT_14 => X"{[8:0]_INIT_14}", INIT_15 => X"{[8:0]_INIT_15}", INIT_16 => X"{[8:0]_INIT_16}", INIT_17 => X"{[8:0]_INIT_17}", INIT_18 => X"{[8:0]_INIT_18}", INIT_19 => X"{[8:0]_INIT_19}", INIT_1A => X"{[8:0]_INIT_1A}", INIT_1B => X"{[8:0]_INIT_1B}", INIT_1C => X"{[8:0]_INIT_1C}", INIT_1D => X"{[8:0]_INIT_1D}", INIT_1E => X"{[8:0]_INIT_1E}", INIT_1F => X"{[8:0]_INIT_1F}", INIT_20 => X"{[8:0]_INIT_20}", INIT_21 => X"{[8:0]_INIT_21}", INIT_22 => X"{[8:0]_INIT_22}", INIT_23 => X"{[8:0]_INIT_23}", INIT_24 => X"{[8:0]_INIT_24}", INIT_25 => X"{[8:0]_INIT_25}", INIT_26 => X"{[8:0]_INIT_26}", INIT_27 => X"{[8:0]_INIT_27}", INIT_28 => X"{[8:0]_INIT_28}", INIT_29 => X"{[8:0]_INIT_29}", INIT_2A => X"{[8:0]_INIT_2A}", INIT_2B => X"{[8:0]_INIT_2B}", INIT_2C => X"{[8:0]_INIT_2C}", INIT_2D => X"{[8:0]_INIT_2D}", INIT_2E => X"{[8:0]_INIT_2E}", INIT_2F => X"{[8:0]_INIT_2F}", INIT_30 => X"{[8:0]_INIT_30}", INIT_31 => X"{[8:0]_INIT_31}", INIT_32 => X"{[8:0]_INIT_32}", INIT_33 => X"{[8:0]_INIT_33}", INIT_34 => X"{[8:0]_INIT_34}", INIT_35 => X"{[8:0]_INIT_35}", INIT_36 => X"{[8:0]_INIT_36}", INIT_37 => X"{[8:0]_INIT_37}", INIT_38 => X"{[8:0]_INIT_38}", INIT_39 => X"{[8:0]_INIT_39}", INIT_3A => X"{[8:0]_INIT_3A}", INIT_3B => X"{[8:0]_INIT_3B}", INIT_3C => X"{[8:0]_INIT_3C}", INIT_3D => X"{[8:0]_INIT_3D}", INIT_3E => X"{[8:0]_INIT_3E}", INIT_3F => X"{[8:0]_INIT_3F}", INITP_00 => X"{[8:0]_INITP_00}", INITP_01 => X"{[8:0]_INITP_01}", INITP_02 => X"{[8:0]_INITP_02}", INITP_03 => X"{[8:0]_INITP_03}", INITP_04 => X"{[8:0]_INITP_04}", INITP_05 => X"{[8:0]_INITP_05}", INITP_06 => X"{[8:0]_INITP_06}", INITP_07 => X"{[8:0]_INITP_07}") port map( ADDRA => address_a, ENA => enable, CLKA => clk, DOA => data_out_a_ll(31 downto 0), DOPA => data_out_a_ll(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b, ENB => enable_b, CLKB => clk_b, DOB => data_out_b_ll(31 downto 0), DOPB => data_out_b_ll(35 downto 32), DIB => data_in_b_ll(31 downto 0), DIPB => data_in_b_ll(35 downto 32), WEB => we_b, REGCEB => '0', RSTB => '0'); -- -- -- kcpsm6_rom_lh: RAMB16BWER generic map ( DATA_WIDTH_A => 9, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 9, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"{[17:9]_INIT_00}", INIT_01 => X"{[17:9]_INIT_01}", INIT_02 => X"{[17:9]_INIT_02}", INIT_03 => X"{[17:9]_INIT_03}", INIT_04 => X"{[17:9]_INIT_04}", INIT_05 => X"{[17:9]_INIT_05}", INIT_06 => X"{[17:9]_INIT_06}", INIT_07 => X"{[17:9]_INIT_07}", INIT_08 => X"{[17:9]_INIT_08}", INIT_09 => X"{[17:9]_INIT_09}", INIT_0A => X"{[17:9]_INIT_0A}", INIT_0B => X"{[17:9]_INIT_0B}", INIT_0C => X"{[17:9]_INIT_0C}", INIT_0D => X"{[17:9]_INIT_0D}", INIT_0E => X"{[17:9]_INIT_0E}", INIT_0F => X"{[17:9]_INIT_0F}", INIT_10 => X"{[17:9]_INIT_10}", INIT_11 => X"{[17:9]_INIT_11}", INIT_12 => X"{[17:9]_INIT_12}", INIT_13 => X"{[17:9]_INIT_13}", INIT_14 => X"{[17:9]_INIT_14}", INIT_15 => X"{[17:9]_INIT_15}", INIT_16 => X"{[17:9]_INIT_16}", INIT_17 => X"{[17:9]_INIT_17}", INIT_18 => X"{[17:9]_INIT_18}", INIT_19 => X"{[17:9]_INIT_19}", INIT_1A => X"{[17:9]_INIT_1A}", INIT_1B => X"{[17:9]_INIT_1B}", INIT_1C => X"{[17:9]_INIT_1C}", INIT_1D => X"{[17:9]_INIT_1D}", INIT_1E => X"{[17:9]_INIT_1E}", INIT_1F => X"{[17:9]_INIT_1F}", INIT_20 => X"{[17:9]_INIT_20}", INIT_21 => X"{[17:9]_INIT_21}", INIT_22 => X"{[17:9]_INIT_22}", INIT_23 => X"{[17:9]_INIT_23}", INIT_24 => X"{[17:9]_INIT_24}", INIT_25 => X"{[17:9]_INIT_25}", INIT_26 => X"{[17:9]_INIT_26}", INIT_27 => X"{[17:9]_INIT_27}", INIT_28 => X"{[17:9]_INIT_28}", INIT_29 => X"{[17:9]_INIT_29}", INIT_2A => X"{[17:9]_INIT_2A}", INIT_2B => X"{[17:9]_INIT_2B}", INIT_2C => X"{[17:9]_INIT_2C}", INIT_2D => X"{[17:9]_INIT_2D}", INIT_2E => X"{[17:9]_INIT_2E}", INIT_2F => X"{[17:9]_INIT_2F}", INIT_30 => X"{[17:9]_INIT_30}", INIT_31 => X"{[17:9]_INIT_31}", INIT_32 => X"{[17:9]_INIT_32}", INIT_33 => X"{[17:9]_INIT_33}", INIT_34 => X"{[17:9]_INIT_34}", INIT_35 => X"{[17:9]_INIT_35}", INIT_36 => X"{[17:9]_INIT_36}", INIT_37 => X"{[17:9]_INIT_37}", INIT_38 => X"{[17:9]_INIT_38}", INIT_39 => X"{[17:9]_INIT_39}", INIT_3A => X"{[17:9]_INIT_3A}", INIT_3B => X"{[17:9]_INIT_3B}", INIT_3C => X"{[17:9]_INIT_3C}", INIT_3D => X"{[17:9]_INIT_3D}", INIT_3E => X"{[17:9]_INIT_3E}", INIT_3F => X"{[17:9]_INIT_3F}", INITP_00 => X"{[17:9]_INITP_00}", INITP_01 => X"{[17:9]_INITP_01}", INITP_02 => X"{[17:9]_INITP_02}", INITP_03 => X"{[17:9]_INITP_03}", INITP_04 => X"{[17:9]_INITP_04}", INITP_05 => X"{[17:9]_INITP_05}", INITP_06 => X"{[17:9]_INITP_06}", INITP_07 => X"{[17:9]_INITP_07}") port map( ADDRA => address_a, ENA => enable, CLKA => clk, DOA => data_out_a_lh(31 downto 0), DOPA => data_out_a_lh(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b, ENB => enable_b, CLKB => clk_b, DOB => data_out_b_lh(31 downto 0), DOPB => data_out_b_lh(35 downto 32), DIB => data_in_b_lh(31 downto 0), DIPB => data_in_b_lh(35 downto 32), WEB => we_b, REGCEB => '0', RSTB => '0'); -- kcpsm6_rom_hl: RAMB16BWER generic map ( DATA_WIDTH_A => 9, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 9, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"{[8:0]_INIT_40}", INIT_01 => X"{[8:0]_INIT_41}", INIT_02 => X"{[8:0]_INIT_42}", INIT_03 => X"{[8:0]_INIT_43}", INIT_04 => X"{[8:0]_INIT_44}", INIT_05 => X"{[8:0]_INIT_45}", INIT_06 => X"{[8:0]_INIT_46}", INIT_07 => X"{[8:0]_INIT_47}", INIT_08 => X"{[8:0]_INIT_48}", INIT_09 => X"{[8:0]_INIT_49}", INIT_0A => X"{[8:0]_INIT_4A}", INIT_0B => X"{[8:0]_INIT_4B}", INIT_0C => X"{[8:0]_INIT_4C}", INIT_0D => X"{[8:0]_INIT_4D}", INIT_0E => X"{[8:0]_INIT_4E}", INIT_0F => X"{[8:0]_INIT_4F}", INIT_10 => X"{[8:0]_INIT_50}", INIT_11 => X"{[8:0]_INIT_51}", INIT_12 => X"{[8:0]_INIT_52}", INIT_13 => X"{[8:0]_INIT_53}", INIT_14 => X"{[8:0]_INIT_54}", INIT_15 => X"{[8:0]_INIT_55}", INIT_16 => X"{[8:0]_INIT_56}", INIT_17 => X"{[8:0]_INIT_57}", INIT_18 => X"{[8:0]_INIT_58}", INIT_19 => X"{[8:0]_INIT_59}", INIT_1A => X"{[8:0]_INIT_5A}", INIT_1B => X"{[8:0]_INIT_5B}", INIT_1C => X"{[8:0]_INIT_5C}", INIT_1D => X"{[8:0]_INIT_5D}", INIT_1E => X"{[8:0]_INIT_5E}", INIT_1F => X"{[8:0]_INIT_5F}", INIT_20 => X"{[8:0]_INIT_60}", INIT_21 => X"{[8:0]_INIT_61}", INIT_22 => X"{[8:0]_INIT_62}", INIT_23 => X"{[8:0]_INIT_63}", INIT_24 => X"{[8:0]_INIT_64}", INIT_25 => X"{[8:0]_INIT_65}", INIT_26 => X"{[8:0]_INIT_66}", INIT_27 => X"{[8:0]_INIT_67}", INIT_28 => X"{[8:0]_INIT_68}", INIT_29 => X"{[8:0]_INIT_69}", INIT_2A => X"{[8:0]_INIT_6A}", INIT_2B => X"{[8:0]_INIT_6B}", INIT_2C => X"{[8:0]_INIT_6C}", INIT_2D => X"{[8:0]_INIT_6D}", INIT_2E => X"{[8:0]_INIT_6E}", INIT_2F => X"{[8:0]_INIT_6F}", INIT_30 => X"{[8:0]_INIT_70}", INIT_31 => X"{[8:0]_INIT_71}", INIT_32 => X"{[8:0]_INIT_72}", INIT_33 => X"{[8:0]_INIT_73}", INIT_34 => X"{[8:0]_INIT_74}", INIT_35 => X"{[8:0]_INIT_75}", INIT_36 => X"{[8:0]_INIT_76}", INIT_37 => X"{[8:0]_INIT_77}", INIT_38 => X"{[8:0]_INIT_78}", INIT_39 => X"{[8:0]_INIT_79}", INIT_3A => X"{[8:0]_INIT_7A}", INIT_3B => X"{[8:0]_INIT_7B}", INIT_3C => X"{[8:0]_INIT_7C}", INIT_3D => X"{[8:0]_INIT_7D}", INIT_3E => X"{[8:0]_INIT_7E}", INIT_3F => X"{[8:0]_INIT_7F}", INITP_00 => X"{[8:0]_INITP_08}", INITP_01 => X"{[8:0]_INITP_09}", INITP_02 => X"{[8:0]_INITP_0A}", INITP_03 => X"{[8:0]_INITP_0B}", INITP_04 => X"{[8:0]_INITP_0C}", INITP_05 => X"{[8:0]_INITP_0D}", INITP_06 => X"{[8:0]_INITP_0E}", INITP_07 => X"{[8:0]_INITP_0F}") port map( ADDRA => address_a, ENA => enable, CLKA => clk, DOA => data_out_a_hl(31 downto 0), DOPA => data_out_a_hl(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b, ENB => enable_b, CLKB => clk_b, DOB => data_out_b_hl(31 downto 0), DOPB => data_out_b_hl(35 downto 32), DIB => data_in_b_hl(31 downto 0), DIPB => data_in_b_hl(35 downto 32), WEB => we_b, REGCEB => '0', RSTB => '0'); -- kcpsm6_rom_hh: RAMB16BWER generic map ( DATA_WIDTH_A => 9, DOA_REG => 0, EN_RSTRAM_A => FALSE, INIT_A => X"000000000", RST_PRIORITY_A => "CE", SRVAL_A => X"000000000", WRITE_MODE_A => "WRITE_FIRST", DATA_WIDTH_B => 9, DOB_REG => 0, EN_RSTRAM_B => FALSE, INIT_B => X"000000000", RST_PRIORITY_B => "CE", SRVAL_B => X"000000000", WRITE_MODE_B => "WRITE_FIRST", RSTTYPE => "SYNC", INIT_FILE => "NONE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "SPARTAN6", INIT_00 => X"{[17:9]_INIT_40}", INIT_01 => X"{[17:9]_INIT_41}", INIT_02 => X"{[17:9]_INIT_42}", INIT_03 => X"{[17:9]_INIT_43}", INIT_04 => X"{[17:9]_INIT_44}", INIT_05 => X"{[17:9]_INIT_45}", INIT_06 => X"{[17:9]_INIT_46}", INIT_07 => X"{[17:9]_INIT_47}", INIT_08 => X"{[17:9]_INIT_48}", INIT_09 => X"{[17:9]_INIT_49}", INIT_0A => X"{[17:9]_INIT_4A}", INIT_0B => X"{[17:9]_INIT_4B}", INIT_0C => X"{[17:9]_INIT_4C}", INIT_0D => X"{[17:9]_INIT_4D}", INIT_0E => X"{[17:9]_INIT_4E}", INIT_0F => X"{[17:9]_INIT_4F}", INIT_10 => X"{[17:9]_INIT_50}", INIT_11 => X"{[17:9]_INIT_51}", INIT_12 => X"{[17:9]_INIT_52}", INIT_13 => X"{[17:9]_INIT_53}", INIT_14 => X"{[17:9]_INIT_54}", INIT_15 => X"{[17:9]_INIT_55}", INIT_16 => X"{[17:9]_INIT_56}", INIT_17 => X"{[17:9]_INIT_57}", INIT_18 => X"{[17:9]_INIT_58}", INIT_19 => X"{[17:9]_INIT_59}", INIT_1A => X"{[17:9]_INIT_5A}", INIT_1B => X"{[17:9]_INIT_5B}", INIT_1C => X"{[17:9]_INIT_5C}", INIT_1D => X"{[17:9]_INIT_5D}", INIT_1E => X"{[17:9]_INIT_5E}", INIT_1F => X"{[17:9]_INIT_5F}", INIT_20 => X"{[17:9]_INIT_60}", INIT_21 => X"{[17:9]_INIT_61}", INIT_22 => X"{[17:9]_INIT_62}", INIT_23 => X"{[17:9]_INIT_63}", INIT_24 => X"{[17:9]_INIT_64}", INIT_25 => X"{[17:9]_INIT_65}", INIT_26 => X"{[17:9]_INIT_66}", INIT_27 => X"{[17:9]_INIT_67}", INIT_28 => X"{[17:9]_INIT_68}", INIT_29 => X"{[17:9]_INIT_69}", INIT_2A => X"{[17:9]_INIT_6A}", INIT_2B => X"{[17:9]_INIT_6B}", INIT_2C => X"{[17:9]_INIT_6C}", INIT_2D => X"{[17:9]_INIT_6D}", INIT_2E => X"{[17:9]_INIT_6E}", INIT_2F => X"{[17:9]_INIT_6F}", INIT_30 => X"{[17:9]_INIT_70}", INIT_31 => X"{[17:9]_INIT_71}", INIT_32 => X"{[17:9]_INIT_72}", INIT_33 => X"{[17:9]_INIT_73}", INIT_34 => X"{[17:9]_INIT_74}", INIT_35 => X"{[17:9]_INIT_75}", INIT_36 => X"{[17:9]_INIT_76}", INIT_37 => X"{[17:9]_INIT_77}", INIT_38 => X"{[17:9]_INIT_78}", INIT_39 => X"{[17:9]_INIT_79}", INIT_3A => X"{[17:9]_INIT_7A}", INIT_3B => X"{[17:9]_INIT_7B}", INIT_3C => X"{[17:9]_INIT_7C}", INIT_3D => X"{[17:9]_INIT_7D}", INIT_3E => X"{[17:9]_INIT_7E}", INIT_3F => X"{[17:9]_INIT_7F}", INITP_00 => X"{[17:9]_INITP_08}", INITP_01 => X"{[17:9]_INITP_09}", INITP_02 => X"{[17:9]_INITP_0A}", INITP_03 => X"{[17:9]_INITP_0B}", INITP_04 => X"{[17:9]_INITP_0C}", INITP_05 => X"{[17:9]_INITP_0D}", INITP_06 => X"{[17:9]_INITP_0E}", INITP_07 => X"{[17:9]_INITP_0F}") port map( ADDRA => address_a, ENA => enable, CLKA => clk, DOA => data_out_a_hh(31 downto 0), DOPA => data_out_a_hh(35 downto 32), DIA => data_in_a(31 downto 0), DIPA => data_in_a(35 downto 32), WEA => "0000", REGCEA => '0', RSTA => '0', ADDRB => address_b, ENB => enable_b, CLKB => clk_b, DOB => data_out_b_hh(31 downto 0), DOPB => data_out_b_hh(35 downto 32), DIB => data_in_b_hh(31 downto 0), DIPB => data_in_b_hh(35 downto 32), WEB => we_b, REGCEB => '0', RSTB => '0'); -- -- end low_level_definition; -- ------------------------------------------------------------------------------------ -- -- END OF FILE {name}.vhd -- ------------------------------------------------------------------------------------
mit
gutelfuldead/zynq_ip_repo
IP_LIBRARY/axistream_spw_lite_1.0/src/spwlink_tb.vhd
1
41480
-- -- Test Bench for Link interface. -- -- Unfortunately rather incomplete. -- The following items are verified: -- * reset; -- * link start, NULL exchange, FCT exchange; -- * link autostart on first NULL; -- * send/receive time codes, data characters, EOP/EEP; -- * detection of timeout, disconnection, parity error, escape error. -- library ieee; use ieee.std_logic_1164.all, ieee.numeric_std.all; use std.textio.all; use work.spwpkg.all; entity spwlink_tb is -- Tests should be done with several different combinations -- of values for the generics. generic ( -- System clock frequency sys_clock_freq: real := 20.0e6 ; -- Receiver sample clock frequency rx_clock_freq: real := 20.0e6 ; -- Transmitter clock frequency tx_clock_freq: real := 20.0e6 ; -- Input bit rate input_rate: real := 10.0e6 ; -- TX clock division factor (actual factor is one tx_clock_div+1) tx_clock_div: integer := 1 ; -- Receiver implementation rximpl: spw_implementation_type := impl_generic ; -- Bits per sysclk for fast receiver rxchunk: integer := 1 ; -- Transmitter implementation tximpl: spw_implementation_type := impl_generic ; -- Wait before starting test bench startwait: time := 0 sec ); end spwlink_tb; architecture tb_arch of spwlink_tb is -- Bit periods for incoming / outgoing signal constant inbit_period: time := (1 sec) / input_rate ; constant outbit_period: time := (1 sec) * real(tx_clock_div + 1) / tx_clock_freq ; constant txclk_period: time := (1 sec) / tx_clock_freq ; -- clock generation signal sys_clock_enable: std_logic := '0'; signal sysclk: std_logic; signal rxclk: std_logic; signal txclk: std_logic; -- output monitoring type t_output_chars is array(natural range <>) of std_logic_vector(9 downto 0); signal output_collect: std_logic; signal output_ptr: integer; signal output_bits: std_logic_vector(0 to 4095); signal output_nchars: integer; signal output_chars: t_output_chars(0 to 4095); -- input generation signal input_par: std_logic; signal input_idle: std_logic; signal input_pattern: integer := 0; signal input_strobeflip: std_logic := '0'; -- interconnect signals signal s_linki: spw_link_in_type; signal s_linko: spw_link_out_type; signal s_rxen: std_logic; signal s_recvo: spw_recv_out_type; signal s_xmiti: spw_xmit_in_type; signal s_xmito: spw_xmit_out_type; signal s_inact: std_logic; signal s_inbvalid: std_logic; signal s_inbits: std_logic_vector(rxchunk-1 downto 0); -- interface signals signal rst: std_logic := '1'; signal autostart: std_logic; signal linkstart: std_logic; signal linkdis: std_logic; signal divcnt: std_logic_vector(7 downto 0) := (others => '0'); signal tick_in: std_logic; signal ctrl_in: std_logic_vector(1 downto 0); signal time_in: std_logic_vector(5 downto 0); signal rxroom: std_logic_vector(5 downto 0); signal txwrite: std_logic; signal txflag: std_logic; signal txdata: std_logic_vector(7 downto 0); signal txrdy: std_logic; signal tick_out: std_logic; signal ctrl_out: std_logic_vector(1 downto 0); signal time_out: std_logic_vector(5 downto 0); signal rxchar: std_logic; signal rxflag: std_logic; signal rxdata: std_logic_vector(7 downto 0); signal started: std_logic; signal connecting:std_logic; signal running: std_logic; signal errdisc: std_logic; signal errpar: std_logic; signal erresc: std_logic; signal errcred: std_logic; signal spw_di: std_logic; signal spw_si: std_logic; signal spw_do: std_logic; signal spw_so: std_logic; -- misc signal errany: std_logic; procedure print(i: integer) is variable v: LINE; begin write(v, i); writeline(output, v); end procedure; procedure print(x: std_logic_vector) is variable v: LINE; begin write(v, to_bitvector(x)); writeline(output, v); end procedure; procedure prints(s: string) is variable v: LINE; begin write(v, s); writeline(output, v); end procedure; procedure print(lbl: string; x: integer) is variable v: LINE; begin write(v, lbl & " = "); write(v, x); writeline(output, v); end procedure; procedure print(lbl: string; x: real) is variable v: LINE; begin write(v, lbl & " = "); write(v, x); writeline(output, v); end procedure; begin -- Instantiate components. spwlink_inst: spwlink generic map ( reset_time => integer(sys_clock_freq * 0.0000064) ) -- 6.4 us port map ( clk => sysclk, rst => rst, linki => s_linki, linko => s_linko, rxen => s_rxen, recvo => s_recvo, xmiti => s_xmiti, xmito => s_xmito ); spwrecv_inst: spwrecv generic map ( disconnect_time => integer(sys_clock_freq * 0.00000085), -- 850 ns rxchunk => rxchunk ) port map ( clk => sysclk, rxen => s_rxen, recvo => s_recvo, inact => s_inact, inbvalid => s_inbvalid, inbits => s_inbits ); spwxmit_if: if tximpl = impl_generic generate spwxmit_inst: spwxmit port map ( clk => sysclk, rst => rst, divcnt => divcnt, xmiti => s_xmiti, xmito => s_xmito, spw_so => spw_so, spw_do => spw_do ); end generate; spwxmit_fast_if: if tximpl = impl_fast generate spwxmit_fast_inst: spwxmit_fast port map ( clk => sysclk, txclk => txclk, rst => rst, divcnt => divcnt, xmiti => s_xmiti, xmito => s_xmito, spw_so => spw_so, spw_do => spw_do ); end generate; spwrecvfront_generic_if: if rximpl = impl_generic generate spwrecvfront_generic_inst: spwrecvfront_generic port map ( clk => sysclk, rxen => s_rxen, inact => s_inact, inbvalid => s_inbvalid, inbits => s_inbits, spw_di => spw_di, spw_si => spw_si ); end generate; spwrecvfront_fast_if: if rximpl = impl_fast generate spwrecvfront_fast_inst: spwrecvfront_fast generic map ( rxchunk => rxchunk ) port map ( clk => sysclk, rxclk => rxclk, rxen => s_rxen, inact => s_inact, inbvalid => s_inbvalid, inbits => s_inbits, spw_di => spw_di, spw_si => spw_si ); end generate; s_linki <= ( autostart => autostart, linkstart => linkstart, linkdis => linkdis, rxroom => rxroom, tick_in => tick_in, ctrl_in => ctrl_in, time_in => time_in, txwrite => txwrite, txflag => txflag, txdata => txdata ); started <= s_linko.started; connecting <= s_linko.connecting; running <= s_linko.running; errdisc <= s_linko.errdisc; errpar <= s_linko.errpar; erresc <= s_linko.erresc; errcred <= s_linko.errcred; txrdy <= s_linko.txack; tick_out <= s_linko.tick_out; ctrl_out <= s_linko.ctrl_out; time_out <= s_linko.time_out; rxchar <= s_linko.rxchar; rxflag <= s_linko.rxflag; rxdata <= s_linko.rxdata; -- Logic OR of all error signals. errany <= errdisc or errpar or erresc or errcred; -- Generate system clock. process is begin if sys_clock_enable /= '1' then wait until sys_clock_enable = '1'; end if; sysclk <= '1'; wait for (0.5 sec) / sys_clock_freq; sysclk <= '0'; wait for (0.5 sec) / sys_clock_freq; end process; -- Generate rx sample clock. process is begin if sys_clock_enable /= '1' then wait until sys_clock_enable = '1'; end if; rxclk <= '1'; wait for (0.5 sec) / rx_clock_freq; rxclk <= '0'; wait for (0.5 sec) / rx_clock_freq; end process; -- Generate tx clock. process is begin if sys_clock_enable /= '1' then wait until sys_clock_enable = '1'; end if; txclk <= '1'; wait for (0.5 sec) / tx_clock_freq; txclk <= '0'; wait for (0.5 sec) / tx_clock_freq; end process; -- Collect output bits on SPW_DO and SPW_SO. process is variable t_last: time; variable output_last_do: std_logic; variable output_last_so: std_logic; begin if output_collect = '1' then -- wait for next bit if output_ptr <= output_bits'high then output_bits(output_ptr) <= spw_do; output_ptr <= output_ptr + 1; end if; output_last_do := spw_do; output_last_so := spw_so; t_last := now; wait until (output_collect = '0') or (output_last_do /= spw_do) or (output_last_so /= spw_so); if output_collect = '1' and output_ptr > 1 then assert now > t_last + outbit_period - 1 ns report "output bit period too short"; assert now < t_last + outbit_period + 1 ns report "output bit period too long"; end if; else -- reset output_ptr <= 0; output_last_do := '0'; output_last_so := '0'; wait until output_collect = '1'; end if; end process; -- Collect received data on rxdata and tick_out. process is begin wait until ((output_collect = '1') and rising_edge(sysclk)) or ((output_collect = '0') and (output_nchars /= 0)); if output_collect = '0' then output_nchars <= 0; elsif rising_edge(sysclk) and (output_nchars <= output_chars'high) then assert (rxchar = '0') or (tick_out = '0'); if tick_out = '1' then output_chars(output_nchars) <= "10" & ctrl_out & time_out; output_nchars <= output_nchars + 1; elsif rxchar = '1' then output_chars(output_nchars) <= "0" & (rxflag) & rxdata; output_nchars <= output_nchars + 1; end if; end if; end process; -- Generate input data. process is procedure input_reset is begin spw_di <= '0'; spw_si <= input_strobeflip; input_par <= '0'; end procedure; procedure genbit(b: std_logic) is begin spw_si <= not (spw_si xor spw_di xor b); spw_di <= b; wait for inbit_period; end procedure; procedure genfct is begin genbit(input_par); genbit('1'); genbit('0'); input_par <= '0'; genbit('0'); end procedure; procedure genesc is begin genbit(input_par); genbit('1'); genbit('1'); input_par <= '0'; genbit('1'); end procedure; procedure geneop(e: std_logic) is begin genbit(input_par); genbit('1'); genbit(e); input_par <= '1'; genbit(not e); end procedure; procedure gendat(dat: std_logic_vector(7 downto 0)) is begin genbit(not input_par); genbit('0'); genbit(dat(0)); genbit(dat(1)); genbit(dat(2)); genbit(dat(3)); genbit(dat(4)); genbit(dat(5)); genbit(dat(6)); input_par <= dat(0) xor dat(1) xor dat(2) xor dat(3) xor dat(4) xor dat(5) xor dat(6) xor dat(7); genbit(dat(7)); end procedure; begin input_idle <= '1'; input_reset; wait until input_pattern /= 0; input_idle <= '0'; while input_pattern /= 0 loop if input_pattern = 1 then -- NULL tokens genesc; genfct; elsif input_pattern = 2 then -- FCT tokens genfct; elsif input_pattern = 3 then -- invalid bit pattern genbit('0'); genbit('1'); elsif input_pattern = 4 then -- EOP token geneop('0'); elsif input_pattern = 5 then -- FCT, TIME, 8 chars, NULLs genfct; genesc; gendat("00111000"); gendat("01010101"); gendat("10101010"); gendat("01010101"); gendat("10101010"); gendat("01010101"); gendat("10101010"); gendat("01010101"); gendat("10101010"); while input_pattern = 5 loop genesc; genfct; end loop; elsif input_pattern = 6 then -- ESC tokens genesc; elsif input_pattern = 7 then -- FCT, NULL, NULL, EOP, EEP, NULLs genfct; genesc; genfct; genesc; genfct; geneop('0'); geneop('1'); while input_pattern = 7 loop genesc; genfct; end loop; elsif input_pattern = 8 then -- FCT, NULL, NULL, NULL, NULL, NULL, char, parity error genfct; genesc; genfct; genesc; genfct; genesc; genfct; genesc; genfct; genesc; genfct; gendat("01010101"); genbit(not input_par); genbit('0'); genbit('1'); genbit('0'); genbit('1'); genbit('0'); genbit('1'); genbit('0'); genbit('1'); input_par <= '1'; -- wrong parity !! genbit('0'); while input_pattern = 8 loop genesc; genfct; end loop; elsif input_pattern = 9 then -- FCT, FCT, NULLs genfct; genfct; while input_pattern = 9 loop genesc; genfct; end loop; elsif input_pattern = 10 then -- data and strobe both high spw_di <= '1'; spw_si <= not input_strobeflip; wait until input_pattern /= 10; else assert false; end if; end loop; end process; -- Main process. process is -- Skip NULL tokens and return position of first non-NULL. function skip_null(data: in std_logic_vector; start: in integer; len: in integer) return integer is variable i: integer; begin i := start; if (i + 7 < len) and (data((i+1) to (i+7)) = "1110100") then i := i + 8; end if; while (i + 7 < len) and (data(i to (i+7)) = "01110100") loop i := i + 8; end loop; return i; end function; function check_parity(data: in std_logic_vector; start: in integer; len: in integer) return boolean is variable i: integer; variable p: std_logic; begin i := start; p := data(start); while i + 3 < len loop if data(i+1) = '1' then if data(0) /= p then return false; end if; p := data(2) xor data(3); i := i + 4; else if i + 9 < len then return true; end if; if data(0) /= not p then return false; end if; p := not (data(2) xor data(3) xor data(4) xor data(5) xor data(6) xor data(7) xor data(8) xor data(9)); i := i + 10; end if; end loop; return true; end function; variable i: integer; begin -- Wait for start of test. wait for startwait; -- Initialize. rst <= '1'; input_pattern <= 0; input_strobeflip <= '0'; sys_clock_enable <= '1'; output_collect <= '0'; -- Say hello report "Starting spwlink test bench"; print(" sys_clock_freq", sys_clock_freq); print(" rx_clock_freq ", rx_clock_freq); print(" tx_clock_freq ", tx_clock_freq); print(" input_rate ", input_rate); print(" tx_clock_div ", tx_clock_div); case rximpl is when impl_generic => prints(" rximpl = impl_generic"); when impl_fast => prints(" rximpl = impl_fast"); end case; print(" rxchunk ", rxchunk); case tximpl is when impl_generic => prints(" tximpl = impl_generic"); when impl_fast => prints(" tximpl = impl_fast"); end case; -- Test 1: Reset. autostart <= '0'; linkstart <= '0'; linkdis <= '0'; divcnt <= std_logic_vector(to_unsigned(tx_clock_div, divcnt'length)); tick_in <= '0'; ctrl_in <= "00"; time_in <= "000000"; rxroom <= "000000"; txwrite <= '0'; txflag <= '0'; txdata <= "00000000"; wait until rising_edge(sysclk); wait until rising_edge(sysclk); wait for 1 ns; rst <= '0'; assert (txrdy = '0') report " 1. reset (txrdy = 0)"; assert (tick_out = '0') report " 1. reset (tick_out = 0)"; assert (rxchar = '0') report " 1. reset (rxchar = 0)"; assert (started = '0') report " 1. reset (started = 0)"; assert (connecting = '0') report " 1. reset (connecting = 0)"; assert (running = '0') report " 1. reset (running = 0)"; assert (errdisc = '0') report " 1. reset (errdisc = 0)"; assert (errpar = '0') report " 1. reset (errpar = 0)"; assert (erresc = '0') report " 1. reset (erresc = 0)"; assert (errcred = '0') report " 1. reset (errcred = 0)"; assert (spw_do = '0') report " 1. reset (spw_do = 0)"; assert (spw_so = '0') report " 1. reset (spw_so = 0)"; -- Test 2: Remain idle after one clock cycle. wait until rising_edge(sysclk); wait until falling_edge(sysclk); assert (started = '0') and (running = '0') report " 2. init (state)"; assert (spw_do = '0') and (spw_so = '0') report " 2. init (SPW idle)"; -- Test 3: Move to Ready state. wait on started, running, spw_do, spw_so for 50 us; assert (started = '0') and (running = '0') report " 3. ready (state)"; assert (spw_do = '0') and (spw_so = '0') report " 3. ready (SPW idle)"; -- Test 4: Start link; wait for NULL patterns. linkstart <= '1'; rxroom <= "001111"; wait on started, connecting, running, spw_do, spw_so for 1 us; assert (started = '1') and (running = '0') report " 4. nullgen (started)"; if spw_so = '0' then wait on started, connecting, running, spw_do, spw_so for 1.2 us; end if; assert (started = '1') and (connecting = '0') and (running = '0') and (spw_do = '0') and (spw_so = '1') report " 4. nullgen (SPW strobe)"; output_collect <= '1'; wait on started, connecting, running for (7.1 * outbit_period); assert (started = '1') and (running = '0') report " 4. nullgen (state 2)"; assert (output_ptr = 8) and (output_bits(0 to 7) = "01110100") report " 4. nullgen (NULL 1)"; -- got the first NULL, wait for the second one ... wait on started, connecting, running for (8.0 * outbit_period); assert (started = '1') and (running = '0') report " 4. nullgen (state 3)"; assert (output_ptr = 16) and (output_bits(8 to 15) = "01110100") report " 4. nullgen (NULL 2)"; output_collect <= '0'; -- Test 5: Timeout in Started state. wait on started, connecting, running, errany for 9.5 us - (15.0 * outbit_period); assert (started = '1') and (running = '0') and (errany = '0') report " 5. started_timeout (wait)"; wait on started, connecting, running, errany for 4 us; assert (started = '0') and (connecting = '0') and (running = '0') and (errany = '0') report " 5. started_timeout (trigger)"; wait for (3.1 * outbit_period + 20 * txclk_period); assert (spw_do = '0') and (spw_so = '0') report " 5. started_timeout (SPW to zero)"; -- Test 6: Start link; simulate NULL pattern; wait for FCT pattern. wait on started, connecting, running, spw_so for 18 us - (3.1 * outbit_period + 20 * txclk_period); assert (started = '0') and (connecting = '0') and (running = '0') and (spw_so = '0') report " 6. fctgen (SPW idle)"; wait on started, connecting, running, spw_so for 2 us; assert (started = '1') and (connecting = '0') and (running = '0') report " 6. fctgen (started)"; if spw_so = '0' then wait on started, connecting, running, spw_do, spw_so for 1.2 us; end if; assert (spw_do = '0') and (spw_so = '1') report " 6. fctgen (SPW strobe)"; output_collect <= '1'; input_pattern <= 1; wait on started, connecting, running for 8 us; assert (started = '0') and (connecting = '1') and (running = '0') report " 6. fctgen (detect NULL)"; wait for (1.1 sec) / sys_clock_freq; wait on started, connecting, running, errany for 12 us; assert (started = '0') and (connecting = '1') and (running = '0') and (errany = '0') report " 6. fctgen (connecting failed early)"; assert (output_ptr > 7) and (output_bits(0 to 7) = "01110100") report " 6. fctgen (gen NULL)"; i := skip_null(output_bits, 0, output_ptr); assert (i > 0) and (i + 11 < output_ptr) and (output_bits(i to (i+11)) = "010001110100") report " 6. fctgen (gen FCT NULL)"; output_collect <= '0'; -- Test 7: Timeout in Connecting state. wait on started, connecting, running, errany for 4 us; assert (started = '0') and (connecting = '0') and (running = '0') and (errany = '0') report " 7. connecting_timeout"; input_pattern <= 0; wait until rising_edge(sysclk); -- Test 8: Autostart link; simulate NULL and FCT; move to Run state; disconnect. linkstart <= '0'; autostart <= '1'; rxroom <= "010000"; wait on started, connecting, running, errany for 50 us; assert (started = '0') and (connecting = '0') and (running = '0') and (errany = '0') report " 8. autostart (wait)"; output_collect <= '1'; input_pattern <= 1; wait on started, connecting, running for 200 ns + 24 * inbit_period; assert (started = '1') and (connecting = '0') and (running = '0') report " 8. autostart (Started)"; input_pattern <= 9; wait on started, connecting, running for 1 us; assert (started = '0') and (connecting = '1') and (running = '0') report " 8. autostart (Connecting)"; wait on started, connecting, running, errany for 200 ns + 24 * inbit_period; assert (started = '0') and (connecting = '0') and (running = '1') and (errany = '0') report " 8. autostart (Run)"; input_pattern <= 1; txwrite <= '1'; if txrdy = '0' then wait on running, errany, txrdy for (20 * outbit_period); end if; assert (running = '1') and (errany = '0') and (txrdy = '1') report " 8. running (txrdy = 1)"; txwrite <= '0'; wait on running, errany for 50 us; assert (running = '1') and (errany = '0') report " 8. running stable"; assert output_bits(1 to 24) = "011101000100010001110100" report " 8. NULL FCT FCT NULL"; output_collect <= '0'; linkdis <= '1'; wait on started, running, errany for (2.1 sec) / sys_clock_freq; assert (started = '0') and (running = '0') and (errany = '0') report " 8. link disable"; autostart <= '0'; linkdis <= '0'; input_pattern <= 0; wait until rising_edge(sysclk); -- Test 9: Start link until Run state; disconnect. linkstart <= '1'; rxroom <= "001000"; input_pattern <= 1; wait on started, connecting, running for 20 us; assert (started = '1') and (connecting = '0') and (running = '0') report " 9. running_disconnect (Started)"; linkstart <= '0'; wait until rising_edge(sysclk); input_pattern <= 9; wait on started, connecting, running, errany for 20 * inbit_period; assert (started = '0') and (connecting = '1') and (running = '0') and (errany = '0') report " 9. running_disconnect (Connecting)"; wait on started, connecting, running, errany for 200 ns + 24 * inbit_period; assert (started = '0') and (connecting = '0') and (running = '1') and (errany = '0') report " 9. running_disconnect (Run)"; input_pattern <= 0; wait until input_idle = '1'; wait on started, connecting, running, errany for 1500 ns; assert errdisc = '1' report " 9. running_disconnect (errdisc = 1)"; if running = '1' then wait on started, connecting, running for (1.1 sec) / sys_clock_freq; end if; assert (started = '0') and (connecting = '0') and (running = '0') report " 9. running_disconnect (running = 0)"; wait until rising_edge(sysclk); assert (started = '0') and (connecting = '0') and (running = '0') and (errany = '0') report " 9. running_disconnect (reset)"; wait until rising_edge(sysclk); -- Test 10: Junk signal before starting link. autostart <= '1'; input_pattern <= 3; wait on started, errany for 6 us; assert (started = '0') and (errany = '0') report "10. junk signal (ignore noise)"; input_pattern <= 2; wait on started, errany for 4 us; assert (started = '0') and (errany = '0') report "10. junk signal (ignore FCT)"; input_pattern <= 0; wait until input_idle = '1'; input_pattern <= 1; -- send NULL wait until input_idle = '0'; input_pattern <= 3; -- send invalid pattern; spw should now reset wait on started, errany for 8 us; assert (started = '0') and (errany = '0') report "10. junk signal (hidden reset)"; input_pattern <= 1; -- send NULL wait on started, errany for 10 us; assert (started = '0') and (errany = '0') report "10. junk signal (waiting)"; wait on started, errany for 10 us; assert (started = '1') and (errany = '0') report "10. junk signal (Started)"; autostart <= '0'; rst <= '1'; wait until rising_edge(sysclk); rst <= '0'; wait until rising_edge(sysclk); assert (started = '0') and (errany = '0') report "10. junk signal (rst)"; wait until rising_edge(sysclk); -- Test 11: Incoming EOP before first FCT. linkstart <= '1'; rxroom <= "001000"; input_pattern <= 1; wait on connecting, running, errany for 21 us; assert (connecting = '1') and (errany = '0') report "11. unexpected EOP (Connecting)"; input_pattern <= 4; linkstart <= '0'; wait on connecting, running, errany for 200 ns + 24 * inbit_period; assert (connecting = '0') and (running = '0') and (errany = '0') report "11. unexpected EOP (reset on EOP)"; input_pattern <= 0; wait for (10 * outbit_period); -- Test 12: Send and receive characters, time codes, abort on double ESC. wait until falling_edge(sysclk); linkstart <= '1'; wait on started, errany for 21 us; assert (started = '1') and (errany = '0') report "12. characters (Started)"; rxroom <= "001000"; input_pattern <= 1; output_collect <= '1'; tick_in <= '1'; wait on connecting, running, errany for 21 us; assert (connecting = '1') and (errany = '0') report "12. characters (Connecting)"; wait until output_ptr > 9 for 2 us; input_pattern <= 5; -- FCT, TIME, 8 chars, NULLs time_in <= "000111"; txwrite <= '1'; txflag <= '0'; txdata <= "01101100"; wait on connecting, running, errany for 200 ns + (24 * inbit_period); assert (running = '1') and (errany = '0') report "12. characters (Run)"; wait until rising_edge(sysclk); assert (running = '1') and (errany = '0') report "12. characters (running = 1)"; tick_in <= '0'; wait for 4 * outbit_period; -- wait until first FCT sent rxroom <= "000111"; wait until txrdy = '1' for 200 ns + (20 * outbit_period); assert (running = '1') and (txrdy = '1') report "12. characters (txrdy = 1)"; wait on running, errany for 50 us + (80 * outbit_period); assert (running = '1') and (errany = '0') report "12. characters (stable)"; input_pattern <= 6; -- just ESC tokens wait on running, errany for 200 ns + (32 * inbit_period); assert erresc = '1' report "12. characters (erresc = 1)"; wait until rising_edge(sysclk); wait for 1 ns; assert (started = '0') and (connecting = '0') and (running = '0') report "12. characters (reset)"; assert (output_ptr > 8) and (output_bits(1 to 8) = "01110100") report "12. characters (gen NULL 1)"; i := skip_null(output_bits, 1, output_ptr); assert (i > 0) and (output_bits(i to (i+3)) = "0100") report "12. characters (gen FCT)"; i := skip_null(output_bits, i + 4, output_ptr); assert (i + 13 < output_ptr) and (output_bits(i to (i+13)) = "01111011100000") report "12. characters (gen TimeCode)"; i := i + 14; assert (i + 79 < output_ptr) and (output_bits(i to (i+79)) = "00001101101000110110100011011010001101101000110110100011011010001101101000110110") report "12. characters (gen Data)"; i := i + 80; assert (i + 7 < output_ptr) and (output_bits(i to (i+7)) = "01110100") report "12. characters (gen NULL 2)"; assert (output_nchars > 0) and (output_chars(0) = "1000111000") report "12. characters (got TimeCode)"; assert (output_nchars > 1) and (output_chars(1) = "0001010101") report "12. characters (got byte 1)"; assert (output_nchars > 2) and (output_chars(2) = "0010101010") report "12. characters (got byte 2)"; assert (output_nchars > 3) and (output_chars(3) = "0001010101") report "12. characters (got byte 3)"; assert (output_nchars > 4) and (output_chars(4) = "0010101010") report "12. characters (got byte 4)"; assert check_parity(output_bits, 1, output_ptr) report "12. parity of output bits"; output_collect <= '0'; input_pattern <= 0; txwrite <= '0'; linkstart <= '0'; wait for (20 * outbit_period); -- Test 13: Send and receive EOP, EEP, abort on credit error. linkstart <= '1'; rxroom <= "001000"; input_pattern <= 1; output_collect <= '1'; wait on connecting, running, errany for 21 us; assert (connecting = '1') and (errany = '0') report "13. eop, eep (Connecting)"; wait until output_ptr > 9 for 2 us; input_pattern <= 7; -- FCT, NULL, NULL, EOP, EEP, NULLs wait for (1.1 sec) / sys_clock_freq; wait on connecting, running, errany for 12 us; assert (running = '1') and (errany = '0') report "13. eop, eep (Run)"; wait for 1 ns; txwrite <= '1'; txflag <= '1'; txdata <= "01101100"; wait until rising_edge(sysclk) and txrdy = '1' for 1 us + (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 1)"; rxroom <= "000111" after 1 ns; txdata <= "00000001" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for 1 us + (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 2)"; txdata <= "00000000" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 3)"; txdata <= "11111111" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 4)"; txdata <= "11111110" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 5)"; txdata <= "01010101" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 6)"; txdata <= "10101010" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 7)"; txdata <= "01010101" after 1 ns; wait until rising_edge(sysclk) and txrdy = '1' for (14 * outbit_period); assert (txrdy = '1') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 8)"; txdata <= "10101010" after 1 ns; wait until rising_edge(sysclk) and (txrdy = '1') for (14 * outbit_period); assert (txrdy = '0') and (running = '1') and (errany = '0') report "13. eop, eep (txrdy 9)"; txwrite <= '0'; txflag <= '0'; wait on running, errany for (10 * outbit_period); assert (running = '1') and (errany = '0') report "13. eop, eep (flush out)"; input_pattern <= 2; -- FCT tokens wait on running, errany for (80 * inbit_period); assert errcred = '1' report "13. eop, eep (errcred = 1)"; wait until running = '0'; assert (output_ptr > 8) and (output_bits(1 to 8) = "01110100") report "13. eop, eep (gen NULL 1)"; i := skip_null(output_bits, 1, output_ptr); assert (i > 0) and (output_bits(i to (i+3)) = "0100") report "13. eop, eep (gen FCT)"; i := i + 4; for j in 0 to 3 loop i := skip_null(output_bits, i, output_ptr); assert (i + 3 < output_ptr) and (output_bits(i+1 to (i+3)) = "101") report "13. eop, eep (eop)"; i := skip_null(output_bits, i + 4, output_ptr); assert (i + 3 < output_ptr) and (output_bits(i+1 to (i+3)) = "110") report "13. eop, eep (eep)"; i := i + 4; end loop; assert (i + 8 < output_ptr) and (output_bits(i to (i+8)) = "111101000") report "13. eop, eep (gen NULL 2)"; assert check_parity(output_bits, 1, output_ptr) report "12. parity of output bits"; assert (output_nchars > 0) and (output_chars(0) = "0100000000") report "13. eop, eep (got EOP)"; assert (output_nchars = 2) and (output_chars(1) = "0100000001") report "13. eop, eep (got EEP)"; output_collect <= '0'; input_pattern <= 0; linkstart <= '0'; wait until rising_edge(sysclk); -- Test 14: Abort on parity error. wait for 10 us; assert spw_do = '0' and spw_so = '0' report "14. output still babbling"; linkstart <= '1'; rxroom <= "001000"; input_pattern <= 1; output_collect <= '1'; wait for 1 ns; -- ghdl is totally fucked up wait on connecting, running, errany for 21 us; assert (connecting = '1') and (errany = '0') report "14. partity (Connecting)"; input_pattern <= 8; -- FCT, NULL, NULL, NULL, NULL, NULL, char, error wait for (1.1 sec) / sys_clock_freq; wait on running, errany for 12 us; assert (running = '1') and (errany = '0') report "14. parity (Run)"; wait on running, errany for 150 ns + (84 * inbit_period); assert errpar = '1' report "14. parity (errpar = 1)"; wait until running = '0'; assert (output_nchars = 1) and (output_chars(0) = "0001010101") report "14. parity (received char)"; output_collect <= '0'; input_pattern <= 0; linkstart <= '0'; wait until rising_edge(sysclk); -- Test 15: start with wrong strobe polarity. input_strobeflip <= '1'; linkstart <= '1'; rxroom <= "001000"; input_pattern <= 1; wait on started, connecting, running for 20 us; assert (started = '1') and (connecting = '0') and (running = '0') report " 15. weird_strobe (Started)"; linkstart <= '0'; wait until rising_edge(sysclk); input_pattern <= 9; wait on started, connecting, running, errany for 20 * inbit_period; assert (started = '0') and (connecting = '1') and (running = '0') and (errany = '0') report " 15. weird_strobe (Connecting)"; wait on started, connecting, running, errany for 200 ns + 24 * inbit_period; assert (started = '0') and (connecting = '0') and (running = '1') and (errany = '0') report " 15. weird_strobe (Run)"; linkdis <= '1'; wait until rising_edge(sysclk); input_pattern <= 0; input_strobeflip <= '0'; wait until input_idle = '1'; linkdis <= '0'; wait until rising_edge(sysclk); -- Test 16: start with wrong data polarity. input_pattern <= 10; linkstart <= '1'; rxroom <= "001111"; wait on started, connecting, running for 25 us; assert (started = '1') and (running = '0') report " 16. weird_data (started)"; if spw_so = '0' then wait on started, connecting, running, spw_do, spw_so for 1.2 us; end if; assert (started = '1') and (connecting = '0') and (running = '0') and (spw_do = '0') and (spw_so = '1') report " 16. weird_data (SPW strobe)"; output_collect <= '1'; wait on started, connecting, running for (7.1 * outbit_period); assert (started = '1') and (running = '0') report " 16. weird_data (state 2)"; assert (output_ptr = 8) and (output_bits(0 to 7) = "01110100") report " 16. weird_data (NULL 1)"; -- got the first NULL, wait for the second one ... wait on started, connecting, running for (8.0 * outbit_period); assert (started = '1') and (running = '0') report " 16. weird_data (state 3)"; assert (output_ptr = 16) and (output_bits(8 to 15) = "01110100") report " 16. weird_data (NULL 2)"; output_collect <= '0'; linkstart <= '0'; linkdis <= '1'; input_pattern <= 0; wait until rising_edge(sysclk); linkdis <= '0'; wait until rising_edge(sysclk); -- Stop simulation input_pattern <= 0; wait for 100 us; sys_clock_enable <= '0'; report "Done."; wait; end process; end tb_arch;
mit
ptracton/Picoblaze
Picoblaze/kcpsm6_design_template.vhd
1
18208
-- ------------------------------------------------------------------------------------------- -- Copyright © 2010-2011, Xilinx, Inc. -- This file contains confidential and proprietary information of Xilinx, Inc. and is -- protected under U.S. and international copyright and other intellectual property laws. ------------------------------------------------------------------------------------------- -- -- Disclaimer: -- This disclaimer is not a license and does not grant any rights to the materials -- distributed herewith. Except as otherwise provided in a valid license issued to -- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE -- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY -- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, -- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, -- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable -- (whether in contract or tort, including negligence, or under any other theory -- of liability) for any loss or damage of any kind or nature related to, arising -- under or in connection with these materials, including for any direct, or any -- indirect, special, incidental, or consequential loss or damage (including loss -- of data, profits, goodwill, or any type of loss or damage suffered as a result -- of any action brought by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail-safe, or for use in any -- application requiring fail-safe performance, such as life-support or safety -- devices or systems, Class III medical devices, nuclear facilities, applications -- related to the deployment of airbags, or any other applications that could lead -- to death, personal injury, or severe property or environmental damage -- (individually and collectively, "Critical Applications"). Customer assumes the -- sole risk and liability of any use of Xilinx products in Critical Applications, -- subject only to applicable laws and regulations governing limitations on product -- liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------------------- -- -- ------------------------------------------------------------------------------------------- -- -- *** PLEASE NOTE THIS IS NOT A COMPLETE DESIGN *** -- -- This file contains sections of VHDL code intended to be helpful reference when using -- a KCPSM6 (PicoBlaze) processor in a Spartan-6, Virtex-6 or 7-Series design. Please refer -- to the documentation provided with PicoBlaze. -- -- Ken Chapman - Xilinx Ltd - 23rd September 2011 -- ------------------------------------------------------------------------------------------- -- -- ------------------------------------------------------------------------------------------- -- Components ------------------------------------------------------------------------------------------- -- -- -- Declaration of the KCPSM6 component including default values for generics. -- component kcpsm6 generic( hwbuild : std_logic_vector(7 downto 0) := X"00"; interrupt_vector : std_logic_vector(11 downto 0) := X"3FF"; scratch_pad_memory_size : integer := 64); port ( address : out std_logic_vector(11 downto 0); instruction : in std_logic_vector(17 downto 0); bram_enable : out std_logic; in_port : in std_logic_vector(7 downto 0); out_port : out std_logic_vector(7 downto 0); port_id : out std_logic_vector(7 downto 0); write_strobe : out std_logic; k_write_strobe : out std_logic; read_strobe : out std_logic; interrupt : in std_logic; interrupt_ack : out std_logic; sleep : in std_logic; reset : in std_logic; clk : in std_logic); end component; -- -- Declaration of the default Program Memory recommended for development. -- -- The name of this component should match the name of your PSM file. -- component <your program> generic( C_FAMILY : string := "S6"; C_RAM_SIZE_KWORDS : integer := 1; C_JTAG_LOADER_ENABLE : integer := 0); Port ( address : in std_logic_vector(11 downto 0); instruction : out std_logic_vector(17 downto 0); enable : in std_logic; rdl : out std_logic; clk : in std_logic); end component; -- ------------------------------------------------------------------------------------------- -- Signals ------------------------------------------------------------------------------------------- -- -- -- Signals for connection of KCPSM6 and Program Memory. -- signal address : std_logic_vector(11 downto 0); signal instruction : std_logic_vector(17 downto 0); signal bram_enable : std_logic; signal in_port : std_logic_vector(7 downto 0); signal out_port : std_logic_vector(7 downto 0); signal port_id : std_logic_vector(7 downto 0); signal write_strobe : std_logic; signal k_write_strobe : std_logic; signal read_strobe : std_logic; signal interrupt : std_logic; signal interrupt_ack : std_logic; signal kcpsm6_sleep : std_logic; signal kcpsm6_reset : std_logic; -- -- Some additional signals are required if your system also needs to reset KCPSM6. -- signal cpu_reset : std_logic; signal rdl : std_logic; -- -- When interrupt is to be used then the recommended circuit included below requires -- the following signal to represent the request made from your system. -- signal int_request : std_logic; -- ------------------------------------------------------------------------------------------- -- Circuit Descriptions (used after 'begin') ------------------------------------------------------------------------------------------- -- -- ----------------------------------------------------------------------------------------- -- Instantiate KCPSM6 and connect to Program Memory ----------------------------------------------------------------------------------------- -- -- The KCPSM6 generics can be defined as required but the default values are shown below -- and these would be adequate for most designs. -- processor: kcpsm6 generic map ( hwbuild => X"00", interrupt_vector => X"3FF", scratch_pad_memory_size => 64) port map( address => address, instruction => instruction, bram_enable => bram_enable, port_id => port_id, write_strobe => write_strobe, k_write_strobe => k_write_strobe, out_port => out_port, read_strobe => read_strobe, in_port => in_port, interrupt => interrupt, interrupt_ack => interrupt_ack, sleep => kcpsm6_sleep, reset => kcpsm6_reset, clk => clk); -- -- In many designs (especially your first) interrupt and sleep are not used. -- Tie these inputs Low until you need them. Tying 'interrupt' to 'interrupt_ack' -- preserves both signals for future use and avoids a warning message. -- kcpsm6_sleep <= '0'; interrupt <= interrupt_ack; -- -- The default Program Memory recommended for development. -- -- The generics should be set to define the family, program size and enable the JTAG -- Loader. As described in the documentation the initial recommended values are. -- 'S6', '1' and '1' for a Spartan-6 design. -- 'V6', '2' and '1' for a Virtex-6 design. -- '7S', '2' and '1' for a Artix-7, Kintex-7 or Virtex-7 design. -- Note that all 12-bits of the address are connected regardless of the program size -- specified by the generic. Within the program memory only the appropriate address bits -- will be used (e.g. 10 bits for 1K memory). This means it that you only need to modify -- the generic when changing the size of your program. -- -- When JTAG Loader updates the contents of the program memory KCPSM6 should be reset -- so that the new program executes from address zero. The Reset During Load port 'rdl' -- is therefore connected to the reset input of KCPSM6. -- program_rom: <your_program> --Name to match your PSM file generic map( C_FAMILY => "V6", --Family 'S6', 'V6' or '7S' C_RAM_SIZE_KWORDS => 2, --Program size '1', '2' or '4' C_JTAG_LOADER_ENABLE => 1) --Include JTAG Loader when set to '1' port map( address => address, instruction => instruction, enable => bram_enable, rdl => kcpsm6_reset, clk => clk); -- -- If your design also needs to be able to reset KCPSM6 the arrangement below should be -- used to 'OR' your signal with 'rdl' from the program memory. -- program_rom: <your_program> --Name to match your PSM file generic map( C_FAMILY => "V6", --Family 'S6', 'V6' or '7S' C_RAM_SIZE_KWORDS => 2, --Program size '1', '2' or '4' C_JTAG_LOADER_ENABLE => 1) --Include JTAG Loader when set to '1' port map( address => address, instruction => instruction, enable => bram_enable, rdl => rdl, clk => clk); kcpsm6_reset <= cpu_reset or rdl; -- ----------------------------------------------------------------------------------------- -- Example of General Purose I/O Ports. ----------------------------------------------------------------------------------------- -- -- The following code corresponds with the circuit diagram shown on page 72 of the -- KCPSM6 Guide and includes additional advice and recommendations. -- -- -- ----------------------------------------------------------------------------------------- -- General Purpose Input Ports. ----------------------------------------------------------------------------------------- -- -- -- The inputs connect via a pipelined multiplexer. For optimum implementation, the input -- selection control of the multiplexer is limited to only those signals of 'port_id' -- that are necessary. In this case, only 2-bits are required to identify each of -- four input ports to be read by KCPSM6. -- -- Note that 'read_strobe' only needs to be used when whatever supplying information to -- KPPSM6 needs to know when that information has been read. For example, when reading -- a FIFO a read signal would need to be generated when that port is read such that the -- FIFO would know to present the next oldest information. -- input_ports: process(clk) begin if clk'event and clk = '1' then case port_id(1 downto 0) is -- Read input_port_a at port address 00 hex when "00" => in_port <= input_port_a; -- Read input_port_b at port address 01 hex when "01" => in_port <= input_port_b; -- Read input_port_c at port address 02 hex when "10" => in_port <= input_port_c; -- Read input_port_d at port address 03 hex when "11" => in_port <= input_port_d; -- To ensure minimum logic implementation when defining a multiplexer always -- use don't care for any of the unused cases (although there are none in this -- example). when others => in_port <= "XXXXXXXX"; end case; end if; end process input_ports; -- ----------------------------------------------------------------------------------------- -- General Purpose Output Ports ----------------------------------------------------------------------------------------- -- -- -- Output ports must capture the value presented on the 'out_port' based on the value of -- 'port_id' when 'write_strobe' is High. -- -- For an optimum implementation the allocation of output ports should be made in a way -- that means that the decoding of 'port_id' is minimised. Whilst there is nothing -- logically wrong with decoding all 8-bits of 'port_id' it does result in a function -- that can not fit into a single 6-input look up table (LUT6) and requires all signals -- to be routed which impacts size, performance and power consumption of your design. -- So unless you really have a lot of output ports it is best practice to use 'one-hot' -- allocation of addresses as used below or to limit the number of 'port_id' bits to -- be decoded to the number required to cover the ports. -- -- Code examples in which the port address is 04 hex. -- -- Best practice in which one-hot allocation only requires a single bit to be tested. -- Supports up to 8 output ports with each allocated a different bit of 'port_id'. -- -- if port_id(2) = '1' then output_port_x <= out_port; -- -- -- Limited decode in which 5-bits of 'port_id' are used to identify up to 32 ports and -- the decode logic can still fit within a LUT6 (the 'write_strobe' requiring the 6th -- input to complete the decode). -- -- if port_id(4 downto 0) = '00100' then output_port_x <= out_port; -- -- -- The 'generic' code may be the easiest to write with the minimum of thought but will -- result in two LUT6 being used to implement each decoder. This will also impact -- performance and power. This is not generally a problem and hence it is reasonable to -- consider this as over attention to detail but good design practice will often bring -- rewards in the long term. When a large design struggles to fit into a given device -- and/or meet timing closure then it is often the result of many small details rather -- that one big cause. PicoBlaze is extremely efficient so it would be a shame to -- spoil that efficiency with unnecessarily large and slow peripheral logic. -- -- if port_id = X"04" then output_port_x <= out_port; -- output_ports: process(clk) begin if clk'event and clk = '1' then -- 'write_strobe' is used to qualify all writes to general output ports. if write_strobe = '1' then -- Write to output_port_w at port address 01 hex if port_id(0) = '1' then output_port_w <= out_port; end if; -- Write to output_port_x at port address 02 hex if port_id(1) = '1' then output_port_x <= out_port; end if; -- Write to output_port_y at port address 04 hex if port_id(2) = '1' then output_port_y <= out_port; end if; -- Write to output_port_z at port address 08 hex if port_id(3) = '1' then output_port_z <= out_port; end if; end if; end if; end process output_ports; -- ----------------------------------------------------------------------------------------- -- Constant-Optimised Output Ports ----------------------------------------------------------------------------------------- -- -- -- Implementation of the Constant-Optimised Output Ports should follow the same basic -- concepts as General Output Ports but remember that only the lower 4-bits of 'port_id' -- are used and that 'k_write_strobe' is used as the qualifier. -- constant_output_ports: process(clk) begin if clk'event and clk = '1' then -- 'k_write_strobe' is used to qualify all writes to constant output ports. if k_write_strobe = '1' then -- Write to output_port_k at port address 01 hex if port_id(0) = '1' then output_port_k <= out_port; end if; -- Write to output_port_c at port address 02 hex if port_id(1) = '1' then output_port_c <= out_port; end if; end if; end if; end process constant_output_ports; -- ----------------------------------------------------------------------------------------- -- Recommended 'closed loop' interrupt interface (when required). ----------------------------------------------------------------------------------------- -- -- Interrupt becomes active when 'int_request' is observed and then remains active until -- acknowledged by KCPSM6. Please see description and waveforms in documentation. -- interrupt_control: process(clk) begin if clk'event and clk='1' then if interrupt_ack = '1' then interrupt <= '0'; else if int_request = '1' then interrupt <= '1'; else interrupt <= interrupt; end if; end if; end if; end process interrupt_control; -- ----------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- -- END OF FILE kcpsm6_design_template.vhd -- -------------------------------------------------------------------------------------------
mit
gutelfuldead/zynq_ip_repo
IP_LIBRARY/axistream_spw_lite_1.0/src/spwstream.vhd
1
21277
-- -- SpaceWire core with character-stream interface. -- -- This entity provides a SpaceWire core with a character-stream interface. -- The interface provides means for connection initiation, sending and -- receiving of N-Chars and TimeCodes, and error reporting. -- -- This entity instantiates spwlink, spwrecv, spwxmit and one of the -- spwrecvfront implementations. It also implements a receive FIFO and -- a transmit FIFO. -- -- The SpaceWire standard requires that each transceiver use an initial -- signalling rate of 10 Mbit/s. This implies that the system clock frequency -- must be a multiple of 10 MHz. See the manual for further details on -- bitrates and clocking. -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.spwpkg.all; entity spwstream is generic ( -- System clock frequency in Hz. -- This must be set to the frequency of "clk". It is used to setup -- counters for reset timing, disconnect timeout and to transmit -- at 10 Mbit/s during the link handshake. sysfreq: integer; -- Transmit clock frequency in Hz (only if tximpl = impl_fast). -- This must be set to the frequency of "txclk". It is used to -- transmit at 10 Mbit/s during the link handshake. txclkfreq: integer:= 0; -- Selection of a receiver front-end implementation. rximpl: spw_implementation_type := impl_generic; -- Maximum number of bits received per system clock -- (must be 1 in case of impl_generic). rxchunk: integer range 1 to 4 := 1; -- Selection of a transmitter implementation. tximpl: spw_implementation_type := impl_generic; -- Size of the receive FIFO as the 2-logarithm of the number of bytes. -- Must be at least 6 (64 bytes). rxfifosize_bits: integer range 6 to 14 := 11; -- Size of the transmit FIFO as the 2-logarithm of the number of bytes. txfifosize_bits: integer range 2 to 14 := 11 ); port ( -- System clock. clk: in std_logic; -- Receiver sample clock (only for impl_fast) rxclk: in std_logic; -- Transmit clock (only for impl_fast) txclk: in std_logic; -- Synchronous reset (active-high). rst: in std_logic; -- Enables automatic link start on receipt of a NULL character. autostart: in std_logic; -- Enables link start once the Ready state is reached. -- Without autostart or linkstart, the link remains in state Ready. linkstart: in std_logic; -- Do not start link (overrides linkstart and autostart) and/or -- disconnect a running link. linkdis: in std_logic; -- Scaling factor minus 1, used to scale the transmit base clock into -- the transmission bit rate. The system clock (for impl_generic) or -- the txclk (for impl_fast) is divided by (unsigned(txdivcnt) + 1). -- Changing this signal will immediately change the transmission rate. -- During link setup, the transmission rate is always 10 Mbit/s. txdivcnt: in std_logic_vector(7 downto 0); -- High for one clock cycle to request transmission of a TimeCode. -- The request is registered inside the entity until it can be processed. tick_in: in std_logic; -- Control bits of the TimeCode to be sent. Must be valid while tick_in is high. ctrl_in: in std_logic_vector(1 downto 0); -- Counter value of the TimeCode to be sent. Must be valid while tick_in is high. time_in: in std_logic_vector(5 downto 0); -- Pulled high by the application to write an N-Char to the transmit -- queue. If "txwrite" and "txrdy" are both high on the rising edge -- of "clk", a character is added to the transmit queue. -- This signal has no effect if "txrdy" is low. txwrite: in std_logic; -- Control flag to be sent with the next N_Char. -- Must be valid while txwrite is high. txflag: in std_logic; -- Byte to be sent, or "00000000" for EOP or "00000001" for EEP. -- Must be valid while txwrite is high. txdata: in std_logic_vector(7 downto 0); -- High if the entity is ready to accept an N-Char for transmission. txrdy: out std_logic; -- High if the transmission queue is at least half full. txhalff: out std_logic; -- High for one clock cycle if a TimeCode was just received. tick_out: out std_logic; -- Control bits of the last received TimeCode. ctrl_out: out std_logic_vector(1 downto 0); -- Counter value of the last received TimeCode. time_out: out std_logic_vector(5 downto 0); -- High if "rxflag" and "rxdata" contain valid data. -- This signal is high unless the receive FIFO is empty. rxvalid: out std_logic; -- High if the receive FIFO is at least half full. rxhalff: out std_logic; -- High if the received character is EOP or EEP; low if the received -- character is a data byte. Valid if "rxvalid" is high. rxflag: out std_logic; -- Received byte, or "00000000" for EOP or "00000001" for EEP. -- Valid if "rxvalid" is high. rxdata: out std_logic_vector(7 downto 0); -- Pulled high by the application to accept a received character. -- If "rxvalid" and "rxread" are both high on the rising edge of "clk", -- a character is removed from the receive FIFO and "rxvalid", "rxflag" -- and "rxdata" are updated. -- This signal has no effect if "rxvalid" is low. rxread: in std_logic; -- High if the link state machine is currently in the Started state. started: out std_logic; -- High if the link state machine is currently in the Connecting state. connecting: out std_logic; -- High if the link state machine is currently in the Run state, indicating -- that the link is fully operational. If none of started, connecting or running -- is high, the link is in an initial state and the transmitter is not yet enabled. running: out std_logic; -- Disconnect detected in state Run. Triggers a reset and reconnect of the link. -- This indication is auto-clearing. errdisc: out std_logic; -- Parity error detected in state Run. Triggers a reset and reconnect of the link. -- This indication is auto-clearing. errpar: out std_logic; -- Invalid escape sequence detected in state Run. Triggers a reset and reconnect of -- the link. This indication is auto-clearing. erresc: out std_logic; -- Credit error detected. Triggers a reset and reconnect of the link. -- This indication is auto-clearing. errcred: out std_logic; -- Data In signal from SpaceWire bus. spw_di: in std_logic; -- Strobe In signal from SpaceWire bus. spw_si: in std_logic; -- Data Out signal to SpaceWire bus. spw_do: out std_logic; -- Strobe Out signal to SpaceWire bus. spw_so: out std_logic ); end entity spwstream; architecture spwstream_arch of spwstream is constant rsysfreq : real := real(sysfreq); constant rtxclkfreq : real := real(txclkfreq); -- Convert boolean to std_logic. type bool_to_logic_type is array(boolean) of std_ulogic; constant bool_to_logic: bool_to_logic_type := (false => '0', true => '1'); -- Reset time (6.4 us) in system clocks constant reset_time: integer := integer(rsysfreq * 6.4e-6); -- Disconnect time (850 ns) in system clocks constant disconnect_time: integer := integer(rsysfreq * 850.0e-9); -- Initial tx clock scaler (10 Mbit). type impl_to_real_type is array(spw_implementation_type) of real; constant tximpl_to_txclk_freq: impl_to_real_type := (impl_generic => rsysfreq, impl_fast => rtxclkfreq); constant effective_txclk_freq: real := tximpl_to_txclk_freq(tximpl); constant default_divcnt: std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(integer(effective_txclk_freq / 10.0e6 - 1.0), 8)); -- Registers. type regs_type is record -- packet state rxpacket: std_logic; -- '1' when receiving a packet rxeep: std_logic; -- '1' when rx EEP character pending txpacket: std_logic; -- '1' when transmitting a packet txdiscard: std_logic; -- '1' when discarding a tx packet -- FIFO pointers rxfifo_raddr: std_logic_vector(rxfifosize_bits-1 downto 0); rxfifo_waddr: std_logic_vector(rxfifosize_bits-1 downto 0); txfifo_raddr: std_logic_vector(txfifosize_bits-1 downto 0); txfifo_waddr: std_logic_vector(txfifosize_bits-1 downto 0); -- FIFO state rxfifo_rvalid: std_logic; -- '1' if s_rxfifo_rdata is valid txfifo_rvalid: std_logic; -- '1' if s_txfifo_rdata is valid rxfull: std_logic; -- '1' if RX fifo is full rxhalff: std_logic; -- '1' if RX fifo is at least half full txfull: std_logic; -- '1' if TX fifo is full txhalff: std_logic; -- '1' if TX fifo is at least half full rxroom: std_logic_vector(5 downto 0); end record; constant regs_reset: regs_type := ( rxpacket => '0', rxeep => '0', txpacket => '0', txdiscard => '0', rxfifo_raddr => (others => '0'), rxfifo_waddr => (others => '0'), txfifo_raddr => (others => '0'), txfifo_waddr => (others => '0'), rxfifo_rvalid => '0', txfifo_rvalid => '0', rxfull => '0', rxhalff => '0', txfull => '0', txhalff => '0', rxroom => (others => '0') ); signal r: regs_type := regs_reset; signal rin: regs_type; -- Interface signals to components. signal recv_rxen: std_logic; signal recvo: spw_recv_out_type; signal recv_inact: std_logic; signal recv_inbvalid: std_logic; signal recv_inbits: std_logic_vector(rxchunk-1 downto 0); signal xmiti: spw_xmit_in_type; signal xmito: spw_xmit_out_type; signal xmit_divcnt: std_logic_vector(7 downto 0); signal linki: spw_link_in_type; signal linko: spw_link_out_type; -- Memory interface signals. signal s_rxfifo_raddr: std_logic_vector(rxfifosize_bits-1 downto 0); signal s_rxfifo_rdata: std_logic_vector(8 downto 0); signal s_rxfifo_wen: std_logic; signal s_rxfifo_waddr: std_logic_vector(rxfifosize_bits-1 downto 0); signal s_rxfifo_wdata: std_logic_vector(8 downto 0); signal s_txfifo_raddr: std_logic_vector(txfifosize_bits-1 downto 0); signal s_txfifo_rdata: std_logic_vector(8 downto 0); signal s_txfifo_wen: std_logic; signal s_txfifo_waddr: std_logic_vector(txfifosize_bits-1 downto 0); signal s_txfifo_wdata: std_logic_vector(8 downto 0); begin -- Instantiate link controller. link_inst: spwlink generic map ( reset_time => reset_time ) port map ( clk => clk, rst => rst, linki => linki, linko => linko, rxen => recv_rxen, recvo => recvo, xmiti => xmiti, xmito => xmito ); -- Instantiate receiver. recv_inst: spwrecv generic map( disconnect_time => disconnect_time, rxchunk => rxchunk ) port map ( clk => clk, rxen => recv_rxen, recvo => recvo, inact => recv_inact, inbvalid => recv_inbvalid, inbits => recv_inbits ); -- Instantiate transmitter. xmit_sel0: if tximpl = impl_generic generate xmit_inst: spwxmit port map ( clk => clk, rst => rst, divcnt => xmit_divcnt, xmiti => xmiti, xmito => xmito, spw_do => spw_do, spw_so => spw_so ); end generate; xmit_sel1: if tximpl = impl_fast generate xmit_fast_inst: spwxmit_fast port map ( clk => clk, txclk => txclk, rst => rst, divcnt => xmit_divcnt, xmiti => xmiti, xmito => xmito, spw_do => spw_do, spw_so => spw_so ); end generate; -- Instantiate receiver front-end. recvfront_sel0: if rximpl = impl_generic generate recvfront_generic_inst: spwrecvfront_generic port map ( clk => clk, rxen => recv_rxen, inact => recv_inact, inbvalid => recv_inbvalid, inbits => recv_inbits, spw_di => spw_di, spw_si => spw_si ); end generate; recvfront_sel1: if rximpl = impl_fast generate recvfront_fast_inst: spwrecvfront_fast generic map ( rxchunk => rxchunk ) port map ( clk => clk, rxclk => rxclk, rxen => recv_rxen, inact => recv_inact, inbvalid => recv_inbvalid, inbits => recv_inbits, spw_di => spw_di, spw_si => spw_si ); end generate; -- Instantiate RX memory. rxmem: spwram generic map ( abits => rxfifosize_bits, dbits => 9 ) port map ( rclk => clk, wclk => clk, ren => '1', raddr => s_rxfifo_raddr, rdata => s_rxfifo_rdata, wen => s_rxfifo_wen, waddr => s_rxfifo_waddr, wdata => s_rxfifo_wdata ); -- Instantiate TX memory. txmem: spwram generic map ( abits => txfifosize_bits, dbits => 9 ) port map ( rclk => clk, wclk => clk, ren => '1', raddr => s_txfifo_raddr, rdata => s_txfifo_rdata, wen => s_txfifo_wen, waddr => s_txfifo_waddr, wdata => s_txfifo_wdata ); -- Combinatorial process process (r, linko, s_rxfifo_rdata, s_txfifo_rdata, rst, autostart, linkstart, linkdis, txdivcnt, tick_in, ctrl_in, time_in, txwrite, txflag, txdata, rxread) is variable v: regs_type; variable v_tmprxroom: unsigned(rxfifosize_bits-1 downto 0); variable v_tmptxroom: unsigned(txfifosize_bits-1 downto 0); begin v := r; v_tmprxroom := to_unsigned(0, v_tmprxroom'length); v_tmptxroom := to_unsigned(0, v_tmptxroom'length); -- Keep track of whether we are sending and/or receiving a packet. if linko.rxchar = '1' then -- got character v.rxpacket := not linko.rxflag; end if; if linko.txack = '1' then -- send character v.txpacket := not s_txfifo_rdata(8); end if; -- Update RX fifo pointers. if (rxread = '1') and (r.rxfifo_rvalid = '1') then -- read from fifo v.rxfifo_raddr := std_logic_vector(unsigned(r.rxfifo_raddr) + 1); end if; if r.rxfull = '0' then if (linko.rxchar = '1') or (r.rxeep = '1') then -- write to fifo (received char or pending EEP) v.rxfifo_waddr := std_logic_vector(unsigned(r.rxfifo_waddr) + 1); end if; v.rxeep := '0'; end if; -- Keep track of whether the RX fifo contains valid data. -- (use new value of rxfifo_raddr) v.rxfifo_rvalid := bool_to_logic(v.rxfifo_raddr /= r.rxfifo_waddr); -- Update room in RX fifo (use new value of rxfifo_waddr). v_tmprxroom := unsigned(r.rxfifo_raddr) - unsigned(v.rxfifo_waddr) - 1; v.rxfull := bool_to_logic(v_tmprxroom = 0); v.rxhalff := not v_tmprxroom(v_tmprxroom'high); if v_tmprxroom > 63 then v.rxroom := (others => '1'); else v.rxroom := std_logic_vector(v_tmprxroom(5 downto 0)); end if; -- Update TX fifo pointers. if (r.txfifo_rvalid = '1') and ((linko.txack = '1') or (r.txdiscard = '1')) then -- read from fifo v.txfifo_raddr := std_logic_vector(unsigned(r.txfifo_raddr) + 1); if s_txfifo_rdata(8) = '1' then v.txdiscard := '0'; -- got EOP/EEP, stop discarding data end if; end if; if (r.txfull = '0') and (txwrite = '1') then -- write to fifo v.txfifo_waddr := std_logic_vector(unsigned(r.txfifo_waddr) + 1); end if; -- Keep track of whether the TX fifo contains valid data. -- (use new value of txfifo_raddr) v.txfifo_rvalid := bool_to_logic(v.txfifo_raddr /= r.txfifo_waddr); -- Update room in TX fifo (use new value of txfifo_waddr). v_tmptxroom := unsigned(r.txfifo_raddr) - unsigned(v.txfifo_waddr) - 1; v.txfull := bool_to_logic(v_tmptxroom = 0); v.txhalff := not v_tmptxroom(v_tmptxroom'high); -- If the link is lost, set a flag to discard the current packet. if linko.running = '0' then v.rxeep := v.rxeep or v.rxpacket; -- use new value of rxpacket v.txdiscard := v.txdiscard or v.txpacket; -- use new value of txpacket v.rxpacket := '0'; v.txpacket := '0'; end if; -- Clear the discard flag when the link is explicitly disabled. if linkdis = '1' then v.txdiscard := '0'; end if; -- Drive control signals to RX fifo. s_rxfifo_raddr <= v.rxfifo_raddr; -- using new value of rxfifo_raddr s_rxfifo_wen <= (not r.rxfull) and (linko.rxchar or r.rxeep); s_rxfifo_waddr <= r.rxfifo_waddr; if r.rxeep = '1' then s_rxfifo_wdata <= "100000001"; else s_rxfifo_wdata <= linko.rxflag & linko.rxdata; end if; -- Drive control signals to TX fifo. s_txfifo_raddr <= v.txfifo_raddr; -- using new value of txfifo_raddr s_txfifo_wen <= (not r.txfull) and txwrite; s_txfifo_waddr <= r.txfifo_waddr; s_txfifo_wdata <= txflag & txdata; -- Drive inputs to spwlink. linki.autostart <= autostart; linki.linkstart <= linkstart; linki.linkdis <= linkdis; linki.rxroom <= r.rxroom; linki.tick_in <= tick_in; linki.ctrl_in <= ctrl_in; linki.time_in <= time_in; linki.txwrite <= r.txfifo_rvalid and not r.txdiscard; linki.txflag <= s_txfifo_rdata(8); linki.txdata <= s_txfifo_rdata(7 downto 0); -- Drive divcnt input to spwxmit. if linko.running = '1' then xmit_divcnt <= txdivcnt; else xmit_divcnt <= default_divcnt; end if; -- Drive outputs. txrdy <= not r.txfull; txhalff <= r.txhalff; tick_out <= linko.tick_out; ctrl_out <= linko.ctrl_out; time_out <= linko.time_out; rxvalid <= r.rxfifo_rvalid; rxhalff <= r.rxhalff; rxflag <= s_rxfifo_rdata(8); rxdata <= s_rxfifo_rdata(7 downto 0); started <= linko.started; connecting <= linko.connecting; running <= linko.running; errdisc <= linko.errdisc; errpar <= linko.errpar; erresc <= linko.erresc; errcred <= linko.errcred; -- Reset. if rst = '1' then v.rxpacket := '0'; v.rxeep := '0'; v.txpacket := '0'; v.txdiscard := '0'; v.rxfifo_raddr := (others => '0'); v.rxfifo_waddr := (others => '0'); v.txfifo_raddr := (others => '0'); v.txfifo_waddr := (others => '0'); v.rxfifo_rvalid := '0'; v.txfifo_rvalid := '0'; end if; -- Update registers. rin <= v; end process; -- Update registers. process (clk) is begin if rising_edge(clk) then r <= rin; end if; end process; end architecture spwstream_arch;
mit
ObKo/USBCore
Core/usb_std_request.vhdl
1
13880
-- -- USB Full-Speed/Hi-Speed Device Controller core - usb_std_request.vhdl -- -- Copyright (c) 2015 Konstantin Oblaukhov -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -- THE SOFTWARE. -- library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; use IEEE.NUMERIC_STD.all; library work; use work.USBCore.all; entity usb_std_request is generic ( VENDOR_ID : std_logic_vector(15 downto 0) := X"DEAD"; PRODUCT_ID : std_logic_vector(15 downto 0) := X"BEEF"; MANUFACTURER : string := ""; PRODUCT : string := ""; SERIAL : string := ""; CONFIG_DESC : BYTE_ARRAY := ( -- Configuration descriptor X"09", -- bLength = 9 X"02", -- bDescriptionType = Configuration Descriptor X"12", X"00", -- wTotalLength = 18 X"01", -- bNumInterfaces = 1 X"01", -- bConfigurationValue X"00", -- iConfiguration X"C0", -- bmAttributes = Self-powered X"32", -- bMaxPower = 100 mA -- Interface descriptor X"09", -- bLength = 9 X"04", -- bDescriptorType = Interface Descriptor X"00", -- bInterfaceNumber = 0 X"00", -- bAlternateSetting X"00", -- bNumEndpoints = 0 X"00", -- bInterfaceClass X"00", -- bInterfaceSubClass X"00", -- bInterfaceProtocol X"00" -- iInterface ); HIGH_SPEED: boolean := true ); port ( rst : in std_logic; clk : in std_logic; ctl_xfer_endpoint : in std_logic_vector(3 downto 0); ctl_xfer_type : in std_logic_vector(7 downto 0); ctl_xfer_request : in std_logic_vector(7 downto 0); ctl_xfer_value : in std_logic_vector(15 downto 0); ctl_xfer_index : in std_logic_vector(15 downto 0); ctl_xfer_length : in std_logic_vector(15 downto 0); ctl_xfer_accept : out std_logic; ctl_xfer : in std_logic; ctl_xfer_done : out std_logic; ctl_xfer_data_out : in std_logic_vector(7 downto 0); ctl_xfer_data_out_valid : in std_logic; ctl_xfer_data_in : out std_logic_vector(7 downto 0); ctl_xfer_data_in_valid : out std_logic; ctl_xfer_data_in_last : out std_logic; ctl_xfer_data_in_ready : in std_logic; device_address : out std_logic_vector(6 downto 0); current_configuration : out std_logic_vector(7 downto 0); configured : out std_logic := '0'; standart_request : out std_logic ); end usb_std_request; architecture usb_std_request of usb_std_request is function indexOrZero(s : in string; i : in std_logic_vector) return std_logic_vector is begin if s = "" then return X"00"; else return i; end if; end function; function string2descriptor(s : in string) return BYTE_ARRAY is variable a : BYTE_ARRAY(0 to 2 + 2 * s'length - 1); begin a(0) := std_logic_vector(to_unsigned(2 + 2 * s'length, 8)); a(1) := X"03"; if s'length > 0 then for i in s'range loop a(2 * (i - s'low) + 2) := std_logic_vector(to_unsigned(character'pos(s(i)), 8)); a(2 * (i - s'low) + 3) := (others => '0'); end loop; end if; return a; end function; function selectInt(cond : in boolean; a : in integer; b : integer) return integer is begin if cond then return a; else return b; end if; end function; function selectArray(cond : in boolean; a : in BYTE_ARRAY; b : BYTE_ARRAY) return BYTE_ARRAY is begin if cond then return a; else return b; end if; end function; constant DEVICE_DESC_FS : BYTE_ARRAY(0 to 17) := ( X"12", -- bLength = 18 X"01", -- bDescriptionType = Device Descriptor X"10", X"01", -- bcdUSB = USB 1.1 X"FF", -- bDeviceClass = None X"00", -- bDeviceSubClass X"00", -- bDeviceProtocol X"40", -- bMaxPacketSize = 64 VENDOR_ID(7 downto 0), VENDOR_ID(15 downto 8), -- idVendor PRODUCT_ID(7 downto 0), PRODUCT_ID(15 downto 8), -- idProduct X"00", X"00", -- bcdDevice indexOrZero(MANUFACTURER, X"01"), -- iManufacturer indexOrZero(PRODUCT, X"02"), -- iProduct indexOrZero(SERIAL, X"03"), -- iSerialNumber X"01" -- bNumConfigurations = 1 ); constant DEVICE_DESC_HS : BYTE_ARRAY(0 to 17) := ( X"12", -- bLength = 18 X"01", -- bDescriptionType = Device Descriptor X"00", X"02", -- bcdUSB = USB 2.0 X"FF", -- bDeviceClass = None X"00", -- bDeviceSubClass X"00", -- bDeviceProtocol X"40", -- bMaxPacketSize = 64 VENDOR_ID(7 downto 0), VENDOR_ID(15 downto 8), -- idVendor PRODUCT_ID(7 downto 0), PRODUCT_ID(15 downto 8), -- idProduct X"00", X"00", -- bcdDevice indexOrZero(MANUFACTURER, X"01"), -- iManufacturer indexOrZero(PRODUCT, X"02"), -- iProduct indexOrZero(SERIAL, X"03"), -- iSerialNumber X"01" -- bNumConfigurations = 1 ); constant DEVICE_DESC : BYTE_ARRAY(0 to 17) := selectArray(HIGH_SPEED, DEVICE_DESC_HS, DEVICE_DESC_FS); constant STR_DESC : BYTE_ARRAY(0 to 3) := ( X"04", -- bLength = 4 X"03", -- bDescriptorType = String Descriptor X"09", X"04" ); constant MANUFACTURER_STR_DESC : BYTE_ARRAY(0 to 2 + 2 * MANUFACTURER'length - 1) := string2descriptor(MANUFACTURER); constant PRODUCT_STR_DESC : BYTE_ARRAY(0 to 2 + 2 * PRODUCT'length - 1) := string2descriptor(PRODUCT); constant SERIAL_STR_DESC : BYTE_ARRAY(0 to 2 + 2 * SERIAL'length - 1) := string2descriptor(SERIAL); constant DESC_SIZE_STR : integer := DEVICE_DESC'length + CONFIG_DESC'length + STR_DESC'length + MANUFACTURER_STR_DESC'length + PRODUCT_STR_DESC'length + SERIAL_STR_DESC'length; constant DESC_SIZE_NOSTR : integer := DEVICE_DESC'length + CONFIG_DESC'length; constant DESC_HAS_STRINGS : boolean := (MANUFACTURER'length > 0) or (PRODUCT'length > 0) or (SERIAL'length > 0); constant DESC_SIZE : integer := selectInt(DESC_HAS_STRINGS, DESC_SIZE_STR, DESC_SIZE_NOSTR); constant USB_DESC : BYTE_ARRAY(0 to DESC_SIZE - 1) := selectArray(DESC_HAS_STRINGS, DEVICE_DESC & CONFIG_DESC & STR_DESC & MANUFACTURER_STR_DESC & PRODUCT_STR_DESC & SERIAL_STR_DESC, DEVICE_DESC & CONFIG_DESC); constant DESC_CONFIG_START : integer := DEVICE_DESC'length; constant DESC_STRING_START : integer := DEVICE_DESC'length + CONFIG_DESC'length; type MACHINE is (S_Idle, S_GetDescriptor, S_SetConfiguration, S_SetAddress); signal state : MACHINE := S_Idle; signal mem_addr : std_logic_vector(7 downto 0); signal max_mem_addr : std_logic_vector(7 downto 0); -- 000 - None -- 001 - Get device descriptor -- 010 - Set address -- 011 - Get configuration descriptor -- 100 - Set configuration -- 101 - Get string descriptor signal req_type : std_logic_vector(2 downto 0); signal is_std_req : std_logic; signal is_dev_req : std_logic; signal handle_req : std_logic; begin MEM_ADDRESSER : process(clk) is begin if rising_edge(clk) then if state = S_Idle then if ctl_xfer = '1' then if req_type = "011" then mem_addr <= std_logic_vector(to_unsigned(DESC_CONFIG_START, mem_addr'length)); max_mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START - 1, mem_addr'length)); elsif DESC_HAS_STRINGS and req_type = "101" then if ctl_xfer_value(7 downto 0) = X"00" then mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START, mem_addr'length)); max_mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START + STR_DESC'length - 1, mem_addr'length)); elsif ctl_xfer_value(7 downto 0) = X"01" then mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START + STR_DESC'length, mem_addr'length)); max_mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START + STR_DESC'length + MANUFACTURER_STR_DESC'length - 1, mem_addr'length)); elsif ctl_xfer_value(7 downto 0) = X"02" then mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START + STR_DESC'length + MANUFACTURER_STR_DESC'length, mem_addr'length)); max_mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START + STR_DESC'length + MANUFACTURER_STR_DESC'length + PRODUCT_STR_DESC'length - 1, mem_addr'length)); elsif ctl_xfer_value(7 downto 0) = X"03" then mem_addr <= std_logic_vector(to_unsigned(DESC_STRING_START + STR_DESC'length + MANUFACTURER_STR_DESC'length + PRODUCT_STR_DESC'length, mem_addr'length)); max_mem_addr <= std_logic_vector(to_unsigned(DESC_SIZE - 1, mem_addr'length)); end if; else mem_addr <= (others => '0'); max_mem_addr <= std_logic_vector(to_unsigned(DESC_CONFIG_START - 1, mem_addr'length)); end if; else mem_addr <= (others => '0'); end if; elsif state = S_GetDescriptor and ctl_xfer_data_in_ready = '1' then if mem_addr /= max_mem_addr then mem_addr <= mem_addr + 1; end if; end if; end if; end process; FSM : process(clk) is begin if rising_edge(clk) then if rst = '1' then state <= S_Idle; device_address <= (others => '0'); else case state is when S_Idle => if ctl_xfer = '1' then if req_type = "001" or req_type = "011" or req_type = "101" then state <= S_GetDescriptor; elsif req_type = "010" then state <= S_SetAddress; elsif req_type = "100" then current_configuration <= ctl_xfer_value(7 downto 0); state <= S_SetConfiguration; end if; end if; when S_SetAddress => if ctl_xfer = '0' then state <= S_Idle; device_address <= ctl_xfer_value(6 downto 0); end if; when S_GetDescriptor => if ctl_xfer = '0' then state <= S_Idle; end if; when S_SetConfiguration => if ctl_xfer = '0' then configured <= '1'; state <= S_Idle; end if; end case; end if; end if; end process; req_type <= "001" when handle_req = '1' and ctl_xfer_request = X"06" and ctl_xfer_value(15 downto 8) = X"01" else "010" when handle_req = '1' and ctl_xfer_request = X"05" else "011" when handle_req = '1' and ctl_xfer_request = X"06" and ctl_xfer_value(15 downto 8) = X"02" else "100" when handle_req = '1' and ctl_xfer_request = X"09" else "101" when handle_req = '1' and ctl_xfer_request = X"06" and ctl_xfer_value(15 downto 8) = X"03" else "000"; is_std_req <= '1' when ctl_xfer_endpoint = X"0" and ctl_xfer_type(6 downto 5) = "00" else '0'; is_dev_req <= '1' when ctl_xfer_type(4 downto 0) = "00000" else '0'; handle_req <= is_std_req AND is_dev_req; standart_request <= is_std_req; ctl_xfer_data_in_valid <= '1' when state = S_GetDescriptor else '0'; ctl_xfer_data_in <= USB_DESC(conv_integer(mem_addr)); ctl_xfer_data_in_last <= '1' when state = S_GetDescriptor and mem_addr = max_mem_addr else '0'; ctl_xfer_done <= '1'; ctl_xfer_accept <= '0' when req_type = "000" else '1'; end usb_std_request;
mit
timtian090/Playground
UVM/UVMExamples/mod11_functional_coverage/class_examples/alu_tb/std_ovl/ovl_next.vhd
1
1295
-- Accellera Standard V2.3 Open Verification Library (OVL). -- Accellera Copyright (c) 2008. All rights reserved. library ieee; use ieee.std_logic_1164.all; use work.std_ovl.all; entity ovl_next is generic ( severity_level : ovl_severity_level := OVL_SEVERITY_LEVEL_NOT_SET; num_cks : positive := 1; check_overlapping : ovl_chk_overlap := OVL_CHK_OVERLAP_OFF; check_missing_start : ovl_ctrl := OVL_OFF; property_type : ovl_property_type := OVL_PROPERTY_TYPE_NOT_SET; msg : string := OVL_MSG_NOT_SET; coverage_level : ovl_coverage_level := OVL_COVERAGE_LEVEL_NOT_SET; clock_edge : ovl_active_edges := OVL_ACTIVE_EDGES_NOT_SET; reset_polarity : ovl_reset_polarity := OVL_RESET_POLARITY_NOT_SET; gating_type : ovl_gating_type := OVL_GATING_TYPE_NOT_SET; controls : ovl_ctrl_record := OVL_CTRL_DEFAULTS ); port ( clock : in std_logic; reset : in std_logic; enable : in std_logic; start_event : in std_logic; test_expr : in std_logic; fire : out std_logic_vector(OVL_FIRE_WIDTH - 1 downto 0) ); end entity ovl_next;
mit
timtian090/Playground
UVM/UVMExamples/mod11_functional_coverage/class_examples/alu_tb/DUT/tinyalu.vhd
1
5075
-- ******************************************************************* -- Copyright 2008 Ray Salemi -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ******************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity tinyalu is port( A : in unsigned ( 7 downto 0 ); B : in unsigned ( 7 downto 0 ); clk : in std_logic; op : in std_logic_vector ( 2 downto 0 ); reset_n : in std_logic; start : in std_logic; done : out std_logic; result : out unsigned ( 15 downto 0 ) ); -- Declarations end tinyalu; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; library work; architecture rtl of tinyalu is -- Architecture declarations -- Internal signal declarations signal done_aax : std_logic; signal done_mult : std_logic; signal result_aax : unsigned(15 downto 0); signal result_mult : unsigned(15 downto 0); signal start_single : std_logic; -- Start signal for single cycle ops signal start_mult : std_logic; -- start signal for multiply -- Implicit buffer signal declarations signal done_internal : std_logic; -- Component Declarations -- pragma synthesis_off component alu_firewall port ( A : in unsigned ( 7 downto 0 ); B : in unsigned ( 7 downto 0 ); clk : in std_logic; done : in std_logic; op : in std_logic_vector ( 2 downto 0 ); reset_n : in std_logic; start : in std_logic ); end component; -- pragma synthesis_on component single_cycle port ( A : in unsigned ( 7 downto 0 ); B : in unsigned ( 7 downto 0 ); clk : in std_logic; op : in std_logic_vector ( 2 downto 0 ); reset_n : in std_logic; start : in std_logic; done_aax : out std_logic; result_aax : out unsigned (15 downto 0) ); end component; component three_cycle port ( A : in unsigned ( 7 downto 0 ); B : in unsigned ( 7 downto 0 ); clk : in std_logic; reset_n : in std_logic; start : in std_logic; done_mult : out std_logic; result_mult : out unsigned (15 downto 0) ); end component; -- Optional embedded configurations -- pragma synthesis_off for all : alu_firewall use entity work.alu_firewall; for all : single_cycle use entity work.single_cycle; for all : three_cycle use entity work.three_cycle; -- pragma synthesis_on begin -- purpose: This block shunts the start signal to the correct block. -- The multiply only sees the start signal when op(2) is '1' -- type : combinational -- inputs : op(2),start -- outputs: start_mult, start_single start_demux: process (op(2),start) begin -- process start_demux case op(2) is when '0' => start_single <= start; start_mult <= '0'; when '1' => start_single <= '0'; start_mult <= start; when others => null; end case; end process start_demux; result_mux : process(result_aax, result_mult, op) begin case op(2) is when '0' => result <= result_aax; when '1' => result <= result_mult; when others => result <= (others => 'X'); end case; end process result_mux; done_mux : process(done_aax, done_mult, op) begin case op(2) is when '0' => done_internal <= done_aax; when '1' => done_internal <= done_mult; when others => done_internal <= 'X'; end case; end process done_mux; -- Instance port mappings. -- pragma synthesis_off firewall : alu_firewall port map ( A => A, B => B, clk => clk, done => done_internal, op => op, reset_n => reset_n, start => start); -- pragma synthesis_on add_and_xor : single_cycle port map ( A => A, B => B, clk => clk, op => op, reset_n => reset_n, start => start_single, done_aax => done_aax, result_aax => result_aax ); mult : three_cycle port map ( A => A, B => B, clk => clk, reset_n => reset_n, start => start_mult, done_mult => done_mult, result_mult => result_mult ); -- Implicit buffered output assignments done <= done_internal; end rtl;
mit
Main-Project-MEC/Systolic-Processor-On-FPGA
ISE Design Files/PIPO4/PIPO4_tb.vhd
1
1077
library ieee; use ieee.std_logic_1164.all; entity PIPO4_tb is end PIPO4_tb; architecture tb of PIPO4_tb is component PIPO4 port (Rin : in STD_LOGIC_VECTOR (3 downto 0); CLK, preset, clear: in STD_LOGIC; Rout : out STD_LOGIC_VECTOR (3 downto 0)); end component; signal Rin: std_logic_vector(3 downto 0); signal CLK,Preset,Clear : std_logic := '1'; signal Rout: std_logic_vector(3 downto 0); begin mapping: PIPO4 port map(Rin,CLK,Preset,Clear,Rout); process begin Rin(3) <= '0'; wait for 16 ps; Rin(3) <= '1'; wait for 16 ps; end process; process begin Rin(2) <= '0'; wait for 8 ps; Rin(2) <= '1'; wait for 8 ps; end process; process begin Rin(1) <= '0'; wait for 4 ps; Rin(1) <= '1'; wait for 4 ps; end process; process begin Rin(0) <= '0'; wait for 2 ps; Rin(0) <= '1'; wait for 2 ps; end process; process begin CLK <= '0'; wait for 1 ps; CLK <= '1'; wait for 1 ps; end process; end tb; configuration cfg_tb of PIPO4_tb is for tb end for; end cfg_tb;
mit
Main-Project-MEC/Systolic-Processor-On-FPGA
Misc/Opencores/c16_latest.tar/c16/tags/Rev_XLNX_7/vhdl/bin_to_7segment.vhd
3
3761
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity bin_to_7segment is Port( CLK_I : in std_logic; PC : in std_logic_vector(15 downto 0); SEG1 : out std_logic_vector(7 downto 1); SEG2 : out std_logic_vector(7 downto 0)); end bin_to_7segment; architecture Behavioral of bin_to_7segment is -- +------- middle upper -- |+------- right upper -- ||+------ right lower -- |||+----- middle lower -- ||||+---- left lower -- |||||+--- left upper -- ||||||+-- middle middle -- ||||||| constant LEDV_0 : std_logic_vector(6 downto 0):= "1111110";-- 0 constant LEDV_1 : std_logic_vector(6 downto 0):= "0110000";-- 1 constant LEDV_2 : std_logic_vector(6 downto 0):= "1101101";-- 2 constant LEDV_3 : std_logic_vector(6 downto 0):= "1111001";-- 3 constant LEDV_4 : std_logic_vector(6 downto 0):= "0110011";-- 4 constant LEDV_5 : std_logic_vector(6 downto 0):= "1011011";-- 5 constant LEDV_6 : std_logic_vector(6 downto 0):= "1011111";-- 6 constant LEDV_7 : std_logic_vector(6 downto 0):= "1110000";-- 7 constant LEDV_8 : std_logic_vector(6 downto 0):= "1111111";-- 8 constant LEDV_9 : std_logic_vector(6 downto 0):= "1111011";-- 9 constant LEDV_A : std_logic_vector(6 downto 0):= "1110111";-- A constant LEDV_b : std_logic_vector(6 downto 0):= "0011111";-- b constant LEDV_C : std_logic_vector(6 downto 0):= "1001110";-- C constant LEDV_d : std_logic_vector(6 downto 0):= "0111101";-- d constant LEDV_E : std_logic_vector(6 downto 0):= "1001111";-- E constant LEDV_F : std_logic_vector(6 downto 0):= "1000111";-- F signal LED_CNT : std_logic_vector(25 downto 0); signal LED_VAL : std_logic_vector(15 downto 0); begin process(CLK_I) variable LED4H, LED4L : std_logic_vector(3 downto 0); begin if (rising_edge(CLK_I)) then if (LED_CNT(25) = '0') then LED4H := LED_VAL( 7 downto 4); LED4L := LED_VAL( 3 downto 0); else LED4H := LED_VAL(15 downto 12); LED4L := LED_VAL(11 downto 8); end if; if (LED_CNT = 0) then LED_VAL <= PC; end if; LED_CNT <= LED_CNT + 1; case LED4H is when X"0" => SEG1 <= LEDV_0; when X"1" => SEG1 <= LEDV_1; when X"2" => SEG1 <= LEDV_2; when X"3" => SEG1 <= LEDV_3; when X"4" => SEG1 <= LEDV_4; when X"5" => SEG1 <= LEDV_5; when X"6" => SEG1 <= LEDV_6; when X"7" => SEG1 <= LEDV_7; when X"8" => SEG1 <= LEDV_8; when X"9" => SEG1 <= LEDV_9; when X"A" => SEG1 <= LEDV_A; when X"B" => SEG1 <= LEDV_b; when X"C" => SEG1 <= LEDV_c; when X"D" => SEG1 <= LEDV_d; when X"E" => SEG1 <= LEDV_E; when others => SEG1 <= LEDV_F; end case; case LED4L is when X"0" => SEG2(7 downto 1) <= LEDV_0; when X"1" => SEG2(7 downto 1) <= LEDV_1; when X"2" => SEG2(7 downto 1) <= LEDV_2; when X"3" => SEG2(7 downto 1) <= LEDV_3; when X"4" => SEG2(7 downto 1) <= LEDV_4; when X"5" => SEG2(7 downto 1) <= LEDV_5; when X"6" => SEG2(7 downto 1) <= LEDV_6; when X"7" => SEG2(7 downto 1) <= LEDV_7; when X"8" => SEG2(7 downto 1) <= LEDV_8; when X"9" => SEG2(7 downto 1) <= LEDV_9; when X"A" => SEG2(7 downto 1) <= LEDV_A; when X"B" => SEG2(7 downto 1) <= LEDV_b; when X"C" => SEG2(7 downto 1) <= LEDV_c; when X"D" => SEG2(7 downto 1) <= LEDV_d; when X"E" => SEG2(7 downto 1) <= LEDV_E; when others => SEG2(7 downto 1) <= LEDV_F; end case; SEG2(0) <= LED_CNT(25); end if; end process; end Behavioral;
mit
timtian090/Playground
UVM/UVMExamples/mod11_functional_coverage/class_examples/alu_tb/std_ovl/vhdl93/syn_src/ovl_one_hot_rtl.vhd
1
7136
-- Accellera Standard V2.3 Open Verification Library (OVL). -- Accellera Copyright (c) 2008. All rights reserved. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.std_ovl.all; use work.std_ovl_procs.all; architecture rtl of ovl_one_hot is constant assert_name : string := "OVL_ONE_HOT"; constant path : string := ""; constant all_ones : std_logic_vector(width - 1 downto 0) := (others => '1'); constant all_zeros : std_logic_vector(width - 1 downto 0) := (others => '0'); constant coverage_level_ctrl : ovl_coverage_level := ovl_get_ctrl_val(coverage_level, controls.coverage_level_default); constant cover_sanity : boolean := cover_item_set(coverage_level_ctrl, OVL_COVER_SANITY); constant cover_corner : boolean := cover_item_set(coverage_level_ctrl, OVL_COVER_CORNER); signal reset_n : std_logic; signal clk : std_logic; signal fatal_sig : std_logic; signal test_expr_x01 : std_logic_vector(width - 1 downto 0); signal prev_test_expr : std_logic_vector(width - 1 downto 0); signal one_hots_checked : std_logic_vector(width - 1 downto 0); signal prev_one_hots_checked : std_logic_vector(width - 1 downto 0); shared variable error_count : natural; shared variable cover_count : natural; function check_one_hot (v : std_logic_vector) return boolean is variable v_1 : std_logic_vector((v'length - 1) downto 0); begin case ovl_is_x(v) is when false => v_1 := std_logic_vector(unsigned(v) - 1); when others => v_1 := (others => '0'); end case; if ((v and v_1) = all_zeros) then return true; else return false; end if; end function check_one_hot; begin test_expr_x01 <= to_x01(test_expr); ------------------------------------------------------------------------------ -- Gating logic -- ------------------------------------------------------------------------------ reset_gating : entity work.std_ovl_reset_gating generic map (reset_polarity => reset_polarity, gating_type => gating_type, controls => controls) port map (reset => reset, enable => enable, reset_n => reset_n); clock_gating : entity work.std_ovl_clock_gating generic map (clock_edge => clock_edge, gating_type => gating_type, controls => controls) port map (clock => clock, enable => enable, clk => clk); ------------------------------------------------------------------------------ -- Initialization message -- ------------------------------------------------------------------------------ ovl_init_msg_gen : if (controls.init_msg_ctrl = OVL_ON) generate ovl_init_msg_proc(severity_level, property_type, assert_name, msg, path, controls); end generate ovl_init_msg_gen; ------------------------------------------------------------------------------ -- Assertion - 2-STATE -- ------------------------------------------------------------------------------ ovl_assert_on_gen : if (ovl_2state_is_on(controls, property_type)) generate ovl_assert_p : process (clk) begin if (rising_edge(clk)) then fatal_sig <= 'Z'; if (reset_n = '0') then fire(0) <= '0'; elsif (not ovl_is_x(test_expr_x01)) then if ((test_expr_x01 = all_zeros) or (not check_one_hot(test_expr_x01))) then fire(0) <= '1'; ovl_error_proc("Test expression contains more or less than 1 asserted bits", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); else fire(0) <= '0'; end if; else fire(0) <= '0'; end if; end if; end process ovl_assert_p; ovl_finish_proc(assert_name, path, controls.runtime_after_fatal, fatal_sig); end generate ovl_assert_on_gen; ovl_assert_off_gen : if (not ovl_2state_is_on(controls, property_type)) generate fire(0) <= '0'; end generate ovl_assert_off_gen; ------------------------------------------------------------------------------ -- Assertion - X-CHECK -- ------------------------------------------------------------------------------ ovl_xcheck_on_gen : if (ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate ovl_xcheck_p : process (clk) begin if (rising_edge(clk)) then fatal_sig <= 'Z'; if (reset_n = '0') then fire(1) <= '0'; elsif (ovl_is_x(test_expr_x01)) then fire(1) <= '1'; ovl_error_proc("test_expr contains X, Z, U, W or -", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); else fire(1) <= '0'; end if; end if; end process ovl_xcheck_p; end generate ovl_xcheck_on_gen; ovl_xcheck_off_gen : if (not ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate fire(1) <= '0'; end generate ovl_xcheck_off_gen; ------------------------------------------------------------------------------ -- Coverage -- ------------------------------------------------------------------------------ ovl_cover_on_gen : if ((controls.cover_ctrl = OVL_ON) and (cover_sanity or cover_corner)) generate ovl_cover_p : process (clk) begin if (rising_edge(clk)) then prev_one_hots_checked <= one_hots_checked; prev_test_expr <= test_expr_x01; if (reset_n = '0') then fire(2) <= '0'; one_hots_checked <= (others => '0'); else fire(2) <= '0'; if ((not ovl_is_x(test_expr_x01)) and check_one_hot(test_expr_x01)) then one_hots_checked <= one_hots_checked or test_expr_x01; end if; if (cover_sanity and (test_expr_x01 /= prev_test_expr) and not ovl_is_x(test_expr_x01) and not ovl_is_x(prev_test_expr)) then ovl_cover_proc("test_expr_change covered", assert_name, path, controls, cover_count); fire(2) <= '1'; end if; if (cover_corner and (one_hots_checked /= prev_one_hots_checked) and (one_hots_checked = all_ones) and not ovl_is_x(one_hots_checked)) then ovl_cover_proc("all_one_hots_checked covered", assert_name, path, controls, cover_count); fire(2) <= '1'; end if; end if; end if; end process ovl_cover_p; end generate ovl_cover_on_gen; ovl_cover_off_gen : if ((controls.cover_ctrl = OVL_OFF) or (not(cover_sanity) and not(cover_corner))) generate fire(2) <= '0'; end generate ovl_cover_off_gen; end architecture rtl;
mit
Main-Project-MEC/Systolic-Processor-On-FPGA
Misc/Opencores/c16_latest.tar/c16/tags/Rev_XLNX_5/vhdl/temperature.vhd
3
3083
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity temperature is PORT( CLK_I : in STD_LOGIC; RST_I : in STD_LOGIC; DATA_OUT : out STD_LOGIC_VECTOR(7 downto 0); TEMP_SPI : out STD_LOGIC; TEMP_SPO : in STD_LOGIC; TEMP_CE : out STD_LOGIC; TEMP_SCLK : out STD_LOGIC ); end temperature; architecture behavioral of temperature is component DS1722 PORT( CLK_I : in std_logic; RST_I : in std_logic; DATA_IN : in std_logic_vector(7 downto 0); DATA_OUT : out std_logic_vector(7 downto 0); ADDRESS : in std_logic_vector(7 downto 0); START : in std_logic; DONE : out std_logic; TEMP_SPI : out STD_LOGIC; TEMP_SPO : in STD_LOGIC; TEMP_CE : out STD_LOGIC; TEMP_SCLK : out STD_LOGIC ); end component; signal TEMP_DATA_IN : STD_LOGIC_VECTOR (7 downto 0); signal TEMP_DATA_OUT : STD_LOGIC_VECTOR (7 downto 0); signal TEMP_ADDRESS : STD_LOGIC_VECTOR (7 downto 0); signal TEMP_START : std_logic; signal TEMP_DONE : std_logic; type TEMPERATURE_STATES is (TEMP_IDLE, TEMP_SETUP, TEMP_SETUP_COMPLETE, TEMP_GET_DATA, TEMP_GET_DATA_COMPLETE); signal TEMP_state : TEMPERATURE_STATES; begin tsensor: DS1722 PORT MAP( CLK_I => CLK_I, RST_I => RST_I, DATA_IN => TEMP_DATA_IN, DATA_OUT => TEMP_DATA_OUT, ADDRESS => TEMP_ADDRESS, START => TEMP_START, DONE => TEMP_DONE, TEMP_SPI => TEMP_SPI, TEMP_SPO => TEMP_SPO, TEMP_CE => TEMP_CE, TEMP_SCLK => TEMP_SCLK ); -- State machine to step though the process of getting data -- from the Digital Thermometer. -- process (CLK_I) begin if (rising_edge(CLK_I)) then if (RST_I = '1') then TEMP_state <= TEMP_IDLE; TEMP_START <= '0'; TEMP_ADDRESS <= "00000000"; TEMP_DATA_IN <= "00000000"; else case TEMP_state is when TEMP_IDLE => TEMP_START <= '0'; TEMP_ADDRESS <= "00000000"; TEMP_DATA_IN <= "00000000"; TEMP_state <= TEMP_SETUP; when TEMP_SETUP => TEMP_ADDRESS <= "10000000"; TEMP_DATA_IN <= "11101000"; if (TEMP_DONE = '1') then TEMP_state <= TEMP_SETUP_COMPLETE; TEMP_START <= '0'; else TEMP_state <= TEMP_SETUP; TEMP_START <= '1'; end if; when TEMP_SETUP_COMPLETE => TEMP_START <= '0'; if (TEMP_DONE = '1') then TEMP_state <= TEMP_SETUP_COMPLETE; else TEMP_state <= TEMP_GET_DATA; end if; when TEMP_GET_DATA => TEMP_ADDRESS <= "00000010"; if (TEMP_DONE = '1') then TEMP_state <= TEMP_GET_DATA_COMPLETE; DATA_OUT <= TEMP_DATA_OUT; TEMP_START <= '0'; else TEMP_state <= TEMP_GET_DATA; TEMP_START <= '1'; end if; when TEMP_GET_DATA_COMPLETE => TEMP_START <= '0'; if (TEMP_DONE = '1') then TEMP_state <= TEMP_GET_DATA_COMPLETE; else TEMP_state <= TEMP_GET_DATA; end if; end case; end if; end if; end process; end behavioral;
mit
timtian090/Playground
UVM/UVMExamples/mod11_functional_coverage/class_examples/alu_tb/std_ovl/vhdl93/ovl_next_rtl.vhd
1
7879
-- Accellera Standard V2.3 Open Verification Library (OVL). -- Accellera Copyright (c) 2008. All rights reserved. library ieee; use ieee.std_logic_1164.all; use work.std_ovl.all; use work.std_ovl_procs.all; architecture rtl of ovl_next is constant assert_name : string := "OVL_NEXT"; constant path : string := rtl'path_name; constant coverage_level_ctrl : ovl_coverage_level := ovl_get_ctrl_val(coverage_level, controls.coverage_level_default); constant cover_basic : boolean := cover_item_set(coverage_level_ctrl, OVL_COVER_BASIC); constant cover_corner : boolean := cover_item_set(coverage_level_ctrl, OVL_COVER_CORNER); signal reset_n : std_logic; signal clk : std_logic; signal fatal_sig : std_logic; signal start_event_x01 : std_logic; signal test_expr_x01 : std_logic; signal monitor : std_logic_vector(num_cks - 1 downto 0); signal monitor_sll : std_logic_vector(num_cks - 1 downto 0); shared variable error_count : natural; shared variable cover_count : natural; begin start_event_x01 <= to_x01(start_event); test_expr_x01 <= to_x01(test_expr); ------------------------------------------------------------------------------ -- Gating logic -- ------------------------------------------------------------------------------ reset_gating : entity work.std_ovl_reset_gating generic map (reset_polarity => reset_polarity, gating_type => gating_type, controls => controls) port map (reset => reset, enable => enable, reset_n => reset_n); clock_gating : entity work.std_ovl_clock_gating generic map (clock_edge => clock_edge, gating_type => gating_type, controls => controls) port map (clock => clock, enable => enable, clk => clk); ------------------------------------------------------------------------------ -- Initialization message -- ------------------------------------------------------------------------------ ovl_init_msg_gen : if (controls.init_msg_ctrl = OVL_ON) generate ovl_init_msg_proc(severity_level, property_type, assert_name, msg, path, controls); end generate ovl_init_msg_gen; ------------------------------------------------------------------------------ -- Shared logic -- ------------------------------------------------------------------------------ ovl_monitor_gen : if (ovl_2state_is_on(controls, property_type) or ((controls.cover_ctrl = OVL_ON) and (cover_basic or cover_corner))) generate ovl_monitor_p : process (clk) begin if (rising_edge(clk)) then if (reset_n = '0') then monitor <= (others => '0'); else monitor <= monitor_sll; monitor(0) <= start_event_x01; end if; end if; end process ovl_monitor_p; end generate ovl_monitor_gen; monitor_sll <= monitor sll 1; ------------------------------------------------------------------------------ -- Assertion - 2-STATE -- ------------------------------------------------------------------------------ ovl_assert_on_gen : if (ovl_2state_is_on(controls, property_type)) generate ovl_assert_p : process (clk) begin if (rising_edge(clk)) then fatal_sig <= 'Z'; if (reset_n = '0') then fire(0) <= '0'; else fire(0) <= '0'; if ((check_overlapping = OVL_CHK_OVERLAP_ON) and (or_reduce(monitor_sll) = '1') and (start_event_x01 = '1')) then fire(0) <= '1'; ovl_error_proc("Illegal overlapping condition of start event is detected", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); end if; if ((check_missing_start = OVL_ON) and (monitor(num_cks - 1) = '0') and (test_expr_x01 = '1')) then fire(0) <= '1'; ovl_error_proc("Test expresson is asserted without a corresponding start_event", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); end if; if ((monitor(num_cks - 1) = '1') and (test_expr_x01 = '0')) then fire(0) <= '1'; ovl_error_proc("Test expression is not asserted after elapse of num_cks cycles from start event", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); end if; end if; end if; end process ovl_assert_p; ovl_finish_proc(assert_name, path, controls.runtime_after_fatal, fatal_sig); end generate ovl_assert_on_gen; ovl_assert_off_gen : if (not ovl_2state_is_on(controls, property_type)) generate fire(0) <= '0'; end generate ovl_assert_off_gen; ------------------------------------------------------------------------------ -- Assertion - X-CHECK -- ------------------------------------------------------------------------------ ovl_xcheck_on_gen : if (ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate ovl_xcheck_p : process (clk) begin if (rising_edge(clk)) then fatal_sig <= 'Z'; if (reset_n = '0') then fire(1) <= '0'; else fire(1) <= '0'; if (ovl_is_x(start_event_x01)) then fire(1) <= '1'; ovl_error_proc("start_event contains X, Z, U, W or -", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); end if; if (((check_missing_start = OVL_ON) or (monitor(num_cks - 1) = '1')) and ovl_is_x(test_expr_x01)) then fire(1) <= '1'; ovl_error_proc("test_expr contains X, Z, U, W or -", severity_level, property_type, assert_name, msg, path, controls, fatal_sig, error_count); end if; end if; end if; end process ovl_xcheck_p; end generate ovl_xcheck_on_gen; ovl_xcheck_off_gen : if (not ovl_xcheck_is_on(controls, property_type, OVL_IMPLICIT_XCHECK)) generate fire(1) <= '0'; end generate ovl_xcheck_off_gen; ------------------------------------------------------------------------------ -- Coverage -- ------------------------------------------------------------------------------ ovl_cover_on_gen : if ((controls.cover_ctrl = OVL_ON) and (cover_basic or cover_corner)) generate ovl_cover_p : process (clk) begin if (rising_edge(clk)) then if (reset_n = '0') then fire(2) <= '0'; else fire(2) <= '0'; if (cover_basic and (start_event_x01 = '1')) then fire(2) <= '1'; ovl_cover_proc("start_event covered", assert_name, path, controls, cover_count); end if; if (cover_corner and (check_overlapping = OVL_CHK_OVERLAP_OFF) and (or_reduce(monitor_sll) = '1') and (start_event_x01 = '1')) then fire(2) <= '1'; ovl_cover_proc("overlapping_start_events covered", assert_name, path, controls, cover_count); end if; end if; end if; end process ovl_cover_p; end generate ovl_cover_on_gen; ovl_cover_off_gen : if ((controls.cover_ctrl = OVL_OFF) or not(cover_basic or cover_corner)) generate fire(2) <= '0'; end generate ovl_cover_off_gen; end architecture rtl;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc706/aes_zc706.srcs/sources_1/rtl/reset/aeg_design_resets.vhd
2
8049
-------------------------------------------------------------------------------- -- File : tri_mode_ethernet_mac_0_example_design_resets.vhd -- Author : Xilinx Inc. -- ----------------------------------------------------------------------------- -- (c) Copyright 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- -- Description: This block generates fully synchronous resets for each clock domain library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity aeg_design_0_resets is port ( -- clocks s_axi_aclk : in std_logic; gtx_clk : in std_logic; -- asynchronous resets glbl_rst : in std_logic; rx_reset : in std_logic; tx_reset : in std_logic; dcm_locked : in std_logic; -- synchronous reset outputs glbl_rst_intn : out std_logic; gtx_resetn : out std_logic := '0'; s_axi_resetn : out std_logic := '0'; phy_resetn : out std_logic ); end aeg_design_0_resets; architecture RTL of aeg_design_0_resets is ------------------------------------------------------------------------------ -- Component declaration for the reset synchroniser ------------------------------------------------------------------------------ component aeg_design_0_reset_sync port ( clk : in std_logic; -- clock to be sync'ed to enable : in std_logic; reset_in : in std_logic; -- Active high asynchronous reset reset_out : out std_logic -- "Synchronised" reset signal ); end component; ------------------------------------------------------------------------------ -- Component declaration for the synchroniser ------------------------------------------------------------------------------ component aeg_design_0_sync_block port ( clk : in std_logic; data_in : in std_logic; data_out : out std_logic ); end component; -- define internal signals signal s_axi_pre_resetn : std_logic := '0'; signal s_axi_reset_int : std_logic; signal combined_reset : std_logic; signal gtx_pre_resetn : std_logic := '0'; signal gtx_clk_reset_int : std_logic; signal clear_checker : std_logic; signal chk_pre_resetn : std_logic := '0'; signal chk_reset_int : std_logic; signal dcm_locked_sync : std_logic; signal glbl_rst_int : std_logic; signal phy_resetn_int : std_logic; signal phy_reset_count : unsigned(5 downto 0) := (others => '0'); begin ------------------------------------------------------------------------------ -- Synchronise the async dcm_locked into the gtx_clk clock domain ------------------------------------------------------------------------------ dcm_sync : aeg_design_0_sync_block port map ( clk => gtx_clk, data_in => dcm_locked, data_out => dcm_locked_sync ); ------------------------------------------------------------------------------ -- Generate resets required for the fifo side signals etc ------------------------------------------------------------------------------ -- in each case the async reset is first captured and then synchronised ----------------- -- global reset glbl_reset_gen : aeg_design_0_reset_sync port map ( clk => gtx_clk, enable => dcm_locked_sync, reset_in => glbl_rst, reset_out => glbl_rst_int ); glbl_rst_intn <= not glbl_rst_int; ----------------- -- AXI-Lite reset axi_lite_reset_gen : aeg_design_0_reset_sync port map ( clk => s_axi_aclk, enable => phy_resetn_int, reset_in => glbl_rst, reset_out => s_axi_reset_int ); -- Create fully synchronous reset in the s_axi clock domain. axi_lite_reset_p : process(s_axi_aclk) begin if s_axi_aclk'event and s_axi_aclk = '1' then if s_axi_reset_int = '1' then s_axi_pre_resetn <= '0'; s_axi_resetn <= '0'; else s_axi_pre_resetn <= '1'; s_axi_resetn <= s_axi_pre_resetn; end if; end if; end process axi_lite_reset_p; ----------------- -- gtx_clk reset combined_reset <= glbl_rst or rx_reset or tx_reset; gtx_reset_gen : aeg_design_0_reset_sync port map ( clk => gtx_clk, enable => dcm_locked_sync, reset_in => combined_reset, reset_out => gtx_clk_reset_int ); -- Create fully synchronous reset in the gtx_clk domain. gtx_reset_p : process(gtx_clk) begin if gtx_clk'event and gtx_clk = '1' then if gtx_clk_reset_int = '1' then gtx_pre_resetn <= '0'; gtx_resetn <= '0'; else gtx_pre_resetn <= '1'; gtx_resetn <= gtx_pre_resetn; end if; end if; end process gtx_reset_p; ----------------- -- PHY reset -- the phy reset output (active low) needs to be held for at least 10x25MHZ cycles -- this is derived using the 125MHz available and a 6 bit counter phy_reset_p : process(gtx_clk) begin if gtx_clk'event and gtx_clk = '1' then if glbl_rst_int = '1' then phy_resetn_int <= '0'; phy_reset_count <= (others => '0'); else if phy_reset_count /= "111111" then phy_reset_count <= phy_reset_count + "000001"; else phy_resetn_int <= '1'; end if; end if; end if; end process phy_reset_p; phy_resetn <= phy_resetn_int; end RTL;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_encoder.vhd
11
20893
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block fOPvHkkMeoG2YSxpdCiiaIsa6OukwnK1nUpGs+ws1Kzx3puslJ7iKn9T5myI9VkVUgRFFN1Xfit5 dX6F014IGg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HgNCPi3QHpb8dW9RkjxoUGM5YOGOMgLiuLQefgSbCuez4Gs1lAiQxdxBQhTyXNRS4H89tPbEkHbH 4Kggyvl0Af9heeHWsTafUvqQuQWNgivzOk2qQ1liLSXiEJl1Fyiq4YsawJBYE511/GABOu1E9kTU pmHAixKjBq9m5/peLok= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ACyuBPaQ3cHp5s6xGUvo899vJ+G/MHO3F7Xaj7MR44qEHb8iz7UONlim1fw/l+q+pLsNBpoVE2XP zJRxKhGGODnlablcYtB85txWJY2O5wNxUYSePEkcSvh0aNjf+cMEMsQNe2HL2rZ4QpuBDEvwlv9s WW2rOSkOtnkb96LcOQBvhFfaOnMJ1hyH3fZjN7kEzqhyOzx1lZ7F40vGA4At8E6hirc5xMjqdEgS nhipIIHTzkenqLhApVGgUbafRQbG8ESyjA01uFRtZ25J8+Pr4cs+tPGobm0XSO8t3XwLVZapRDY7 SXW1qYkISFEa6NBGxO81MTbzFuTQYdh3r/X0qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JF/XJkMGoJfUihOS9R76tudD+YiVNpy3w5hfoZ0y9FCS+pWh3V2jVVw5cst7125I/hGyQ4cnB2Cm MpdAa/YBtj6yK0ds1YeuSU2Cxzm0w9QZ3nLSon/2jE9kx1d54bisjxRhEcKKKTSHuw6W+FSHffj0 JOZyc8RfIJ5IeOr+rqo= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T1BVKuyJOlWV6bcI3uYrVButOZWbfA/40bEMumgKuFASXUB5EUZZP2K7kyzSOvm86sj9UL9ICrc7 AvTcJqoQYQhmFnASRJLODgvNhDHbAg2lnzfWs6NJAS/1X+/rmymQ74dE/PCMYJ57I9d++M5vjskf w0OpYjhlBVYSir/Tk9MztjSWYD+sQILHVjHe47WzcVPfaW+EotqO6HFgKqlaDo7HehG2c2e3vqSf vOR+wJbW5Wf5aDiFmC5wYka9JsKtHRwx6zm2OF25VJgE3xTsK+bAIDPdQfmE1j88uDe5XhfBnRre CJ9sWYXEWxeZpiUuR8hxlglo6dezNGJESHO9ow== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728) `protect data_block XOWtDNZRv4FbcK5HgglDAnuoXeeEtQzM+5dwtbTltQeOcgiGL0ldThovapKXwlYS6j3SjEpt1ctW l0HJEu5CZQbd72e/yXjziCbCs84D4Hl2Vork8+ulXUTominLbvkE4YkL+H3FyGOZakEzvv3vHoQi bGRSzkjeHwbXiPYH59tq7tQeJMQxgUe+oj3vs74AkG1R9+2YXPdoq+c0ike49CI+BgwG1ugOKpIs VgL02xZMnagJKOXiUgf6pQ6HJDu7a4cb6y+rbPzvCZD3U39xEI3Y25hhH1UhPljfkI5NOn8Vu/l1 pd47R931h0LHGQ9zMdTwFILbWSSgIXW+Ww2X6UYKBg6+XDIGfN9yLUc0j67yNx93C6w7mxcdUnEf d5XBmYrPp9/EcMoTf0aob/1TYF6e3buFL344aO7XizDEZRYc7KG+GUeDaZr1RZaf39ICNvcxuUup fPl6qYVf8bE9LBoHxQs5BKNxGe0s69ylsGwN9ne9Mu/voJBkPMqFF2/pXAkTAXy5CiAyNLz2D4Qj Kj4V0wZXh6DUewkdBgOgMdnG4/SHl1hzGU1DdVr1bgJrunH3p4DNx7ETzJJKPsKmU685dPc30nwz G1ysAPjxF4rsT7ysCwcKpFB5BSTTWnol85/tmFsSpvgHZbfkKPGr9PEQFg0S+Nth2MZL9N1sC+eV I65XtorUCbUU6VD3zsuk5T9ykchUyiijID+xcwuAAaH6QhEosv3sG3+v4M9FbpyXXU2dv+3hmD+Q 3qI1UDa8udQd8CyVOIu/DMs7GWf+DfuY5Neb07hYJcNC12Web7v6KPXVxOxGkE1kdqsK564hww9I 7if5qaA3BuMtfL3+lBhZn5GKYywMy60g2SdSoxO5GNEuBLToM97ulyb91wYTxuvvcJ1nyjBVlC90 mSw7nXfXJjhsbDlqix6aaMoQ5mq6WniCyO3s28BGiKiKbeuQhEmN97BgNY4qvZ3ZxA+oM8ipbDzv OypQL+vvEilICjXzp7hJBlgb4Cd1aCebECNJrqe/AMHC4+pHwAd7aC9eMinjkl0PDhteljDSS4SH SI0sNHQr6d0Jhzp4UrvhRDvfJ9nxbh/F6dtS/msNc+vgGt8T0wmN48DxMu93EAv6wTDclbebzZFe iYRCgpUN+wPNk1rZQ6OWwU4Q2uE51AjSfHdzUotLRFgcfVYCKBHfqqt1xlPe15VS1bRsW2tteYvo a8vf1a62LKECyibR5UbX0qp5OwFpQDxVEu7qWqv9DpXrjTpTPEnCw/er6wej0h9FGgxxiUC48PKt t5PRY2pjB6y6ZEO/wrO3MUfjlx0pLCSBOOfRrNwzJ2g20gkUckYsJhph8I8mBaprd6qd03rmM+H6 sZ1QapOO1+AgxxoWxm/JtLO4v87aI/k7apNcMnb3rtdyX+nQlkDh/zy/F27S7SK+sxIXnYkiVjHU 0c1Tz3ay5xtmN9oMRWyPcehQ+AtIS/aGm5mQxSTRqgGTlNmmXdUm7uwmk0LMAJMx9IEVKD/T4G/e VkFj1wXaHBAjrtCIsk4mpe4/9OLRtRTG8931GbjC7aEGAFl49pXz+gEjSXfgR7jKMASaaHekT0Lf VmubPldiz1uvAREwZStCTrNJ14kjJew2u6c/gzrPyRT7hLC8HmeJ22Cndh+eMAluZ3QjydEftzRl 6/swDB4j2FO6r9VquZv92LAKPVJyjPzR6/grSYjVkPbydBSE2qSkJW15/+UtzZWdDqgFKIUDi3MF WV80epAX0xaeQfJSwpx6bP0Ag8srHZhkfU+taAeA2JjCKfeyErzjt4fwajeMkrb+v6rTZqxZzovX flE/bvBh7zd5Hskf/4gONrf2kiudfSC8AqaVFxEXDwg1zvtUTwiOunUBT78AehfyhdJuv/e5xY4k L6VuYh3esIUF3ovizq2tAX/P3X0pgcgynUvLg1ctVuJKtgPHFs8vbCssvzK672RmPwALLBmR5uxy fNuRI7fWrXHd70jRFTnsQk/HEyuNyTQSDly2+AfxaQBNohUiWtZnKDqfAAy4feRld2qMQyPediJb a3r38TDceZ6AZNVhrpp/JrwZHbLR1zzBbkGL8BvLerH3VpGSRsZDmTOmw2+QRYQ9IlnPbNUAWBHi IxQXruHEObmJWgW7PRh+5qxIocXp9CZqjojH/49FnYhpbKVNQqVb0gnRtdyDz/nCusx/6WsjhECw pteSfnlYktSikI9/pRx0jEc/APbMNAwm1xHQzemGr0L/EC1m3m90wvcT7RBsEZlK6juw8QmMraoW l9ywXqdDXM7Pg8z8eSMiavXwmG7BPjFM5bcsr6ys/8raseJyod+8DRNSbRVLBMC+x1YrqEZBwnGr BuQ5FCnaCcl+NV/i8bIE4GCeSX0TO0z8GE+2vEfD6a3vN50uDN/0pOuyft4JxTshOCiTaDOT9cTK rMfsE9EGPuPUUfmss7tV45kYpD6MoYo6z/+7Ry5lcWcAwffYgxPD4K9BXBurqWafRoWP2l/+FSEQ V/hR3oiEHA7U3nvfM+NZCXR9pkKoH9ELa4D41lo435K9b4GK69JWNX8VDg87AOmjGLHh7SRlddfr En/wyvAS9aWef7b7diP9yLE5TQS2kVI7SlMBnvwJaXkSYLwMn9wnfpo5JhosST1yRkqHbgTB0gP4 mE0prXfcH/Myaf1KJFwdxXeIHCOp+eEyuXUgyemHz5wpwY6xrEJrd194Ew8tdENtoTXi9cfpQURr O5m7wA6I7ZQdifuT4d1NftZYNxu4fTB6P8j39JJpO0aaf4ByNs0KpySlCG9n/Mah8hzV+zq78tTt fdhAnZpTDEqolldjTIGsvJ0AudF35IGFvmA9myx76yXqXo7DZzaX2MghZ0eBnxzdNeojGuvL658w WyEi1jjwZqUnzjhY6j1EhaoDRXID0IL6kjlImg5/YdUvU+KkaqhrwA4mvrbUVsXFuWUBiYymIT8u ZR72/bSZ2qHIj8CCBvJ86gUNhkW32fwivTDIhUbEqVMtsy0sbpE6fHF+AZqieahom+MEXUbCBFYB 8rJfKF78d9GDHzrihX6cerqy5UKsuMDSle++UYgB/1HS+6hm07PjEATky/704K6x1LxyPII2d4Nh HTO0znYLeAJITj6X1zXFBLRxz/7JK7PAgPuH5N6hrfA7ZgH+q8VHdKgdS/c40obQLdFKTuI3AAud 2NltlIMYtdAkPBXf8U6iQkucHZ7orFA6XnpsBUn9oY42x6a1mFZJgxB9igPiKvmqsveCtojdMefY 2SibinbNAXb84FGbpehLb2PHZgD4pUe+Zhtvk2qWyHnsMRbDzaiDhnwPmHdXJ1zinBCvhJn95gre EE1L5i7hlB1a7Z3Lndas2Dff2v1Ylq1f3779VnPSdWh4gm3eRwasiwpx+wQpWIMHefNZ3ZFi3j9S yAJk+gdQT5iBsYHod3H9fc/o7oaXPdU9T9bqAhPpIUwWg9k9Nqwx03nWngl85fwsez5rvKsAMtcY 94oas6UDFJ3qfcEdxSu9ZY5e4XS8K5puZm35BnSWjLL7DNR60HoLRn7Rl3otax7kXiZGyojKx3xX AZ2F/XpnBxK0FBVxDOxXN0JuvSmccBTp0SE4qniOq1hobl7+J/xN6bvWvI8KJLUFXrWgayB8quDp wD5RAYL+hh8wKN6cWMn2Pp2Nyu/qBHFO/yTdNQ3ZieLTyFMdHinetRZGalL2tiXtn7vljDM1HSq2 TD3BZSJs4IdW71hbVUzsO7WwtaXHu1KnPlyV8WuQBQ6W/b3zRy8tJoJg54+SNYGo0nQvzH58D465 ZNHUMpvGUgBhmVr8u2wn6x4vTBOqeao+PGh2zcyNYYuNlQFnrrdjUkFNd2SsManO0dLjZkjFTJ4J 65PTBo9JmOnJj5Z6m6YRVsnnHN0YX9ZkUrHLEtSlRkc+VBKPPPQl9qh4Xw2ETE0QRNwMsmcAY6m3 Q6lPZEOxwJU29Izx8JpmnfMYBgF40jgLHevQNzkBquYTF5jUjwwxPD0nYmett0Sd0Z4TlKyCa2pr 7HCbXu+31aP8EzOufHPPn9n2NVHzCgK0mMDae2a7bjzHjpTjOM7EK1SdZp8U8mflFR1LVczaABvR m6LlwFuGPaqtAgV3qwJpGsditX+6iy1qdsDdTbO6Ncv3Nz39ozjMhuN+45Sz9LIi9SFhS5U4VY7B KB3jG1IFu0VmqTHZA39pxGSwlMMPi3sljSL2gGIc1/A3UWcuVPB7v4PqetKn6j56wA5V/7URLTBW surtCev4U5MKJGKG5Nu9zBf1+afWTuOUzeptlfYO00jidK5FexQ84gt0crgbR6IUWhEawmroqPhM vRNI5CMzDVmS8Jm57mgSXK0H/itUFURaTZrbEXoTMjblseGaZOt+frsgHrbbyZN7kziPaFdm2hZh /VH1a27IrOuSEiQnj8fGgRgIOZXxymHqEGWQtRR0/vg8pBaLKg8VbC+FAato9y5wi/V0ugT6IHQo pb6K5lA+T1nfglPc34nWxTroDt5/3S+b7fi5c8A91AYX15Jd8OI3UVXuvCDZv2yoMWisyhbnqrq6 ArxW4Un7mWWwqCT6z9EK/B3+B2mbIY+IjxVwk3BHTc2kL3DV9oOc3Z/INEzhAUXCTBHssWl+01ZZ oCkU7BQKf4ikm3RfoEr5VTO9WhwrLGhsH3nkr/chCRd9xdQHWTIqLKq6AgxHLA3Ljm6BcxZCSLyr tJFd8UqA71VO1VMpCQ9co7imT14HxQ9UvcDORi9WKuFeAjCAMW2ZTzoSuz4LDfwdcD9LSnRL2YiX VLV72Vx4eY/I1/CyQYu9lIF++jrljWkPyAYwWoJc9cheOagsxtpd2Vbyy9Re9RHw93ovsKMV5+W8 DtOy/MbMkBSvC3BeyZWdwFTM2s/fi6Dr8yfOeaJPlIslxU/WuMGyl85DAD3SW2bcLF+STvPbhAPN ZWAnU1jFPqCIYPcRlTYeTpa7OFz3VjcZ2K5s6qBzMDZhaqaYr/Tz3TL4m4vQDbCg8EQoCCASgjSL DP6OZrdtV/hUUtWk0fMhxXKfJdPavEFpNZunrOh61zReRo8pq/f8Yh3J06c6UhSKr6WR2gTgmczo V5MVXlDvDyQwl8XjZWQNZH6McAPu4x+gAG9JhdljE9kZDmDdeWDKu8K13OCT2hgMUsbIb5OFV5lq SUUpRsu7OX6QzVe5ljg6bCWaE6PCEt2nuC39ilO+DYbe/Pb/YE+/3xqUcNTNSqeWqY/2FU6KHNOI nV4Aa7LL2pEAb9Eg7vzHIO+83JG3CdIg3L8uS0u4C1iYAfj2nUFi9liiuHGNth741sk4N+u3BVLp cN74fqTs0d6Z522ewbQeUR5nRf6IUpusjxJ4BR/8FLYp+oRG7S4LAXqqhX/hdn3gYuVSfy4AUSHr AyVYX+HmvwTR0GjLtJO1I4dAxGxapz4maqia8GQbci7XniTgZvNiS+Kv6lRfopS3nfvl0dAZI+Yq Pb2Eu/4YImPUzzJPqC9bByJwLjoGJSqI5EaKjC7gEkqQlQHqQDeki6pIMF9k4B5XQQl5oLaE9lWl iH1eTpe8xbAC4SIxqceGwVuGF1RVVfwIkO56XhuY4lsClB4WDJRL5FZeWAogtAeatzJOexW1+VNp ESAknDB0K5AwIwCzWO8KaDyfcwvWkBUNrb8PDEhpj7HsVKgDgDwhB1etg4ZVVjM68EOSS/RMrWhW WyZD4pPwSDGDRmz3+lZYYp3NdlYfameAgA3HH6zXhdoxSuOzotOfNUYo5S37Hvo4Y++1J+2nSOxs 2gtu9EozusMtsVLu7jZYMBKmqwjIim0nuGe59PVkW4TcoHl/6iSWbE+yvTTqtRBu/xFlQN1Iju4n JaegefML4jqwM+Ecov6VU/EYqExp/swWPC8qThzaVt/5q1g7skIc6O/061HP1uU9mL7gl9fN0QMN pnwz6YDYJnP36w7D/5f13DAiQ7C2mcjTdiVOhtfgNtTDA5MAKBodIGz5zXZgwYaBwBJJRQ1cvqVi MjwErKt/3/U5/kQ8rzjQQeq7J3ssX1Nky+P6JWEQ/HlNtZDxKFD5KTns7n+ovEUKqyseJO24qbfZ sdJl/oXftTHG3I7Qqw4nlMLszeq2J4KGux9k1jzKDOar+qmEpR77NjgSTx2Ud5QjP6OjAjdS0dK2 Kt4HzKajY2r62FQqwLFnWz5M5dXAYfm2HZVsmV10JL39RsFKFofHH5dFPvbPhMhYAvyBaytYQ/59 2n1+oCG4Xgw74L2Yv+Yy/NFavTYZDsVlxGhnVIPCE+kGLD8m+zL0TaXtK5XOWfeEYiAZw77F3Zb8 d9HYYRKEGxHmNHuko/FYrnmGpwfl7qX7bEpkAJOrQDa5lTjyKDg6W58d6BUfMI7lBOqGblUy2B69 44th80thR5xfYL+qj/qtIjEQRb6oI4A1b4De5BvZSyxOsgCWfmcD+hEbGaQ8c100NkALjzxY3VE4 xX2sCOIZNpes747/xq/FSgNas6YhmaYpCCNvxFOFuZyQAWRRqn/W32hrg+50CE4kHz2N1QZpQDt7 AIdwAnt7nhf9EFUwvzQQbcaGA5i9+OZMWX1fSbM+TfmggFKMLZleugCack5qSQhsvAj9ItOc3joE /CGHE4l/pfTWtLvT27+pwLJaLk7VnxNPGysYS8k2443bvIj44/uJJETgkRcGAZfXBarJux0qJNum PNL/jMyzgmHV4ZrhiTjGDvHuVQoZDFhT+dbl4UOFs2u3OZMMCjzIp8IbNU1FN1RZepfnyz9b/Xo8 65X4wvFBbOIm38EVOeROS8DhqdnSkOQFyHuzLJE6e1Q7i+807FdxaM/QBeUCSZ3+QqO/oO8lp1BI l372/vfrlFyGW6h6EKF9LJaAIpGjU8uJpDl0zqsAJVeJsGiEbmqPoSfDCnDV/ubNdyAW5Y0nPlY6 Ixc9a/x0AfGS+NoyPwEIs3zPArevfTTFxBx/G/dXGpTZ/JoWAZhXrwlF6MaWjkfJXTi3sMR1b6A0 24TgYSofawStrJWTe6PqG7Je1X09BeN0RwltNOeOCjEdiko9cMqxRvdssPNtPHACvE8fsfJhzU5t RzojhSHQsatlFZQ0XpBUPwLxbg6nFgz0nJIjA3Aiv6yjfRFBAbJED3e49FaZoCi/E3xel6nYYWsw AbCkd1j0u4OaG+PxaEQlxOUXWHvnvjxiV9LiCmnFEWfHnQvUEoi1AIVWC8NIyMXXCapzBBb1KIwq vYIDqwU1ljZgGZ0KvG9pr1HdB7BBm8zvcjfi0cKHvdBdL4EIktAQPZyel5fZe9jO67tNmsQMCi6x ZPO61n8dHbRFSbtmFkbNcm+GHjvzhAnYnoqpYCQIIH03389hcOz51bFb2sWSScMm+abt+pKOwgTj NLPWeNPuQQbAbdY66UnMbm01h7oGMv0rqw+VZ3aUf1KkJtH7ec0SCKnGE0HWjLwiKDDV1l1q3RW5 KtaLFIrLIDQUEBr/z84R4cZb/CridLnlq1y4IHRLn+uOLo8kMOFKah0wypCBznwce0PBILrImQl+ i/70t2XsTWsAZYKUtRPfj+qJ/Jz05ly7FOgqGDbmb1zF04QQEKKGLj16RIjZJS7EuUknReM4U1R3 cBYdV4U7V9+jK3JKMOGchnzgU0skNaxY5G0XrdR1x/luHLxJhmDxjazpBbaXFjfVwUtFavdgviJh CMWndo6lU/i6/mTExKCdP5hZ2cYXHJ1/39hY1LpWawYEkpvBlOiCauFMea85wv/Xrfq2dkQyNjac VrvQHyMm1ZFyqp2UZLu6J6aDEkeLNN0tMY2+A9AndDetKMQNzxXJ7QiCsgMXpz4MIiGQB69bYtC9 muiyCPLe4qW0T+hptBlxmCwN6RMvDFyHszppMEDGcTTpDBz486h1mZEwBqRWgeRAT/jdwrA2FDMc bPlEW/oyHg4UVueX0IEKRCMq2ikeX6DaC86bbYICX/Jbq6PMyH5MONkAfiRFkvJJJmGbKu/tzT9V fPF+F33bOZUvdmUZoTFcPxDnJIHybNsSyaJXAtsS6ipzuqmxilssdKe7FvRBUC8m+KPJPFffWBjc WcMcRvyOMPl8nfREfUk4ppg/U4P+4bkvA0DebS54pAA5oebK44vIx7f0rFz/nmc7L+4J3990Toe9 iYTfxihECpab8G0tftQFR5bRkcipoX0nYwhCK+ShV6QiFlTasRTJsiuLYDX00Opw+oJNmIOq31SM clVuJ9WT6zgzLNSnjhg7r1pUO/ROE9M8zec9+GhcTEMbiKTEl1MrvwcHAb2GM5Oof7CMa7bZaTgi Mla/r+wgO4az9k7tisE2nYB9AXKPamKoB3gOS5MX7HhXlFl5q5WLllQYGkRCEUBisBFikG87Akqn oXh2vKamrv1nnxWkLEbtPkeU82KPU5lpYHwkjwSzXa/aaqjAOsaG7m8OFp0DjT1nfMw2xS8oeRqT KuTrEZ9KKMcv92HYRpO+AY2Myhhg3xTXngkvusqQkbXHms2+ZnrqNQZhHCnjiFXp8TaJt05TqXQz m8azVa+EAY0iDdf6qpRX/5dm3Ft7HzCj814+pqlA0MMXET5SD6YGYUIxRJabkbbsopPULhwV597x ouxRY0f74WUHRXhezjDkqMQTEj5qqGT1wmWcSvTkzGe4eaHAf9GLA/m+60WUiixLqRyaJQVJ9PxB eX6iTHsvavp7Ur8LrWv/EOk14PdYh3Lh/62TzqaSjnF8jC8wYUgM5vlM5hl2DBLlzps6AJT327yX 0DutuynuZYYot2DRFzK1ZzAkOS7qFEt6eRCjIZqSed9cbXbGve4LKu2t5bbTKn+HAVwek45FFnug HupFPoZuspncnlsvBtnhEuHWpviUKZNdxjYxCFWGC9ehytQ56O58AE4lGhit7WRFaPtNFKRvY9sW GTMnF7j4zyzgjH7k800ORzaorICJfQqNgUKzcF9zjFDjJ4DybBDOiE0LPJ8fLuL/d0BdD+UPdycJ RwV7HDDC7fFMYUGfC6RiF1sWczVmUEDWm2aQhfJ3RmjDMkCNZWlYKLJsemYeSw2f40WPPuT9Y1dI adsHQOBkM/W/9ZJBFFp0Vw2xFGczHguu6aAE2yX5k78V7nsMW71xOZFZ4Cb8R6nahNRqjrKK/vNL MXmQw64iHNcdowrUjSwpjyEQP2LpInn6NESlj0Ryxb22/pfdM6cdZ0b+bKd1uvYvprHC0u/mIg1B QY468d+PzwWHsSLKpe+t2nMFHo08V2UprhL0XItMtI+XIRnrlZcCOGRfxJcv+MT5pjMe5QTsZEkg tZnb2VdD1h2gNyBpPvKWSzy/nKtGRcNVY/UDwLz+p6S4SVPvsM+pi5RaVkmaWK5iUK8GrnCyu4HZ pXyhVetR1cTLUuyAu4a/GoijB2iF0aDHj0Hs4+4q8ihTeRi7i5pfBecCj76pmHULeKF4DSPGYoqB n5cEXFBoEiPULoAxet8UMalRUyc35As1pFR6m31V3K8IvjuvSqjk3vI6E+sf7OZ26ePWqr/2DH37 28qFQaIAOJg7L2W8GSnScxigbiO4FC0AgwLVZ0dYVRXcPIXiEEscewkwRTwgd2ny+jvyWfbeJ8un AiszR0g4ualmQN3M+GJ6U5IGlIbVzcQ3MoXwtA79Tgkz2GIAYVkSsPVurNgS27fnw7bVIDDtEmeH tz2ijpacJf6eIc7DuIwyRetPZUIjLrXOo2FRTm0IueiXIMB6/qwT7X5kfeDK/eNLe8aCeDu2+RVP 610dQKmznv4WzrmX9Bsx7faur+gUAYtuKtz1GahhWIdR2KBSbk6wv5kYifFUyPzsaHV9/OMUrBu7 XT+8Uj4cDXBfgrXzxAcf7d+Ew5gVbSnXA9UFwOtRm2Zb0TEWaNR0bClPIqvXzBWEWsqdYKQI2o2g sghie6oYQ1X8k+/QdElVgmAxb8/3eAtSvu4b0XltOGz40et8ofuvQJrMKlNPjmwBSziwLmgcby8M 9c2gBK2N3AcsV4C8A1pMSur/m6IS0GFd5QHXiuT61lr5q3BNCa21I6w9GwkZrpX27nkUXdlbghoC pvgKXMbDHQDFeYLORaTRpfrt4+nb8AA03V9HYiXuHJI7BAADWYUUF6ZDDzCls8uddz6upQGA/iR1 DHE+vHnb3amGx/SEngZez/60NokhxCL40RFOWBbOlBai4sUoZO1R9Z14e/3h5m7IdrfxQArF6oYu NwnbEkbOp4BS8B2s4LC45xqxdqklxwTsHJHlEuGkMEP09E1RBSo2dRm36takiq69045JQO2jlD/D ZL4IczKtrXydoMvO/p12KIR34FbGrFWMsU2PKRmQ1xhdYwQO45Ih2SF3YS2Pv6eqpUK/eKtr4o05 Cs6KkCuxqvlsPsxquXsM0uRhOB+aqxZV9InJNAkXnoc49YDtOooVJx2kjq5T47MKA3LfGTPFuVVl HJ0TnhE2xY075qP4sVoT9X18w5Y22BSq0Md4w+z7jXUG2w371uvuNK31tNMa69M2kSg+89acKdlz C2E/OAQ2pa3x26n/yK2zKJxTW9MToHCWsDv386tkn72QUmZ+E3Hu2LpFAzHGsBWCwkNCfU8rxXpR piXukjtkIVzhLHBlGbc4MlRexvFwP1chN7s34Czob+wmYZeCsdlyRZEkN9kNcQoAP4i+yhuyT/yc V/lC4tulnqfnoA7ZjTuotzO2kGUjIL37reUgA+NIXdusy6xNcV0RbZAR3yXmBpxrWshf2JMi0259 +nYjIIbYQEOTnXnZ4J0SMy0+/XWw69NRbTT04wa0U+qZ3pfVO8bx9KMHvgqug7wWEqyHIxeilslJ bmFK7NyDhjX2m/py1dnrzuDCSh9f71flOdcgtemyJXDsPVCTHgRAEPQ7v8VCqIpik5FL7Sm18I8k 2ydYUEbFTwLnHY8sIIXmd4FcQ9R3B+i283c08bEqggHpvpj2aULyzgkgSbxLi99oKLkH8lb1+waA XEiV63Th/rqHB7/nIDpu/lSYwgu861PoTFlexiE5ut++6ZdfN7C6o5AoR3bxNrio1nrQDsE/XoRA E+bvxUcoOonUlL6QfyMdetYFN8zjsEg3IciBGN84QfS6P92wGuQCB+rT07n3dbW5D5VgXgle2K8Q ELZ4RSg8kZCtySXh7GMShwOQOJN8jLjvRE+v/oZz9GVLL6teFijtDtyS/v7jac8dGMg87qDwyieE Os/6Iz92z9ktym3nz5sPR9N8ZsHF9AAJUC7iq0Y2qMUsYm1H5g4TWK/t9+D5q3Xj2GESKfziI84d fGJLSSW/ISzuIOPtyEDb4i2erVhtKO1SRIusRTQJzikuyJGiiLjlhwz3tvcWj9hWi5t//FMT5j9P gey4tkbJohzRWnYXNcc5Uk/ONivVrcsE022M5gZqPMxGgca8W0LXMLY06xk/EylY2lEFahBT9m4S 3B8YQa1wNIQHlSS5qDULnqNHiexOxKCI+kHCl0xvp0uW8eTdo4Iyk1LMO8npQzW9RzD74bYhi4mU 1xSwJ9a5m6gCaUJe4ngNElHBsw6IxJ2jXpXMBizLQEE9YIo0BdhTagO83asIdDh9/fcbh9G91iZV x77q0oBu9BYhrqs9EqvR/zWE2DPAjlLR0HbO+n6RRLOLmB8LB2fvsMOYMJU0l4vCO4uh3cF6uGYp 5oGgkoLro9AH57DiF4+6jjadIUMivBDp+Co7lLNulhmU4nmeCjBti0R0HwMvSzyF4L3wqj3rAGf0 dncmW3iN0OltcyRFMQo+XxORKO+bSDOZAVzAK8ZqVsh+UfgcjAboJ6hUC77/oNP8howK5F/i7G36 Sxgm5dwrok18WxFg1VH8ji0GrXzLpwMA7CCdCr0m7ZyHXwyVZ+kXXz4Ts0U8mQ4nAAu79BiMxVTl cFFAYTEv5tj0rCGck93XP7A2U6xEMJsdAvjtoAXN6jXjOgPF3StOAcXTsDNLI+QDRSwj/NqxbMih ZIVnuCmoOIktmVsfT9qdUHKGNX+E649k/TO/IqsG12kFzCelfNeBk6vq3Aqm6ZSNV6bR786/OxSC p5XkcpFsT5Jorf/UoJi+j3SEpypQFUhUfpFsWkWEQWWmaa1XC6D6+jzn5BmSI+D+lx0WNp7+oLkK bpOA58hLqbR9vpJ3gDqFqut2S99yS94rmfgwbYF92qnOHOQep4h1TEjgSSvnh0ApZLQjXp7uzxYu GjoW9ndJi/ppKmsxLfUItG4ctUWbYKVTej8/mpN/1rnvciFlwVjcWFP6J+l9UqoqzcwIL4iwAukj m5lchbhgF0FBAjq1zTidZ2U2GaLiEQEVmquz3P0XqGgXpiNf4dA8Nk/L7TZrOuJ2nM6mqzx7cEvP KRHLWOJkCZu2xKPSDwHDgaK91Sz9pzDB5ZviO8U+1m5Q/Xj4mKI7YA9Y0fH6eRiri5smiLEkCfD7 28u+uGtHZjAic26PQ0c53PN0IHdeDn7SsrUhWMbjP8u5sPxcBdUmTQvB+wb/Ya0KCoOgK1gKL2cY +TBRFDt9ACl2noFeudVV6EBk2AUj4otaRIPnGbi3cCrVhRF75VV69bpyzKmCC74kyvUXflIX+m85 ouJxK8iayCLSe5eROn+0kDJpmkTzMIl1vrJ6jnyIxcg1iQzul380xSWcC1z8zEOFc5K9vN6/6GMU rcKSIazZe9HmXX9S+YTdZ35AEaAX/RJ7RR3lOhtjIY8jyQhzPdUoghwmqOGyyWEgOMJMnaPt+J2C g9D9i4zwdd/xoOBq08FoXbG+ilCxYpCuHhpTjUw8hFw8eetqZsxy7CIkO3cUnROzWX6jJeckJKNZ IkekzPEUUeLMnNCwKsvY0TBg0g830zSTcFkD7J8zNBNQNgFi2oTH0iq4ZvSFpW/xTVJMQGu3ggZ1 hlGYNUTZiwf8q7m+OtikTnL/RuEn1OsBCoKf74TxHyDFcaWYyNNN9FWhL+QIphWi5gKt7/XtmXQE nOdyTqzNnFvjhnqlu6Xi6GOc4MqqRY6Hl9XBXpn5LkEvOf+cFikgnFvVPlU7sLjG2wbY+IlF41MQ sucGJODsmiRJNf7BX1wo8gJCeTmKbMuj9BFhw4IzcYtYZtUWZChBVW5PF4s6z0IK4qeWZUk6yjRD YcDxcvc2COnsalAVk6wYlVEudxuVusigUYIOTNGybC3o+7HRXY9vEtMD0ZH3wQlA6MoxoNwHDynl RJaiK5cVjj/8ruw8LXPOpqm4rZJ0Jk31zCKr3Ib9VRPGKkW0h0Ms17xFlF3tITEcAE44D2E31urU axXMqEWxT/HH9/Ba+FI1lOQDO8WlTXG/TOkPwKM7AIP6y2T0ZrN+43ckHp60NsfUIGHMOccBjUeO hWXcHl18KB00D313tCTaXwa8zZ//gwFqoN/0EPZWDa/k70q0KUzcsX7lbQPlzC2M9MAbLcL2o6ZV DYS1pV0geiTdicnQFohArY0zC702G839afiK3wlV3D3ydl367Yx+ssGDptp8RP/T+VopwRAs1O/Q LvVF9+T6JIA3zS6e0XPjBri4TlyXarDtr9q6NuiGyK5uXCMb+fHXRwaZ8Fvi7ALKET/XvhCvtiB+ tCEbf/Bogxl83Cih0Lv5nXuBCd9yu/BdsmkI0rvXp4CHUbDbCz0mtL65Vkeo3C2u3CGaORsO2+IS xmPPiTTyyArgns9C2OXPrUQF08EHYflVF2C7L0h5QBQ7zlWABlIE3FNge+0iJvurwcwCyUuurRvt xxaSdrK+rTsT0aWkGrhV9DPwYMv0GfhtE/cWTTtJHkYCz7ntzKqThRPvnHAT8HNxqrrJhdgwgiAc GmPuBoBybVi5TjooZNN1GgqU6gD4yuiUnwsjYkwNcyX0EpZFSS91ttvJ40Q9wHcoCkh5g+W72YuU WdIV1vRoJmzhcJmmD3/0abZbJg0m/GI+U9Ld0MuXQmsxkuZvVZcGm9YvilIkw/tVbBxHQzLFuuHe y83fCBHUrhX+5aVp0oQ/xKzv7SAgYjUUvVK54xCM9+f0I62rLzR0noXTXm8LhXK8g/AdIjo8dVxF LNNUsSzsv7e6C2r+u7LiO5mg8366ZeIQqfY30TZ9Porubd8h+fZBm+SrKf+k3OF5bLvzw2C9Xh1b DN1XgHAZobO5ESsWhdZfGwwFSKwNCb1SEpy1Xu61+4mDMtw9Nor3xMjVRQcFJDKfOfv3HEFrb8GG JtnOxre0swLsZ9a77m62ySn1w2Fc0NHL9BK1nL/g9UUBBfDj34PeEVLBor3RoPiOQtnciAVLAOjz aOC1Ke7Ef/8EC8Nxxje1WeXDIo1J8voygjyTpPm6HRH3sGm9Ua/w1B6ssTwrR2t6c+XIhbZx9D59 ZhX0MpGvxVDdpZGhn/D6qX2rgURptZQ6yPq9smFwarzpc26kG3ZJ1dA5rwzHlYex1Aq+I3/TOCqQ oSbwSffhhTGfWVL4d6alrt6lWnkgSz6SmYf0GoFLf1ArpaYsT1meT3Wlj8xN/qdsChbAoVNH5czw ipj4BVzziC04ELaLJCdbTGfaJ5g05+t+Obod01qF/hJ2Vh4xosD74zEBsom8RK+0rnYI79rqQljm 8jMrB/KiCGOHa6m/XvNEjSMDvYeRSLnKUNMvy4TJ1Heamzs174XWlP1uOyJr6U2srfB5zDaF01hT VU0gQXIRwtamaUPzlcwL50oK9DbaNq65g4vkvwTc0UA08GUGCqNXyqNvLHmg9KE+4dw5USswRN1x EBzJgKhdyoJuiWi39pZFtLIeWiB1vbbG9oA2TQQr99lJL/DwHrTV+aT9/ZzBSVA6yan0o8oVZnPI bDIxzxOp2Al1aKZDj2EBFk0e7qj4S4cb/gNOWSuUJD9p9eRe2P1LsYbuj5OowBsoo3//BV9mvd3p 4BgOuNhgDhkzuv7oFD/UmtZhnwsYPL6OLbG8gAqNR87UbEydaYjd0t1Sp0sX0aB/h5SlX9Ar/Cxc MJUQwJInMJ0rCFkFDRmzIKLtOIWYzEuGcdhIq9e/HNKBM5LpRal53roPGYgOLxMDYDkc+kQzI5FQ D9tLQBAPNFRBrphY5Sj6ChWnhc8aeDMR61NTbrONci65Noc6a2f5mZKkOd99g/IjMeSfeJCCytpG 7+2pPqYlXuej/U4GyeLDZtlFiEXXEMAjynY3lPmLXPmDERoh6Srs140pCLIaCPFdLkgK08CLjXU9 kWpOrKtO15UtWJ3RegGp9xNnitXspY9AqJJU6EGf7L41yVl8u5eIWe2qdUMU4RyMWqM3HIV/8GW/ +ZHrbUyTxmuaZEMYNgJNLfT66CtYXJRsY80BwvJINvyTU1K6cmudreU7xbE6+ywGT3Zq2uYq6KqK ZWAFwT3JRdYihXyb+9EMRy2DIBsWdHwvyaFb25OdB+QGj0XW75/3rNr+7pllg6ujkbTHt57/9SqZ SRJLvJ1QPtGT1F/RGoXfwpgd9rgsxqGOv9oEbM0gMiJA3QvI6IimF6X93Usgz9cqyQKQ4JfGue8L RUkM+HE5iewMYx1RPhkj5LRdP/oXccgatI4POJsnfvTY052IQm6ebgEK76HvyTO0YqFInlHYd/cj 7Wd4XMLkAjjWbfTravvDhJAQe35wvrF/Ltv4/ECS/vkDjCiRfCNRoW8sdU9Kcsk9j8Urgncb6oSn IA5Rei8sL6lCK9J5RlcswwhMs2LP+VcJQgnzimudafrauXRTn7h/4odY5A0Izo7ruO+PEMh/kjzk aDd6P5kINr1WOb0ZxGf8M5o/vmJEmrDPHff1qEWjudqaAsX8xBbLsnj7/Vce0oFM27w8T6W5wda5 Uj8kOOf5+6cMqnsMsOE2FNvmmmMQqV+UbWi0mTfoY47VmssCIWRA2GTOBdXnn08ivBrkMhwrK/bw j/mwxPFwrYZdfahfSeyHSYL0IkVFoL42Gew+lI8hajz20O+JykDtaXGuYEzDBO07HBWJVj4qywty WNKt6OFKBrk+QIeO/iY/iELeOPXvALgvrzK46fEhhFBrRgedPMMo7cX3RUweYvTYYWt8QErVaz1b YHd4SzH96qA+/vcAShkWAGdObyCMT5bdYGeDKIKqbdlZanUCWtJ9m7DuaGMhl/m49yOiyKQCeNnq DRS0UEH3mhpmKJUs5mYw5Ti8/RpPCf8NRGYD4ArFqdNafsJ4st5KW9SrpQDXzVtGi/ukdHATOLyk bGdzPU+aPCwXmELjUxOeiSAIZWRhsoI3Bhht670gtQTiFk+mxuBxfo1+VD/69WxKUpi7NQYzdbpj +SW87PdzXhL278diGJnbPivjaiG72mcKf/8cFgK4Z2ER7WTe/HyGnND/3vMTeP6r0SJ4KhusbArx 4HsQtxS4KKEHMTNr5AqRU57BxbLZyohF97aUfyfSOMcoMh1oo+uMf47DyBZpoZCz3Vyo1sH+AYH+ CqmEXlMT5JjHdyJ1jrkUgmgraZ6tRxY2Cjy1SoDGVwNK3BaSkTsDAzixOrqZ3qjY6F5z/FSCPhRu eRJ1cJ4rSxbXNDE6/9UXbIi8w4ayXbSZ9mSWT+Zkny9g3SbZuG1hhcqsbpHJyMc1g9HRdkbfMtYj Ce0nO4V2R98e60KBiP79DXREuxmCMYrC2Z+NLzWaAFoIvbtpsMa0wgjpgU91z9Ea9u5bUlGzGoom INTHJDMQVbjyYYgl70aWiOIy92yWFdkNVDwcI590eTUxllEtqpGb/kjJ4kRoZu6nHzBDhNeIz3AX 5c1liG0VWl7+vtXStNnAGnhK0w83J5P/iz+7zyF6PXYlvCGMEpMrTkVHFcsZgEiI7RUvL95zyzYb kMfQB4HRDfU1Ki98LfdDX4aHxu3ytB3xkoj4Tfe6EZomsY/vAnMMoEJyIQTYXLxykN753uKeUtMA 6icJzSnsRmSqmSOkY8z3L2YZcSDhOI1UeCd481Dh5Cux/qNcWWW1nRiR7Z7OcAkQBnD5DneWa+2C 5y7RVjWTuF5ICG/iPRgSpVuu2lIyVEGJpOKe+HJmmFoOBV1wxKRItNIUDbcnE3sQL/vrjfYWfZ3a 0o5qffEIhvunKnQ95NGXO40wFuXj1mnNCC2DMi8gV2R/xSn3vSXGxDPYVfM69vDTYbrkIrf8M7fF XqhqOZQRmZ+nFqcR9kIz0Yw1rWPliYfMyvnmxn2r7F7yzKjmaXma1SoYxw4E3WWEJAdByh69Jnph /4xfq+NBO1LUXgGDMo3n4htdcpTaH5Xt+Zsp3+hoHu9LPQAI+eLZgRmmPehuEuuCrpeg2tWDr3B3 Y1mk74Lvq9gIr7HSkIDZwr+UHwTiba8Db6HLV8KBsOR+afRvo4eCjZpC4CSG218nCQ8o8GztAP5l fZyqFSUCgyIyETZWGGQFhZQRZCo3puBXPrUkkxqBCnsAAVi9KD8LEHXuREb6AUX2T+zKacAAavL0 wpvBhyLcM3lsqWZ2g8DyDjbrMiqpO3FkVvJ+X9K7pFF2d4WDlUefP8Dyc5CZv6yz2s2WIyHMkL8w vRr62JX53ynYVYd2z2eiX9T6TxwIkPCyu2iLepF/QIKOpDAmUamh0YOLFKcLLlOYmSNXqGinlsWa 1Bm6nuu3jSHt/Sxmb32WM4YyvCmE30N/wuBZ/OswvWS5a3lCdoNMbC+d3Oky/snkZFms0MWdbTeF RddKs7/tbLYcgbtRyQJFZi4EZj5MmhZ2iVjvThwxdxRIrq7jUp7lFD6tS6krt6DqazvxELiXadZT NC5kOJJ9ErjZTcV6hoyANZb0WBx6LHbR2ZgxxOkcedH7DTzA8f2dCVwZVWlnrAXuKS5BjTe8RBrL G1jPAw/GtIILyY57KdFw24cpK6OG35xI8KfqtNGqFSqmSswxzSQmf84cOCrgIXlm3D9ZoCFKA69S eO998PM/dXqp1sk/ECqsaSN0IgaShVRII2/t/R9aqG/E2eayHrFvESZqWYmCBCu2PNLaZHy0WlGY /LWK7vusAphHgwxLh93S4psgDWyv7A1dhxii0HAbIvSo28RT1yCHxJHvH4jDuuMjEF3NL3Cvc7tq D8Me0fX+99xu1gN/djT4j8vMBIXX0UtmBZuLW7Q8rZYjxWDHEepie8dT2YN2EsUAF7cSFW18lVP+ ubtC04w+9IKN7njywZeEwhACtrZkxtnl8/LyHLdgu9HDv/jq1KFQrkvqbNt19UZOzVP0RYXwi3k3 HkPSxZ7m+6WwUK44sezKtdTuHMPQrfRy8VBA9kdc2z+dI2c3FDc0/B82Mnd5ZV/WOZ9rz6mfECFZ ZMTjWoVCODKQh0QEosKKF+LCyQ0bxpq107lHHO7Pq+F+dTfHdFOu4XGLe5inV21AqyPgYbqmlQFx adXbCnXfOBEokbmafWu7fF6tFCvndt+/kqkHqE9V5xspRjvrZ8pf5nrRoIkPP1b0 `protect end_protected
mit
Nic30/hwtHdlParsers
hwtHdlParsers/tests/vhdlCodesign/vhdl/bitStringValuesEnt.vhd
1
539
library ieee; use ieee.std_logic_1164.all; entity BitStringValuesEnt is generic( C_1 : std_logic := '1'; C_0 : std_logic := '0'; C_1b1 : std_logic_vector := "1"; C_1b0 : std_logic_vector := "0"; C_16b1 : std_logic_vector := X"0000FFFF"; C_32b0 : std_logic_vector := X"00000000"; C_32b1 : std_logic_vector := X"FFFFFFFF"; C_128b1 : std_logic_vector := X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF" ); port( ACLK : in std_logic ); end BitStringValuesEnt;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_funcsim.vhdl
1
27647
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2014.1 (win64) Build 881834 Fri Apr 4 14:15:54 MDT 2014 -- Date : Thu Jul 24 13:50:48 2014 -- Host : CE-2013-124 running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode funcsim -- D:/SHS/Research/AutoEnetGway/Mine/xc702/aes_xc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_funcsim.vhdl -- Design : blk_mem_gen_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0blk_mem_gen_prim_wrapper_init is port ( doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ); enb : in STD_LOGIC; clkb : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0blk_mem_gen_prim_wrapper_init : entity is "blk_mem_gen_prim_wrapper_init"; end blk_mem_gen_0blk_mem_gen_prim_wrapper_init; architecture STRUCTURE of blk_mem_gen_0blk_mem_gen_prim_wrapper_init is signal \n_68_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_69_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_70_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_71_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_72_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_73_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_74_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC; signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute box_type : string; attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\ : label is "PRIMITIVE"; begin \DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"4001DADADADADA022001DADADADADA011001DADADADADA008000C100001000C2", INIT_01 => X"1000DADADADADA084000DADADADADA062000DADADADADA051000DADADADADA04", INIT_02 => X"2000DADADADADA0D1000DADADADADA0C4000DADADADADA0A2000DADADADADA09", INIT_03 => X"4000DADADADADA122000DADADADADA111000DADADADADA104000DADADADADA0E", INIT_04 => X"1000DADADADADA184000DADADADADA162000DADADADADA151000DADADADADA14", INIT_05 => X"2000DADADADADA1D1000DADADADADA1C4000DADADADADA1A2000DADADADADA19", INIT_06 => X"4000DADADADADA222000DADADADADA211000DADADADADA204000DADADADADA1E", INIT_07 => X"1000DADADADADA284000DADADADADA262000DADADADADA251000DADADADADA24", INIT_08 => X"2000DADADADADA2D1000DADADADADA2C4000DADADADADA2A2000DADADADADA29", INIT_09 => X"4000DADADADADA322000DADADADADA311000DADADADADA304000DADADADADA2E", INIT_0A => X"1000DADADADADA384000DADADADADA362000DADADADADA351000DADADADADA34", INIT_0B => X"2000DADADADADA3D1000DADADADADA3C4000DADADADADA3A2000DADADADADA39", INIT_0C => X"4000DADADADADA422000DADADADADA411000DADADADADA404000DADADADADA3E", INIT_0D => X"1000DADADADADA484000DADADADADA462000DADADADADA451000DADADADADA44", INIT_0E => X"2000DADADADADA4D1000DADADADADA4C4000DADADADADA4A2000DADADADADA49", INIT_0F => X"4000DADADADADA522000DADADADADA511000DADADADADA504000DADADADADA4E", INIT_10 => X"1000DADADADADA584000DADADADADA562000DADADADADA551000DADADADADA54", INIT_11 => X"2000DADADADADA5D1000DADADADADA5C4000DADADADADA5A2000DADADADADA59", INIT_12 => X"4000DADADADADA622000DADADADADA611000DADADADADA604000DADADADADA5E", INIT_13 => X"1000DADADADADA684000DADADADADA662000DADADADADA651000DADADADADA64", INIT_14 => X"2000DADADADADA6D1000DADADADADA6C4000DADADADADA6A2000DADADADADA69", INIT_15 => X"4000DADADADADA722000DADADADADA711000DADADADADA704000DADADADADA6E", INIT_16 => X"1000DADADADADA784000DADADADADA762000DADADADADA751000DADADADADA74", INIT_17 => X"2000DADADADADA7D1000DADADADADA7C4000DADADADADA7A2000DADADADADA79", INIT_18 => X"4000DADADADADA822000DADADADADA811000DADADADADA804000DADADADADA7E", INIT_19 => X"1000DADADADADA884000DADADADADA862000DADADADADA851000DADADADADA84", INIT_1A => X"2000DADADADADA8D1000DADADADADA8C4000DADADADADA8A2000DADADADADA89", INIT_1B => X"4000DADADADADA922000DADADADADA911000DADADADADA904000DADADADADA8E", INIT_1C => X"1000DADADADADA984000DADADADADA962000DADADADADA951000DADADADADA94", INIT_1D => X"2000DADADADADA9D1000DADADADADA9C4000DADADADADA9A2000DADADADADA99", INIT_1E => X"4000DADADADADAA22000DADADADADAA11000DADADADADAA04000DADADADADA9E", INIT_1F => X"1000DADADADADAA84000DADADADADAA62000DADADADADAA51000DADADADADAA4", INIT_20 => X"2000DADADADADAAD1000DADADADADAAC4000DADADADADAAA2000DADADADADAA9", INIT_21 => X"4000DADADADADAB22000DADADADADAB11000DADADADADAB04000DADADADADAAE", INIT_22 => X"1000DADADADADAB84000DADADADADAB62000DADADADADAB51000DADADADADAB4", INIT_23 => X"2000DADADADADABD1000DADADADADABC4000DADADADADABA2000DADADADADAB9", INIT_24 => X"4000DADADADADAC22000DADADADADAC11000DADADADADAC04000DADADADADABE", INIT_25 => X"1000DADADADADAC84000DADADADADAC62000DADADADADAC51000DADADADADAC4", INIT_26 => X"2000DADADADADACD1000DADADADADACC4000DADADADADACA2000DADADADADAC9", INIT_27 => X"4000DADADADADAD22000DADADADADAD11000DADADADADAD04000DADADADADACE", INIT_28 => X"1000DADADADADAD84000DADADADADAD62000DADADADADAD51000DADADADADAD4", INIT_29 => X"2000DADADADADADD1000DADADADADADC4000DADADADADADA2000DADADADADAD9", INIT_2A => X"4000DADADADADAE22000DADADADADAE11000DADADADADAE04000DADADADADADE", INIT_2B => X"1000DADADADADAE84000DADADADADAE62000DADADADADAE51000DADADADADAE4", INIT_2C => X"2000DADADADADAED1000DADADADADAEC4000DADADADADAEA2000DADADADADAE9", INIT_2D => X"4000DADADADADAF22000DADADADADAF11000DADADADADAF04000DADADADADAEE", INIT_2E => X"1000DADADADADAF84000DADADADADAF62000DADADADADAF51000DADADADADAF4", INIT_2F => X"2000DADADADADAFD1000DADADADADAFC4000DADADADADAFA2000DADADADADAF9", INIT_30 => X"0000000000000000F000000000000001F000FFFFFFFFFFFF4000DADADADADAFE", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"000000000", INIT_B => X"000000000", INIT_FILE => "NONE", IS_CLKARDCLK_INVERTED => '0', IS_CLKBWRCLK_INVERTED => '0', IS_ENARDEN_INVERTED => '0', IS_ENBWREN_INVERTED => '0', IS_RSTRAMARSTRAM_INVERTED => '0', IS_RSTRAMB_INVERTED => '0', IS_RSTREGARSTREG_INVERTED => '0', IS_RSTREGB_INVERTED => '0', RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "SDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 72, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "REGCE", RSTREG_PRIORITY_B => "REGCE", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 0, WRITE_WIDTH_B => 72 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 6) => addrb(8 downto 0), ADDRARDADDR(5) => '1', ADDRARDADDR(4) => '1', ADDRARDADDR(3) => '1', ADDRARDADDR(2) => '1', ADDRARDADDR(1) => '1', ADDRARDADDR(0) => '1', ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 6) => addra(8 downto 0), ADDRBWRADDR(5) => '1', ADDRBWRADDR(4) => '1', ADDRBWRADDR(3) => '1', ADDRBWRADDR(2) => '1', ADDRBWRADDR(1) => '1', ADDRBWRADDR(0) => '1', CASCADEINA => '0', CASCADEINB => '0', CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\, CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clkb, CLKBWRCLK => clka, DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_DBITERR_UNCONNECTED\, DIADI(31 downto 0) => dina(31 downto 0), DIBDI(31 downto 0) => dina(63 downto 32), DIPADIP(3) => '0', DIPADIP(2) => '0', DIPADIP(1) => '0', DIPADIP(0) => '0', DIPBDIP(3) => '0', DIPBDIP(2) => '0', DIPBDIP(1) => '0', DIPBDIP(0) => '0', DOADO(31 downto 0) => doutb(31 downto 0), DOBDO(31 downto 0) => doutb(63 downto 32), DOPADOP(3) => \n_68_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPADOP(2) => \n_69_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPADOP(1) => \n_70_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPADOP(0) => \n_71_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPBDOP(3) => \n_72_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPBDOP(2) => \n_73_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPBDOP(1) => \n_74_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, DOPBDOP(0) => \n_75_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram\, ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0), ENARDEN => enb, ENBWREN => wea(0), INJECTDBITERR => '0', INJECTSBITERR => '0', RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.WIDE_PRIM36.ram_SBITERR_UNCONNECTED\, WEA(3) => '0', WEA(2) => '0', WEA(1) => '0', WEA(0) => '0', WEBWE(7) => '1', WEBWE(6) => '1', WEBWE(5) => '1', WEBWE(4) => '1', WEBWE(3) => '1', WEBWE(2) => '1', WEBWE(1) => '1', WEBWE(0) => '1' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0blk_mem_gen_prim_width is port ( doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ); enb : in STD_LOGIC; clkb : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width"; end blk_mem_gen_0blk_mem_gen_prim_width; architecture STRUCTURE of blk_mem_gen_0blk_mem_gen_prim_width is begin \prim_init.ram\: entity work.blk_mem_gen_0blk_mem_gen_prim_wrapper_init port map ( addra(8 downto 0) => addra(8 downto 0), addrb(8 downto 0) => addrb(8 downto 0), clka => clka, clkb => clkb, dina(63 downto 0) => dina(63 downto 0), doutb(63 downto 0) => doutb(63 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0blk_mem_gen_generic_cstr is port ( doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ); enb : in STD_LOGIC; clkb : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr"; end blk_mem_gen_0blk_mem_gen_generic_cstr; architecture STRUCTURE of blk_mem_gen_0blk_mem_gen_generic_cstr is begin \ramloop[0].ram.r\: entity work.blk_mem_gen_0blk_mem_gen_prim_width port map ( addra(8 downto 0) => addra(8 downto 0), addrb(8 downto 0) => addrb(8 downto 0), clka => clka, clkb => clkb, dina(63 downto 0) => dina(63 downto 0), doutb(63 downto 0) => doutb(63 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0blk_mem_gen_top is port ( doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ); enb : in STD_LOGIC; clkb : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0blk_mem_gen_top : entity is "blk_mem_gen_top"; end blk_mem_gen_0blk_mem_gen_top; architecture STRUCTURE of blk_mem_gen_0blk_mem_gen_top is begin \valid.cstr\: entity work.blk_mem_gen_0blk_mem_gen_generic_cstr port map ( addra(8 downto 0) => addra(8 downto 0), addrb(8 downto 0) => addrb(8 downto 0), clka => clka, clkb => clkb, dina(63 downto 0) => dina(63 downto 0), doutb(63 downto 0) => doutb(63 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0blk_mem_gen_v8_2_synth is port ( doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ); enb : in STD_LOGIC; clkb : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of blk_mem_gen_0blk_mem_gen_v8_2_synth : entity is "blk_mem_gen_v8_2_synth"; end blk_mem_gen_0blk_mem_gen_v8_2_synth; architecture STRUCTURE of blk_mem_gen_0blk_mem_gen_v8_2_synth is begin \gnativebmg.native_blk_mem_gen\: entity work.blk_mem_gen_0blk_mem_gen_top port map ( addra(8 downto 0) => addra(8 downto 0), addrb(8 downto 0) => addrb(8 downto 0), clka => clka, clkb => clkb, dina(63 downto 0) => dina(63 downto 0), doutb(63 downto 0) => doutb(63 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \blk_mem_gen_0blk_mem_gen_v8_2__parameterized0\ is port ( doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ); enb : in STD_LOGIC; clkb : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); clka : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \blk_mem_gen_0blk_mem_gen_v8_2__parameterized0\ : entity is "blk_mem_gen_v8_2"; end \blk_mem_gen_0blk_mem_gen_v8_2__parameterized0\; architecture STRUCTURE of \blk_mem_gen_0blk_mem_gen_v8_2__parameterized0\ is begin inst_blk_mem_gen: entity work.blk_mem_gen_0blk_mem_gen_v8_2_synth port map ( addra(8 downto 0) => addra(8 downto 0), addrb(8 downto 0) => addrb(8 downto 0), clka => clka, clkb => clkb, dina(63 downto 0) => dina(63 downto 0), doutb(63 downto 0) => doutb(63 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity blk_mem_gen_0 is port ( clka : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); dina : in STD_LOGIC_VECTOR ( 63 downto 0 ); clkb : in STD_LOGIC; enb : in STD_LOGIC; addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); doutb : out STD_LOGIC_VECTOR ( 63 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of blk_mem_gen_0 : entity is true; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of blk_mem_gen_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of blk_mem_gen_0 : entity is "blk_mem_gen_v8_2,Vivado 2014.1"; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of blk_mem_gen_0 : entity is "blk_mem_gen_0,blk_mem_gen_v8_2,{}"; attribute core_generation_info : string; attribute core_generation_info of blk_mem_gen_0 : entity is "blk_mem_gen_0,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=blk_mem_gen_0.mif,C_INIT_FILE=blk_mem_gen_0.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=64,C_READ_WIDTH_A=64,C_WRITE_DEPTH_A=512,C_READ_DEPTH_A=512,C_ADDRA_WIDTH=9,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=64,C_READ_WIDTH_B=64,C_WRITE_DEPTH_B=512,C_READ_DEPTH_B=512,C_ADDRB_WIDTH=9,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 6.966099 mW}"; end blk_mem_gen_0; architecture STRUCTURE of blk_mem_gen_0 is begin U0: entity work.\blk_mem_gen_0blk_mem_gen_v8_2__parameterized0\ port map ( addra(8 downto 0) => addra(8 downto 0), addrb(8 downto 0) => addrb(8 downto 0), clka => clka, clkb => clkb, dina(63 downto 0) => dina(63 downto 0), doutb(63 downto 0) => doutb(63 downto 0), enb => enb, wea(0) => wea(0) ); end STRUCTURE;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_pkg.vhd
11
123927
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ccre/mo4iYR6ZSgOg1gk/7yavHm/Tab3ZkZcYFm6mHsK2rs8opjY2zm8CLFAxyKzM+XWqIQXr/Fc dQ62SDu8pQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WyYd7hG/1lw77JWK+H7uaCTBuAtJ0TBNBmyeEHZzKg+QBt3Cr/4H8z2MUPj6pZRjBIIMcBdDyWAg kFxba6x1wM6D0583UJ6utRg76JBTYn3hze0vwLk8TflbT8BIsLMY/07o7U9RQLj+Czrd4nu/GcB9 pJ+rlEp3a0iAZrf+WXM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RfuO8rrKLN6nyJNR3MR3coxhKut1qVIAuEdjKuEt9/BtiGJZN+vho3sGPhnXGPXiGhQsomdebq5G ubnDKN4NlrU/K/1OyNtvkXiCQ0yq0PS2JdWUylqpjwB9ynBw5A57ADeaCo/udDuX1y5wHWGkhROQ fsJZ53VGKb1Op1Lb3r9BDB8N5YilEmUvvtSyFbdB+7psIBAUYyMVMn5URNhxA4cyzgpgQhfcULcK sD4UNIk4VWttF0vTTR6gUts3jmAIHyHf3d6WxdEAShshX6o4OKR2UxT4uLzQata959gMnHWV1u8z szCVxPR8xQQ0v799z81NPg3yNd9QbIa33NfW3w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vxmmKikWOvh/MtjVuTOdXUEizG5j31xAKxSiM9Xx8aixITyV/shFEvsvoImS9EU54TgPNBdxM8IR npEUXOOcVxO9WfGzwhZNQ/ZK0jBxGyrb28doc6RBBBRFSLq6zp6eRXW4db+xriK9oYHqwZlnFh+p +PrqAo/I9KP6sZv1oHU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kSh8dathlvrCSfMnDfy+H9hBRQczNUVPEe4uv9JEwCAa3/2S1CyBPGf5gqqXuNXvqHZolzJIX/7w pSnd9F19rFWLAuVfzyaIPlTZrAsax9Nea4XwtEczdmi61CkouLWxlFuVwoM1bzkNI5RFMcI3c+mY VVE9udu6in+oPKf4Zn+ElbHY3V+cc76JILBdVqpMZqtx0VT2JvmWZLAz3e78avyNNr3Xow0ywIGy OdX3dLU09soUoUFPZH3IK98LoelBpKnR1+HxTI22lPYimCTRIAx2buuEryXwBu5wfWWSCn3EPtF5 HiIi9rQ4DoAlkBvN4LqTfIdUNmzaJr1QCruccg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 90000) `protect data_block lvJgp9F4NgHfQyd0s9WfMj2NKmMmK+cVw75XRIANBEFXjAglUPymuokPQnOMfyJFPf8qKWlwo3Qe XrJHuaeX6LKfO/NZ4vjdMRky3qPb7KS1ZDXX1CP1z1s51WQ9aTQO6BiBoNW6ouNJWTZmvWcHc2Ln YVf6M5x28gNptT5WNP+UIgu92VricFgiOBR1gPIL7FV+IOzcJznZbjyvYSvlGJdvulnhubW2D3JW KH6ybsV2LnM8zlsz7L/FyejM4DfPUIqfzrVM08P0bR3I/cxLPH/mVg3BsSIMreRHxSyPg5oJgT7D cAJ41+YIDsrJkB5vf/CYBXpOcT06iQorhXtVQLq4DPxY3lzIXuYlbAqYKxPLcRzPrr0e2IB0CoQJ MDZdgW2WWv7T5W+8mJ8+hwnyhFhP9sv9oMmGA/gM9vaCyFFxsyh0ybR63XJXfPaR35uhG3lSunFE oaxRIYv6LaEaduqlH3ZgH2OHX9IkqqTK3tweKgRuAfXLoGkE/6+tYPmB5LuBmSif1yu6bzDGIATx rauJ16iPQRnnBIMEReZK8gv/30XfdrH9NmTDag9LOnv9SCeZv8slp6k1WjfEgPI4gQU6AiCI2TxT AcwN8eSlKJ6i98Rw2jb8N16ORwBUpupPRejyRA21l6dL14kBHeZODL0LuAcIalmoMdd2bw2YRzMP R+kO91ZduRRzsBM0mnr11LFV/OezvYwU3prAH0gWn95fyGniKpD68fYmccEtwa751Tce455rDm+l kexsscg5DWc4PRhHqYCHk4j61IISlAqaYbn+WU5gaGNFp7O8UcY+n4AUAQbemP8fT7swYLfGxjQ3 z1+SUbUzhrq2vGFr9yRKAIbW2YblXIshzH5T5ezD8kQu8/qP5FKtwRQ9PkYTv1DKVC4amA8/9NB2 gq8180MPsmlVTYaIvCso+6Ohy39QEZhX5f3WXf9pqnMDxipiq0DVnoWHET1wDzF0Rucv9T2wnf8U 2/ubcR/Cv8DE6gw38amZ4XlnaUux5M3T2ljijEPBWN1TFf1ofwQkRafL797wlcpsKVB/6twM1021 Tvi9zxbYU0jnqqeIjZnYCZB2+MpPO+CSkSSW7IzbF0Mx7mXmO8ytmUe36dFEMa3c1ehkk/V0a0OA BCp7bxRJoRiyzLi4z4cSvXPPjxp5aYGl/RG4Tf8XDj8R7BiwBl6TYf3uOHUrKUns2QTV+Tx2aRtn okV0HBSuheoJNBt4aKG7PUfmJ799ESS/o48TBZ7rcxWGj1Ln2oII3pBl0AQ5+zD4lzbM0kktTg/f c6Fhus0fkV0cdE2B+6awb8jenB2oo9At0esjmrCvn9ixhYQOItm2Mb9/t7MsDqThbhUx/E+9yXoz Iy3BuZyzVCITVuN+4k3d8BzMqIbvAp72Hqbbxcq/Oe86UYGbaALGa9UH2pMawdeidGIeeK6csm3y +KAMEhxzwHP2PBNr4aXiTId8+tIljBF/B2AOfDtV80SHz7lpSuj4kdbcSyfDdwZPsvXKoWnlRxLi AkOJfGgUVLnV/bRY2BnJ4uQ0ssX/gd33ANwScw4x+hHdeQjh+OuxYpfzwvLPUpeY1jqCROIGODl+ IaS1GWG73dhWFKgF41chiVZAHh1e8jJx/u8SNs7DjMot/I+CBJPylr620/R61C0c9BNMJv8DEYOo XM3gTNlCf/KXySjYIwqu5eax9GuRKHU4knBwJnqEgW+EA8gZQNRuryAyu8t7aze6YGgXv4fYn86U +/PFVHMO9HEjHWeAKBwwXiezGABUXEaHuwoTbzuIW9n7u7sfobAgnjX8GFqz/aKaoV3MEVI7Zv8+ kLREvGPymwmhxP3VTUcjjbnFhnrZG/pBECqS5eFgWKMR7nEYRNZBnzOqZSyxk9ovt9MVi5w2DTGL XyBTLyDfkljIPB20BerlXHMI6uuB71eWALT+IHAP/uPBZMvQFH1mktW5JQqQrqwNT+flWPDgedNN Mhn/bIyEVZww7yGFdOj1+qBEUC/Hx4vE82P1fjzQb2q18Vqg5+fhn5HVQjoR5na5YSc3NG3rmK8e ZYvGkBiuWXH9HlCUNtSSpru8h4idk7ZGLb73Ff1QzQ9WOqULWs1b326ghEp2pfrNAZbJmhzj5yxS YCWLlQZDYqkGQ9DXZfQnU8sDWp5eIQLieRWHpKVASY0ckHpv2lbWp+X8UvoURRhec8UFL+lXpvfy NV0Ht5J0ge93Y1Aq+h9+zMLaUIlwaGCex3HSyKfUpNOc7sgsBYY5bAGp5cp9hWQtz1IFdSIYPOFy UCyN3tsgQ4LnjgKsVMJasDyCwk7NTlpVRAId8nAdlDEJ5deRVpwm2BKbyURzbAzPtt32gZ8fhaNi 7RHtR1scsrYFDFdg5m0UaJaowk9y8khkPN8tHbbF9ClnVXe3r7Xa7VoJ8qqrfGLfbAWaG8Lp++fR wucf8SrgnSdZmbsCsCoVtN+Bf1z7I/I1NH25bIKlWfkulO0FkAgr24q0RMIgh/L95wrqKkYQ9mEk 9T3mnz59LUEoNBgxFai/PM7IK16By1hAUw1ufscArQjNEk5ndbzHqCQz2jzLOOsIGuIpqtDsJ8P9 S+eByrwbFio4v3GOvNtoG2T2aJpDUR5frWV9Wz48i32Y+dHb3Jl3DIfrGPFnPzKwi3vch7A+U9Dy Jx8RxBl3kAz53wsTI6ZwLRZ7nPPIMObnvo47rCCnnEkMLuy3CXUiZe26c+C/hgYNBG4waSnk2SiF 3lQ3+imjn4bO1njhwI5o6v4wJrsKwTkyCZyjY3AjzjJqxD1BvYbuO4Cak85fXwsdhDSUypcId37D kJYMgvtCMsMC801Uo2kET6q/zFFUjxHdR7XESXnNIp+KvfRA1kBF6CUXjDExyBTO+J5VLf8t/gWh tihxBHNNDD4Cel/IqM707uhZguir5/6/HeGNcOULBKU64JaUO8C74AMbsfHiNat/DVS9CAhXqYUl mxrphLZF480SScZ6o8qWXgAXl5k8Ogv2gDQxnn7/phON01yzwh9eedJSk9RWG+bxdNcO/01whxaL 1Hvp7+tzu+NHHjW1l3haurDqDVMmp/LLLPUE19eJUER8YdY4DqIJGgQvQjxPmqg/+tbbFbeFjTaD Wk9AvRzP+J5a1AoDGhRLpcBHpwfj+51UJUiTM67VwRC/BSYqF5nJGtDGAXRJ8v+bfcXhNbifszi3 yvdW4B8eNFe4H/lfgO8h+qXSEAOob4zre4UBdodfqaUzq1S+qtqZdGmnHio9rN/z/RUMl2YDT+ul nEIILSBI1xSVExKpHRkmLwGdyfNQtxwJJkRvnaoDa6yYejpEtRHJ4bfqL8caAbl7IEJgEtwUBTh8 MVjay/FT5USvbIGfU/KQX4ptft54iaF+5hIJ+eJE2ZT72SWFgy/tqGzKFT0sfSqcAl4uKiH/DSe7 9YoR7Wgga347T4L/swBR+5iguE8Cd257P90h4H7pG9S8wkuQJGzAPucvMaRLuKr7Jm7Cyms7rnxp kxh6G1pA88HHmIcmt4/MWaSkKyMYr0aMS99lg7JcQ7RbqE7LtKh0pKVthiUTzciWiXExcbnNPv5M 7QfSUtQtJSJiTrIu3P4mmnhxgPgcrl9BQZp3iETjJOk7eDfJCInOhMEwETT2P8pvT8RPUUSyRbzP WPssQ0OI1zqmP9jsOpHzyST9bN4xlF8OxmaLFFEddHelzKwXHLRgPqvH1+GDkTYzTZPIZYdELWW1 0d+CEtgda1eVep2JKkZpHjqmD2z5AZpQwKVkZ00T/1p73FoqvfHtbxBgIO7XKMAQWONuRktcTW5I 7lS4rkerJze9mrOnsIqX0mT9dRuY2ZBhALupbTvIg+4FfAXk303/KvnexK5GPJTVFo7ZuIC66LfX i1CxAOmvs9sj7iJaJy4B2MuztvDHL+Rdm+ga44q0QVqkBheTT+wLAcavq4uS4aqk8dcAayabtYkZ wRQf5uizuL2K90zpudVZMj9puR2DSOqW78ttFegLgOvQGhfHA/e2Vw/t/qlQrhRLGd6KuvIo6tVt q6BYNMI+xNyfV3l3n2EC1qMpzlnTUcutOyPkywXhUE1vsYoegO3OR77Icz5CP03FIb9bbKfjouoE bTCstTfet5CjURS5Jf4pBHH6bOvPKKjdeUyAJurr5ZHn0K+WgpQ0oPN+sMINyvTt2W45TxOcEWXh krsYMNYe+epYAAbOMWhRCKGVFfudZpOOD2WcqNvDsVOmDBzLtgaM30ljpOTA5a7+3qsvdzxe3pVC XvH+O5Hfr4le+Zy/+eu2PYwTysrmTKrnq7OcVNGMQPvPmuQdcY6+X8YVshGjkXnNtK5qzwMFeTZc 1j2JdpDoKsONbLJtiGaONZFLQunFo4aWXX1YO28V1TxyFgxGGgnGYzNuISiFE7av92Bmt+X8ex5s r4gTpwBQnVXlp7tK/0Hmqu3wJR7cjsbEVFOqKe8IwYqzL57/KsKb1f6hLaJ+aT+KRgauATiSKbF3 G5Zpbj+LwukERrhoXKrGeMFjFlaTfD5NV7RDQhW3brZu+4drh0hQe8jUhxPysVPH2G2hSrnpTr8i cwLKVay7ZX+IXFR38K+HHOTYAH4hMOrBLxu2Cpb3Yz+DIDxTDEkSyEiOkBt7X0aYSuxj3JD/J82K bjktME5B+v7eSmOucz+9Vtsec/uPCgj+BcUPQ2IqkIryNpZ5wRPUuRjiVGDu4zHncX8D4J4L/oEc LY94rzemfNaV/XMie8Nki/rls77rtdOXSgEsQrs+n5k6O6iEfLEc+SJl2OcvlRIbPjGGLmSv8Qbx Qu/9llVSFow0S0Q8cqOtNrUrRhROPd9tfGIbKlqdpdG9Zb00oPXXHtC5KeCjokIUYjC0VrdEj0nK pSRTdoi9EZ9JFVEcdnYvAo2AOZkSoXytlMFq89T5Qv5qLfybNZ8NDNGf6zb2YLAjuQ6QY7PKOCgQ egzmwLkYZlU4KcODH6HT63tpLfz97OPXiRnX9lwAFso1VSUyUCpGy4xxjs+kVIivqg/Ho9SbHnx2 jRPYOPopVcXQr9QUxnHYiEVeZt4h74VpLGTEFICsOOsLIK9eujhu6kdZyClRpsJHcmv6Gg0ZnmGr CLFBboFURI38IZAbpsc5p9T2fo+TXwcwGAqc8A0SkmPDoAziBBmEmmUg96em1zE9eGNzy/cJyb4S 6S80zn+i43Zr5qiE/ueCHjrusoJfCKGON0JBfQSCTAK75f+OXeauiTKyQX/PxvLnUU/Li5j3PqGN z0zsRW+k3kaw5PCK/QUDXYOTcFGAH7/s+XsGubgaRos7bOP6RyQemjtlKjWhfvWOz3xjvfAdby6V 5/fFxAOMFwjNfUkDHt/wLwVeHs/M0LdiTtIutNLphfIWq/d/lC08FcM1afEgnTuhnjIRQoULorIl tQUhekskQUVM3bHah8prXmR/N4qrhjlQJYcs7yPx3U15pG9U8IM3NDQiwKYjrNjxWiMIH5A2fmuT RGkU5/92rRXzBcC3COQQv5sqjV5QMv4HG0JWei++MuW1hCykWABG3oaJgUkAx7LT8EURA+ycsKh7 4vD4JGo1vLBJx2w1XBX9Ddkg6cYPlAHFAaUfGmP4fppXo674p0VjN/C0Uqn+HiWvcKjNmE6s7mvB MpB8clwkmImH+TpU9doOUKQxkvqjjZ+bE0kbhiYzXj+5N/bH6K1VPQFFvFgb6Izl3AbuM8OJgPAs wNbdBXDHOXo9V3GMu7GxxBA4jn/Y43/6HRPLPOrrgao/2VFs2i6V1KWzfFSsLXbGEF444GshAxuv 8Lbqd7rM09SyMcrYIfli2SBsbETLRUTeg7MdLMfV4SKPipLSZX4paPphh46oiJJtTFfShrM8h8eB 0cqvd1pwpKOwPPqX/nxBAPtZzTnztEhI4oX93whVR9+S7Se4ebLFOYhjVBFANHEr34UaYU7aFj4m /vrCLCp/E0CdMjwQ+kF1EDR5sMVQ4jEQgFzRn2DNFeE56OtoY3TobtA1LExfbvzBYBZwSiiMaZYe mIk6G8PDBLBL/YbXW/5IMPA7xK/hN2zjqDJSPoS9qYQrud+8BzlxFmV2O+fKLJY/PKwxX/MoJ5e4 35qAR+1jODCBlpuzT2Be+mJWJFt2/u60t/yYehLUZy2GNC/BpQ8TAslP1wqgrD0AZ/VftWRWB9wW h9yBQAzPdldRv+EgSTCXZxDXKKmbTHNseJRctPEN0GMWW3jL4FGXyR+MRBKq5kB8CYFPghT/FK4i Vaxv6FSv1roGWhXbh8vGvqr/K0b2/3TtLdIyTx+a1L7JFTC5l4fGVp8nBd/uFy93WIXQGwmhB7Gp zpEDN/hRi/9Loc6VDJc+HzncKQmgARUf3f9vvYjjzQY3u8KU7NYgWJ7cjCKM4DbVhmNvmEscfDOj TfEZu5HhB9q62Q1+qAOcIRwUr3PrniVoXa1klAxS6StyGvNzEDFkIfZNgYJSCLw+QKC4V4jrw7Df JG9DkAzqqYpyBWIoOugs7Bp9qgPY1XlV3ioRKMh/hYHO37W9ZiC4oxkGkAC8CqfCLr3dr1M1H2Ac yYyOFCJHCkhsrizfak3lGyuCrX333tcftcCQKacOqn8msrQka1bN/iCbAB4seqMaQBnuB3206/rW RCQKJMxoK3dqD4niSi7DirPcmkgHVkxoGpwD4a0leUc7VrDVSQ0Lmg+34XW5iCN+prjX75GpgVef l0lPkD/D83mbtJcqFWpzcqiIPzWSFBPtvDFNsFhMQ2gV35HrwR0DKR5Dw32jN/UA3aJfbeAL20Yz ijsLY8wRMUqHBZrKDZyEJkYoO6519tyzQu7aR9lvkWjs2vjusxsUBRmjMmGSZLYddGuvy2Im1mOS PwNbAadcSqpKSHpb3gFSw+hXtr8KX6kikUv3GggEe9vj1B+rXH9CGpZwFP6TOs042GoTvOddGCNl TGHM2ExiqnGsAaCAm4VF3KukzqbpFCaW1lCaV/s6UjO/Mfhk7SdmouttxUZArxC47lxewGB/+eDt eWWJnWNAM9RTdtCe6+2LgA22pcTpi2uZF5LAgW40SeoCJZYBTqE5BpKde4Rrg8BKE5RIu0A6W+j3 YZoXh/8kJ3Ugu/RfovALpbR3HGBvwL3bwZREJKmyYGaTTl1XscwUGyz/tMGn8FCBJsLdAyVA2+lB UxWJdUlSlt7lcLluSuyjLexiQsIxhCZ2vjYW1pEWVZomQggeOYu3W7tmdOXWXbpL3b3QOOsiv6Kr aVfI0g1MkkWF31fr3lklvg4Q02fK/+BWmoaRUysR0YnM7jsUmKOyfFFKhd3eCQ+ohqA4EqMVKRpE t+AIq9mCVv7tASWlEOExgBOkiR3UW9XMBq7M5MX7ddOH43niuKM+/zUxjvnRRpIDol5prLMZ9fBr UqnAAZmczr7CR6XfyPdeQn5vRgXFPkMeY27H0mLB2xBHpRnG4W3Dcu/CfGbbVEMgG9kTNYp38HCO 4t0V8IILAS8yJ5scVmeAsj9I1laZ+nXv6sKmhmHnhqhVrp1bszFbPLv8BYSUalHeWhCClTnSer93 zNYOd8JA9wOiNxIXidgzs2uuwYKPQTqS08pbnVBiyZYrkBUsHebT9pW6+me3hebo80grm1INYaK9 tWzdaA59YbhbRcoYja/K+KCT+YaMlZvrK0JVHdVQxnsR9X8QkWh/CTeGuPRiM0D2divRb3LxDfpV m5hf2Tji3hwH6plPKphfEn0WT57tBCES9uTEyJN1ET94gaCm6YY/w24aGxgffb9awF9+FFrRGLMe d99JbQ19WCI6nJwBMFJlxD/1VKRXF4TDI77LCTcNb8i6+L8LPVEb1uOnu1I18cGDX0lzvrD2kdF+ HLOjeCQphucECQRzTFt+BzscLKFzZE6B1JtGx6EVT8jaoXyz9hLsnfFsAmUnssw+CwdGxe6jUTMI NDcK8P+G+aSweCHlyN64K9oDlkdiIVzM9xLK+Ahfb45WGNkaTPdyskoKWThkAjc2ncupXz4y6/XS s/U/LKA3226yDpTsPjL/3oZ5hu4NWMDVHNxKiu8sercAxdYQd86UM3+it0/q7hEmN6CB5/Qwnc9W xIQfnaryCKvhFLu3Hf3+XvBTmtvImRxqfHj3brlsFacMUXPeUNZu2TCHxLWUp+nmLRm7t57pko8g HWLKbIesFqMp9HEsvGsl/Eo18R3+QQ3ROO5Dzp+/aRXmk+jJka8n9CVCWSVJfToZotS9Uyln3ZbW OAhEVpTVbXzUbo6rOgIMgohCBYOD9d3rzBHjHnI3tEzgnZzZnMh6Q2ZdEJMNr+lduN2SLwAENdBz n2dPsFMPfv+qC3kab/MGtYuah4utJAQfiINioDtuS8aek6akpE2BkpUOqjwhq5GTEL9TvLoun2zq Usw26kPN999H/3LvlKr3CPkN+Ztvs34IkREEONJEUqJCsnukHAMCmJXOCv+JkPhVHhIIXtMAieaN kys9LMf/5LdVLtRMBNXrnjOmHA4iT5bm5l4ylcNj+eOAHPLmq1xO2oCBAa041KsPR0A/X/4f1ogS fYPvxzDEHkeTmMzu6679hmRF0e8AFzs7kkmF1ooBVC1gCG9m+5+5OsRXTRKgEGrpfdYfrMGMhlWo JleGrs2+1VQFNinj5BJA8zc1FJVh2uePXh8+2xiqRJQkxu8eXkt1xOc49t0nP2Hyiw+Ez4F4umsy L8yYEWEsayXgMCvpufM0dtaKMgKgDLs9Njnmeh5KfaZSVsjcpTRYaCBMpKDTQJZ1N+vERWhs4dom dkLsrSacPwfvchMRDK3YzCURHvf40VngRgKjRi6UgVJoWFP385PrjBEtLarbCIHkch3sW/W1e5KD jp3FVXzcghgBYWPZYHSbcY1/SImnhw/bEmwFXTcAlmg9TbcWbLmxjKZDDQ1Mjih7pH1Sg8cOrY98 amGAw1yeRtQt4H+twmdbXuMwhfGCMtTK6Hylb4C1nB4V6MokBYhT9KAmAYdB0RihX7jY54g01v91 S47xpDPygGBt8lC8qODz8h3qMDjJHq6bqkqtQSIJ6HtBuF2aHRJcWD/AEGdtwc34Y1eQ4VuF2ir1 /4AYJZ1AI2ojRVel0AvgMyw7fN3ZXVoyGZ0Kzz2w0qINhPvpG1ibI4ulElnSngzK4S2irsiOGzK4 DscbatywJUfgsP7gj89FkOIEKp+stC742uXDJczZs6njfSCxLsq98evZIjfJadi1lfxf6PcmRz45 07MsvxnpkXNQztliaoaurcsyjhUeLeKmpCEyMCnOyh9mVwJ0Ym6XKOK6jQp7Gwv43GyAIqx+T3f3 x1vyFYtx0Ra29uWaltpeLLkigv4ol5ikuxIdzvcchM6eufLFy3IgdN9FOrCkUvC68rzFc597FzXb ODUwroV5cAEQyuxtdmjqo1GJwqTJ9R0f6yjP/mUAI52GAcnOHFu9TiOyKtKuhNjByuEIwHKnlE2B E/quFr5SSaxPURWtmHEW/3ePkow0cTGiRcpUBvGAqIlVRVdwqWn3HWGjDcL+1U7tb7Ly91WobxNN 8lcQfLBy3Sy2uMPzZ1X9s/bbVFA/ZAFlX9KjUSmxOgUHL5MPNnQ+jMFUecCUDtbcpiGHSuOcyxjq GqKpSyoac7jFV5gx0G7y4YTyGfhxIbZUht/0ooDAxHX0dUP8ba2XrI7YdE7b9u+HPNjP3hV3kY3m cYGkJCMEnqCwv+bzNKd1R1P3T3RTu41fYimwAtuGU/PR2TOkLJpgvXNOaohdDe4nuiprMk85s+8x cnx20fiCdlJz7qp2mLHH2BbvslY+Z+GBtHoZrJI/3lI2kdIsGEnPFd6cziV1EoyIFy5R3Cj65yxu Vb39GulT612eJKlb8Egx2Jcs9GaE46B3CujxeQNna3I10fSSzzF83jY8lVcYsXmfUnwMkwrA72al ZAL8feURABA3E8SnLBmTV6JPhI1lxpwlRzAXJtAMkeDgalkSpQb/utfxJCSTFfP6ZL+J4ZE4Y+SA eIdqlCpoN872rrWj1xpGA0TjR2FiteLRzoJ6iAoqJZU/9CVCqWOUrkXq1Y6oJkOSd/GjXLlb1Vee cKjhOxPNIE1Rv/Tsu0t7VWUOtWFEz3tLWhk71Uw0MnYS2coYADNkFFo6oBFZN02YczAMeP37NkgK EBujWtc25djZ2+6UheOX/dtl4y4/T8bIOYD1WQXTQ4SPBNKrYu00qizF6rkHlKK6TiiVGCUx+JpI tvhHxMqUzr5dp42iP4Nu/plUTJmSqjjzCwrvNU2vkfkA3qSZvHjzh4eC9Ye7ZMdvZBx4Klqybut0 14gukAFh5vdtg2uN9gP0dmiu9oh4PVB5BVI1EyW3JQ2mZrn4y49e3No2R7CDlggeM+UbSQalFpqA ZkcBDocyJvUi54qCUrXt3FrCW1sohJtxujAereE51MjGa2u/aXG5gEuKsZ0ZmqTl3y3yV6kGDNDJ 7yaz9mDiOrKG3er7hcMnGPFV4RPEljVZRJHZmpWvGan8Chx8l7vlFL26l8g91pA4d14B7Ts81fXT fgUS+PkebqqR+IxmDNXWdqniSFNJC/WK4McpBMJeshohk7EB1YfmfSMmnhzqPvz3L8RDgRJzKC7U Uatntfx5xXf83ba/dzZFZOVnvRzEVN42J9oCDVYR1xpUrGoR/PUJgz01Q5H4Cel9AEmT06VzTt4H jNbU+yQ0YZo70n3bNk9WNC7u9FarbFKUV3/EuOHB/1JxeCM8x1FXp/AZK2yHvs8hlQZPuR346Ujd dFX2y1FsH7FkIo1ursbnMeCgGWADXj0heaa1P++0OGSG0QypzR4+sByA23c3attfDeEKdzYWajPl WW/vc5KJei4GTiMFd5wY72ALGBJV9bX8GDQ8BEV2cTyW+vEt817D80Ehbr+GDfWOHpqgBvZGRgj/ Eo7Dn/+gy65Yd0frADJStWi8ZRWTYFMtot6xzwV+QiYcj9e1/X1LeQ1vHBDQTTgbn1CSDIhV2SHi 1k0MXJZWaThdO1tLLJNPQcKgRaSWgHaWo6G8Z5GhtgsJu9NIlGTffzTEL6j9UG5qK6umMcH1m7ir mG409fT/fiHlHDtf45gz1LjXu20SZZ7Jw69xIphzjo5L8bhi9X9HZkmZ4JoQELWayT4oJmgT0zdN HHDDSLJR9o5AF1EdE1RbPKj+FDUOoSa3hs+ljTtqQHdjPD2M+AlzyCmIGy9shKQ+SMCy4ASjIxBN BGvmnP3iWjy2kttgl52IgQs/9149HS8dzPgtyb4r+zXpGzVLOLYJTWzRrmt2F0loshZc/rTSLVpz LWcp+60mAwjDF6rqB64j4Kpf0VQOfSVsvxK23dRFsC2SaBJ8bbr5l0uUhLadw7GAM6Rz1LXgmJtD EVEiYeq2aqgN944SdaZ6kpG2VL8/REnGg7F8biP5BxhfCH47nmsnqPmMIPyrHmtpHNTrJ79J7op+ HFIqo50Igpjj8Oens6b8DSkmv+5zrAdMvbogA/eFXEyloQuMjh7B7pvH+boC6puEtGWtipfPAoeK f4pMK53rmWbln15vxBJJFpH8UbOyTGMpD9DpCGjjKNJm6G4ix7kDfTCCcS2yp/1fi9X7QrmudEFb R2sRAcyrLtGUrnP6nOlBtVGvut8biyZ+8AMZlEQI+TaEh2ZpyJ72Q+LrPuGG3c9SQzIaZT0ZIXSr EHX10/0UpoiQixDoudtUyVxhj5AHYBRuO12vHq6OE0R83KrzkvyZ5TV97CEyhKXljh2fTZ9Bs9ZY 7I8kZu60r85fhzZcKoNZHOcPPw30uv2EurU6ilxEd1FY6cEA3qtQJgjFd9X34vgsXMvXVF6iXNb4 Qywm4NdcSalsWZ0owrIQh+0/gVu5/AhTEM0rk+/rmXop+N+5ZNRFda+XGODFlUx7j3tXl5RKS00M yA3nio128kvLV3rhoVdSKcNs8+DjSuNvttTIwSbDlMkFBLLtgoNJzDWczw/yeD3pAnWR4bDOPhHT BZDdR5BGmavuQm8FYR70OzX0LsTiNbPgVlOSH7ijvKAnRd2O5RRWYDnUw5H97EV+JIqQ70iZA55m H/+k1dg939k7sN8t4ammWXFyo4cX+8DjjaCMC8BkUWttL5ExeDjpSzXAYMfIr32mfMtcrLB2UfJX WFM+3CPKRZxHqfVGV0X+Jmfyydc/T/9GCJuDV56QzDI5hmGlDclLbnjrkeIuoIQGVq0WhNUgerfq d5xFxNA2dJjhLkbA3SEFKo7R3ROg5QuZegpskTiAVYs6RA54unkQhrbJFZ+grsA0DI0eqpF18jL+ DOXQMnwUx8lHMl68T+fePgTMM9+voJjCXKv2BSRHu9lgiDSGa9AnwX7alVrxU62SB9ekpTQGrp1e niK1IaE16fNp7Z1J90PhF/WUR6+ZcSNB8i0gLce+oCBvt1rvaK26a2ZpESJaoO0QZ60kzoLNRvzz 1bNN6kUOFDMnJX8XT0IaEOolXyivopvOE8TdiTMOSI7b6Y64+5dd8Cnl5ecYMgjReBIIWRqvfxjm jGP7iwGYibm4iZ7YsPiKCvhBpusYbVwBrd9VW/mDUyUCwpPUTkM77ImYqRBEflKq1gYKBcgNpWvt UutSP/WyJz9tWIyYkMdvk+8uP91JCg0S8B2DKzPi2KnBtiVOVhMH1ovcl/qQHm0OiL+9jw1K51qc ikcxrRRQSlnAhQPckOZ1YsVPTXT5axylr3PcqDFjzydk1x3et5bNcveVT/eqhf1nVvnLKbjBfW82 LC9xBt/h1w0wkfrcERBUWUGR3CVR7FGZOZeB12jiRsbzsXsSmNcfdxGd3G4DrYiUYLm3y43Ycx1g k9i0l0NwwCR1a+te/xVGqh9soBeK4w6/kDPQf31TqUT9LvJOAnVDOBQ/ESuS09qshelzC8oDSUXm BnthkFpNcmEvAssr0H0kudLy1MgkvlhcloHacrPBXi7hXARlsodpP8LfphN0Kl7eUP00WYLcAyf7 RnNJv2h0EeFtnuUcafxsPyCGwbBzZmDd9CCtzIkRUckr6+i0vlIzNLO2sjxGDOhlQSCCQL0nsTA0 95UxC1M9Vhos8B/4/PJyIQNQDTDvTgOn7vigaSB7LLkjVMNiTFr1rGM6504hdHlMk0FrO5yNtkB4 fuKLQ1aeXnw0lEiCsRHkxKcxCJDFKSOQZtVbGrt3DRWqOr0AIgCpfDKn3PlJcR7UDmgCY8V4t3JM 9aajrLm9opy9qXM7pwXCDxAZnDxHX9NPhpojyU8iRbxUxXSjr5KEwadAUssNiwEt3/Acf7XH0eq3 qUgNVjwu6llQbLarHuUlVXRZrPZAQbL5jmJFPhDAUcvtonSf6frvm1Adeq/v9u3Jql78Qld16tX4 /vgfmPS/1qkdcKbCpWbc5PzrcdjN/L1aLKIynB7CsRvtIvUFbzRQ+wxMxTzjljwxrew5vBzkvXvF v0Bt/jxrsAuz9XEj0KciDaDr70M4S9b2sC5f7HVd/82bQ1HGX15n3dMPdMk2z97Ryjd3EC0ZkI8X 6mqlV3PV1MGt/k17LW/l+sn4pWe1C8+Dj2um57XNjElp4fjCumHKTqDKE+uPsGWR38OiyjqTdLge SzS1/JRQIlWq7S4dSdCDVMT7hTdwLQRSvim3z/y9Qt9Trn3Sh4DG3ZmgyPkZUoQkH4OJbySiTzBW Su9aJveU184sVYPAhmmYofmbNWt2dvmRq/y7tbbtyEDbdVVzeagAfUZMUWszxXrMKED6lY+OFSyc bxTvdOXYrO+EmhApTYd4CNy3UxPpbVcvqtZgnXJOccfjwVUi8H4MMDVUlv2x1SW8pFGohwusZENi Ke4ALQg0m27AhXCLVlh79Ge8VBsMQLAK3u/2a1jOybW6cXMQ/6bv76pCOsFOTfZKB1RMTzhzfv0a vgdxiLRK4MWIBjYqIFoX68ESxavhR8OZ0ETHoIDpPeOQmx9ww5I3or+KRQBVlRs2MSEyNHIEVX8z mqJfcbn6q6Vc1AqYyaBmmLYuOnxaC4fcBuOwLlZ/1iDq7wl7+OtNh49qPani4c7juV11Ojqd4IbG bfY47S8WmYlgtS4xwzXZ1oseIDHiFUahxGxoMDV3+0NMlM8O7WRy3w+wCwtkG+8O0lusXuOOnNII d2cHx7PJeBcRKd8XBi6xNGBvnos4sEQ91zZDVH78vFlFHBmXP54zMgA6yPFvd/K5bywma0BQt3Rx QkKMseQvUHnuI+FNcViAepoLtnDtnhOpcPAaM8X2y9OYZo/1M+FR4C7ohLpdQoHFJHkKKRFTmMeP Tzkw30NHbdpLTz+8XHwB3xOnB2mkPO1aVT86anNGt6csJ+EDaAZZ+dGtVvZeQ2ChTi1qm6izjibb 9m42q0Bn4pAPP6HiBjzVeTkUIjhZpmMhWyBPTzn/XeUr+A7DnVOCJzZY9UhRsuk+i/JKvhFL5FyV Bckrr5cXIPM8i6l+720T0v+ByogmSCkjkJIbVzICx7MCpwt/VsMypOqw+qv4TRUGjRH3D14BYI4f b+XQx+F358cA+a57r28Re9USKSAh8YweBV9zpY6a1RuXAWP6M3+YpjNKoXVMWvu7K7mSqzJ4cV02 J7GqFrX3637GsVv5lfNQ5OUv7TPQJtGVgWP69Qiq4RBoTZh/jUfZIIIFwNFXMIqrMPFtLTpYfymE /2Ok5qpw/NorvgS4bGm21sF1hqQ4wU1Nnw2qjeepgbGssRz9IQb92a53vyjvuRmV/jPvHSA4549+ gezYVVAbncKDOHsR2HoZBZepd9bUhZhIsNDFT/AFJH/GMc3ZlduG4KDXW4JEOk5wYvVB3Lku8WIS Qh7UsGqLKvmTDhDynDQHjANRSXPL43E/NiBtRsPjkG4GvVorsqQq+Wg6UZjWr6EPkPTDiJ5LhyLY 2V1y5aj4WepUbYV3dKRm5lh//wCQNsh3mXSp3nX0unfmWnnoZxmVS2dCLwf90awds1DbeP45JXJc /wF9mFe768H4kNkH1iVY/U6q8Z5y5F+r/C5xPp5+l5clhqI4b9ukfg+CKkuOdyBWNG00j8A5fl6K eyX8VY0e+8dZhCA97p/7BCqxHh43VRu4emn32ifFhkt99gDO4EY2CGc/xMP+IHQEoYB7acCiaiP3 JhHxqDNX3RBqYporY/+FHn1yj7mp+tTf/ROdRAADsnTZUhd4ducQhUnoRQtDM6C5SVN/NxEEa82c iAlgDZy3HhiqbsBTVaWxVFUVn3R0mdlkMYc+jQEJxI2QQUYKKPawYDEmUvrcpYNC7RMUjUVF0g6n HNQmoOO+WVftnZfy8pHPYHLQAerQMOFMRJB9p2+BGWtmPyBgrRVotIAKfTgzty4VFtfR3DoVP9gs 3ACIlLwIA1ae3ntQpEKYjeMpR7uMMwsaT5mMEwQ4IXj9Bj79/8va/l8HjiuoWZoot52gEWY2sQWy ZJEyaR1s1T1mb0zZqKh5PaXu39J5h3+ZZBXYpezjEMJoV07sFmOJgK2SSVVKcmrJal8jw60Bcpoj SaOmHWHo3E7gptzP4zyAdebLx3p0SXlgfnw7gvwUjuMO3SxUHKRvynXcMp9aTfJYKVqZByf6ioX/ n89m6uWIDpiecTME5rZomNHIGeoChTPxnwUYQsgKuzU2rohucmnQMdurjTxtdC/I3iKNsKMVamWG j7buNCvi7LlmxsWo+p/BkQADh1+AK2YpL9W0F8+6/EjS3rQSIi9ml2AkbacIx4HLR/VgRvSefm+0 DRrtcLNu6x1zz32Tyt73uj6XfyuV4zKQYo2SUhncAfqlGZCuj3wUMiySBNsK5BVIttY4FeigIg2y jk04B+cmhg2GMwMePnxHhDsYGXmlroyFWvQs351Qa6QoErf5uMMc+JZ2fMGOWO/lukhw7oIE0sVB TssXfkI7v3WohE0jft0WnxcsBtL/s7VhU0Oc6yE5772e1p2zEF93UHFI+zeoKfTMnIMw4haisPBI tODuBC9cj6Aiaxv0bmp7T/Q39S9eoDnlqPGOHeKEJfCoy2yEpcZ6x0F+jjQYV5/vav9x6M51oXLB Pzm5ppEUsWvVxasLTstqE0Zx7QNsz4vT+j3i+AQdyvEfiS5HOvHgGTmkJv4AsZL6jdrb8kooMFWT 1MOGEO6hAt3lCUHXo3SgreICtUtKdiAgfsuJ6ZisgenD2MC4gpJyTUWFauGIjFGVVoNjT/vPz6l+ ZovGiSMFZ4OMBSVjz7b2WWgR02ndKNPWCUEOWddZMr43o/yyv181qhBFb0K9q4vbi0XVJGNngAZ2 5kL4ais/DdBY4EY86SzeQvhVy70HiHgaUmRIbLEhjBmWrwxhBtrjmIcDYlKZMfngKMzQeymarmeI 8f6EgDbMiBR/1V86cmmXK3tambtMDcllp/ckJUr5lC9bRn9eDKnLpL1KPRZNGDFp/PtzTmvOWax5 lfj+sClOVv384EtFYtnSOEBwg2eGpFgZFOcYC47KqAFH8wsPgIPmX283NeooSHkwj8f+kSf9hXKF jJcE4c0Zh8dAK2ixTf8cwmIyEpA66YcQgmcO5zbn8bxVsi0vn0r+wNS+DQ+nvEGLWWyeP38zyyHu oTXZuYb01ViXtyMMAWV8uHKIApJHdPK5JdEROL9ogfnqv+IVcoywAOxPw79jIRX9rir806R7wocG JHjyeG3OjDe3NagmWBWEAelmtXp+witAAlBTtYUa3kt58LbY2CdEwFTs9V/ZBXl+sr980WyI45Z4 4YIBlaJSVZaART1E6/J9CeUGOKPTMXfHaXvIOADORfJnmLFrKS35p6FrlfNWkPTE7NHu9S3sd696 Viw/f7y38qQp70vQmaYSfKFIqehSLLuJnZACcL9wtzB4BNj2vnEenum5sDtViE9mWTmN/B/c5utn 9EKbkwgnEhYANKBiG7LdvzHOLkcAdvCcEQy6WcZpma1R/T/0gkjHYjjUl0MdzVzOlNGYFH/16S+V +l4cFPC4aznB5HmQAWHay5l5dISw5P6KqvGtvXdRwBuN/0f6f0AwwPBbIjWGF9jKh9nZau6ZrxBx zmW3FEgl8sBAB2HrUWPGizxssba1ugHm3+UaH4rfO22s1gjAW11sPFhyluyIgF17BgHh+fSHmjtb 5JUyPa6N2nZhcAdHuYm3Mz2tDphOQPacuQj/TsLcCBwS1/jpblt+VJaXzPS0497rF7ErwYjJXe70 +Iqf0Z9I/tRg1Ep2/qq7C8nIjwN1lCm8mZI2cwHLbB+WdqILn19utqQHxgOLwj9HnYzf24fpWs+a Ublja8W6z0u3UQ6PCtSexi5X40rSON1p2ukMJ3+6nptqjDmX7ip0SIv3a0vZHx49RFKdw6amlyQ1 WHKDRcPN+h6CJbDYrhRo344fTu4Btc++OzDZFrD8GzULtciQfAK7Phr2PpgILmsWU1JSr4tUsWJN xZoz79J7zv+ommpZoIBfUi/GkRT9rJJ5Y6SslgGS8Zc8rAJUs0J38yNHwiOvHWeSXhf7jAqPz3Nw +5XEKfFKXwgtRGWrgXBpzad2LoqJdhLDXKwqDQSXMF1uwcpkAEe0BRd0GI9XCoyoIBgxN/OoFZGv IYuc2MHLs37CMJjDGsVEgy8HbaV3oT5IH+cZrbqHh9KLosurX2FuwGEjUyZD+5jWYDIrCi/uI8/O SbTMYYWorfmrFnFAd5UUo5dobbvuZT5xRFW7TUnMcO8zKPlRdT0ZDGSut6nPBjpzRgz4prc/e1g7 Qk36acSHaTmnzF72huOAsqYcFLklD6Q5ebofz+1navGAsOpfSOyvDamx1uRWediZ132xs0sD4rnh STzgdXpUZtL1tcNJ7vYwEGr385k2h8snG4RZOh3UrI8jAGxnLe0BrTVZFM6hXONaLGapeFST7aLk lQ0jSJ0VjSW5zIe6HwVRw9wZ2o6ETaStq/gzKhxVFa6/DJFFwN/LmU3aleWqFb8y2kVzZkBaSTyX 4GmoesKOfPRbaMVZm42irJoeKnA/4p5G45Bxo88w2TswgEIGmaJ0J0YWujdzUrKzZd+1QPgjXeUr KGdRMCl2AskNTiNEWNY81Zl8wZiL7Oyc9Q/B8PFI8m0wIxIXcFUzufQUo1ArWxgQTLvgGC2krtA+ 8a6jBTH0jr7XDzQAejuDffhMMuLhCQNkrDN3TrseDpxcovyM7dtKLXtPqjMc1xlGNkSQ/SIRcNmD hgAebhITxmfWfbdEk9JcxIR+BJx6RQHYUTTrNfVqv1FjquULS/+nTSvHwjf3MACVbAwfd+mV6dgF sEDjOwwHlCepOsmeWsG7bcrZHtmPnOY9ddJkpz/ZlQKqNEPlZmrQg0gnpPk2LcB7GITKG4omtFPT 4FTuktjdfP4lCYLdkDcMAX1gdqyrqxbMLnKZRTN9RiWzIMsQiECKRp84wO4UyaFtDp66ItOi1fTq TVypuDQhLMLTQo8iBAJcQJ6iYTFY4+IlVilKWPqlfUfRnU26SjbBohwuXMkzm7nC6MvCrddZ+Vhr I8esKh6skT16vqUvh6L9DOmorHzIiZ3g8eoo151G8aA2X6B8vTu/cp2f54y7s+JDD8mnc+b5JT7G gRQqwA1wHRrjiz8Re2bQLNsOyonMjvc5My8ZLImTrmun1l1i+wq21qJmJsYQstaLZDkBA97mfcm3 nLaeER29u74yzSy1MIlaCb6P00A+9ryFnS+MSuNwd1lHbyVGu70FjCeNbmJvUHfE+qFrauBriduy uHPetJrSy5yyzSxE8yzF3B5NTsn5vvd1JozlcB2zD5tVcmzzZ0xu12jwJDMEKIp108HwuJmA3ZHg IrZTpZOKVF6ON1JA1tLUWu2H+NqYiAKJfOzIvCFCZ8+CY0tVTAJrAtc9WQAg0uLt+C5RxgTTJZ8Y KMwbmhKprOn71xsRvVS9lpB9e+6CZne/J6wfQqNquLKqS4R3NA4c66xT0yQD9Hf8qQVO2mepnmhC UdYpfB+yB7VYikC61kAs7SlhWY27KQSqJEZPtbXrVIXzXAuJl69hnPsJ6bOhbKaF09ETurLhHbQN hf0QsG8rrOOkrTBQZa+2HVSOJ2pfLYYrtjaob++xuM3rFtqK0QUZ8D5/8/2WhueDSbVtmV4c2ZZP PrWNwoaKy8NiVectIHikGMEzeOY6J8gqO/lsIHqpsSRy1b8OkWaJhrWlDAtd6DiatPbqs0KTfwjw ylGjuLQlM6f71AdV8wtFHcJr6Oh4zKBiCkPT+y9fJJfDWOxmEcel9pKkpTE+zRprkeSpuzVhUFyE o0+XdiE/1+SnhwOaFLbU9RFeK6a4TLbTiXQjA6Bs8tydZyc5gEE90FxYm/39dmYZXFm6A2xgJdHv rSg0x0Nu3Dra+YaokKeTnzVTrYJHdEEW0VZnbKaoKSKBBWgrzHGQCqpllpqVsjWwMduln/o7kt4r l6eDAo/t59BgQGtkX2Er3l+tXawVdSFKPKs2C9D9/lQrHPa9qwRGV88LpvPg157YKLJWmAwKch9B Ir/Vi5td/28mACftDIlERjPcXJWzkphBSjw1t9kU2gO8scHaUoJ1FmfnnWxdjXsilfvRzRt/pWaW dAgue+/F7RVFRn115SOgBVF854qeBVqXJwUCx5rh5RvT6qY73AYsL5kDKmWH3idDem97mNT7wKXr RU+bTmT+N0J5UzdqCaen7elFVuLuK0cYgfkjiDrjttG482gweDe9poRVFYhwhzbd/Z8KbigNUXny E70CzG7gZAIjDmEUeHXFcr8tCyLh9FdIUf5mxpu3FbLrGuHPwr55U+tHeMBwBdjgtHLZVA6p5Lg2 wFYJ0n3lmjmVGTjV1lwRXjPO6WvpiESBRuFiKl1k5fIUM6KjsPoeNAL+RRaQNudl1uFDdt5ycgii 7/iafbU7c1gf3lU76gbKO9bribpP2NM8wqKYEne+0TRoTcvKIrGbNBf5dorx9S6BtJSpJklzQD/K WdLZ8NawpTiKTQ4C5rzKKV9HN4XKontaHv8I+McTRBSUG+57dbakTkjgYgjjWEq+Z8ZtL3UIxwCd SVYU7qcWKl1lIG9o/hHo6toWauxJUbUYKG5oCOIlqKVgktl+F89U8c1EMOILPnQte9aNe57GCck9 P9q3wrS6gT0oDZKzxpRxeHO2FrKHsX1RxzbQ1eSkKDZBQxoioEhWBcX9jCRi+B5/SFSC5h64PHs+ rtI4ICrXvuAFkV4rKbJ5Y5pKdCgiIOCEU6IejSKr3Y/R5azsUX7vApfgGBgER8qTKAuJMF6bmL/S 4RXEjmf2bvEq8FHb/4rMau45GCWz+K8mPOPX7wamynGV+GxJIt9JAkeP8b42Pm82IOTsnXXqstw8 iWCkcqtbWi/YRdfC7skPMLsYvvORfwrAuhmzxIA+fwr1menRedpzdI1jCa+mngfvGnHU0qwqLm7M I1GfvwHPjsFoVNV7kSUhSLPwQFmIZ5bk2rNnQ4nxWx5Vaqu5zNyrSIdsLtfgcqfbEXiTiwfJbsmY 63/mqFRW/nzcyRoh8M0FB8wO1oV9uy5VgUz4eKRYdPa32e9puUQJkenPTYPAZLRAOnV4rvQ+c4E3 hAOdGSY3qhRALtvPBNGYp42cwEiA5S0DHRP5pvJ7P2iXnm98FkDtdAWmV725tUybn7GZ4kxslONR he590ErT2jcVvmPrGWYRFAZwiCe/0dHwT5S0Zwd8a5J1KE9e22vKsYqeuM5+Y9BtWv4tZ4TnqMls 0joOV9NBMYzmL7lkZ3YX//g3e8Dv0NCwKwn9TEY1b3sOMj1CoGS2V5+jAJuQwtbAf3AoGK+EnC0Q LaPc2zA2G4G4qCgpE7glH/pCjn47PM/dw/IO80D3siE6K9LQjauc0R5UOV754fwT0o4Sbl9gWwUJ u3NsDkf7CF/nQWhPJNn84sA4Mfq2mmkZVJBRNHdm2rYha1WOUTUI6lnl1d4S/xBCyD64wLMe2Uvc JspAxIItBcykgTVKSPB4uMJbNxixfNHaiU0Q7po3l0Poy5igBNMk7If4Egyi2C7Mr6lG8Zwhol7T wedAJL/uWAJ8+ibN28uv0a4+juXUp9Kl7dX8K3jAn2qSARbZfBYaB/SKylEgjB7B/DpngoJ3JEKw Gjpkw+qDGgluuiH4h5IwKUD3GB2W7lwd3KvQUX6Y0Ep+9b8wJ+17kBD4RFyMRoABCfGRL0EzOrZu ZCpCmFweG4/HP8LaWQd4EXkeSwq/2lJty0T5OTy0JQJa3K0cZ8JthKUDdbmyJn2B345XGg23XoM/ vKjxIEQIDI0Wgs1Nhs2YH6AayHwH1MqsE/DkKkm5yZFoDE+HUQdF++u/4euC+nKa0W4JeiuaN8RO R9rZkkIK430m2OGkHsT5LOm1iQQJTbL8hqogDXnsP+3l0ETAIPH+u/5BKVBQeNU7nX50ZOmKl3se 0vvjXl4iq2/UkZIvt+CPB6F4NupkhaA8XoUdkAB1PuK9gJHT+DYlo1F4QtR/eSjturwvF8W2fVam 7zPv5J3zN0E7+nkgfxF6lp7/syzJBsSYghrrYcab9t/cpdarygz8Z/4+m/nDCW68lsLhUxhLTRY3 QDWjOLbnqtylmWy4ZwdLK6aCrybGpbkF142Qa9YmG6LQeAxX080KiVdiDUoIzedveSfjuNyU3jwu QVUZ+1RBGgjgBljj0zSweZE1sPlnfthscXihbcQkCiIgHQi73EruBdE9oGSNUFW6p+6qwYGgygv+ 55s4HaL+d3vkXOJZGbYLNM/FJcid0qzY2jr6MtnlgXy1zZ11//AD5lR/3XMW9iRBKLKmwP91jiKu ngfqUxks5+14clO6PORDspu1FKay4Op00n3Mba0gr8QUmpG1LXIuMgnPIiWRr3Wp/bnGgfYc1moH 7vYRNHYZfIKOM6UZThjoyVGct19H3JXBzKAoh5iVBFlXgPOsRpXPP+Zh53j+T5H7jKY2Xo6rGQYc dai5svb3GV90EIGT03i0efGgTfHEMvxJFZZMlXeNiIEFhP8zGT8nnissjggaQVSFwf6Um/ehhRiI yeXVLj3L7i2HlWpG3SOWNB4F32SQTfj1aoczJEQxah51FW92/aI0sU3A+6QadwIj4uFuaq///TnK pDy3PLVNuviQvSex9rv3ZO0Xe62v9ce+VUnHgZyCraoIc9EJyT8vV52YrehcSp6Ae83UgeKDukOr Z6WQR97GJ66zvehqigJSuaGQHvvMMiN4L3uM2N0k73wJwdWwBzJfL6AKFoyhqD56lErURMkNCxIw rAh9TzKdzJ7+zlgDF5M0FFJuhhP1dXwDHubFUPchq8/AO4i6R14Lf14SERWwRVTsozAfj0AWNxiT X/ByZbNs2RcIQpq3GIXD6LNowq9Ccllm+S1tR9E23EyUTZXfTwd6nUmsjsp3MHD3eE8I+WZpW868 pwU2WfPwWcAw2wrnBHQPkKN6gkfzZ7MlDJjb0iRJMqdd2aAhi58uSmVvbv0vMxtEcpceqfmofR/Z 5/Ugrs8w39LIILUndOCLB5zLd7qaqi0Teg9UZH1ZF7L2gXl1F8L/N9Llf3rNdwlPtjIcA4oAJ4Tw RANUQU3snwcfjEmEaLpJkIi0Wy/48jvZmV6EgVT0aOTvv616ueR2RHjmSxnrdakzz0pLpKhYcEWr VVWHlliGsA+v/6MAjLpLHtxsF3S+JBztWaGP/1dE1ZrKcvBzy31iIzZLc6aZ+dibygOfyd70VEKB We0q/gXCn/+jegFkU5OGZiAczI9lv1fxGchw8UabHgPHuGlqeEvflq/I/xkth2vqGAPzyxsUfHrP 1txSBPalibisHYfiN/8dJ/uTysFp1UA5wQphxCfEIddDrz3gFM+cyvbGu2rzz9a+DcntZL9uY3Od dH3HSUbbk3mfHxQK176tZs1iL/s7G7rrv3WvLSyX1muqmAT+aAwMgw4to+nnrgc0mJFQVF8nnjff 0Sa8FjZciTaqga6n5VBlzERbG+o4YaqtaIrwZGvd5S42ZPkPVb9QK27Nl4m3UHcDxU6Gh7tzCHI3 V0S/CSQ8ecBgIfyN8gu2JgNd1rjKq5yLiUFf1G1Sc+hDDQj2AyMuQHR8FB2rdnwMreo5576ckgSU fXR+L8+fhqX7WIO2e2BF3BpthIlmSbLOI88ajhTX7dVgJL3upNU6vYk3wm+3qM+CC5A4CuQ55ylY DN1FwK/AiyuwgArpujF57/3YprWws6vAgnAxe1VQu59Unq7nApIj9rqYauN7yVrY325SBDnlUki+ 6E1jcHfy8kBylNI30h1o51Vpa+q3PdEfOPuH9Zn1LY049JXAVXsY/YZcBBh5/Ofi4lFGhDogcaWN kNLHcpXo7puwbLXEnnuJZbJaLlP6znXq2vZ2nB+QUaUSHMRtmSSHboH7udIudkIVX1ZTa4S+zN5l FIWDdwoHDmNXcWJHOljta8lLQIJOQ5lP9LV/+9+D6ET4bz6pHs7u0o7862bJ8CP5U3Te4uZXQfWg S4i+d713rMvVeZ0sg4pLfI1CT6raGKfFlTkhhcoSq5Rc46vx8V0Es4xwokP0lPIWZAc4Nj3cqf0n AArsGjP154WkijhHn/Eo2xGIRE6CrL1v0EYe0t0Laq4o1KvAlswIl0AIsdN2MTOmWHX/Gws/ibO8 NC5O2uewlvVCZmFTcJTiGi9BkLQYeQ+zfnqTxx/A17mfg2iDjQVlyWJcKF3RP3woxlM+v5ny0kxW Usbu35vgr9tL/cpRJGtdn5qlWS4f800szS9EV6HZA7bZ2n+s6lfUqXyJ21D0m8ZWp9AQEuU702UM zBcqcBh8wVOJwi6kFJW92G7Q9DKJAcv2lobApgLV7SISHr/NQJ8iMxHh0g0rm4tY56QbHgP5HBiy DcvSXJS1M34RzIJ8CMLlFLJh/tEbbK5mvBMY2a37GZs90vbmvuq2mfIQTD2O3htj9phqsWLomKD8 D+ZH/QpQ4lmglturKbuU2iiJaVIgn8AdFXK91/PZwjoqV/05lJrgmtnGZZV6cpGVsek73EfeosTF maR8BFzOz2JQ/V26oEOML/DMa+hUt0poA0Jjy2gtzmoX/WY7Tgpin1vO81nX9KXw+HAulRzWVInx +C3hghW/4et05wJFlz0WIzSP45OnRLwsJ0Jg03kgLpte2s3dJkgrfzDfehNhCzBD/ehpOJTe6Iae 3af1/wu5EhZNdks9fEppzZBxbEFA41fSLCp6cAjeNtpspoOzflzJVDehM/QY0zS2dJPNX3ikiN8W wTcryqVl7A2oxFIbmyJLngeyiQ3xEkRc8or8xykgYq4T0BntX68smm113P56FyouR/XQAhTfS5cm 2luDRcWOUO1Hcs0UEvTT6P3WG/mZ8sA6eoYYaAjs4SndJnX2yarjzKbXf46EAqxJL1PK3YJI4Rc+ 7O503HppVgSQBHgZwhDMXJ+4R1l9Z2eWhpkuQCkd2xf0RMH9PP3uScCfenqFCeFKaZtgp+1zPIcb 4vDOFpOlMX2XhsO7Lyj6N7NotM6WQyB547i0pIlAYPHNAhtPsApLOcU7a2KWkLSdjk+SpyoOrUqD /xDI4hOk8Hqd0YsQuA1beqXQk7Yt+WPeSOkuWJCjSM3z9Tv/Q3lVQKi2D/I6aWF7pghl1/o9dRFd XVwtAU2s5Rf/gkwMfyKUN+deYyfsTPtfZWWhgkb8Qus4E5Lr0TPKqh8tSzNbqM2KQfnuYsQNdG7s 7xR/+YykXVVoynwBepRoiFqdjqPeWVjxRwh98mXXmsDycJKhZbMe/obxVcoVwFjKvVVAqDrIXXBi uplJNdLDpYNbwfNg8ZOpa583Mfv86tElrVPVvni1egA+aW1rnXzf3lm9LMB4FtM0Mhp0BfEshndo 8miHUSKyr2qVgWMLPkEQQrOObI5kH1t1qac4YtndBrOgSZah6pqnHDLQhfdI29Q/AqS9q4BBZDhW nPcDfUmnqdyP/rzgkA+HKPIxeGMzsLFuFUJQW3rRWKuXz3SZd4hZMsHgZoWPIV1ZwVZAcEQyjEOt ZdBovJTTuqGnz2zyaW3BpgvDb9HpJ6Q1LqVf8OYCxex3OL+bwEX8RcuyNRA9mpObCez0kOOrYouW 38eDQjLk6cMj9ps4SKJezn29kXr4jK19E/YF5t+LtD/W4P1zyRQygyv/exd9pCGKsFH5fUIGZ35W 8BWWgyMVXOsoAyLPAq68mioDKk5fAMTtUxQjjAmWbbABYc6czQ3u5nbEsX/FRsPKXItQolfODWev BfDxZ92xhFGKWJIhlesAqvy4Jycj0pBUWNgYuBjiw26U3NXbsl4mijbciyjM3GghJp9qUiOcUiXX iZtH10gMECJ9cM1WCLmUCzaiJJfLfSqRFT5k05MGlL8B5dhqybTxpf7ZMh2ybDXu4ohS4Gh39WrT kpjC8e10o3TClOhyKv9qqX5r2VO8634wvKfDjCyFKDNOxckqJG3Bzd3WxKESinhuI+l6kIQ2/ovq vA3e2R5GIDeTu0pE/vNV6xoHuuw8A3zt1rFetxI74JEWFEeDqV4+0csjD/fKkHV7rskUH7UXLAFg 5UKQVZYtrsLpnZ2QSl7QdVCqZQLCieFKtfF/ZXHyDU4GhDJlzaXEHgNCTsYQ6+ZnzT/Fw91YarZC sfpaie9hAgKugyoormbxuluE7IAN9MzFlhu+IqKPHMhE9B50MF5ozxVim6TCdVDKfxmBjVrE61qs 1vIhH15PgeMPi5OlU3ogFHItot1CJFiZEO3lUHx04tLLRoCPbQkC2tjXxWXmexojLe19DzNG16RT rI++UlD2TjcAtiA8j1Fcg/d7nUXjo+N/epvkWPuwmSS3J2tJm6ZqWB8eRj7Hl1NexZGe4JsLXSrA 29zlDsV0NsDEPRDsmcN2PUde3uReKYUMEUUB8Otq+zkyh+p92so2OkSAX1FIWHk/UnuwL+yxzXcE BpVqAEkmVYNG4jFzgEA6EVr0c5BICStrG7Fl/ME7iqE1RM6Qj4IvM5etyXQRBu2ZbAlixpR5EkNM 26keDSiIcgGaHttIhY6wB3SqjGZly+i0RzQyeTRRAPjFW2+JPCdneYH+WutsUKPpxn9PAxcPaIRz mZNUcu8cJ7B5dH4KAdjkV6r5a43hmhoHaOxDpKSH99sdsBBSOwCMcZkGLRlcg5PDNKb5W4o+kHXP jaZvc0w+leX4cfJEUkAIkob6STgVinFGYVDQrcGLlLOrWiacKrkl5qlFffEmNKdaTvrj4CWxC7nv cr9k+4mPc0Vg2adfoVH1Byk0f0CPIjMKgzqVs6zkw0I4mVD7fz6Fgo/z+hd/88iXTmxWvw+u1NrQ KWhu6KtSxMHEC9fdR+qo9e0yeeBW7pUhbbxhllWBL3aRbHOgTs6Ynji+ubCYqavHtti1YKqJGOA5 OiGEJ6+EuZ9btI1102d7hkpr1fXcEwiE57Mco7i3FaeXG3gc3vmSw8TFCNvewfuvqLMmEzMgDt5q R9o+A4OCwYuU3loXziey6V/f7Jc6xwCcfiK4ujXlGjkPtOCxBuouFlm+sWpUfwEPuWhiSpFPXfZk 0yATseOs34kMkui4wJqBhroBpMYglPx/vBRr4sRik7VPCWNqZLMYeju9KGNO2h8hrJGxOjjar0hR SglAJrw8CDCGwaL09n0hvjPkdOTR9qPR6t9F00CcepFzQGPNGULv9q0HE1cYCo9TS+HDo+r7DMW/ sJrgXFAwTayYxpRDsrz09dGGZbA7vgYj7wV1WfQdAO5ZJHcyxduI1EXBWiMYu5lY0ZCuJla8CIh6 d/1SZFfuArlP5dbWkMetQFPzsjBjSBdxC9do415t5R9OR8/kIzf3I/Xxl/MqWba6C8LRqlKpb/Aj eo1pePKuksh9Q+VnASLroRa4Vp4CCuhNWHbtyyEet9HZpPksPjepc/JjIVvPeQQas1SHmts6ZeAK EccZHVHxHy5FZ2C7AqOIc/oEQbWut8dGxpwH6kyTgFdYKKNakekDqYqFFrsKxlsCHWGSFw9HSIzl MFeMdO9/bRI/f/CCH8IdXh6ZFrjyb7OCuH+S+f5uMxSTV6QOwsRf9iBWowmJUj4KJBuLXgMa2rgM HNLkiTsLBvynRcwb1rLvJNWWA/JcvV/wKdEHwwiDORas0Ux8RxO+8+2y3k32XM58+vwJl7e8dB9Y hrCNMI9O0cHeLrJl7zP2RLCZkXO1s3pShur6XRpxl39e7j6cYvikKFK/hXsDSGBf2qkZbW8FEf89 aJfoSPYWtbjGx8EKiPl6mCgp2F4m8Po9rPnsvswMloPNshQUGzlC7Jv0ou0cnpUzgcTp2Gaa8nRM YiPOlMFtnZuLF3zoasodRi8FN+3RI6L1RlSaO11PTPMVxQhVVy4crkfYK7JxdwrfgflB4jzcOQKg jQSNQyaZYaveuNcYwTajiYGAjVPEmXJ+iT+wLIwcAl0mICyM5opiQtYEMV7upjHmS6G0/9pHDo3p OY4ypGZN607EAz5Pi83VveQjbJ+GvHTle6ju2yKs096tn57GyiPnu5BzzmO5ZjE3L9mW83RGBhSK V7KUVChRM5nPyMAhc8jpNagfSpRtbQ/PdnuUTE0q7HxNrTv0MYAiJzt73ppU3dUWjK5SlZCInL8L BskEjonN+s8KTCWbZgH96VGevfb0xDq/thxeBEHaBSfQIqh76x5BQPRblErWVn5kBEPvDuUSKGr7 Vd/oVRsrBl5zLm/yj5OwHD9r2DYKv/MzUQA2gMcRUV5Us5+nGlOVJs/5GtRIkvTr13ReiDDxy9du jSQ5EKQ6pCi96khxc+LU5NpHzRBVwOnSVjX0uPuNjvP/aqM9jWZ3nufifDM/36uj6WNeEEmYFtZm gfPhX2JA/zIpGqU1COSTfgikB/xGffZfBy6Qmyu0xi9ayC2kBsAOe6ZNQKUD1zoUtYGTyIvEdDiQ aNPcgI0wNRPfWGKBOdj4YU7R1DT7uNTVesNYghn5Q32rPKWIaKq7eLRG6Aku9Qtl/Ped2xfzJbHY uY8U5W4+xt7/3NrLFacWbH1+hecthjwvvTR5bRPMZGGPRCMtmLFD4KhF3yxRcpbJLo0ehum0H/Ar Ipnd2YdCjeUFgR19qRCkIQ7DefntxWZb3KtNwum6HKYT8e66heaRqm3zk7TgMl7zBgrRaCXT4AFp eWt/bt3MVlMYXRqLXPSCRzW/wvbRtB9IcW6GnNBKYiHrL/FyNzinULrLvtT6h//R6wShJznPLSLR pVnAxJ002dWD2/Lilb+cIlzot29CQkj/5vHuBrGIzD6w7YM7WeFP73d6Bwbv5wNctPF4CUv4u+7f Q/gLTI/LhBEUqtjL496Vczvm4nnS1awwkWPlI+xG5B+5Szw2zx8LbvZJUvv5AKb+JS3qjwY+kDNT 9kCL1EyXrI72jXQq7fvq1lPz5tnm7ITglpv2TJBjRx9l169f0/N5Ufc2Kccs96SJx251sM60eJ+x l1lqSQc5oOODny9LfrHZgGnSMlVda7/Ww4wURzvV98CzImQOXUJMbPm5gnDsHfTxLHsg726Bz84Y UlojlUMhblB7DBl55vryDG1jW8rN+kYr8Z6cd8MtVnKKKAYRV6VNaUOU61jKMDBQtN5NOA6iXWYC 85O3pGR+wwY8RJGZR9jpFOGzrnqp48S+Enbva06xEN+fgJM/V8tAsYzb/G+nocMhwD74Z13BNN+A 7uZdCUaMug6hKyo985jXpaygOPKlZAo4kFLkjAEC4FDmtq9jv5Nuo7yJQQIsd3+pfNaxsUyZTOQ/ QbNw7v94jqbnd/ObSJuVtIX5x4fdvD/gFWijSL8QA4SZu86bCgkX+p8zGPsVmnkJdwnU0BSn3/m/ AjsHy+8Gouu8ER7qfGZjOcIjt/8INwfKkmjWCKAMn01OoP6W/lau8v1yw3czjLBiFbYpFU/GhJAa W2AJn05b+UljsO8lYuhwSFGr+/zg7WdLW2j1EO4PB535Wqel9jFS/nXuUH2sHPc9UNdRLpTPK69Y yWSH9Tvv3XyQBWPo6NFmaRpNPqqVfhfQs0I/JJP2oD9g0BTmYa+4C+WWl2zo92PVD2ijqpE7gBMq z+au1ZVVkcw3Dbg8hr5U7d3zvhSY8wWW3TE7+Qx56uKr03UP309IcrrCPphTGr9TN35Jq9WLszGn HHD37hO0CRbiBSIAywbDKg80V7cuS6dvN+udrKt3L1LY0Ld1ANHi6sSqRvC6Np/yxMdZ9iKdvFRn uPYvS4tmbKVdJCzr/9DhCPPy7xy4LFYpJuvrC+uj7ggHhQhWECadGSGT59s3kruLrEVOVa4DKbrI b0pzKAazUViunPt5HwhDP5DRyPdILILLHXXWleHib0zRwHWOZTqnRfZwkL4b7IuiP6lBSEKIG3Xa 63B3WJfHdEaeU9+ynYr30vcZja5IggSqlB9oTcPdQPwr4V7wv4M/S5Z+JTvyaSUn7/0K6Ig02Dii lEhXGszyJ+WrTcwgPMAXO8PIodNp1kOiSbY0cUADdbcmHl4u1Th20t4Um7jdKGVEv7mZYqPdfr+p PYVKu0Up+cWTlXfFKOKONlvce9Rc/HfPJQwfhcGCaxKv9qe/J0AMfD2YalsT4eY6YRVbq7UQruwp hRQ1VgJVsxXbZqVoeZHb+DL/BJ7hvMVHqKbNfFH217zTCNsJy3seE6C05WSjgKltWgihhTWqMp1/ PYdfesm4ZzTVDYmI/AoTua+ikrpXTwaM+Wi7VtcpS56lEsbJ5uexZuJgO8HFUSbdq3hPoVrMu+bM AcaSoSDC1WCFI95ugHET5XQYd3llsnUmDwrvMaHVJ7tY0h8bYVO0QKv9D1e8YJz5WUiYoRHJJZq5 5Y8EdQIT+YpX7uEyylCbGfiWNwvxHz01Y8c8YUSuvMeq26XfH18qMHJMD9sSvSNNUrHDx0tbpEDI brMaqxScIDL5RNc+uzvFnnrr6yelTiSPLt3N6V0XkZKDXrGulrjUPmMAIp/IACJlO1fqUZ7dOPSp iEfKF3jRfBCFudXHYl8MJmJxmJa/lPi2+3KirS/GAHyIxm5yrUQidd0F7kjaM2qdbhcyFidf8GwJ 5LD1VsW8caZtyC/uAA/ReARWS6j9AMEMgNVw6GCpB095qDcigTdpxbLAu6Yy21Jpc7oTGgJmz6Bh wlXrCrP1rU3aQxyzZZJvQJEkoIIa9MyosUIUHowyOl6C+LWLWv0ELxNSwLaE+lmlIgnijvLnyR5E LRYW6a0ewSFjQ3IyZuQlMsNn5S9MfclS8d6eYom9995TSNCRnKe8QEA/LS62lduFb2+aWB3RC7xy XUqXBTCa/swpZZ3LVwBL97xASdH81KGdhx4PeC6WjvvnDDIAFnt2ydQ9GpPFw03YWMkqIDrOIaF1 SF2bQXrxYHgoPVY62Xom6R+8AHgBCyXcGDA4ElEhL4irEJH1P+iIv/ZW4IQk9EbglgrqcqIwaemm 0DJM30FAPnNVdG29H+FlWEOEVToNCIa7P6w0vfWWk0Wr8upGRTwwxIjq4b6zWIQYOrIsBkLbtC/+ kaWORDU84fcTAzz94QhOdZSlwfDDImanLKqs0ZsSmYQrD4r6pfFdG8BKTax0yuci9g9tMJ8jxAdW e4eRYoeNb0Ghp7ZdWtFRX46SJeDmpzUA7rMPS4EbCigizNUKJ5Np0ekupFKxCDU6EY3TG4dC+4pj W12XDuNfXeyyUMqFRCxAQpv9+FpeLygZZlRHY5L0PQOh5bDGlpcFlCgnNClQtgznu2vym4HuIm/I j9a2SOV2d5QdsTBReCbF6VOblN9SBiJk+T4nA0iMXiGkCgD9Y0KrOYiJSRH/7FFjtFZRYH3HRwAK 877Zy9Q7OytcKP24KL4ZvyEFRtQbnogkuJdGHPz4sSPW/vU5wM8bfya9yMJpyAYGMmUg5tIvdXX6 gfQyHKRUmoL3R+2D4o+rJcu4qPWJkXMcf56mCEuv6ALp+d+E3U9a2BY/zjcf2zYQkD23qjjBCbs0 nWvdEB4txrjeaV+XYYHI9IgwOvEwY7KCLDNXLeYZB28RacBzLHWP+u33BBfeTksQP5dIbr3sjql+ Pw4X/EBlMrCF4TIhwKokKZfRLCrrNSe9SeOsCHVa20FmNv7rJore0Z9w8J+3cJKa97AZ2xzNSxv7 3qoWQNi9njtjUkeYqYR5BzVT7I6HeHwvqzhYE/6peQodRI9Q1kG+BSPm5Mk4pOAm9BtdjhGxCcOY mlbVTkbcnY58vCi0IU+nhP2i3fANSSMkAnBYdydFqYV/O16GBBy0Uc8eFO/IcZledfRdkEBLEfFY Nf0HFk5G3VgV96Aox1ED4y1d8Z87Kb+R7hU6NkTe4NwE72t3/fFFoUBOxBB7VRXA/8ka3KpL7ALD QsQ9x1wkst7Bbsiwd1G1DKvAIhLDOUyW6zny/+TEarcZT3byIo8uShw4nFz1KJS3czhTMWWWaj9L i/YxiSZRtJz2DWJ7Pj9qq3/jRVoZG7IvqNjWNE0FRcSJaWAngQba24g8jflZLW+sp3hEMpex8oxL zDwi+gDFt9eExcjZ3mN1O0i+TmKwwtaCTojJeq5bPyjO3K9144cbQ76FmcQYGl/BdCr4XBLLhzON nUsHrK3YhHLEnsoDY0fibHVf6IjCh3IT+xJTe8osZD4Lay+/3KjvtJe58DYCLYHxBLFKZ5YVxEku 7mkRJS6ZzyRq1NXBGH35LF5PD7C3zgQVdWsBvorLDWDPKFZw8wwQTc8aUutVCrP5mQjqvdLqGmbl ts5WeCBzmBGSXl8C/MHNHlJuE+T7qIUYt6+xN7WfeMfhpX5R8aBXr2qrmYrDDs2ShtEpsk0y/ZeI xNt1PFNvBiCtSKxvwD10sqLCouunugIF4+80m2yKbJlMmpPxICffXHwmt6Rho1s/bQOBZa0nUs6w FfR88Et67MQqRA0C8jioQ0lOt9+LzzmsnI5AUeDikRWiQv8ohf6PP0p/WK3twms321PAMBlJaWuU UsT2rPjZWfIen2wg6vdL6ZwXKlI+EZxxAm9kI+5HOQ31M0a9Eom1Q8APS/yM5qZfIS9LP3ArVOBY eKa7SIOcJ8sfa1mehIFCsnl/sVBVNcCPHoaj/M8263g++r6KalGh/tPr6YoNhXS4rAJ0lHHbqDnB rAbye0hCB1zqfUzVcFzx6MI9BNPcsmju40k38fO6JoiQn5WlVOzHvpeNb+iKAqAe+teLYAQNQH5W hyCgIV+Yh1kugtfQgxzDSDx6rz0ov53OZ/xR+sMV8PKDko2WSNRn6QeyfYO/UrZ9+k/1N8T3iDJj /FDeWxuwI4zuEQ4DG9xbkM0x2xo0YZoFzXKcoCF+v/g9hSi5f6tvzcUhAM2wL+ydwG8nsBdZgy7a bnTzhy9rzq0AslumRDYwty0Kyps8gjSlcyXwWnqhDyqfi2ngROn4hKdhWijbJhAuV/L4JVK2rue7 N53m6gMJzugjgftr9yZSa+IHwUtImH5C2M6NZWY2YRUlVbP7W7w+avhpLdxDbS/vIveSmPkzuPr+ BmfPIe3z6jNziCxKeU7bd8VPWT4I8UDRpBZa2lFdeFrAPAZsXBihVQ3yZ240vPEWFdzkWytir2E+ fmN0mYF03+S5G7+FKOZSEGMpSClF4EYYJaJE82OHOcz1s0MwjZeCCtBlQwK9YvZxgKcJbXeATp/O OEf3U0VafhNUZsv3b+p8tf4r0srZ/LGhvgOhiO5ynEbeIHxCC/YFQuVgWP0IM76jlEo+Dij1xQDl 8QmpV8TLlbkg+mhxa1GvbbXpPmew7iZJpNGZ3Yzw1x0iRK3n96106yJUwdGNMj1DFo1oneE/qsLI MF3fQvmtbctzNjc5JRobcftPyg/HxK94lC7Vf9L6hYBljmJ41ad/zHktB65JmU1TzR/Z+U2/L83k IPX3H0gi541PZh1lfOFjNGsou4b6PubTXOJUPg7Vht+VBMk8zAetfVPETac+B+CAKqL05AZW5Ik6 i4JvL7nJ7hmD6J/Gg+Z2RJx31FjW8xYK6U31t/7u4hKMRBoKxLDAxrLPvpxlxf1FH2GbR2FaRIJc EYuQ7Ga+El1aQvqd3N9owdomAzgCypvRyCvofZuHW8cbZE/GUr4sG7E0BZR+eXmb8bn7dYgxd6BV GmTPdnTUQdPGbgmN9YBs4O/BAb4uXnXUXtHm/+DdDaoST3aoUkl2Wb8mjlvDBu8IbgaYWk9MibkX hXE5/oq+NpbtL4UQD1bqr0iHFt/mGQ20exerjQ4wpStw++L28wWdhahmLxm6u0l3CR6jDLUOffhM Be1nXvypoH/6FYX2cd9wzOcLejzNVXroj9KVwDxjCZ8oY28gK+zCYzHzTa3jYNT+0b1reipIvFG0 QawzYhrqpAdJr1EsLxbXhHH9HwVjbO8jeNI8rpWsqBRwO+Usunur+rHBeAyYBk1Sup5rfL+hWeDS LRhVB8uHzATQ+BAFwPEOdlyW16XV98hkjoXMSEJN3J+SfMfCQYEIX6uHbE3MSO/lCfF1nJaaK9wh +PmAP5dY2BOALUjsJtiO+0jBL+ss8Ho004O/GE0tXmHEVScdvJ2fjoLRpCuZIMbl7kZoCO6hnNEO WsApZZCm9sFSj9y1UrbPyUX87lPvANnFSxBMAm9pDAiN85934Z/78nxd38cBF5hnsSbtz0KPAa6S +c+5DS2mzHlLxLqNWFlj33br1xD0eqXKg/fU5/NoDTF3WDB6hlUmRcVLLNSCH5Iy+lmLon0cfjTw PQRK1MBRnvxBFYMXX7Cnt8VLEK0mgcqgZZzNJYwo0NcsIyIKb4ZD4mpybf7m7CaFPPUEwKUmMkDH 8VUwR37PdOUtYxxYXDaLkiRTe8tesJhnIYvVNwUzXXNcIs0ruw5xtjEEZdfEaZG7AmcHQ0Jsbvor RGkhObSTev71OcDUSUsuVw48mSykIpd4XXlUGbeQvMrP/BqHDcjbioEXaIOZxf2ar1LDS1zjIGfP MyqENIa54DaE7tdtyZV70b/50PMkfbMRvCOZRDMAmMS3A3B/0VQRhIN7UdeLhIzezHX9Nc7daLpB R80aGyvdBlWXQNIBkGYH3gOp7Jfm7/QxGgQcC/sbUamj6B6Xt9i+gS4DTwAyzY9tjFwSwnBZW89z PFUH7n5QotPDNWMmCdxS6KkiPsOGVfmELJGkKF7nrXfRWHes7WneKhQRtiwj4md2vQVTgSLDc0eD mA5Nh/WDzzehS73WrjbXYLsVZQVWZi7FsenoUOiYTx3qSNoqXbBSQI6DmRpjHVdTztsPuFcisEgo J7HxQVEG1t0kd6oA9W0hrZ0rxliYb8N+0A9b33m7CANUJxuUaSbUdbiyYKcPBcGVmRzL1r+JMaj2 vYeQ/y/eaBDZwILtuGTWNPuuCD1xlD4VwroXECXO4OzJ7lRPegfH4HCuMKlzzqYAkD7gMy0nMAXD 9pUUFQNURg2S+gfQJJ+2WFte/B9xPIbHTPP+ZHU1G5HRP1MmZdDF/spfvxium8wz2HQsV7hPN6XD TH++jgtZl0oHAyfqu94+sBxYYGEggydp5FaOkM3gSOuK2fzYLNf+t2HhKt1f1Um1bi5093fue7fQ GO53yXj3+90Cv44tTWSJ9MED6rtkyJpa0i9nLFOs6i1TkbIdZFDuJb1u0VMyJliMK25c0nPjxupq P03jNcn/c7HIFWDbx+E3pQabpi3X04f3qmpb3f9UHj4+uzM8iZFmPI5PVH9ICRjLebXqYCVO5uSE V0F4d66n0xDB8d59E5LwYrj+sjoqZ4/GMYnXWGXyu4DrNElzR9ibABO3t6+TKAGeu7RsZ1ByyXHc OiQj+4rG0bQn/+FGjmWZjthhz65+GV4jBxe58RbR4lT/1FmuXiNrCHjy1q1yVgJDTzYzHFftS4Gg +E1CJVbAYeSZMbf6eg0zCbznjGzTkZk7Q22hcEimfPwAXrf5hADoSuvGijJPLIEwDais8cX9uS1+ bOQIxef+Eb1tyd88t1jCTvqI2fHetjfqtIC1cAwPWNNamdvRtNtvG20G3bupme4/dFXEdXRTpE7b aShSx5Bv1FNxjYMnzsQ7C2OpdGQG39wifyR80mgi5JD4rpE82m8EVAKhP4l+n/YnCZ4wdLg3I+Qb ipuFwzDofbGOCstKIBeXtZz8EusE4JBLV8gf2781tFXJQNUEzD8eOq5CK+fAHF+l/LkgfEgVLpWw Vfn13ZNblZtD7wEJtja5rrv4/Nx9/Hf2kYSL++dxNfqBB53Yuef6LbBnMb83PFUh+qYEliOy+5tv 66TZYp2I0WAVKlx1CqunW7VxClptFW/S1gZ5jFSCcBDwpmB1a4omlblZa0yUbx951mtPtpTRorMp iSFtB2DjWO+XVUtxqKv7Rv061qIyF3P5+wA/B3BphKe7b8ZI184P96yIuoFLbZR6xDUko4ifJqCw r9UjBWAIC0Id5dRBbTA0HkRnWphfbr8WNyP6HiNUtVztJzPw4vIr25CU4dmghVDoeXx//l0ZCfRv j10jlfJL1gZMhO7ext9bSX7CN+qOpEhyXEpmns4qIwGME1chN5utw1vR03eLiYKSwNFeHehDdIxc WehCG3jUKiAAgmgUHShEIbKJLOPd6NYOfBjU9No9Ma2XSD7yRs9iCwV+7d/OE84nUea7rRe9kNJm bFodJMq9zVsVnAxe2h1DLouTKqhii8HkpQ9uZUpmONR5RsmarTs47FqZm3aXspM3vVyivPX+UlCT ii+cYuxEKwQOduWhu6h/2s63xeJc2sBGyQxKqfS3G/gbrOCjfBXArG07G4BG/W1t5SYY+PDy0WXo EGohr0Z13xFEcgEoL2FCoYQ7EzGPn2siRsWV46KwEVqiwcMHq11RbvjZwcukxi34bxEkP+IJYJzd XpsPIHuORK3dfdN9Pz9JozUGW2hakT5NOa7TvTGu3wwzSuEzUVdp5vNXXjmn+WEigUNdfTlnjVq5 1zHWIANT1BiD810Jzs2sJKbkiXrugnjpOaKTtU+SziHD88H62fCMDmNXcJP0ZUC3KOmyn4uMPz1H p/TmOvxv8XnNLQym0zwBgTDDzi2LIhzK15erh623i4VfPgrKM+I98IX+X0/YGlfFwFuz5UbJK5kS kVH17tIpZ1OAmxY5oN2jVUFB0IYwuRZ2fItxnUb9ktmrU+9cUAkS1DzL+b/ABgveA6ze2prLh818 eZzcP15mvBwKOSfUgimTp3VvKwEXbX1bhoGg31S5JepJUL/2QQpkh78B4SAMPtusGuJTpW89jpF8 xei4tMUM3qfayjNgSOfWb7Qypt9Xp9zAaHa6BYZbXrxclM1G5SROFbCB7ZWeh8roZ5DOXulym+Ra fYkG3g1EHftPtYM09+MnN2dSUeNXDnIbIvRqrDUCFdKQIUkl+35gugCIgnZviuH6yolcO6o+HcL2 M0Xr0FfREPB1gvpyBbqL/UQYfBphzO9ZCennWrrZPlgtSVJvOYAlSfe7lr46EksGWylOFbvnKBOh E7BBqcc6rAAdyoijSESaWkdTcqvxZ2YedZetqzL7AT/z8wZQoJ/TRObtqHohWXLH0x4jn8yYN4zD LkSdgFsSZcDWHSk6FR93TlNCcAY0mjn2XIhplIKZPyo1hgR/V7y898sf+bSysqs0JILBEq0sp8sb azjVRfGyxUBHNwf1j9mzRofVQ4S3TTtP3UO6N5PNjZxgQEpJcR1Iqgfb0x6GIHqIE0Ss9P6wgCvy hr6w9ufM9KYhVc/6H1gyF15Kz04ULGfXjJmxkucrF0OAegdAAaJcukHq1cBeLccY5souVGiTpRV6 vyW1yJjXDJSExVL3P47IYNE3ihmpG6x3YE3XxaTvNi7Ubcirpa5UeiMl8LA+29NWMDNOOZjo/DvZ zUccSskz8XJmkA7z9prCZIWfcLqc8/HXvMifdvGwULMNalcQyqVQBEYKJrMF8uB3N/36TUFK3HHx PS8BH96x7qMDl+eGcEtq6JkXg6luY8fE31h3m2YfoA1pdHhfh9Qai42UVK0Ui8zqTqz8asVRwISW 0LY1ogGFqjKSLpaSYh9Xl1XrNPe1euzFVjHrW3bEdkInrigPZ0RoIej2mN7xuLdomj/EpB/h/T+L +vI69wt3aNvBp+CwF4C/afgTao0EqQXME1+B+iS8zqJTTYLwI/QP10J0cOaLLWIHwMuR5dS4NepU BjxcxEKfx5Q6s8xuj9vlKL8kdHIAklGWM0/c9XPbfTFpDmfENmM17acbPs6sy1sFCFs1RHNzAwOP xBOYqNhI7B+Wc5eSB2GwPFjreKD0fdTJe1e0g9pq/Qa44ENOB2vO8JfhlAcCZ8jbdpCOtYvz4vrO qHQOBx+7rBSHhXrdJfrLXiYMzaIvRax+BYgC0SH0LH4PKBUJt4MTWbE+owg1Q4fsLDFivxxq7ZzZ f4nTKCUsX2x76Otbh9seznheaejr8oXGB8Lybntt9VqIYTF/N20kd30Q4IqrHQ8o80EY6bRShRao t1WY2nCrqO9TomvBecCsAl4SFonYRCf/o19UBiVfYec1k/sNKRGLxoytZUbkNc8z3yZvXH63GS9Y 8yEkIHq6u/kr4HiAs7p4KUwwRVI6pwDxne1zHMNi59CAUzEkQa9sa7G+Fmem1aWLCqAj6lg7K14/ 6z5nF3+KOziTvzYdp8fabg9tso+x6Hj7/qI/U/7kFC//fOEyHEPYmxyRrluDJKsxCtvWoa4y0X1P PUDsPNLRzx2wrVvmNaUXcUUh6/Xtp8BdG6XwVuizNqKrd2B//dThGvXplgPIASxHWgohXkKzfBqw dchrjr6jR9F8mdoXgj881ozsNuauNSsyk7Ba38hEMu8LFUTvktwRsIrJUDD6NmBTa+8CTNubrKiS bxKAwFQYa5woI7VRbbDn3fwQOUBrG/X7+Jioz+HvgE4sDICHHOyOc860lyofKEd/XO16SfJkgiuv dzwROv01FcC1LSEyQma2K6VVBXHcgsLU9h37AwQoFKpXjia/xD3ofTo7/3OUnAVGDf8ppjcqvTrJ i9s8jgEX8wl1vA8gBAGX6EATaa9bafvLPA4AUCwj3L4RzdxnOkK2DQBmd0KbX3keqeUYDO6muwQc PH6AO0ufb/YvhulFd3j5lQ1yxSTx/pS5SKeg0lQ1aCd+Uj8Eb0Pc9Be1fUCvuKxeZbyr3c6o87K5 4CwFj5hrVMMWDvEm68K2kRkl/Igv6MbfvCHtzPdz9LL+ZIlo+ZJn3VKYaX8X0/2xsAIMJVRMvZeI +QvIpXSXitd8Y1EjwVvsL1w0HrX8BdppUJBONDtHGdH8LaXSGo9bseTTJnESjSliDwhTpiF1QLZc pETz6aCqF8fHuwOhVUrU+8vL8tq4UnOfVLDBk47K0uYmZgVbZE7ebdlrwikuk3QZj6M0wakkc+oH tUQg7ROxX9tRbMmk3qIYFqzCpmhlvPeV0NvoVfE8CnXyDxjDHoFZhxpfSk34I0nc0zPrIszgotN4 tB6yKHVejy/B7jlNe0GVIR3Vo9VWG1ubvq/z6uqZcVc0C2N6LBGCmBdBDmjooN2AMn5vIoYxYa5G B0/oBUDAVKAQ8UvLBIFuGT33eq2QZxhkEviOArlnTE+eIqStv2jQrwoXJIu43evKnrd4MS5GDovU MMLdxj1ORxxorT1n+IWXkDo5fCHtiyuY2ZlbxFJJnVuIQw43diDlGWqI0vHHNxDGcizy21YQUlaD dDZKHDz2thGE11FehkUiEoh8kXEIblW20SLSOIMMpXE1yT5ydtzVa1dQClo95/IIrwARwoHjiDks ViawZqh98Q5LR1gLlxorhBC46QdsJf67gkx4dubGHftdTCSmpRB1uM0On4Ihvho/D8jL9UJEuuIG p/AfPCNXM8gUmQJNWmaaLmRWwW4S4prfTrnUcuqCmr6S7kUnaRkzLOhAXG4+0ov+4zvH7Y0gMeUI Z1CpOXfHliXI3ng0CK4gQLwrtNblVan4klRCuYGNrH8sl0NcM6/VH/qzFiCSM9Vd1C5sRrXioKaq M97TjeE9CchXaaLaI2S3yB4R7DjBLSwsnZwmJ915SyG9LatCaaxBn0QUzce6dCBkLlYgf2CXIprH JFtW7rUznMgmZ2tbbnT+jSqCdXdyzjS9uICGhk4gPECDMnwGQ6+a1kxZoN6zV3zkS/hqJNBJChWD MsnxbVMMFLh1g47JIP4AsECBG99fFhr523LzdVhFEI5jwXRcsytF+nGdaeocgZimV5jGeTA+DFtG HjJucWq+P8JXcaPHmHuGY4yuVDkpXARXWJQ54rINEebP3zXvoq/KxKpmR2f81aP9VSvQjR+2MvrU h+Tr8Y+rL0sM2qGWqVoBwlYwjV4SHfBvv+t3ogk6uP5XGrIfnHADjq8vocPowaux1d+e7HLFvtOv pIo88Sv7xAEVLt7Tdf1I8VJSdLQMsYAJ8lxI4V0UUdMd9hFCyxItmXpY65q99kJmR6VjXACJMFjt QfsGLT7Cq8vRyZNXGN0tTmJzqcBGJu82zx3BxKuxjO+8+BUWZnxOY/bVvvL0SW/pu9DulOXgbj45 VTKeTm0nXu/jFmQrnarXeI5KoZyZTBkFh17KA3dU6dgoiIz1FFI5FkRKJMKEZvkO9BwVTe7tnG8G 6UA00t3NvCuRJI5nRDASBeLbAbXjpbAbEw96HAmFYD8kilKUS7dkpMzRyr0de+fUB1jgmKao0I3j MFVXj5KxicJC9dIP9vmbCAHwiMbyt3MmzxMfdd8r3gm/SgV8wipx5kD6VOfstKb1n/g1Mcts0EIp ZiEzhoLFpLDTxbcFwOzIsHkhW3hTCtwWjHJ7xMNda1MenrGSBQe5ChWDmELoH7HZbWjwVE8PcSg0 VVYDCei1gzCvQw/2UGo3Cs2DVpXS3WVcJf1kzKhP55FCSXHLvBUK7/Tje+CXkz1r0rUjsgEEJysl V8O4Qbfp4xySjx/X9U52P/pJX15bmu9AI/gFNFeE56dXMYOJWxEMMUVMrNzKdWVQ5vEHwj4+sT1X 1Kne/OS85w9l587OFPQ3bFexVZswi4qWfqasjeVFbfQCaF77pFVCCGJACNxUFJuAxTPsqEM3JCI3 /uSo0A4wod9Vu+GL1X8gBt8+jlp3hO0nRKp5KkNflQAvTuWJKaZqFkFjmdNzCs5hoeZU3fSYodYt ghc5Wvu9jNxopyhLbwhRjCkTruIpKe4v9rIVDLQM2Lz4ds6FKFR8XC5lvul4X7jNVCyppQuY1CCU WAA3LEkIzhA8fAp3Z4iGX9uHT8+QLw5fRw+yrC6frfmalGP0kpJM7LmMKN/YHz1qLaXYdPEQ6UaK bKcXLVAD5qOJrSbl8R3eQ2MfH1Zf6UU8a4FsAAftU3WmMMLpQeLoDztmd/1ODa/qP/E92t2LMc58 DKQh681w3AR7Z3B53pcl0wV4/je51gOxtA4J8RWTdQaRHvGnNzLMn9Spe1CDQPeQQ6ES0hmrCEmc B5OwPest8gMRYFnXLj1zTmFqqz4w6zclDHEgywyEPReURYLuDlVK40xWCWqQP4y0VJFiT2/gHbP1 WXABv6BE9KbWGtyOq9fFipVccpp2Kb/BliSmTneKTS898cIRLAPn/xUVjABjhakoHMH34rDz1YNE NYjink33xhtuMDaGVq3Gx6QSgbWmP8FnYkwzEA9ih8GvH8Q4YhYG9V02tdT7EgSkzXLPgZlLwrOy i74Od5P54H469m0Q6UvQhwtTin/lmA+X74BomjAQOtlNM8CgtIUY4JmU2Z4yjZ8qS293FUS1RFaR hfe4uQqT9qrTGo7pxMm0rr1hTQGpUuERvL00Sl33YPnR9hqmIrvrmJwBNwnnQfaV6PBUYyLcOjRz /KL5+sEpbI+la0GR0ooXwmfpslF8Hp8cAa3nY+o+rMYouk5N7a33lA3h6anjb75JxvP9TxpzFR3D hDUGun1p4jM0BYWGbYvw8jbvDYIHkrAi2V0lqpA+x2QqolkyhxVi3CnHMOWB8Vau/LtVf5lH4JA9 QvX2pked0itbZeYQ+OlVDNMlvp3tfhWXa8XfOrobdaiLsUHmBipPp1LzcuqtU2zAmG1n59Nr/+XO aue4KlIsDbopte2I4iIi87zCT8oRXKMvhLVr4l64Fd9fX8jnuZ4KCJz01RD1iqJmPcKh7stUy5Ho IlhULiRmB7ONduOd988EtUqvvmwYvjgmG+w8TaeHFT+UqCgyP/Eed4g6zlabvTKhCxvCBK1ymu1g xlPyCKgJuQBKBUx/eS4NBt5BNB9joYTgkRwfGFp0PoLSoV8dOtSpFH1rOzn363Jo5kI8n+DNok3C RXUERNI0wyuHuuEi36jQeryBwQu+WDsmctx7uqAYxelNJSoj4CcIAgKsLZjzqKsGftIGqkSaxQ33 VONetDZyfMBB2MgeNmcE2ffUn0V7tovWCSFh34scxMOzjxpLOvoRuzGgA597t00unzV9yA7wgv6P NJUtWeA7LQtdT9anMVHLTqEhLZMkG+/F9i73/gyxe1lvYDohu/rvLcAgdjhXavD82ml9IM6AXw8r KPosTdyVuUH6YPxszvwbOiecDiFyjouGURPGyiogSrIYXPUZBeIzFiu09Fh6o2q8GCl2kxOHmoSd c6MrkPeOr1rJbg616E0EQsTYNES/XYNeon3Frv9qo7n6B6iGcVn01Y/q4DKKJ1oq9Ufh4y5eTf8U OgTEwIrOZ4WfBuYKfU6I//a82sM0ACXtClLWmhOxEO61QlQnzY2D2hrQ38fJ8N6WHi9g0njY7+Po ReOZgMoPkHgy9+Nk+mHgatIAqS1snPW90kzZyyiV5ARff2uCBUsVMVPA5oWAuaxp19uQLGWxB88f IA4DTeT5z0FAeTnqq2ol3E50i47TgZAURM6T6oyHA7QtCF5CjDuwxAiw5wjDETovgF8W19r0Mtf7 mPk5tECJDjgOCtV27Pnic3TxHSgQzofLgGCDMCqUgdJxSz1JlEQvprmvAfzNXvN6cMHzp4KzjzBG vPLjKtQoPFz+pfr1HsZY7UJQFMIyY0HKovP+NmT4dBwW9uY2Vz7JZPbIFxcydWqVIE3YuniQrRNh L1t6ltiiHkQXLj8UpHLA7FfSWxReIxqC4kP8HlCFIvNA2weUYwCq/Qhlt8yLPTXIMsI/InFcS2gv p5Bvu5MgoT04uz9m9d8aDC4CIgM5Xs1r+/7UC7/tSE/zO5T+grevkr4ISdNL/9Wz5z4KeNUxIIHd /Dgqz4xdZz+xR5U8bdH07jPwRPYFIEK25EMFbuBcnW2kcUWQdfExPiL2UKvIBNWwGlxZdzpsylgo jSRFRLD3AvdN2nrGYxssSJzY0NDMbRyBFLRVkDaDvzku5s8MoIU+ZrHWO+4+ANavk/Agp/nYyh9e AeHPaRhDscpRruPiEEQlsaTho26VZwlvFETU82siArGc5T3RmSDDGIzDWAxwLs1JjRAWNHwVXfv/ 510g+RlF50LDq/MKehgxLu91q1fk4HWe0FTkBi9t7YRzMeKHNp26okY4OeA2TDZwMCOXsJz529h1 hnWH/n6VlzeS7CVjWgddV/ECerEfZS+8FKP6l+LAPQV4urhmh4Cx3/8XjO072qIw5nWuio9jahDT 7YKLNvV3UZdSFUQle/MAX8U84un04+JGlu3WcifBQSg6zLnKaxTAwGeZLP2+lM0PBrSknHhKGpEE D0aTUgVfBn9Cj7PyiVddTF8vv4xuJb+23/Tm4UZQA3npdS3Fh2wrg368MQCea55LW4866QVkBJ44 B61EPD5cAXcPq/ciIyu+thGR/kHXcq2nnGEV7HOZ7f3taUfRYHeYMDOaoWh5mvP8wJK7tN59uBio qvwq3+/1yt5AiC7utCKXHg9wT1FhWK08RD34lrSt/UIHZnP+6OyXU2NSxX8PDBBkoc31Ar2E2s8r j5Y/JoE8RzTdmw0mlRjnOyRsUYGCjs4x36aVwd7sx9A3OBcqNHqFLoj2XMttYOE80Cop/LEL0Yaj /EB0QChzJMkGYVsRxv5Ri1uopEdG/HzdbpXq1D5605fc5EMLXqiXTORzL1IZHJ1KDwPcqBZv+5fO sfQ7YD+lRE/m89GTHH7QfCDnF7E4v2hrfCb93KaBIRSwoQit63ovV8fg1o4/6A7tNBwHsPI1QIXU WSe2wxxgRqzsJmDMfhZXaRGLiHNlaDf4rfTvXXxJijfpFm3q4zcJUqGG4RwkKvPCwu3Q8rXFGDQT qfOAyic+4w0QMLPTNiW3yl+OLn28P58nGUh8iarmzewl61PW7gKtTb9lC2C9s+EeIKx4A3twSt5k uKaVz6f06xfX0qMrrkU9ocF3OCk2YXqJzNyCkUBtLlJEM26RJsT8Q2+Apab4G3x3DT7FrZeWGTUw WFRMWdk80tlEMgoZPmjP7uR2Eq7R5p1q8LJ0rKhNnWs8EtdyxFvb/VnyqofLUPGjLrRNurrWLrnU H1cnh+CvgIJms0ykepfiSwj4GEN6Bpj9kDLN7h/8de8OhUgIbXmGjS3p2PMt97/SSBLqO5CnlAaL 2AfzOlmu03ubdAsqQAwPFCYRXTXdtyLewsvsbJu69E4MZWn8H6w008SxTVdL4PfxYPhW105ZXM0+ mY1XMEAw1mD1nrEIvPcUaqrKGw/j9FuzUju3X3hEUOoTFyQ84GLSTQ6wyY7EaPIRoDNsu68MoDej CHhC9wOkCnxK7eICuV9akH/Yxgvl1PiV3jqOBw2mbGW5E47bW226aJAjCvIajjvILCgTaAXyGAP9 F1zUXjfrM/RMHbLAzoGSq9cwxhNGYpdxlpxkRTpbqEI+H9aGfqP0NxHiL0eX0DZiDNT8rYRV6/e0 5lgerBGRzIylKAvJEiuQvB9hmY+W3e7ABwueRKHhbqD+RbMqUc6Fv1E3C1M9k1gKzkXcqGo9XOCa CAuEh36vVQFQT4N7LNxV8831H8dZsm9/XceHYJorEOo+h0Q1NgjQV9rzoKN4c7UViZghWK2EklBi f14XKsI8NGpCVmQUdXyDkCOJmBtxCabS+/hTmbX0ORVD/HdFovHUm+dQVRv5yiNB00kixMCxZfku JlHY7iVWXgQ3WgqrDJ31xVTsqIrK86uKnYUSvNgc0baTB4HEIVmupFluCbr1K7jM3BgpspBWC2Lj qmSo7fp15qsgk06ECCZByl62pbnVOKAFcRaOHw3LRDU/aGpizXrKlAjn/s5Jfx6yHhMkT3esMr4I 5zGRRHquCpfO/rGkX89Zcyt0ooEi0tFeHsQPLH5fSfN6Bu2nU14399RCXopPqj48uYk5No5J34mf RpphuwM6suYhugnPWNS3edDnLpGeH/BtoHv2A50/jTpc63AfydrT/jHznVA5Svxjf2dPcYMn6phG fFfDQFn012VYC8U8FbvramgJ+TRczuslyFG5WYYEIei15f0dgY+xCURieS/trFcYR10HJxk47rD4 q9QTuks25MnF4jXckx/9cLiSUU0vakam0DX7u6AMX02RJpWt+FS+JDxHaqX/kHAec6GczXmDAyAs Lm5232YKxlQ8ghEkRI1PCaO2YdVuF2mkpz11cp6y+D++lvRgV0mDWYitHRUcJF44QeWJaFqDcCOi 1OT6TWpXhbYS7AfzIY/8RdtbjfZO4G9YMMVXAKAUZnVTue30QjajAo4J7RtA5DBo7WueYv2e60QH 6Gx2BTiSaDQ1t7Ry96Yrc17xWGEE+YKkGf2304PHrKlKw88DhVZXuEz6CfnZoO2iZy2UoOxVYpCj 7+Hfb9MzbBBCm0ID/aYAa0UK8UT7R9dvILiVhah4TdOKSrPHaC949SiHehMmHh2KjaWjRmkcCe6U 5PYI3zCKKzKcEzDssXjkk4JMweu1EQG1kvDdUN8cw7tlPtrVbCXPyB9hnAUdePrK2hBbk4uayeo5 UB1jj/2nB4b5qNJQN8lOKzurpieKJwro79dY19PB06ZV5Xg5ZizL/TphoImWj/b2kHi+QGSsQoJ6 lW8+oSB9OGRP1gufoHHXOfg78zHjtAJ3nUub92tDZucmoYTf/iJR5OGADr94nsqOQirLvE1UlEd1 K2U4kjMQipXFBmC5g2rKMmfNUy5IX6dc+OqaskXUZtdCbstZvC/8vmJBXBtyBT+c+Bwg/tam3sOe wgx38YQgwRCeXh7cs10H6h7MleVBda8ajm+QW5faJAs3fSS6YjGjL8DFG7iCq509Z6kwZeVbIPig UWxm+021EjsO1aIhf0LauC656RmW52Leq8EECA5icLhSh+iC2ecfxu+HbtiRrKub5CPRanWXSM7W SEv0bfuEPTkFi91SxjZIxc7ybx0LOvMNg5SJRCJEDvHotZ+tnd0OkWVs39lJ75PIlgOsQsKMPE7T Ko+heNJrlLd10drkj+X1CzdZ3eZ/ygT/7MrXLRxLZr8466rzNYMAnRLptIrJObbyedjBDjtNd+Yr D04VAsDRtNCB67A+en3ToFjUlxfeo+C0tz9NMJe63b9oWtD91sxYGVDW4FRD7vmLtLYzBvhnoKZt iI0ZHRk+CSGsmugdBUn0jeTP5B5eAeejks0slgScbs602WyzCFdngWFvuH/CfD7ZWrT5VZcWCLKX 8o8OuIq7Rzrd51Jur4/C7C1tcXt/dA19v0Z/4K341YJnTtToBirF9opkQG1N4qgiR2DGMwurRijn NVDzKiMTO2eLOu8VW6FG3iVGB29aXNYR4caZAyiwBYj56pbshpJ4CTMtuXra6UrNESn7GbYwLqay Hzh88TN7eus9eJDiQ+/4Xp1ZZEz33ziwBID2DFCv4Ry5A+KjRDCPlFP/HgjNY2w+bww9JUYBr/ap Z0VoHyPzMom6MlLyi/u2cmfQ8iqFijMHPfS63yV2gdwbTy/Be4rYusxjHLe9oat/UgIaFcCIDpfQ qlhdFFQ8+6fO7KeLOXhmAdpkN84eQMAkBBHSbsdVpxAbk1IYFEfcHnHE1pj9GRH8jlRT5KVQtCjX OLoVD1Iwf7YwP0iMlRHp4URuz5z1XXNQOVN21V7+hcgfqz3c4pc3RGuNiLQZB6SnkJYbcjdiCYHJ bQGzr3kig0yqb5jPo01cVBPpnr4/5Wd4bl8glktxzWiYMpt3LYO+ZD2syeHFjn2PbknVaYqnl3ye yzFhdhTNnpi/pOX2RxC2CUa1fAuj3GKjRLS2tl8DCh2pXVxNZerz8jfII78CtWrqf1d7//sYsMAK p+8NXS1WFvHkV5InGQR5aWVricKSpPZavKUqC2VUyOY1kJqUFY1PQeOWhBYxYMUELVf/QZnUo4D+ 3o23ou2Jm9Zd9uvBq79Zn48du4BNhmEqeAhlC+C0e5ALWhzaHNqtCBGJZ2F+dyHbzRBI+aNKIQv5 SHRYttitRD/XkxlicSkbyoxEhArfBWn080XqPH0D9bHG1CdZeBn7SMcDPdZs7J3Ps8EGkf/hOIZ6 9yLV1DOjUuXdGQ0B1Zshux+LZmfdCh9ouw8xiYjhtx+AU6OMDDtvRL5hKPHYF0dPYoZRjOABMLB2 S7FtkZFegoQU7GJCNOD9eMz0E2xppZq6cWvLjfkLsHm/FT6zpWhqO7hxlEXSEfN0R7JOOYNP7VL8 s2WSquwbK4Ne4Wc4WAwnxh6KjdtQOS9+IV13xC2k/FoQZ/7aEvqNAqwC43s81UUmzXRrPS/AnJPW fuAHkIoSpxXiBy/7PqIou/zBZJgl2lnGNew/hUr6KXiRRlKTuq5+8Pv8GlNaeHzNJYdVQe9c67lL amfzb9LuGFBQVKw8AI24k2Yxtsg6V99kzDbMhSzCOIDcUVBMMZatnqXIwtpJK9ZnpurW9YomtPGO BhHx4S1DLkp1Vd2i8u4ZZoTkyO0yZbB5G3MhuYRhlK9Z+gcxfxNQpLxOc9ECNg/vcepauSV4fxaf i8YaPM72ZxbhiPhJwFNQrVMOFRju005YJpYv9kSCDM0qM6Z5bm68G19bkj7ObYzpojVZ6SWPQNps nJ/tmcfioffCjx2Lo6cu/9T2Pa32sHGXoDFF+S9kk6uTksOuPXcfEbcGL5UVR/Z4EG29PiS3n2hw hpm83GkWATA5+q5ZxYSKfQZV9ykZ460MHviFoQNXFHhYhVnrgxqhwxzFDVsqZx8vtp4A0ecK7Y8T kGi7o3OKT6SkBqxG0tv2qAsoL1HlkGFaRqvTYhGQvJtDuRKwt/1VgtaClhjA/3CuN57T/Lrxpd40 lIcZcmLu0oRolF+dd3M3SYPYQOa9HWAmwS4IA32oFkzsMejjxr575qAucA139aedXlA+PRl3ZiDg XPgkcik/9WbgyABGLt6xnBRUprrjHn+eMOYJwNulz9kBaxLw7UfAPuYGW6pbmnP6wZTzoLd+WaWm Dcg4SIvOrDsBZlrmkRw4rGY6oEQe8PlGPAg6BbmZ9BQIDEVH1DtQYQFx3lcIVAFG4iREw92cJi29 sbVWGRpKQ6yhYsWipJPGYnKegooyKH8a4KagE8LhewZ5yzNHf6g+rIllua6EupAtLns9z47qNhDw NmAWX82Hmg2nS6vXpa01R/U5j3jDQC/goI2TVwB1I0h+6zEfCeQGsmk+9MYV5MGQHEEkEVLKJROu bVqMPpKrfZQ5C8Dbji+PXLp76Uwo4HtKseER01EmpIAwiPBMshUzwyQuTeoaEuQ+4LGJzVqqjsS/ ObRrRjL78pMpAMT6crvFQFd6cpLTTF9iqfivuT1F4wBiHyEVNPnquQff9PEPZFmiWoFVjhAYf/Pu tU41DbJfbPlLrNAzdu2lzkn65pZlh6Kw5OQuyc4E3EpwZp+KjbQouBq410dbAjpaPOj3AFl9ZqWd qjbE3TkzA/0A8QPXdZ/VIxhbD9lyy2tim4SMA1KkjwmW8+ZlEvnlBw5NFV3r7tLoSIZyx63xXovr cbKI9IcUBInuWrrHZgDvOnIUM9q407zpA19EHjOrdCgrNFKFltfJZtb4V5ltiSsDF8AwzBcCVeht 2kGBnqP5Qp8rqv0Pk6b9PNRrTh8whNliPyHoeggiLdCReNqYR/zHX7gnS/ivqS/uqPz1ai9nn/lN aiSXN1TDDTctDCXAIgVXBBTO3iNRx7B1djYpm+z/5xG4b5WrEhwssFzHPC4LbiuTE8YGf85L29+d KVuFV6WT8tDNJtedqJhQ8u1VJZd0PPfSQLgcUUgN3RNcAOHSFqZTgxugvtP4n35NUjQpVQehWB2R tmye4heN4N0KBbrfT9r9850u57o/dpGFKSYcg3pzVIMxtRbem74newKPYcgEk6Xb5O8GCu81KXRw RK94yWaPNApHk/vsgEjypkXH8InfNKp3YcQ3N7WobzirpK3qqtqzh9R6TjKxo/KMp4lLeHiKAU5q IzaJx2nG+fczDmBuFcj1n83WyDmlr75oZKgJuDlTbX8Az7qe16CrAu+QUPwNEWO/1Ehcx3W9Ayts pvVM40BypwLg+6TeW5AB6rg8wizeVvBFuRKMRXpMRXxHMtYYKzKENdl0UJgvoD8JBpA/tUnae38+ ouisimSMe7tFqfM0QG577cIr/8EueNXNWLhBLxAQiUvnLmxVXuRJMwNSI8lxnhBfpC9DrnkC1i8J ZBk9CcjR6ucdOUZUIlqlqmmySJFDmu8kuXWtqZUb6fd7HOmXmr6gRkjvSOtMTmCtXXRDIkO+Uawh v7THskySx8lt6yUAjYUVv0IGH4KxkzMOz0Z1zrHyS/Tc8rjBRtYRfl0fRMg9JKWf8zsTvMpROWjG ZvxRgG6KTiRYZAqBvvspvGntBTcL0xAwhTZiCD2nqUw86GOwjstRCfP3wc0YkRzhBM2FkCedzBgL J0QRn/VtqRvKVtD1rOO9I0d7wgYez4ReWpozgmSNP2FvLNzqHNQ3tfGFSGWxFd+ClHttk9x3Ee3s 0zZxnCJzsAmQv0buHO9zmBBJ9mNLcgzlSjucKaFwzbSxgv/Ej3d/JwAjlINpNRHnvQjHd3NfaG7z 7RQDZEV3CEPOkYoIBqPiWHzOrFF46uMz3uqvKuO/ndMC2f0gWaRJOEQmqRbbDhtYXopk+raPAw3s Lg0dPMowrnyiKhloqzpHmMvQasAzUEQDjyQFKzQjotlULhjiz8fC2Q2sbe7DwgIxfqSm/KgYC9Al SF5/mYDnvcs/SdXf7S9asCtd59A4fvb4ykq5RX6m4pScyX2X6Z8grG7Um6eYrAzX/HlLz1zqRV7r WBLV2lq1VGFh+POTvZU7/32vDC4OwVNGSGIha5O+DeKQCSh+u9Z/bQo2TpLMLv9R+nI7lz6Xou0r 2Xno2aS6ccFviFBkGgFL7znIG1ggO7NIFV8F7w423Q9QHLgEarxQ+FyGIHrlZZtfN9rXrViwsV74 rooF7YjLbIHZO7EyZqOFcIGci1LR+fr66mx0m44LlBJ5KwoGohvE5WVRv3+weMVX4zvdJa7CeuPb 1l7IBd4LDxN7rT7lXJCqPXK8XoCiZlEtXQ8V9p+eKr/OzHO945+7JshuR01fp2eK7/goIaEmTe8B 2oLQnQtzJuP/rSaZtA470bNq7l2Y3KhUZ8oCe1tDPBExtm2eW+wBPuaG8j0IfofrvtHRFXxoyvPn CPbT+Rc9LIFTCxFczvZLaEdJ3kTRVyvepbl7tOSKByvuRt+NreEgmZoEQJMeV+YNJ4CJbd7g4LNs Mq+GK9WD0hUFwr+lEg1ogbQkrlr9MpMj3n9ZkEae9h/BkyNtX4NFD09VY6Wb2eIODMonNkslYFo5 BtcozrRq/EGlwCJsf+DkrsuP9a079zormgTtr0nDI0QnsU2BivHDOAhJoaQBI4IJZD8TpBCZ0iRo 0kqGRjuFTrq56RJMxFFE4W0c47wHlIgOtjeTZiWvipoYNqOi7/enYJHtLsWYPjU27ORbhg5fbYnl PLB3vym2FPkomcnCBdIbx2JJMecm/oXDrf7UG4jf/lMKqRb0wu6xW5vAwfXhCCNtPqM9lVnZEpAZ zf8BCjt8y8F04TWOjxYxIWTl1u1x4NtJYI+vekJ+KAzAW1s4dWd/iUjXXPBjsmbUoioKjnaWBhBu lHjd2vPfNha797Bxcrc7qZf7rCKBKMW5BTIUTO48Gc1Oe8FQvQ5uA4Sx88YBi83QqQkN0HaGlLPm E0ZqPz1EvwvdGS+Bs7ZHCuiIM2fJz2dhA7tjXzEvArznI2U5AFGYUsgoNc9qG4OD+XRB8mn7u3AO luDlyeBtmvNnyaeIaMo6gcGxcfAFZnN3weOyruaLgJbNbKcZ96f8zKz6HTJw8F7Upt2VpF899ULL DJINfp5XF3PJ9dEivYBWioXsR6Iq/DvnzIibNdrCFaekINwVQwCtlKGYzdhV6HDMOl49U/vWPT/u BeLhMODHXmVotdhdW0dGehO2iwmURKhRWiKPVu3QC51bKB4KP9t1NFIsNLYqCaV7wjbMloSm/LOa la9jTx5AnPHevF8seek66ggwc2WBNxrf01Kta7/Rev5P9APEMiAi4GhW6fXpgq7goxIONsUEOCYd SCETRkRm5KNYec1x+DVEcZJ5ZF/h1IlzXjrs23BU6iiV0gqCV3oVFDtJj0c9ZmXGQ3JrsOKLkvNi vLkdVn4hYF1F4PM4ZVsLcxK04GZ8KCx7eJKm57D0YEAFz6Ml2SM4DYWKGXcBixIlMHf2A3oCH5Wl W3UxSbXZnkV8bnpb9NUM5GVfx/RGoBeD7CtorWKX3s5fheBwC1dvVZBJk1lpsOrVtEtByAQLMA1r +muDqwqs31eNvfVROgnMjUkp3Jjo94JkGn6xmqZc6GsVGAGPH/WCynM0kxUl84VxdtxUxEG3JczN 4PikXfDvlaswhP56tB/fMzVARDGRJbiMBhygQCw5E7MkEiDiSJcOkTyNebVr1NfrwZ/1Ac27rgLe ajwLTIXFfGIySNHYAGX7XezE2tRpjSOtFpxRPqQTXJKQP5So3pE1jPSV6B5PRsDkonN2UJwr6cMj woL+9wgCAwUXnsybfkOO3MAkhhppAI6wOpXKKhG7HePgA2TNRq5lrdMFpRLC66jNLC5QU8rshCVO OFQr30Pg29xTn08lJY6rXmlaSmr4lM2btIMqWRyh6vjJqfw3qN/W1L/qltBfEYnaWi20qwjyfTy5 kU8NK6AqatHg7uRZPs0g8ulqQ7xKMa/RKUoStEwOFOj4lz52kiRSzcUFHIaLcP3jYq0QaTT2deOk fXEx7nXRKfSsdnwPXJNw42tt8Du/B372Sby7wmM1Nez/D4vIzcbMk1jlM3VeI9RY80NN+q8iuKeH CHArRrLVpBtHZG5lrRElEd8ZQoRZ00xpGTrWcrdyXG5G7F4LCgN6F875DPI5USZGVnpVg1S5DM/v +s3h8kjDVCOq2Wb+tdarIdohPMcLmOe3h+FGig8SSzc/0kwi8o23H4QYYUzYCFWdICtPz44vA7Di 8DOmYTJ8HK1I5d9GDRzFCeJKIgRd/6xVp329t8Kqql18UnWQKWXHrkidkBeURDzZkCFr6v6bINPr XNroX23msDzd2jRd8qq/TidjIraulkb1cslBCIHmxGC5u0UByzSt5X97li1ayzYnexbGdUYLtgd0 Z13ksC7kllUW2bITLWvfPKunRYCAyCy014LM2LR6MVJO8MTxraKplyJBC7/kjQnevz+3hP4AUgbw XR+GUdm68GvxAYEi6+R90aIiGVa19ijhtIfmzb5C6kg9xOzjE99u5qhkvxvfdwJLGBqea33I0ij9 /8KNRwnqIvTS/ONGFP3Cdd0aBM5ixPnPVkbzPhUazKEJjd2KSgvBmqrbDCEmp4LPQ8EchEGLmyVH Fe6GfqyoiDhpm/xpt7aPKq6kV6QQH76ToUrhw45WqO7I++rNOHoTXtyo7yivWDt39dcJA8U2WvDu D3e+C2COQcGVTLkb+VI4/CgQWO3AuH7uK0myTc8EkpUuDDRHTFtitBkv3CcZp13D5+5spmpRV8bk b6hnNbppSsX5+cd/8n0EOltgJeOdFQ8NEC7B8Q/L6uNXrnrSpd0J+BAG+cIF9aIOTu0S5BYKMWl6 eLfb0KCTFsLVUKaxMspWxn938NE92ynKz6AbQcKbCQOaN0+7wrbIS7Z7ggvbH1aW7oB6j8muHIE4 reYW+kJ1JVX5Dq82BZTKvyqSvGbi3tX1LisQbxdfnKAs1kQhC2N4d99zLl13agrQQ/w4sYG3nAai +6YYccYTmhMO0HR9KNgpZPsS1KrSXNz7JGDrACz3z6fDfEbCozLRmDOgb3M47cX69xT6hSjocf4V Qp5LFRGef7gQddN/0SM0u9N5clxgX/KkVC7wetLQekPy/WiONzJtrE4yn8EIHso8jWKFj4REVcD6 Q58pFHQbmHIwBKxGKbN7O/Y4CKKfIQIuT8PeAq/noFsUAaNN+4NsvoHqGx4wAcdN93XhAN88LmIR 5zwkzsEoXSZmNPRxg83LzLWQzlpP3xOMz67u2QMJKSSaNtpgcnuh2jPfdUzbiu/QTcMYNsIU4Y58 T3pKosQZx8RSpFozelAFSsStRzpNzY5r2YYcaEurkc0cOIXDd2gzJD7391kH1vuBLg+mJCT2bWm9 zKylEU9yIlDJZ9x80RJk5i8+o+Q1YeyisC54xf+b8GKwJOJ/s/PGVAP15nO5A538CadyH1KH9EHO d+Pjqpgh7ThjfjQg3s8C3enIBeNIEn5gEJqcywVVW4JRpCFfk34A1K9IaOIAp7bbNjX0WE96sn7R mC1vXqckofZoGPNkbB15t14u53LfFBdr7l5mpGBtIwztPw+RNi7Y9m0wRpT3hsT45x/LlvvmX16l ive49HDW4OuQ0/gsafBLldDkltzFRUGrYLB/RSYAQN3sCXX+OZPbI1vFcTx+OnNevLTWtxWipBxf 32iQEN8SzoFghyYgtR7+gunLR3tuRoQaNPTsH7cTdxwfyfRIu9Wv0WTsCjnN+Ymjk+eNsbsoLrvG 5JrF8Zacv/bFoN0JYpoAdJhMVlPPHOGk8xh88OKxvJi0SLp0uhfSInX5tcM20UwK1nKiddFKgOg4 q3XqXxiWDyt/WYSoEB5f3norTULjkOi08InyMYXkrjpzeUVGpvb1z6uxMmhvr65uAIyz7jihYjJA mHolv4UvwNlrGNkDrmYk5nuYHKv0CMNRLd67h09JHLtquYr/2sNUnU8suI/yb/htOqnBtAGyPlkm BpzOxaom7Hq65gfFbV3kkubYG8lXTkxvnYr4E62QRc7lEp/owfWd1ZiTFqBQ01+87ROSzPQtdOOp YAREJCKwRyLpXGrthz+oYW2QjYU0K5+CWbV/UMX/Zrb6v2lpgAeEx0OhtJp0E9ryVxUF3fpRL1R4 sMJj607FSRWi9fwM6mg7+kSYKnTIsc5YK+8tYfYdUyAXeSvBuGvkYvAw2OOTqrnt999P7KzPzD+D XqJTbXRwnVJ49vTZxb2d0hwfGevdFGGCLeAJC935PAKkO/5ala7b2I8qDs71xaVAP3EXk4DntMnh gqsVtLkZmelIush0yfRGyx7l7MGUFhQ4UJBgH2vIvNow9U3RYHWgdeBCzY4NXrzDU/8oPTKW5iaE e6tzlXlSzt0P5o9Pv1dzM41W5D4eXDPavbHr7wyYRDPfcllRG1NoL4KGCySaswEvq/AUWj/if+/H pYxJzTMV8PrDdsOwo2NQCw0+9TodOnOxXBDARqyXIekNjs8SeMb0+IibX7zB7y3qoCOQEUpSO9aM OVFpogTV0R5izrmgqQ5XuP43yEjjL4jSEkINT59ZOlBwfaiOsluFuqV70fmoc1SstIzn4xYO/ITd Df5LOvF9/RddqiDbOcLyahW2TrzeF6OfcfxPZIf/xbWM0Y7pxZyMjJ0TRpQDdTjor29HOIGzQu3E 8cL+8guqZWhfrt4w7D355EGB1jVf/SJGFRKIhZGtOSkrUjm8Xt1VvM8qyEu2GABnU6qjy+TV6gQc 4NlhP1HAcE6b5TqwHCnYYPxmxbuyATM2ztAoselh3hz34Jcz7LqQUeanOs+7Sx1G5ahUFHmuIRI3 OZDcPQI8N8LfFqg9JzSBMu50V27pUfIyC659MpTuulfwiw/N5myOYmpvRBHnaJMwhD7AGVquGOAd daR6PPrvLDdgMWakldNHZ+c5f79Tim434iq2ihq52xhnhUeoEoQkJF59/0BfVN6Jpj32xS+qTNxV o9dJn6ckzamKYHQNBDzYKfP7/JY0Jdk1CmZ0JHrJzJiVcP88FxnJm5BXL1I2IohVCcyYMRSQRYZv 2nIZkhmhYfudpZvp4ZKdmyXbReHR++wV0TbeXg8TOfztpp0sPXHRsRFhtFbiXznHi/PbYuKnpNjj vE25NjW8z8c4VuuEk9/tuAlfyQwtYmTweS/99cuaagz7nj6zZRLBGI0uoLjRdw83UeugCk3Q1Fmv 8z0i1v4lfKVVUQdHIv1ocH42/fC0HY4m2FAMYNBqtKJcA7D/HP+qmkGnnOP2Q87KVwPXSnbHYUnL S4isgMcZqm66LIi3hqwV7hrvvk2N7QRe0DJSwxCkF0yHbLgfu9DynUfeQ5SzGcSrwswwXubzbtZQ DRj/X28POsbaVRJ1x7xNSVDPxkSGoLtvJS7ZsNxuHzwgBBh0aUmVMLUJBA6Q6vrh1gpFYfDYNTGM 7vuFByAEXGAsvlAmuKNhjEZIrKDdib564lJ2E8/h5JJXIAfosYHXzisHg2iBTWhG4bBfuFwGpfnS aXdlFXblH2a4NpeVfWdxin5CK8f2Pbt/ZTJwY6qgd2yHfKhkG73KURd9fGmttaFHfFNfKrAGmivS q5m7K1DoiMA/COvqnY/I75F5JqZIao+S8g+PIG8nEFhZ8UIx3l1Qpcpg74VPUu0glUJrI6tmn29L YDzXXP3tC8oQMEfTNTHhiJPSJTppXQ2PU7Sn+bcORS5VPVOsx2GGAtSDqtvxEB0r/f11gZvnX4HA F2uE25KSp9zSzYytzJCJbTFBq6eIeQ/a1vBZt8sB0nBsuaW4ENFHUiCmwU0PClWFVf+VoEj3BD8u VTI3ZfCtjwRq6uDYR3Hr7ptCfNBcCCOa/grncQVyZfykZREHn0ymb0YuGThn8401ZHLRe14TDNIY hngZlFlzZgN5jlUHk3x8KqI0ye1G6Je4wEAyytK1+o1MUz6RQb8Fcpc4+SiCjeF525cXoRlNdecB MOKG5Wa3jMA1i2w48EcoEEuHwHLUuUePpg6A3BOkourp7qYaczxhSEwS8kuM36FeQD1QFDHKcJ95 RUm1AO6I08lt7STJiBotr4Z2sym579PSoKxIlZA6wkz3hef0ejfrQLCrtgoYjnChywc7hPUtRXD8 QFFIpGdmFDf8240WJZ2QjskcwkM/AwDucziGYLiJ/vnRHzE4AItc+9qV/WOKg54LANa2tP9MD9nm x6XYsmUGR27BKwDR23zA5wLpgq0WdpaQ0G/O5TZJwWwshoJq641SXtmirbPmovjYn8NTN7kqaChW OEu2t+Fog8A9gsBqteV03pX7mJPIcWacQFsr4Uir2ioMaf4DjOp9CLtR1xZ7qw9/PI2yd41I+IIW /FXyZnPEGg03JRLyKvFDek+IlN4JEAgkypdycHVUBbQuDDKDHJd5nNVyUw9UCCQ2kJ49X6vxbwWF KcbaotfSJDUL0mz7av9wmWVEppDrVLrrcZtwsqD8MHUHhgDE9Zt5VxoO0suL8jum3zh/1gz1J3pl 673oizi6xHY1ImIEs3I58A0JSr57baRXPJgEkajwD15Kk5Zd2D6+bv+2xev1ETQ+VKfITaq8Bzs/ Qw/5j45AG31rLkzPVh9nP7u9smKFso1IpJTbSmKcm4XY2ouI+34ZjQ/Y7onXQVuO6DzyXvypLDzw c6GoafBnar1lRBKBZZnJBJnz/FnAKUQ0eiwu8JNMnYfC7SVjCPux+KYEeKPxL3XCVUXOAcMMcoMa 6uYv+vG1XPhITWVVXvyRunp6n4sZPXiUUM/Pab2Vz7oXwCxxb1K4xVCTGuLtvxBqMoSpgM0CZq39 ETOsRfq/53Jhbc4dQ+jJaNFxBFxdrJ1qQzjdyRNpn2DpxXpQsGm60d/ivU51DQRo/R1vN7g1yQE5 rGhBg/Je9DaoDlTyJbTESvo4OjqqWwEYjpMrs2MPPPNtJ3z/1+dF7vuZ22f28oEnIYmMdd+Nlx0/ zzjO2BYWqPdOcfkuWnxlHbc2t72B5Co7SSSfr5fIxxW43yzXxNQhQ9Ies9z6F7TPpY0+/Uet1cmk RGIG6bD1/nKhl7V/V2uYM9yrsWAElQIOGrqph0sNCBuxecsRPxfrbepSyEWlv1XaNAc01d5+3xwP FiHfltRzqzVCWfw/u6NRYorP4j8FF0AiOY0iBQVRzCzgiMtYo/5ikAdtkw4Fy/mcTAWg9cvb6WIc TKwKJ23rVTxFyurWqbA5sji7/Yx8+b1Na2Jx0LNGNjn15wiowwBHtw2PYJGGQrq1g7vPCQBtL0/a LHwiUk0vd6H/GgFeDxMhgJQafV2oxip2y/uR44yYfG6V7TCiIRfCDOr3R0mPNLhSRRPnXOiyfwJQ 0qmvhUiehtSCDpiBWq6rUYgRmr6FP+UlyxA+WG3ApD4esYnsIZrs3mi4hqKg7HEIr8pUrIyRfs4x xZ8jxUrrf6prdRGXM5HWJrr23DeAQdriRGX0e7j1qWpR/5+n5/dilg04NqOaGCYYzFotS/Zbatc7 AxyntAOkoweO+yMaPsQLUuAG426bMwG5JHRAkDVBb2tfoEaZbsoeGW21+flszSvCEc91trnYz9DF Cfxb/6u59No+dov4483JzcA/o3VqJo1i1MAeXPpcPr5c6CjhK9RBga1YzKcl0jgieFvpsXrCRQF9 UsZ0MdehMAtiQgYT9OMFNR53dHp7/rhS0NF+00F8crGM7MWAqCdkZuwX5WKu2bbkQtKFzdWS8iS0 kmLYO3UlIrJzQQI9+wKis+vQzngrRYclbBhE6wm61llXfTDC1aVJXP71tEdW4bjQ+8ttGZjOwP0S LrwQwBJBPl0KmYQPkuqLAkiEwOCqjT7rAj1rLKHEr2aaUsOXnlcMwmM/rfXMIXIiTYeESk2vYOJe 5d0jXkyIhzyMvQ4fUSCkl9J5YNADFK1kXXkcpEJTEUWf8r2TEQ2KWIwzDBLxrdMstuR/OBv/gnbW qrIxzvGj+VUoCttuX3txP4v/3bKucUixCbv3wVRC80INJBOIQVgeXDRk4FMbUDjX1vNeBEknuZ5z 6S9eR3CTb8z313ZRfa+jtWdVdE76qbNs3shO8LBbwi5rxCimOFqVn9BUiRhYCL4lSAMaXrEEShS0 my4Rp4QdNn1Aak/ZYCiSyc+U5JNQj/Ou+W8iqAQnma271s6w5W0iY+0mPYP1UEq3WlF/WvsV7FG+ MKaqMqThgIRwt2Y56Vm4ajYFZCBR7X5bVr846i+be4iECdcONXsqP7kaDSFQO9Sfytld9Z8LbqJe DanH/kvCuEEC/4M2vm9WKiv7ReWXz5A0MAhfEDt/yREtUheQZbej8dWdW5Z96FAyrQK0znY+VhPD Txfn9hriYpMF/AQI3YP0HcPWzPmyGq+rR+ANr6up0QcQ9oDXscfGf2DPZmFwUugV9EBx4Bm8Bxk+ p1idC+fc6VjjHrUi8n7VYwt8PutJP323BUA+v8EAhYLfU2RChQ7Vaw1S0Fuazn/nS6VbW6LBro1I ODL7iwIxmR5KhfqL+FdEOnnkNCcEF5KiOneEu+b2a9oexboVCwTYuOQr2qK/qXJ++T3dQSsu8+fm mtGk3gIFME1cUzp4T2Q6gj7cZRzH543MsDGxRKw/Zmgg7rqBnuDucDc+WXtgPS786o+gmA8WFKjv lrxFm3nrOuB5ihM+5+vTT6qwLnfvuxdcrzRP2GGB85jcg3kihzwDNjI9ABwG/Ddef1S8khP5BwaY nTjxfxISIUQ4VYQajMbcygbht1rCrTF1N48cH7j94KZ6/mZrdvg1mosj06LM1K0HynRv509peCfr DMpSqUtlAndyuGHaNVW811OB8FYSKw2iDnhT25YuCzzlpJ+zslJq4RgbK7FX/QFEJUyh+g+MSjnW FpnyzC981DLQdgrcXtn1Sh1Mq4mQDR0+bgUVpYDEep7S44Rcl+E6w+s2WqIUqh3RRU4+QHr6lyHe lq/P9p5SNz/4pE5EuS+Zy/wqwCMIUX53dy5Mnpr/ZM/2QeiJl5vyTrcBwq1eDLNyiY3zGepCwP+d vFxI0eBLTIPCxkAj4pzNJ2QJaEjaFXAtzodLloNFA3FaVrxFZ3Ov2fxgFsEezxl3FFqTsKipmrYb N5kA8gGoBOHx2bpN76Qq2woBEiQgx1dAhiNiWnhAup4/DceMJgmOo76peshL7A0FfrUJKNHsdpg7 x3GQUG5h6HqKHOkQU1axAX3YzQEQoLM1coW6wRwVUfP3uNLfBpXntfVC3S/FgmIbFHawuBJ0mDfF gJjZn6/+bmOfjHusmNieN3X4JAkJLDwR0hdhWweqMwCduwDK7fikwTd3jxDZDMrHd93XXpWrPgcM nNYAXf6BCbGVujLivjOGtwK84lMu25VHbph8o/GCy73UhEtwU7HiBDrf9KlsmQapPjfOXIRQG/bC wm8kZOTRzOlxqyVzzL/3GjMX/8XrtrvGa6DLpcsQWIJ5+hJams8qYrhOhJozO54LdleoCLN1GFE5 9KLUjwzKTzFF3RGr7sFopSn2izMcWrcsnBlnQ6K48ZNwW0Xhj5sBs3IpvrPCqVB0jGhTg14rA36T SGjPJc6RmcKjPhSJh8v1odScp+LbHyw1WiBGXowh8V4m6VYgQg0h/QDTT/SCnEzuVeqM3mpWNSQw iFmNa1qdYqpTR6LUZh0gBUs1kntPk8jt7hwkUFhQZ9AXezeazoZXAXCRxIGdaeFk8a0XGUzOoqLg xUhOlYO1Ogj4h65NeYgCbUK4kMt1iP7HY0JghCtJNltIbY8lKMoADIPVzkgH1psPkvaK6SoJarbw mfKAWtMUpD4nDWA2RiRpBEQjTgTpXzz8pk0siNVDvNFX+qDiudIGPNr10R0FYOrHIPrT0Yfkdzuq 0RwrXRQF0kHYX04PeXekFuMRWA6ENTrqQtCJMkpb7z8Ek2ZnMuC3aOumLEgnw5c9iWJmLID1/3fT SPYhIisAkKznEaPKuoX/gfjr+JBirs2r56T+AoFoaFh24dprRJdyr+EupE+XjGN0HtENPU+KtWPt JXBH1/wEA8PQnUXRDeA/5FsiSurglewRba3zJR7HPDULLVz6ZcKLS/KIuDpsDZQA8GvKJuPE9pEm p1iHvnWPOr86rbPn2Yf/DkAsNR4RKr4Kz0UGNa6Pzl/QzZ6flBH4WmojwczIgocVZ4HENVJrINWy gXhQzKDZNcCcGcoax38DVHguFxfMOfyw7qIqvBq+7yBp/jo+w49r4+x2ULWCLE7Te+EVdtHJBB5m ym9pmfA+dw6qSGG+5zstw5hs9MYzDRHfJFeqkTADIEwk4AJG5Rl7WrVEpSfpfsWirjKAxXzuiOO9 hkZ1iLXBzjdhW1jEGnKI6JvyzU05dXoK+sDrYEcZT7mYRGvxeDmAAEMnJD8gj3Fk4h8iYo7sUvN7 9VndI5TqDjbm+su9WaUWf6eRlmB4Yv0XFjdTC3jffOroobRTgtPx3lLbVpQdoZeAlSMhRBzHqr8R Me+n1OQAIfuWGrSxIa2CgIDRGC6sUEPVMZqkp5o3FLUtaX0MdsVhcZDj5cdDcZIj3KogUxRoGnaL GATUAloF5K+8a/RdR51gw6nKEo+7peRBTgXXba9B9L3kMOBDb+sjbDA/5VgumPY2mrdZEWnoTcub idmjm3M3bV41NlZZ8EbTH2UkXNK1HnXfBQsDE5vfFkmhERGaj/wO5yVliR4AvoamYm5FTjUfNh4x hVkciYaRllFpsQtmXI6vgYCF254M6YtDNY9zNgf1mQI4HYkI0YcOLvnYMQJIw1rzs+0QKUc9Ex91 VUbDLhRc3ClrvofZFdIiY09sAcZLW4LAWgJpslsa/+0A5Mqu56dYYXTvbsITlE//VxvbokWLdwpO r6pFFS62/JEchLk8TiQB3I9W6a3GMgCCtyrl2bsB0XuZvjNU00zngcMm6dU3VxAQiy+dGXEzx+xO YG4tl7WAP3JYwV1s2l55pPXBk5QOOafxNGPlTPO0+89/eZOrpFkenOlpixLJ9D2SNIO2KPtsG+g1 YUGDTZ/FLpYpXCpxwBQhvuVvCVLtjiqhYiHG8SbZD48YBF9G2GF8HUhaYlJHXCGgRa3T/M4FLUZa ZnxMXR19p4G/XArSg3ZvVN3siTK+jdkGqfuo4pthurq40KDkiU1S2mrJ8EtNIuUfSaxxaHnvUxZq +zBGy+kTBO8LJWMnlpwit8mBDskDRKUiuMh1PV2K9Ea9c3KZTHkcS+IK+RHiBK2/gt/NSc9wGHWB JfoptUneYxqWQcPaLbDsK9GgVi6t7EwgMxPd8xQwDMeLtNivTbBCyi88AjaY/vegLZ7iJGpDqNXk yfTht+SMO+/onQ0eOKsGHU0QhaMbLPnj4fWHGHKZCY5cWnDD/GN9bh0ZerXz1YbFUxSk2siMwXXZ uP+xLFKat/q3VL/86vV0bk5fLBoKxU7T4/+ZX6zSbUkW1veCBMV+36czuoBUAIYEQdNnaBLdGmL3 pzbQ7UD0j1EJF6KFmxeAmHCbxSYM1rbWMvvGHCH6e+tUqf+giQmA3coaB3Ho6F0bbRsib84UqJL5 IFoY8JOLr1m/f8aEV6EuCL/2u+TgJE/KbyjRgLIouBpfK8o/0C3hcmooBMrPpCYF4pE6DLxJM5qq N8rjMNPoXE6Da3nPnklfSd7ihyophPqWHaLvgLcaN9PsMo2tT8308uoVZ6weaX8MnHkjY4YswtG1 LeVUAj38zYsNSe2DRsGWiWTcwDn4mbYkb8MudDQ2dTaufGzt60yn6qjsr7AoXJR7rcDtQEP2omLh IDBrQbc/AG7TpVu0B5TSs7MeZ6+Zp+w3G//j9TB7+e1qGWybr152h3L0oirta2HUHNIX4W61SIdE 3jgdStN4zIEXAx1kfXUf03MaIkK5kZbbXuwiWcv8l/bzIwl7EHG/qKRcNWf1vqmj6l9f6UpjMjdj qV9YT8jmla1VaNxdMJewLg3g9TZZHowCqJYz1Z/QPBdwYzi6El4UBhHjCsqGm7OF4H7CgEWKqHB2 TqOjeNCz76H1MsNX+ZyCRxj8xPYD5J4tA4z/Eac9ToFczzdex4iNQo0ET9PlHyLY1Lv6V5Fq0uLh ZhYjNoZ9zrYoqgJs4zmIvXHF+5pUYCDzCKkb0qYastX3ououQ3R4Jbhb1ZZ1MK9a38Ii88Iqr/ra SP9qeo0nCyiOG6iObpJVUEEi+qAFREgPBEnhARHyZpmphaDsC0T54InLmWDLDQUJcS8Zg9NxZPWr qS1Z6dr69GOz1ZKqmgNUXt7FnUVx/MWqjjhyxbdimv+trhPC+QdCRDbp2JzAsJUs+a9PBDpTa5lo xKP0KQOGd5CjXVRWYHXm6L5Cis62/3OqOVrrekMbxvqH54p4q4ba1UoAif4NUy+B4xA6tIjyFTZM 168C1soVdknTgIDQc/M24KDfosP8in+BshXp8Ee/0PUFknvM9TRrVIdFQKJBE2Zg+PT7UHGVFaqt 78g41qOwf/v6H9lebGi8VCqaRj0JV2t6a7FsJJBgDcFctg3CxPETygus5SrpY1hUG4ZiH13WTwOI eTfslOALnhz7cBR9M23hy5br123gZ06vkx544ZH2MJ1DKygDVy/BM1KUQVB8dUzlJoieYXK3Qsxd qvfrJKfnTcwf7myPEGoNaLWUCbRSqRZFKUTnqJ0IJ/rf1DSrJiihzgV1MIF6kYZgi8SXZ5mDkEUq 8BCY0aMXUnDEkSTrGNttuFSOmPoiD2uM/PvArM3ezn4SZ75s30TWXjrVbIj+GmYmulXY3utZwxJZ 911zB020uVtrOnQHmOb1HBfz9Tfja4jckXOS2vbD8+Gb6AF7GPVl6HUp99m1rMzelmZFH7jjga9u SxNWHS3MQ2ZeB4brWH9bvAd1QimFVqW1dTiiDB/bFOT1XuDWTGIzhSkXM1pDd0qpq2I0oABPjZOy Kf2iEdnVM48jf6nVsGCV0JuCrjfTSgGD3FxQ3vGUBRlVjhyC5lKfXR9tvyNQq+FVdG3VzsXKq0JN 138+dxEdB8yFAq2HbJ30dp4DO5XFhEfsZjexd9d6pUbgg3T8gmsikqIOLD+z8ZO4IyKoxbbBnhm5 ScGMoneTxvVUJ07MTeXrjaPeHoE+c++/Fdn0XKlV/oVPXMj3rFJbUxOhQDUjh9DuLxTp7Y88WPCV Ywui6KuKWz2u0r/aLsSVXPUtlADAgqwZSYqnSrfSnXutOOeZCMJo6p8hTIYe+k19K2cmHnnBqlA3 tRa4T1PXQcmHOGdRzlFN4JSeko7VT0I8IltLYro/0tm2ZFSq8HcVVP4GsaQi2nur+sedqFXjNDsB TwEybHgf160u2J8kTkmLWloECeUzFny4938GMMm1pso1GsrNEBI5OlGfqvRJNHwOsYYMwm5tujCw piz63hSaTcxyXLI6uJVyPMHyE06n/q9Yi3BoLV7kIeyDTLBhfz4siY5lD9P+tyiAbsyYkvrx9/Mw kykpSFp0sQv5LOkc57cPN6K6rKz5kTYyjyCEq1ncDMgQ6upkKmIDtp0CCCSSMMNpZV1qI5llTSbW 3882xlYp08/TxMdvZLYBFbUNPcrpSMUWOd00USkEbYkIz7EIgsi7JrDZx+udu6I2r2e0uQX8+ddb jAyVdfEuyqizTaoyPAvYkWGQleKL1mDKvTlQ45JQYRdZi3uslO6s32+bu9kyzhrGT4WVzgIKfSTF DQ+sfcA1mkW9ufBwfZWkxBQmYBZMTbgpa4wm4+i5Zh2AtYSzd7FCsPVy+hoFbLrmkoZK73Hc5O6b hwQh9jA224W3DDne7xQ9ha58DsnHNcEPBaICJVdDXN2UvDpOH26QrwqiKIVj4NVffKIUVTRqi8Ci wsT0dAR46h0MtS1YsMBqWqOWem5aw0xZM6icGSXvHXyLvyTNd1HoUJm2RM+6VMVXnpACn0qjYE0Q QiOyarcnCeXU5wH6ktLGj0bQV8vrZvLetOD6jMgVmnOMXtsziyMiut0KbQ7l5HpxrozYW6INXRif SXEvwg3MtuhQt3GwmDJDBOEZLHsK+bK18TOyzpAvpvupuMAm24DM2LaO/koTWy3W7L7NoPYxV8FZ EVFyy2zf+AUcNJQudnXplP1ezLEebVo8hHa9Gl1xjRgznmqxBe/TtEhDdNuVl0hVTB25i+3g4Ka7 bALkrmKnQGXwOkAqOvUMwEjPMXLzJ2P64mDKXGTogt2sgP1OzGy3pB/xIrBkHRtsxyoX82+rCrtl GrMvXpmBHJ16E46ay/TZA3M2EF/o++W11BNaJDOG/qDzni+QCzRxQypWo7d1kmok2cmax+ER7DNN lcuF+/io5k+7qawlCQQkb8gEk48O2KRsJ5srEMKkJ4dJOsrj8yLMJsVitTciUmdeaIlEAX5Q3Y3h XuTdI518+2oKFC+CYOxviHIONfA6j2ie9aWbCXGMr+dSZXUQldoDXHmLbppjBI2Yp5Gu/hrgvF8A d8+WJ2RtxZQrSCvUAElrFY6CtHQdmNZd5iamg8r+GDDwWEZQ2w9srZ2Dq+zG4I8HG0TUyFC6khjf oDxs5mrMlO6XYC8fydN+hbu7QJI4shNgXZVe36dr5uiU/QuddmtnnTt1VSdEKlBp6GXPtZpHp9es XGPR7S7JXuhfbkwERnNoi0IEWUl7G+bzHDESFqjYK96N9/xXBSh9P+A4HLfoPG0tO2DyUeJY5AMf 58fv8zcqj0ztKDiweKyvrEuFR9cPfuleULwuGNcQyo2sD20ptRYKHIAi4m1CluRlQCaMvq9W0V1j hD43Jq8ZWuewgNpS8nt19FDj7qa/4f1VcHJIX9f32WLIo4OoMEb62G3TtZEXMWlRgxCO8QDZ3jUl I3iPq9+nY9o77DQLuEFSxTVcZPe0aPMmIghg4OoOt8wNIjpSh/mvm0uhj5HCbY0WThqrY9himNE4 2gZV/PHLBF+ut1IIqzquMmNWUSTDpTfQxz5QqElWNVLBt1opvWJJb/YahQN0K4qRudMXs7b0lLxX /au+H3AyUdTpKsofeBGpwSKWn8JWHciGUoNr5rb2wEIlEYwpDHArmq9r1Omz6XA8mB4sd+BYdSHB u02nZ5fU6JQBBLmeSaPTGSEyBTVpKdFagf7uG75/SwiY6ibLnF2UeFHtlJepAX3Tf7N6kddy7ALS 6ttbUFby2nOYr0dg/8l1ZV51hx89qtC4qLk+LJwmV2vqiUyaNi93iorRy3zD2TSf3V1+qTtX+J1o 0RTqa32wUT+LzAasAPUxz/LeF+cK63ZfLepkcpTQydMtv807XX44jQrqJazQFIHnr05kXU85Jdim Ns5e7jpuPf4VWRUHMjDyBxePIhIKHCt3RZtaenRBtfskM8GjbK5nXoWFhgNR4wM5NVYzih8aiMTN +NN3W5qNGiHMcqsnLaNZf9QHQVY8kYEsdx5ne/PSlhAtUUPcGQTJkH8NlzdN97oWzZxY7vB+CKLc 5exlDE+nhjjrkSCakN4Xw4dSfBcFysHjQZg7kHWn2N9hqTs0pMBEC2zmpXTEtY/KTc5qztAF5fGq P7HvupkNC8MaAYNK0+jCrDbzGOpE0geq4xw4E+VO0ggwakMBqkr11GZ15yjwzBeCFG91/nRhAoHh WCyiSlwnSa0JRAoWVb6BnBD4xjBt9j0tgAINRraiNkWjCrSa3C+FkiHNahZDfNWIZN05B/TVArzQ bxfuH5e9EbgZz3yixPtidtcPrEvgubWCMzcXeh3kB0iPNox1kc19H08UyNE6AqW0bJoTg0X6v9v7 ZtlIFsEXdW8RJo0qWMS77O3RhongFxI3psfUxr3iCAz/ZgSQ9pHvhSyXpsfnVjTVFQ0PNYoLxJ0S 5PCWUKT0pLQGYpvEhFNLSBjsu4BtXhQ42QnrghbIl1ue4XPFaMgvrnp137b+d64eHU+0sR3SR9YK SMoDA0oNTguRkbnePgA+2otDMRJqz/0SKUUhJfmVvInuD5x11EIeFHsSurN5hj8Jmg/DY0aMl5J8 uK8E4JkyslcSvFcowY+eAvS2VMpWLEw/9aH2zRmuo7bpAYwgH/XNCJe8Q92dLm/PFBG69JfwC/3e 8TUkEg1bT6xIakF0iIlOrdnvL/HrITkLVNB4bK6PU9tFrJ6D88ugQfq0OcNp3yEpdFTwUwx7Ziq1 4PErhgTVMQfah6Fran7cCSgdasldVeFYzxm66m8c0G3p45Ke80wOgNphBPZ7+60PFNMnxHw7TD6j U0fPS50bkXOG9s3T+nqQe4gGjGqe967rrDasmaQ3tDrt8C1ep5/6/pUaimj/EiFdO9jacVHM3J0r xOzFkbBEOLQ3NHTydWfiauy8i30rbHYQJxTNUX9RC6FYdYv5ejXXOvAyUHyEEkMNArQMv8g3mnji J1oFW2D8oqeqseS9+ODFCOUvU4remVl+UoGFaboR7UKm6CfCcTLsQOWGNb1ezTJAV990INhGmiaF ejzyf2b1CzM5FSK8q2HCbe53eT+5wQ87F7ZFKlB8LzwM5SS8JE4fQgghQ2wfxSf6so/BMENQ9W+y BOulNj7hr1LBuBZDNhElICluu/I/5VjeP9JWmHPDbRZFt6qBrb/xgg4Dxdnxut7bhsGI94VS2nOI nmDyM9TuXM4Az21cymLLtKgVoBVW6wfQ+BX07/Cyo0eb2XweeOeUNkwBpi9T+/ezxzOPTx1ljXIr WtCrb+ExWqCVHTl1toACYy7IV7stCLpLwo8gCUytAm9JX1NwEDMSGipW5ljdtLe6rotHZaX5aq21 RF6IDkXqm0x4qN5jnnayebzCZPHTPUSBopKF77/nKPr8HGnLeJkGIiRQ3rN7va+TXWqh0puZrPj6 RyrHSKit/CX9HprPVZ6+fA3zmnv+vxZyptafPDogYCTzllmKm/zh9dAnv/dzDdPygE22pTmYu4R+ 12JQWFlaSy+NBQtA6MwaAJIC5ZV2/nal2g/qlvs5konSwwHqu5NcXE4vvXhf8ZXwp8ht/zCQ3/iI 7NRp47g/IxVFPXrRCNJEkR5yfsjkWSpXlR22s0ZgRF7h8gF6uYkOyWLo8K4FO4fvTtpTWOdi7jrY cTKiKfR+sRGGUsoh++C0tvOd/VtYv///DrLtrFYXk6J1gKXEe6l6TDHQSt11zgon2IDeCN2haCY/ 5GxD0hEkaQVF5mv4SQWj4D4GOex1GiTI7q2aA1DjSRYlUp7iDyjs03ZuCA+5dkEZ/K1Gdi44qfAI XWRl1U4XoFDZ6rq/lJU53h64WHsOTNFvMvzIFv1/nOKRMy+3UPKisk5pj1LVDICrVPyEsc3zNGUC cswuldipgvFIL4mD0Omt8z7Ro3VDq6baCArh8PZLec5yn3Gkwl0NePOFz1/A2QCxbWCo9xc27Nts BVhkwuaJ/9yezz/BnK2XSXOTqVjnYc7JCrVA4rpY5yFaqnKgKR2PIXmVjdCKY1vYHdFWakeAD2B8 8IVBWIOkv0RD1VbcgTAi40DxghZlrJzKLKmxe+OWiRQJn41HBQ5XNoUASPI2Ibny//U4fG2cWtau jXJ7hYcCbwnCldNhG4xgyC+JTHrHNGkSNNt5hogyOix11lETZKr4s+DQgFpzyqIKtGedIw3pFKIe F39I93TJyTz2xKYwt1xtqfzXtTwb9qyXX+W4wZeKewtbLbG2Wap3nFTQNubmXn7D4wZnkd8TTvj8 0kIXC/2OwAC2MUakB6AZONS7CM068yNCzgW4TclTobMA+sDL8XoObUUDndWW4bVR6G90JSryW6NK FXmxhQwp6Z8GwmQ1M0e6otoDjMtCQNpZsGSoWXkAxjrv7jgi7P53YJO5jFU5dDJTYFUpiyva/dQw jkZRxXn9JU8yt/AP8PDndjAHCfeivNEor47dfDcZBeiIYy57Uk1qnHx3vYCq+qQa3B9wb/f+Ojjl IDtjWbwYuHFUH2FQWcP6Cz1zMnTPO9yH02fr6I/6RL6enIjnH8bc1jSqy+k8G3O8qzazOsFb9P31 KeAZGqU4uLsP+nGas7bMjm+au4qZ2wFI9dhFF3eFDztnbsxH8rG59Q5Tm49dzZEUhk4zH06phk7k /p9pgFdXQ9kTDRbeXgBABgiGm4Par0edFyBwnY29F1+0S7oy9gorja9jhHLuBiWkCu7/s6i2wkIa F9hgQlhSx9m0zPEChwG1WBtQ3Dt7l+5iaVS5R6MzwWj00BaIJ2oTnKrCNozH/SFnIVw67x+hJcv9 qHVn4ls1u6TsR7e/Wkq504sOXB+1L82XkNDWWObxRUpvWEd/618k5dryT5lqtXYEeKZIvyLgoy39 0AXJfR6h/DbLELkSPu5Yo0mfNIPoiy7raYNCkKPNHFkEMASNyF5QPTdjX4mj37PgcsZSzP4dTM0h STW29ovkIXsCu237UHBztIUWS7gC3v8OMVYHkhnL1k7NKfjsrZ90nr/OcKY1U8yuSxG0iBT5OH6S m8wYTaFgah6af2VmF7KzhE8Fh8GbJa5Y1fnGU0jq35R4qGHzVHioM+O4oVFGG8qxP8JJbiR/rTCr C7CG4RMCs4GwNRjfkkEUfVWSBc6G5T+kz8Xrh9gnB6xylwT+Swx5nceRHaBwQYkHI/ZzpOYoNbhn JXoEgoAHa4BEWK3kj0v6e9NBFcJ9pXypfnLhONyj9bw190Fb2IfhWrSRkg0Z5jpt4/CNMFxUhymp 9LOoh29qnCuyk+K6EMx8nhnWrjkdZrNJBzBgSW53lfwRun5cBxsetfPEKd8VnQIPM/r56TtWNjQ3 IbD4TzjTdlxvAJck1IpmL1pVTZaujrhMXqwJazQNJBUWEXUKdBlyLaEeooT2qE4HygHAYZyvV1Jz fCCy5+0L51ynsvfBGy1NkRcvyuqKcliT7s0nwqOM43YSRti5xwjQfcmob2yRCALj4nh7zNHW4ojM OShYSDZB+C0EpzuMxRmhg1JszZXM0HW2G8urX8tyiFtS3i7JUGI2nSVLU9Nd2vMgTcOAE1xKKdzy 6E16hLcHmWzJ3UGVvyMtj7nCwz3VTjVCJKTp93nePJx+EDt0QUj4hgUz2mv0hX2gPf4pDAHintHR aduanv7VHwS1+pTnLg1IVmU0+l6hTUfaXi+KR6r9eI+bGV2Bn0vSNRRk0pii4Nd9aOsc0cqQ8Jz+ X443dQTyS54D4IjTI3Sd21mo1dtqXVhJo1H69Bzrl9rsWsx5zujRSQhWjU/R2T+GSipNCDwe2Im/ bTAeJ/5YDGzKlDPDC8XTVTcIwRHDDFsIBih0HCV8kAiickbMUeO2+5GsyUY1WWvKHhHyFfV6zA9v sO/kXXrH/WrRS/OAc+uQbF7JqEfWe1chC60/bwwomqQ2JhUB43Cw0zlU9Cc6MyaBkpSv3lGrC0fU TcuZsALa9urPZK4ouHxTkrOwNZAl/OchCTdWsv/QfMYUUOty0dAUAA67Zom+7h17iMXb4VXx3meu Y1JihxTTJ6darXK26+memPWcDAL77whnwWav7SQTdrUzcede8VA1TJ7PLrzwjuIHhBI+m+PSJPUG BA1L+9OOEOIONqAE2S6MrAAEMNyGoQJpG7G5kdB5pfjrTFKQroHaBaxHfWcK2AhDcZxE92QV84TR KMHJymSRuT1yyk/ciTSMQDSvAomvU2YRzo21Hge1pQcP5eimoQR4wslYWKm1vPmrQbbLe8Rhm21q IuV/c9zQ4JnjKGz1i68AuxKu3/nixs2z0FQPqMg91H3Hc+hLPzWlVL45jUrAX+LyXUqjfLWNFto1 4zVOfGGCR27XXn/qYeEUNN7kLshu/2t6Z91OILTJkLidNPZe57mXry/AEoORAhjL1/3tdyAtwGmj DbISNO+csOEQoy9piASoj95ul5N+NzOBSSMg4L+LY2KKfKULP6grdNQkjVqHW2bUJ6uvOSS4s9nz ZFesK2TrLVu5JBuGTUNgxey65NNOseFn1Ne+R+eFmkhYmSEjhiDrOtQPeAihoGiNxToWNoJoi0Q9 UzJMwjFRvI1vVcPelWA0CTv72Qx40nQpOH0YYnqLxshHB4ggjgKTn1oNgF92Prq96KE2jqROCH0/ jyGelFFDHTNoGG121plnL9lTz1dN/bpeAY0jPsGeQIvYMe27LQdNyhSUsHogpq/o+LLtCkOBKp4v mn103cHZ4Pyp6T8ryfq5N+JcxHw7NqMiz+8Pkng7SoMCjlyRB2/IOEKHXHNzMGoca3REE1TuaQrM gjaAGPrG3jfZNK9z4wXnJK0Tki68kFQXmzWgpA3bnopx7WEHXEMoezUC0OyWd2IHkarrvdtnZqQT 8Y0Xjf3C5FTajx7ahzl6ZzlBBV0pUBLwNtnsZl79E6b8EFE2DnUdSGaqDAY5pH6Uw2Ktx5jwF+4R jrdSrqiygkOWP1Wcs/Y5NOvwlPhpfAsu/nCFwQE0KOwis8HCucm17h5u41KMKRqdXIYVLPVaZUnW dFQb+qzkrrlKHDfhSlwBPPwRqZQRE6Gk1MZ9rpaBXMXdMy3wt+y7JID34u8+OrKkolLzYRhUt40A AYaPbdn+3xNI/Idj7Nu81CfxQf00LFHFlzkIHhyyrsNP2K5gejTlDF6gq2Vmi2WHrDons/Kq+/i8 VTJp7CJUsk1a9sVfYTjQr5SIvbLJbwNCwpI/sfdRl3+0z3GAm3l5D47WNeQwk8a8mdSA5mBR9+eh c5XWX2Ut2sD3er5btOI1H5O4oVWtOxIUpMl3/zunr1MUAfNG3NBNZPCd6ik90wUXGbljFAlg9NuI AlLTo6fieAeKoCJ6CX4SC1Noa7HBQAsm+z9cb58m5njlYCD5kYIr9mx2STYtEGeo29BXkxvOIMNJ eY1qLq60o/8Tm27gVwBpa+qq7n7h4cbyEMEoxyu+U5KrcCaB+ZG+iTFtAO9Xodhtvi4DrNvzNvee MfRO+b5RyN3ZMFvHm2hmsFeXBDdQribx+SScOTZoYp0gH80P2iZpSkTyhj/lBBhV2afEpaP5eXSu bW/Vpa9sPEbpaVOLICY4xRRXplqnzNJ6Yo/YRYs4xGiCLwb3hOI54/OThubGlnmZPRBER6Osrf+U lE5SIEpCf8gjWq7n+esZTXBlxgECJ0CiuUzhT/l+EmqNDJbQa9wby4HLf6zY5jNKoxycVirICeXD +UGxXyWK7tyJsgX9pWOClqoYLn+08osZ5Uuoifo0agWszzQhxEEo4i/gztZJfi/Q+5T9YcdDhrZQ /Ywd5UJ02W3bFaL3mfjw8nDJzVH0SQmAyYcHIvlL3EKv0vUUx9POOe3kTn4JXxXboRU38HxOkOLe gD6E4Q/0FYZjXBSJpOG8yGx3K6n3OkbhoEEnz1FtJRCfOgBcab1BWDVqzTaLrcItCuBuddRxLkP1 HEiZilYT+Fmo01kn57rto8FyXyzR5Lt4A7Z/RSH97zN5bGqnlTDoG4mFB5yx82nM+7V3QBJF6mGm VXto3l5wj1bydZ2dmjbiA1VCtmBrPUn0MZGxY+ZlmeHMelD75Ol/MCGhNjz8taq71fxTu2YanCMT fgsQlrKJUmNtxMR7jS0R2QYSeTOk1IeCf+JMuEgb2MFmQHr7xAuE9ZQH3hjgsFbbgvgcQcSbeqGg fsHtZU0SGxQdkjaNez+2ZttzmCViSDGexQE7CGFsMs0/2FD9XCcXeOTWjk6vPxe6UWShaoOJ6p7o FoySuGx/nl5pwfUYFZx7cZk028yXeU/7ItaXpYvlP8zpuZ74qB9OrRlpP4Lc3RGu8DQzxbBRwdqg 5fbfmOfhsvMnKpqhbVd1FgwGx3nVzNd0tGPv9dPmeZQG7v5PbLF//ANksoj/6JqKeOgrLkYhs9Mq uUUbgJjwPETljklJSrD7MORVv9+CPD3X5+/how5DOSbm/RdG5gMyNj9SCJxVICDQjRvpeaNvdFAx yLwZTeP8bktiYTs9rweXoqXUyB/e0pe9mZdGZDms9R2sxxXrh7U3vmH42c25x0E/rW04gxfuNba/ 3IEuBZr9YI/1ifv+jZZjbDnyMNQUyjk67607v0KAya4KcpDXPyuRKFFC35PpDC5HGYBAp8X4PvzA y8rp/7vZMod0v0lBUTo4Hf38XoBlOM8xA/E48QX4eOPR2+FFDJnfEGR19sPsA2L38ZiSZNwZciqe Bvsm2ZFtiaHW6s3MER+04B/DFhP+1QejQt2c7jh9grfmEDQxTwQY5+NgxWt6TXodxybas4GhNVdZ f/JA+0W3sDdD9nzcB/1xjkrtx9VQMTjZNN5c3vkl+z6TzZGUCMdeLpDi9SN/51ZhfReOgeXTCa3E hubSRF/hiFHCMYKACiklzVrkhPe9kQYGLBYqgTFe3RvxZ8Zr/tJhs9N5J8S3Zs72eL3H6yKmbETN l3g2V5wMS6FOm4Rqrzu/JcNE7EhRlVHKLFIRxW6HL3FHl3my1pKmEqasGV/Sbnow4uMqkfq1tA1I 2dWmIfUcWatM9c3I+6abLrm5uaOB/3O1eUQ8SUWf8zHayf5FSCdUSeaD0G4J5PiniLQbs7s/ccLa GJi05e54PjBYEKNozCWZPGlBhwR8+oKQHqEClSZUjiYtIRUCJO8PIKpCEC0vZP9+Aanx1rW7gBEr YXYmB8w8uM9Amw8QLMEgRe6xxNfGyVXIgPr57uP88T34ehu2FjeqngSRAnOrmFq3wvLbwjnxTf0F vCXz76J1opGfvMYp1WYO4ccxzSgqdVnSQzNp1i/wO5XDFWVqN+bwRlQC4eKoWJsI/69nzqVJNBpU 9M1lEE0aG0eJUAcqM2GAKzPG6CM/6NAk3/u0jGywuECacFs8jrNTqDcULfyrGkUMxLrogxSPw3WB pJoAybkSFUX5EAg/YwJE0OC4l1ZEIfaj+cU6uOKnrrL3KKcaHcyxfpg3rBW51kde9DLNRnY4MD+p rNjwqY/zj3tQ8zEMnhH719OQYqJut11SPMguptEc2qmw7wMmjHnEatXhXTxhAK12rMr8Yay9tMk5 bmAxSEACUcEIvzLl5srSlZJVMCmv5jvmc+C/LvuAOkkdfpg9y/LF8Z0jYSU5A/FQARJAas+yL0LQ +qm5r0IFCZI/yAppzLW5dbn0y/n0v1rgnBA6ZfauAoFdZfMVtm5NzSOwPMG91EemHnJ53TPjl8Un dmOVkfRj1AhIJUZ/gNrNOk764HsJS0y9LB5b7eKkNDIYoEjC6MAaIbBPkzKOEATe6JvT3iJVfR1y pu11pYWj5Mq8UzZIlF1V1/JLlnM79swBRSPvIo+8tScGR8Z1AOYXh3rC1yTf5RDLNw5+Vta8dEkD BZg5WZlW+mHc6ITv5jBjFR0/0fhnxknzNlhtm+XFXpcygb0qBoaydZRGGtGRyHiOnwa1nZL0E3n3 FelQutibzH+zC3/yRGeRv6Kfx3HpGppkRNzn3Tkbl3X+WaiF45PLbUIVbnYRmDl3wsFtem75rCmP Jatk0d2XUeH+opCj9t3j23W623d6OTYTIRKGrlj0PbfIEJGfAHl9LBSnV6N/DV1YzEYOuxiW+ZhR iAqpFTA1eB8U5rYPr/x2WQR/j3x4iOWpaSxVBWt/FOryWLpmfv/oeXguxX9IZipDAu51Hun1nwud t/wE9eJwu2N06QPuE3BvC1vhbqKu+6rb2hl0F8XIae0bv4a0OTpT1Q6Nv/tB7tVIsZV+5wQ4kiML ScpUFLiJMwFttMp//QefN1QnW/SdllqxRaB6w91WKBX7YkIOkmBJ1gNGxcsaMQ1MTmmeONqHkBnk 71SiCoI+WtxWlCpe4fLGxLe0RualQxFwn+eDXganHpPZkWL4jZJydUjF5gEAiJ1iVlqLDZew57wr /fnk327Kn39Qlznw52csbkqUvE1/yyOdp/Ehit452vWATNhXmv85Fxx0L/c6KK3a8QHmo/BgIvzs mxF1mMHjWzyd2XaiqZSOhbrtPTR2XvG0qAUYCWp7oEvckrbEjU4Rz77ADCX9Bx1J1U7amS56QAzw 71IoE7AYQo+eB6XkYNnMYPmgl2bVlhNxB3W0r9TlWGIa1EztbGoEbRXAUNmWuIBrB3CK3IeORlpE Le/QvYJlcN0dbQoueIYEADbcMq/cRT3i8SZ2lUgmBCJetwM9a7JCB9k4Vkv63MJBkvW/OYb0VqVZ 6hvIZR4qYYfjsRf3WapwXtbrOqDmjF6wJ6jHuRDergovvImkx3on/YH3FGlo5PrlJJABBLVqlhiL tDbKbpPDx6w1cNfYbJ96nXJT84D2CF4XAtnLTUPggwwqJmXGAtGZfS4v2TLodUQOsudwa670hQA1 v61yxieJAbMbYQndF0sr0P3/AJ64ygakk/kGuzJUMXO2Iop99fi7TWYe9Q5HjKlXr/V3xu7/GqJe IdDcVt1/e4LndcnRw8o/iI4auMRaJuzrM/XoNvdyXWDU28okRc3xS830qM9Tty3e6Yuuqp6Hr4z0 PkCAAjPBxz/ELc3nDsLv4YvYyfMj3pfcR6e5/gF5YxeKA4MpLu+cQnY29ZjAN8jy3TifyNIREWVu 0hvdC4tea6vhHOUtu15uCMaoA/DRN7gkMYWet+IrNtOom+qwB7jsxHxWUz8VvrH6Vj1aw4o2lWWa 0mePHEkTublY/f8Pn8kseuTQEEAjRe7Fxlwv1QvNDmVqEUyxG9cweR032jPmNMBuaWYYcd4tL8Nf 0cy5yz4QiiSVvzqfPuLhr8yswKsSKCHZAw34K3kHck4gg1lPA2gwcjQmoA6u3MmtuUyfwlT6gcnW bBX9sh38u1/IIqjBzk31MmJa78ibuatUZfLDW9I43Te9mdmUEd1WESFaLD55f5vMLSj2VxRmunPZ eP2KGuWtoyeJHjSHcLb4+RQOofFI8kKcPe+NwH7Yrf36AfTPyecDCWWrAJaMLAIjYi1T4JibA6Je wKAMiYzm4W3DtCSqaRlKtcgmTC/BchQcA7EJ6TVNXkTSXTsI4rVko+HCOTmtnig9wQrMGwKd+WC7 S/Qzr3voHqRtcAu1Ee9AtziTBSKYlh5pUeXpB+cViEluUPmEXLI9znON37JTu6E3eesCyZdLpB1p ketOGNLePK+X7qrHf2H2lktj4qvcS887Rl1GBXIQW44oH1Uqq7B+9eQy0t3O0UzQ/lLvuAlKSaUI kSdozyKiTt0hj+eq073FTvxl5GzfvXbrRPrNB3kSarBgVmIbxqo+XG/AU44PsGvBpUqQR6WGFIXS XuakzZ2XEQpP9MYR9uKhuvquJj8uMiozW8Xyd1NfKqBT/UtRqtXuqytHln8F5iqIjkoALlezwymD mHmXENteFZZc8efJb2FRfibFO4f8TnXtmH/tLsmcm7ze5UVbejxlaOpVqUOpMsyM0irbxUFmEk9m IN9JS+MNBFtjjgk3nbHzi2AO0YSDxvX7pyYxmbToBj/RMaQ/bVSWVt23ufAlG7EM9z8m/aj1uC5p emgqJOLuOTfEs5A/xEkFeZ87U6Qc+6DLlDKDZXmqAZbgmfKQr3Upo63bSon665Sye/FTYSg1fWvG sZvCK3WCUT8GQK4bSV9WywwV5e2YF6tvX3whMKcV3t+iQG8fljekhRtUKE6oF6Ot574cHbG2WnoB S+XpGLOv4qXLUrXTSm6NR6U9/+/B2Yl5sJz8ZRVmBIyXFJ0+kWFqycfgZca7CCYN3ehZef4rRR1Z x5/vYe2AlDhn9alji8t9Nrgxk+pC+UhehEiwTDDeSs9Gp2VrF7hE9eA9JBUNd/S9iu4wTSXOCib6 13pW/WPMHYTR4VbXe91XRzr4zNUv4uckhRlqTgwX18GSBAHgEFQo0BEMI5hMWjjjlqR6r45keJne iUTc5jaKvm3UiTLoP9P4yJccxMSGL42gs7DWRCRgrpSyH/NxKYzhK9nCmVlBrb3TAq56DAiuE+N8 m3xmcFwMO/rRp95o7Qn3QbVKZiv2MPyqqNCTZmrqJZNC/nQSmeSgsVWHAZXpQHLqA35pGlYbrE8q msk2DYUJckxeHPhZ2kbam2O9xKusfAwh79iB1IrlWbF8+KaZjr4U89UyjKV6uUYytk/cPbj6JHIJ tEMyfBNCzQljph6xlSUklSFw+63dP/f3YdNIwegU5i7fU3x8Y7GV9kWYdal6a14Ix/V1VvxU3i36 34MjK7w/J6QhobYom0D3Xs7+umrDVJ0PQnZdDgqllTmbUR7sGXXNiJTSMSK5pAAf0idApFQ2eZbs Nv6ttHqAAMaP9pQykHIOrZONkwzPAe0EXfw8fo30vqxYe13FiJ2eJHk2W891ydZIzBci9Q2yhCpq DkQit/iVFEsupVj0302qmacdYM/kNVwjV3T6LOvp5ALGuAgXHGRIdL2q/VezIZ/lbfG27Zpo66+n EuLRBCMsL6Fov9C9t0RMFmlIf0/6zD3Zfyc3KXNKxTgm2j2uVa+BMQe20FQZ/XNsSLWbWMgu8wro vqZXP0ylT6Fz95w9Vm+NmspWingXElruk3PEGCIR71Oe9HoKthf5XWq5967tEV+RD1alp8Pns9KB SCQqzMPeOEdmcHq1Wcmu8w4juPN/Dxc/vn8risqbVJPKonVGXY0cRxkBquo+bcdtD48GLKHiTl4N mWZ6gWpwmDaRepgzWritvlQ10wZfcYu6Huw1pmEaTn8m0ZFWrUUITo4DVpWpiDW+SesiOO+kY6rn eRb9fZK7SajyMsjVeyUMqOB1fAtwMWVzoSyRv6MwOXzAyxnhEm9S7d6oFSvg8CutZcIwD9vE+1Pv qNM4W9v83V32rsnjuIiBL4YEsov+++3mixjOUHSQatVNdvlvDdHVbZWqMibKwQHJxGLLArqtt8Yv C8YvxxjLpnhZ/RI4471bSt77LpBDB+16qK/C1RE7Lpschk9kDhdjrFwkqqpH+7D8gMj7rrR6dQWG bioVnP7yRF5fskkLJ3ARoziPupW/2JeF9xWPX8QUDnjaG87xC5M0stkxPzxWb4u9Zwl5sOvcWGzD 0mQh5R3htt0GWu1uIAG3q8MXnw2mSAuPAEd9ub0SNHd0+QFRrC5pDVsfjtfGQqMb9ClaCgDjqpTq z4WnJYC0KVvCuPqwBEufOwFWhjXG+noAcgtZHhEXOI+f1MldiynFVPRSq1VZTGxuByozLs8wEFSt cfnbM7O8dqZzlQt241M5hkKdoDFZO2h7u9DgO4X04emyd1l49r9h5BwtXC5aAYzL5rTc4VsxC/8M nSVH0hFwtI45SZ9OetEtKIMbm1ec2ee9AdJuNZxFgtIkw90NW6lk0bc62kHU9+yrYFeplSApYfSr Vy1dJXQR6c/8LF/YEZer7U/GNOSMfjdbjmg0fHxW3azkkASXGeL3taqDAheAzkta2xN+RrTjS7CR Uu2WKXtg2j2lfKmm0rbwX80uRont69bim60ro/3TwKlu7TClZBcIYBdYRtfs/jCq9V3eKiDAESqm CPa/gqN9h4wOuanTZIH7XqiEB8ddTNJFoNRsB+2x7fbmgSVFtxqBNLAhSlhRLZiD1Afkj42ARTXw mFrw+ed2QmJlCkinwWBtc7ikK9baIm0rmQUivTXWvy6w1BLColMhOfvOKE36QZfUgZH2GxBmwMLj Nz+PvW9CAPIwBTbtEb+cE9ShOrlaAkoelo6o861S4UOmgqwLfnsZohTAUpGaPPOu6cvtIAOi/s1X znATiEJo7DoC5E9Iiz9BzfIlroRMVH+KB1GwXn3ir9XYYTyiYOB4rqk5pxKNB24q/4Ouhs7FbJx2 JUxb7loN8zPnHSZvJ3MbC6xWLLmR1fE9AFNke6lTT/2PQCIbkldo5jSOJAQODBPFsxE5ZosJU+Y2 aFcy8MRJyuqrbpGwPiG8uiItC+1DwxdHDdyUDewOt5fl6M58VrZrzCn7xo5oweWmYlM+vjE/NGUK suLf/E6cDB+5tQ5cDl00bNSvJzKp1k9AMgmUAil/K92EzVAs6eSoIjOztPGRxPxKs2dSgB+09bFG blJjzljRzkkx4nuyhWm5aKzc8/pt4oG0lg7q4WxpHtdquDbeTrUhHytwpvISwMK9R8aABizmkVB/ 8tzQ+xkKDBLBjErlnTug8wkzj4GmSr7LrtQA75AFpjDho3ANxkhZjGyvZNS8qPcrUXDreNqr1pTA MyOJVV4UI1dyAqtqUzoTWXoLaSB8ATrUMUb5PdlWl1xD+g0ZJBLgmdRj6kTbNrIz4VZArWnirKka GTlYn4KneWpAvG5bez4Pvwec49mhrRutgGZu7l/jfDZXr1dcemnDncCKriAC1m8F3Zn6W040X2HM YMVi3F1v/QrUHerMAz0qHyNEUdbJUClD3rQlI4ukWqpxtSS5IEEOmVZaVydkwELcIFRU4kMl4mqp ZJ8WgREMt1/Ryb7eLOKFQ7Lu4UCcIh0x98Ql/l5aWTennlOduxW/UrK/xDFN28MOoeHtt4mxSZh5 akM55AZz6l4R5ZReObSHE04mI45ff1a7aQwHo8mZ1jhpEYESKu1usWE99AbsvJl/7ak3BLFRLiO6 3LC+31xs6BiWfsJtnIaOOqAOMl/QYkUcslKxVsad8pg2X3gnEXdGwb1lxeAd5/eX9JOVhA8WDdyT pcAVR02tyW5UN0ScqRFSusUtB4fj6JT0xB+dl4As4+j3NIdRbxMYw1QNfEHVRZZK3G0RZxzlrry+ 5XpQxAiKOcT1nVxG0TLNCbAq4GxbVgaf1Bha/qpagw92VaJELAKH1xMIXQqRzWC1Tll2GH/yvaOw DT6SKJHR18RKNt/2B47cSIHTRLFR3QGWMYYWv0bpsOShDWRYz1dmAzxZhUG2xB1ht8vM1eyAY4SS e48F0C1L+G6GrzYPcgs+Kg+lU4ihFf1PZEswpdOdlHwXT3+hQ+52QbhQe+hImPKcdbvgY3J/50Sh ANFPuzA+UMPcsYDruWScgUyzjMnA5yH+L2iFkbVm9WDAfGCMqW0HMCA9QxgJ9n/lh23JdbkhCE7p vI2n8S4KgeaBM0Ojg0Co1/DPd+Muk7Ksy9sJ1QRTaqAJpt5K8twNlQMDIGndJ9UOgTLHz2PtQz7B Kjnzef+swbH9vJdVCVPx2oCIyv0rZddL4N8O8sLf2EG3iV7UTGKn+xCCN6WNf2P8KXzvlFkGh+2K uuKMrEmNKLh8AGrI9r7EUjuPRB4quqSsk+j2yEGBySLUWiei7IdfhOZVEBU4T4VKs8hO7of/LLHF KA9QQEUMKg7ag6q8lnYQIUSdS+vBX79zhuppKew2hX1GfZf7fTFulx7wUiU3q7ns4YvOejqDEs3/ SHg7kQVKE6ZPW+3QqdAf0KprWUw5aa3vJ2rflhEHOnZF+5YYRiAOAW2+wllg6Kdn6i+yGQ/dzoMS L6O1AO1baNev4SIpISRvMQQBO0axwaX7SbEq4gpLzg/N2jbUTxDI5FYFfLjcFFuEO+qDu/OnzZ6i U3PvNiGCPn7s4Q++5bATHg7wiAXfcGrOjYZ4BpvV/BvRKYspHQd09bOZO1rYhNGMKhWD+Hir7Cup wZp21kEfhJ7mk7Z7EZmkyqP+FGA6043y1NWCPf8A/cATWE33fWPJN96O7ctcHM3yT2tvsEmLOkKY 4/Xb0G978YXMMLimjLdBUJ8YOBEa5EYSTbjtjhK91NKGhjbHl91Onr2aCYcLE+DW9P3i5h6NvB1J EbwZAf/LA41YT25LYvdN4QhgsRyweIT/71sRlTZBTL7iDcXyYfGe0dRc1GKavgWIzbWTmXx2HqFQ RL9ociLoXWk3pnWLjJmWCZqNaPwRqAcMpToUSEL0VJ03cepIJ1PPrgMFTdFlLjDsgDt55lWEOIjZ nR0U8XreouB3wec/21/BRNg8kwPEjk2HuWS9huWUn+t3YhVZyJB4oqaUdMVnK2VyQCiqQgirl+/S uMbe1Q1FaL/vJXhZTOB6jWCnf45RNTc5qS/s+4Lk5UKYLek4iBpex97FpEXa3p//p3JsL2OkI9hy IfyeKyFB38MkHR5fDPDlIRgWPxp435i1wPaLcKZ/npLM8qe60gRf1vIck43WxKiP4doqLon3NqWE K6YSh7HEbRJFpmXBGW/3J2KT7idAqOTEXjS7+QxtwaO/efUAk202AN9rsO78B8g2BifWAt/vXsJ9 qIa4NMUH2mkbIJWoiqShbcBMowX5ealf3imjSWl32jJxP8g3SGWlMuIou5T5dcI17NRFTp8bx6kK 12sFQKe8e698G0URTT0jdONjjDpyM2iun5e0MK5NkFrmPlq3Z9PcP4YNA1L/Za3KGuc7t9Hpvpxw BbUGf/rJ/s+HYGSSFGYLtTuinHoyWKGT6EDbdLcnDGYGRRseA2THgeNrbY6WWj9oTGkAHfIbLAvs 7OxMGsMD2oiqGVWWjujnUxW7J+E6Pnu4Lg9wWj/y03a/mvaoSexi0lwgxA8ZWjCxS0Xs4mUp0UqR FD5T1v2es+XXhodBnnAW2eOJDQ2upvd384qr+Gba7AzVpfa3nanK1/7wDrjaQcAIy1gC3DuI573S IsAuR4AzdeWfjau81ai3EbRBLBRs3HWEvcctlu/V+T6zwaTbT7w2SATfnIslzEVFXNFxlwCDWE3I UF3c0+5NgiIsmmzkbR8z+eKRyByDE0yE23JMPLA24lrkoa3eEoa2sIr70gFDC4iQ738XYYQqK/R6 AyKSmjo/vIUb5zCLfBEdb5TFJ8owJ516lyLZZgyyriHoQGqeZaUkAfoZ1rSHLsbJTKgUAqByIlWf 7pIWXkzsHs4wl036SvaesqQjwhTfZKEVS25ONrbcnf37T/0FapolR1xwllP6C5dbTDYRBehbqoXl +5AQAz5idZzFc98sUQSfzX3uJvGkmN+7l8l3m1O986NbLiWA9F5oCJCLyLnBsXMi1TFJMva74hnn Bhx2XSqRwikYbZK2iVL6suHxvyy1LL26ESL1Oh3JoQaQCVyI6SF9LcToyhO7eszytrXTY+SuM50Z UyilimUKCEZNqL0H2K8KQBbkH6nQuNf/haGzjIQPmam60gX/EuVEg8G5LopDLI2vQWhW7zITmdAC Ow49+tZUNG8smXsXG9WKHHKu65JoPfPoKazLr5XCky5YwuJmAZ0pJ8JqHAZDXMBwihBWVD8S/DSW +cZpwQKVWQOf+NwrG9jGbePmbA9vUNSIZUyxPCoGdKWiILVb7T6WVrAD8kWHs5cBuYlssJ7Z3d3Y gKDzsxNbGbIYWZg1ZuyjCkeypSUN8LSP6yYt4SLmOpaZVJqTMyj5GolkUfG7WE4H9WAY9kwsx4ha DZmvqQbP1WjmfioTsxbShq6w+Sz/WLOqc/KfTkyDdRcOHfFrmLi/N/zt/0OwQq1Daq4mWJjkzHUd GufbUQnvM0shnxm8rzzwhXWWTAUdI2niRm7MAgjavUVkpFAb24V7sdExgzPaUZbqtfBHyrJRGRds hhXtICI2QN5QmLlnjWwUqZBS0yzHY81CFGvpXlcCeVifO6jEiGHaUvV8S3Kv7xEZ7En7mR1iI5wQ bX/fb4TT98lrOG10alxzxF9AHJslaRjpN153JnP1i7EnkS/NK0Cfl8N0MEg7z0X6kQSjJL97a9bL FFzxOSwEuXe/tllMxLWFFW2x+UTWMpRc28vLb/NQQz8j2WYzc2WsT+aXeX+6tj8cteg4pdsxVFwO Rbu/dfdYYDsQ9SalwrHGPSi/pEwfPQI0LS9GYA4O53QiVzFIdjVQeS99NSLYfOFVZakNzm7qditl HmXZbWIX+xtvh33q07XEmoDt0K4jZEj2PXJHMUqOm2ujOnvTwRAqkyKJR9hiylcfaW6i3XPkK4uk qUn9vJv6vAiXYDgLOJwhHj8KGjuDFUXZ9WaO+Mizijk1q9lQQ0oj7agjzJpzLoMjRKcpUMdkWBN4 Z2BiQdLRGlNP9a8ywPV+8sOFZQvBsORDbRHbnrGeDX7V0Z3LTYj/0Q2HcWvkiODtd86DbBtO2bb8 UcVsk0mKdub35Bu8rQaCQKl2cpobpVgFngvAFfcJir6CHZAup6V8mC1O2o7Iy/SzaMDIGUo7mbMb hPFuoCGmueJkMtmel0IY0pM89Uhts42diZhatR3+HSnRnp9KffpwHcfFMhbSiHv2CbUJ+qoIlk/g Hut4Ys2NMtEIlPQqhIsL7ZSnJDoHv75rrL3Nq429/ha9a/BjHRNmGBvrXlcz0PDc4/w7GYbMVlII WHyvEj2XbCMKN+p1KKsHyr+VwMgpiDAvDlRQj0oWuZwYAK4AjZ+QndraQ/g6E/2XhmNJKcUpb9Ib XabVh1ta37CT5qXwCzKvftSLktnDvfUW5KYSy5KMYCjtzA94ldbB0JAyDE6jytc39OMBEaOOOX1j ZT5GFN5BcKaF3bgK1hS1numkK+hrpKahqlQTB7wKF0pN/Bak8EG5V80U80j4UxIL3c3a7XzOXiTW mBr0WGHN8UgLt0q/eIpZ6OAbJfO2IjuS8vNAL2UyIrSmO5r8PXFXC/pyXWMV9kO3qJrGNLMNh1O6 0XhT+ur6hjkGAlYWSCN/cP/PlOMRMj/KPjaggSdCg3Xynn6DF4/khWGMtzJknISp7QZpT77HYTv8 Q9oFYqWsqLoqicmsZGy3e5qolo9kOsqjT1QFYBq1cSQocYplm4xfPedll6ZELnlnT5czR9ngjZQx epenG4Am3XuUnhiomws947dJZ6Gb/Wfg3XGwUFL1RCWNScjIDIg4ajv8wcy0Xzp42Y4LuzjjwgHu ISdmg3CudARDrwrHxKpIfmneYpkBmniSbri8XL7qTh0uQzESwowKWuzCoE30jBX7prRCoyoFCgCi qAUU17WQWEZcyt7C7ioub/mTc5GvGsYFc6KqhRZbn6q1VfAh7CDf/HCzktWZy7KI2ptCHdICrj0M EN4SaEG7MYJbsNd2cuU+/2F1OvOJ8SBwUt2mTMPEQLfW5Zq6u/d3YTq0n3pJERY1WmeYOibJfXR5 ysDQqIb/cZFcNVJ3wZiiMiYTFmf4kRXNU+5kDGeq7BZBk9UaOgC0O4ukEIePSVLk/UwiAQa4gInV 1Sua/ADwpUWB1xc8tSnXxzeGT9QrKMSoIaoyjKYzDW1Ijcbtg9K4OmUfu8ReTw2I6/LDTXB5f06p lTB12dL8qcJFOee/WsjU/4t9oW5tS02auJirD1/Z7i1lYO6zYY8axP5769c7YiYuitD200KTcP5t fYQg6LxHrPgXW7qkidjFcBD/MBEUynKM1T5oeIZF3GmhMEukSWjLnDo+lXTOWZFvueNHc167yu4j ZtVTaTHSDp3lfb1Tjiu+2RVm5fZlnFcuBULFAawyHDydCUQvXpHmV5sYbYe1+N5pwXv7E8uFE13B g5B2TU0sCq4heZuJmmZvgGuHwIMHcCHuHfhAcU1awkEk3XPGiTZaqaSQqfohrJ05g//AhfcDMkph U+CO8lCNFkE/mA2llowAMSg+Zn5/DAbTVwm0onqepAshZIMe05YG5LXIueRj3thihxPlAu6wieOx 8OLZTtWWF/gmfPQEO8ckaPPgRejDyyUY048YDKiCt+Bt/FybPw4b+m7ixqoplcjYNPQc9rAdQTbV gmPr+S5XHf4HffBids/de31zTfHgl94mFNhlT9exiSSZHDL7U5XbMspe2eyGOdGGQaa+1XNocq9E /sWPakwJyc9DwZGFMaS4XiygVSa9aUotFhyJNuyv1gaO5Gu5zw5Sj5J+7Xdbu58GyoIReeXiutnM yQk+B7XGQ5JfekHwU3pW5ZkxP+YCosLnVePNXcXIAmwGPbNaHTemeF0buMRPBDxwxrFt1ll77OOP BkRRGgzsEorppkBUdn8/E0NQm4gPnZagFPxsqMdnbjiQ/zO18Aqaqgw0P/A++0vRlPhb3N4O5Rmj kn/wufZPWrv7E4vqBwkh9BUIRAPsMNrisRXt6eK0j11o2m9uRcMCN8mwqdg+fTOVmHrvlz7+wfmX gdyh8vtPJpyjk14FSiWGoiYOlY2i8/4AmqGLchhSvMHCyPwyrVgCX23ROqFb4uKwICKf52p3Ll1N eOWD4JKoMLOA7w++rp4y4RJ8YQr84T+T5AtBGZkGyi9jLDJDf34CKc3G1UDernbYOcNqTScwOQs1 l0i5LyQOK58MPdCNijguVyBqm4HArwk/1ts0OKTzPdiZ8c3iDQfHLJRATXmLomj2glMiTTk5AHPu uJ1tTnZsN8NAB8ICUa5fbrEYLAwb/kKyeIks13WnlAIGMdHSHY6Bm7Qbk8ZQBM90B/hPLKEgMucn O1EWlqhWunoDqI6dz+qQXvteHXvCIpg/7T1qpPYpSn0qAOnRMgBZY6neiyXdshqwQZitfViycpiV CEEx7PuLxqj/S4wim2evW3LvCKRGbomIun2Zg9p3GdHjGTtC7dbFOSxbOCzdjydKnxR1Gjn6+yJI I4DGiWl7c4+kLeqHKdIqIzwtZ7Rq0mscrsDvMzHHB2TqGKBSgwp06BvRtYYzREO3aJE9Nu0jzVWZ K6geyekwtvK0jbq9N0b3bneg3kCY4JBuMLsfR7cbZvjr3CcTPclaZWU6kRyFiRuw5JQ3FZyrVmTo QIyeS395+mnpBb9dF+PkJVLPyzLbPKavuQD1GXUhT9Y8QiORKCNtFQxyWUyEBTPb9WrTI2jh4/Z1 rxVxHpuKyaGOH60WaXtH6ZBdEY/7omeJPl2BZ1717sfqCMCsf0qsbW2fyV/OeY0O9ZEpWT1wdYuz VQZZzfcCFE4nUUG4Rp0KBPfEiSp+5ID/8TBnVOXAqww2n7LxAicdoEvPf3RuzgrZaZSHiBKXKDNA I1pki5+KwQLwPVEGxBXLsKGbjkCN5OlZVPwXDbAVoMwrCeNC/nsaLHRYIV/NoFy+lje6Vc4A+Lf0 yp8IqY3jypBOrBn5W2yg65I95KoTFGo6lT08Vda376zizJe15AnYURZMwToV4XoR3WvDzIrkq0Ll WXWyCJw8E/vainK2uoOfgCWqSfsB24pc/odIKqwFiy+AAF/FYBV5z/jFmG5k7Ckod3XW3JGkYYDe SlRL5ZXR55R7i0Z9Ig3EUCyyKuRCeOfiye0b4RmwVmeeyHrnMz/rnC1vER3lHOBkhutxhrzRtgc2 +AwwaCSH822RRrfByFbszI0QZ5CUbiFx1riPZ+OgREL+uiSxtIlcgApevyDvBNQFy7WoTdNhNew9 y3dHnnPJ4igGSSQrpoq0FWra67OiFalrUBd8+CXi8luOCO8LlpHSlp482Ld4NJIoOo+HN9XMVG+d xHlTj1wLXplfuSSfe/nXM8ITLHRQYLhcbQT56jM6wdEV44/sf/wWrfzZcZ5itwIbA/Rni7wRybOh J/5hydXTcObwQC6K+LbhkVfM1TCa7A2CDhx3ZA3t7eLU+P9ITibxHKLpWQsrUY09BCWmfdb/C/ZJ Wmie/2maPsvLLhTrBsx8KhiuR4D7VDLUq1gfkJLS6kQOrMCEddyDYorTWxWnrkr74tD9E3y6RlTb jfJFMpzUQfdBvZ0bfAm6QVbvReptAYLtgQkbFCDbyq1N+38E8sWM0airFjjbpFQFFvA4pIpfxifK c7l8MWnu/3lsGX7dO/fbgoyL1l3OCKnMfuJ6yVSuYP7OYpjlGimILDDk3EvqMNQwOvVS0Onnhh6A 11PQPhv6q+VJ+THkBt1QoBWRK83Wl9OQ2h8ZdeF9QTa/t13fENXCI+ULoDWPSfLZbXrbgANAhmJd kN+8UYpk1AajN5mNUEXI6jnb4d4DXVPKQKHE/LKj3FhZmz8s6mGjhbc4xywiwLWkbMFeoWwEmy46 hL7n0lU1eupPDkAVOdLJokCFmsZkgv+QpQYxFX0xNBoHoXzUbHWJyJZWphzeYvEY6sr4YVeC1eGw /bAsUSJzETYwLRcuIWLpLj+oOpjCbm3/HyfKmAcKDa9hPv75BDpk3cHJ/Gs3ZlSgxxkhjLu/B08L V3jyna2A3H9NtR0xrcw9ZI9HI2gDoCdGIQVU0akrO2CewhJg8/+KLbSGCcrfSbbg0TVT0YbaQoDq nePZutjHAZALIVMVQeLs8xXfK3+D1Tu3a/iwcxcr6Wi5n72grxdFML43tCVDFIECfHcj4R3dKNJo B0qWTIUoa5wwyauZSh7xrx0cSAbFsVLp7OHXF820dr4GIxnXjPcBdK0I1frG5YREcSi9VIRPn07H v98UGlNxS7PEHEaoMxGdpIiRt9u/WqKSuVRvgtVgwcxMX7dht1LcoulpNkuSl8CsdNfEBIaWiemk 4uE0WujPRjFDniqyMJ7WEwUlDfYQUYHsqvTUvpdGM4rI10Qm0QwpvVU6do85jz23wkmuJjRaoJWC hAB+Xi5lx60/3b5H5vF4gXGA8L7jZ1SQ6Xy7j8i93cfkEprFRf34bLiS9NrvXSbOVjaQ4Wvo1kwe BJl6wpg3JfKUHuzcOLtACOmUf0PzUAkPqbJMpk5pva/cnV6+JlwLweG50KFKMyrvxHyyVOiqsh0s XvkP70CBxBVK2fHcE7i53Y93bOOG5kf7sNqTziVFkn6YUeAHr/PVmcBfapyjppdyMIJqDFc9tl5O 8RW4oXd//QIAgQVKvh1jFkQzsTwNjS9uOD8z2FT8Px046GtjR4JPqZQX1v+ONmIqA5UMFNXh0139 sU5l5k5PHpModVpvfoF+nSpVpCqhtPFbMq8zBqykzHH9ttvGB3efkImvlsB7Txn57WdyyK5Ox+Fo wr7fG2693SFKdzpZ6lMhlUQeYD7ABoIiwYYa4DSH354153fxCIb0K552tDUYVpAuvPk3J4SfNxCh WUSlK2AcX29yOevNIJKfUpBeF3rb+EVscYBitCwLeITDkfiXDYlJBSY8Ts4EOrVQ0lduvwfrZ8ut IViPd9Bfv05ZSKtMjNy8X8EobKDmv7bJmwzCf8GtQNlVUQqbkS413i00LqmhgHNLVX5q7MgDDSGr XPPf3eKyBP7lMbSf/q3B+aT3Tv8sqZEWp2gHGhfuIxteO4Yhtcnk0fFm6y8s/M3RpIggHoD22V98 mYTZvGNSl1RXNNPtQ+7uuHgd2UQeLRKsNLSV9l/cKnALBUpCFYMa2KJM98xjxO9kr7KFAa1d0TkD 2VhVp/WZUFke+sdIT9W15rk7qsgHMVZa2UNPi2QcImOBq7K8xAKK+YUy74dlxeKKHN1vhDGzQSAp kr4rqmo7lo7uJ3LJdIK8DTngvBovU6Fl8mC+uQ6/PiPPQzfHylZpUTEqmLf+y7W5etGXbBtoRus2 BCRyyj9TDs8JNV8QPCuRsv4qIwLia2nijsED+97IIZLTVxkbbSn48NaJZm+8tCp+DfoBFfWnqU+A 46Oa4UP9IlFCT5MExKFlQWq0mkKwkBemPFF16rVr9RqrfiBqJshUXCM3XucyOr2T7L0378D7cVfo WeI3IbuQz8rSAw0181kgkQHBawGc9noH+93SHK5awJeu6naX15UZU1BijAOfsrDwqCoXawgm2dCy RRXHIE3dMEvZQRZ6SDoCDprRqfo+W2izFlyvDLSrnrUKkHyFXEHkiSHKkNDm1yqkHCnTf921+9G7 +nXPt1o0K2Egz0HdLZzcwGIWLMccEiO209RIzbAdTMGkUcveNlA1uuOkaAllvJfEXlUpMxB/VXhY AIo+kjwncFHKvB7fsEnvKoJ18QDRkwGL8zjGBjPZjOcJFD8aNHVoyA0FM28PgYIjG3XwJMfFYMfn U+Oui/3ql9T76aMgoAE2S24gyMVWn3ccq6sjv/7m2QPq/CGEmg5Q3gjj/NIeC9Jmb5A1lBC3XfSy t5gz7EIpwkMdKb9XN5u+ChR3ARDih5ugei7dPyurGKb91pNrRTJ2npXh4W0KyMgqrJ7Y19KWf5m0 q33b7HebmXbueFBWjt2uonA8eemU8F8MVEtuwD6UboWzFucWXoQ7opIwRJFkYV/QCJ07M/mEmBGg hQnA9n65+uzD4wJDWKGVOxjE4hlx1aYeCAl/1oIUX2ao8dDkFfp+HEqSDd2Yad/AIo9S6g6055oq 0XB33ApHaaGnNqpGRIc1eovn2a7lDcyHupZBzcUzdBMDITyO5Vr7S2Heqpe19imisdWXXwaYaQLQ mTAf+VpUba84X3DnmvWurif1UIzxSDQiVnk65JZYWNboLbGFfaRi8pwd8oXcmPBA4Nk5ISWLud/7 PI3n97uHLiAXH73p9C60bOqU/cOq3Z3bgdyAkyWa5TzSqSO01pyhxuF84iqsIbR06HcQogNoj+Ef CqO+rq7rn/TwKtTe8Q9k4flhzJ7DvRV2CdK7OK8eJiC2dNwFOda8xjgYkafTqxqbb3gctMVgnEh2 tWqxREWjnS0ZsB4uYbH3wsuJDNyPFsEma8stMXeAveskHjjZ9Olc5JZUPKa7Z1NifOb1dG4k7K1H 9IREgOAhUykbbSL1syV1U+SCYc4Rylafgpif4hqxQ3ey3SDXlyyP4YgMLsGe9TrCbS2u1jsl1WJG XC8yvIW/KYp6VvEZrNsS5wx484ZyI1XjHUIeXzR03/r2FkmHWPFnA0LogwGGXxgj0O3+XAIGPhED Zt40hYi3xpmqEPlf7ACH3kmlD3TjWbRT0ks3Y4aIkCJlm77Su2eaQ5rsrA4V+0r8RCkoDqNeFypq qt/oLcZSG5SFHXt7G9OaAGNZPpBdMZCeMfWamJd1449rKMazbcBqsSBKY+zuygHf/LTE0/3osMeW oJssvgUmbQCGfP6bWP7zKvhdQCsVnM9kMvBN6TPhie9AAnk0J6w9l6qcA4ebMIXc3CGHIbLkPu2o 3eIa84aXucTx1IWppqLSBo3ZXpNMZrIlPw3TgRAOutKNUNoC1lfLUvwEwBuQ7dzsX+GSMva1zwDl uBx243ZMow7wKAKiaoNuM+teSUNB09vP2oV1n11ySq5jkrpu6zTVvpiZLIBAya/DDWF6ZZOE0UPj gY6qtFlj3I/hXS/fFEi6q8l+nfJb0w/TaHNRSH0eYlFsf7Kl4hzCaM7nzHp8T2ZpIyjtI7AzgjGc vGySUlBCGAgV4HA5oJykBMqILt2m0HvyXeO4RCyt6HOpqeqpsuG5sDViD8CWWy4/EIdJYdAiZeek /Bezf/nR24Xh/BpdXATnUQ3tHe+fb0PMk+EF5AAsvzasq/OKzGo/YW/VjE1tav7kQcrRbpL5oVbx uOjqqW9lhvtj7/FtzjUALr7KKuJKtFuAwPaAUN98fYXwMWYVypvPCtVpMPy1kD86L73+jQ4VI12o YC/Zct3Ot+d5o6HiZ1azXDM//wLTKD1ujq8XaCw7f+sx5dP/OnQoDMMgdCrRlm4hwVRsAq3pESqB kDcYETrR3SnMnjz5+qTlNBu5NheP5f0DRNy2V4fGMgXwMLnZ3TZy79Rg2dNrUFpMmTL72YwXnIUK 78Z5OFAl6R6iUYnu5D7jd37zDA05b1OPIibz1sBC9gZMW1k8TOmxOwpD9oW77eEMRRJ2IpGZZu2X TBz1Saga2NBqJcJdDfSurcokXZ0QIt0yWF6q/ycKfZZCEMUzaHIa8RDpzlmpDTY2xnB7jRwOcq70 J2mkHWPjf8tc8/Bll5kRHPF7PtuCnAUTOrHGWHC9iRJFLKSB74MLABTxprxkQnZjLAF3Ji9HX7jQ +l1gVXmBhBZcU34IIrHSL65OdWu/gniSE+kyNRICzvgvb8sILEukkOiKDyw+ZW1xHTssajziYS0c C4D4p52N3X57cSAIveuu/Zu6iZm5eYiuDfWijCLRcm37xvDZBHH0tecIi5qENutBiI4Nm8C2g7vD +wKVU8Wf41rtNf5p5RcXKbOWaKdyy+/xFMhhd5oR6eFdM4f+RkB4RDlYPckNAF/z4DKoRlN0urpD 6bfs2tRBbHRsJ7AJqeDxR1epiR6NlAmQx5q2tMr8k5hzjrLj0EZ6WTYaC/82YObNxydvVJaXVkG4 93s3Hur/7sCTEMTVL9TiaOubiqdWkNTzb6m8w5Unyjml5gi9/egFolxvk2TO83D7Ee8rk8W9Ult3 4c4PSBh5u7g/fZeFzu42zU1Vrl+oIFgY0CqUkaM1QI1AgyjJLC8ts0AiD+T4JM9YGhYBtN1FGNkd 4SK1oI51x2ek99SevzRvtfXskK6yiyh4zN5dNScdEZi+FmiE9wWjwyxqL3Tsl32xzguLbXhuqGiI jF3WPFxhNE2DUSu9hYhwPsrlEAnU1R07A8X0hLXXOUQY5AI734aIlvVokRZP5iyCRttGTIibs2Kc aQFo+0aiu2YSox5mrVMAKK0fQH7zPITKnkYmRoY3xq0JzrnH4Kv8mutw57YtrmvrEuQ7JlPNid7h QcKlFXqYz55dc+5eEr6+gIEV0iS/4bK4RKsrrMKI4pHxq4etC/bLnkYAKC1vhQOEGAceB+HyEE9a wBCnB34DvnGHD2Lhd0wIcc/qdjoew4hnSYO+r2xKPgPqFAbcgmH/4NqsYpD6RB94lv5RUXx/E6ib pfxYXL8VAjFD9P7gFXAu385ufbmFEHIwni6YF7PpXmSfNfVBjoFymda4HIuEptls/h8uHPXFKNrk 9sWOq3poJ7Q44l4esNuFSCCCf4kYAcF1lap8XjpT+dc6VLiOlf/rFGiitvXkx9CzOqinBAJfvK3e ykJKn1CGgyZcm23iTivU3BUOeQ7uhA/+yyBGG3L/IVmNbU0tJ7iJ9pvVypFK+FsYlalsKiHxZNO0 xcsCl9h0NN2LmKqHhndedieskQ5Po3zGLr4JKvywL9+uf3J7r0zt8Hd+NRkB5mkUkDCm/GoBtkSZ sa5jrEU2CMhLNaG0VRyIIkTiEh/dZ3VXwr0+NLhgDbeMy/KBtdrTwHvwLwhAEQNYT1Xa/PBCnmlA ln848IQSkfeiDo99o6qEofLENBaZ/s3F7WyaxaTK3jKR2QA/GDvPtH5j/o8b90WcDGDq0p+QORbM FzlB4wj6lFYJO/Sqhdw3RkBkeD2fd4PGiv68MOFZULi1A7OuUabA9glBJ3zT8PskyXk2aaBb6ikX 16VLqs+RbtQsVc7V+Cfs9fzC7AwuY8a1nX1iU80zb1QheQkBpV/58GnayQrUTtYFOdaRo8/AzLg1 ZS0+XTNcbRIsy5HNeeTi9Hn8J0TDOAdgKrpc4hTFQvvaBsq0bpPWB+f23B59OclbY+5ZqajwN6gR BjcD7LPGXioi1fxHviha6DHtVuI9/K96LJ/84xE8U5ePj51+vlGWFoHqzy6xIFb7MJa7ZhwzZjRM ndrNW2ED7B1oEk42xsApsGMmU3vN8lTiSSHfjBzzfw+MnJyvkOndDDRpFR51Wf1fBOyk2a+SP3S9 QCkBTG6Wg23gG0/zpw9yoH7VgkcUGfkU4ZfIOL35i3YqkU2ZrL4WG1XDQXza9BynAwWqroYpPkPA HWn+g+36PFG+mhOLNyQboyhGYVtGlS+PXSu3MQhDiRVEtMinHADmbz39lWPwYZxG/zGWRs1MWIW7 GCBTeJ1qw60+ED6UqbVm1jSsl46i4Nr1Zzpu1FALW2BLpjoSHZ4QrasmLnE8ltuQEfkRWfV5vZ0o cP+HpCuKujtFTB4NYAU7GCV+KmVfUxGvEN5uSSo/hWSJ9ksDSrTJYonOEy56ve2Ads+lfH5PpfDp wItdQWSbAr8LPqGaOlpDwQ6shiR5XRwl1ri8puevJstHLXy97xNjDBxRS7I7S7I2VAUB+RQHYuhs qsU0wxHa3MzNURKRio7K6LFKF7lel9VF5fVxwTG4LTTwuABr2kv8ghsIUomBV9N9XomWaiodfEOZ BDgxzWTe0/15uwD46IBjuGj+MrpnZhz43+6Wb4sMhOOu5qgLi18XyLyLyIbHVr7gu/5TQIVCBL7b pbBnbUlsfywaEa9jPuedJdVW974Fqb8sXa4/bvvaEjEqXt5MHZSa8Sd7zEiXDPwNbemkwOfRk4V3 boo2RjirS0vL+UHFDegRZ9hysXPLHzWVHbBwM4XvkQGrd8vDZOPs4avelniozltQMhAahZBj7u1N B7dNcTO8fku5Lg76E8+PI0pD6B0BDIAYdCGSviYQrVDjTpXxbgkvyQDFKIHlgniJ6LKWia3wDMg4 fN/PECY1A1v9mhfYMTGMzldjt8AWtvxUnnT9oacS042bmHQbqx8kXs0FUT3IKllxInrbcevJ2t8n nHw71p/56UkIc1iYH2M6Vd0B78pLd+OloN8CyhHg/032eRDy2uLSUhF8tzqxPfnGX6U9QC7cYcyJ 6/4fQrlgzxQ24JHLfDKmSq5EfyZhgklnh6AAuxSDe3pELyBi+UGR1oka6PjcZsdq7a5sxA9v/frt dec4ShQNN6npqGKn3z7ah0LprRfcxg4bk7Lpg5ZMzix/JsHSh8iG2rapjkXD1KkQ75A3QbhU+ZwL fjb3l1ZRPWSamDeF8USP1FTfCsVUi2Pab/Av3W+u8ackOSWv+81f4YBgu91yYcJDQ1xoUf6fF6jH XJC7LtnNTitLgjpmMzAb2elpsz/6nY3utGkARKQz1nQf0GOLfPlwgIcO+HBYE7Llg7u39N1PIpD0 lgNcmCKRLbAbZD0FfrVzp6GJqKNYQMCnYL7grYGuTVJnZi7GytgC1/aqKknkJDo0bwC8lJTrz/YY vn5AIfWRSzvZSAQ45PlltAOmFvuYNPA5tapvzLLKg/9lFTNrGxCa5hSEkxz3672MtZ6FuV1sjoef bpftme7RY68cfGaUecyPbEQjxAdLOEHeiLs0RRtkR9Ym2CF7jZUNfmtxLtw9HAf2KgUxD0DzYs/C v7eyT8PaXFQm7gj7M3hkqRY9IzgUGImZia4oLiqTqjcjOwdLYWdukh0YoKsBoigzW+ldhvx3Tw/M qK7pkGZG+ctPW+C3ldH09CEF7rvspiBBsdd9PB7OWyznkWJhZFVgy04qXj+2kneztNbXgT3MkV5o fTAmkgNY6i078RWgvrERIYl11SGjmA0/CbQZUo/URCmC+ptN3NZ3kQI55uU9f8qm4tYiQCZVUGO8 Dq3X5axa1GiHr8UV2APdXgwFofteegoqybyHZY89YXZ3Xn/p4oBxVXWTTXfMRveooisqkGWB5HpQ q0W/T4jJJ2UVFNvgfp4wQ/WojopLDXpeNqw9d/63jRP2Dk1cVYvZfQMuTjXr0ZiQIF9jIMsnpl8P Cjm9OsdOWFzVrWrGNUnbaTT5h01ngu8z2z7UfD9oO1XxzUIR0ldGA4w6dt2BgyXEfMzGM2oWlxwD eERmL1X0kry9h95eIYaXIngnihFD3DkDrRvVNCjIQHqPVAl3tDLPtyMTPxmdXhKihlFIBH+VhjBk 6SZgo9UQ/d/qCoP7BcF8qvf5Hmd9VRNsF5qkDmwJg5hYhLJT9DmQeEPePMKnvboFe7p3x/peNNyi REWxoLiLkpakZ61mLmy+SGJCgJ4QcklTNgDp2bu49aXegtuGh8dUU+lCeEJjcyjxNCOGltUSjE8P 4eR9XGyUleydCY3ls2E0gXkNgzslHLemDA8y9+pkUzgs0oOPBanDYZlDB8SmLv20Va/ZcjpRPSEL SreBa+tWuZY1fRxI/LbsevPz3nDNe6rFeQLgViiw4MiKkiE0cpXbjJtGGy3+Ck7S0GdlFO2wpYdV YynNbq4BsI1C6KJ4DMFX45ZOIC5Ybu40ty2BOWTCGr2eoLI3r0yv8WZvJCEGFN+Fjdq26QzHZPf3 awBPRnDgOvz9F15DKsHsh9sbab14r8G2vR99f4yfWH7UIvGv7T9ho6qnIJkHfNnNXOh6RUJZQfDo Z0gZ5XAUOeWDVtJp/TMbRxgWWYIk8dmpUPZtV33WT/bqHB7f1n4l7lzra7VwJd+/y3Co1j77pTlW 5PVeTEMmMfqU55wI04618tW1LufaI0pg1KIEV9BpdA9aSzDKHpPGI8zftDhMRvt7B7gTfTBzXp9t fjLYYucTFkJW9vZWtBqSdWC85/ov2rW7FoWX7eFAtfqcjweKGBDdlrTMhkqOnWBnrdseWX/XO16e PVocqkOoE8UQUu34A1OAnZIFxn3Llw/X//qOuzezmHje1zDU3M6pXybXdlZ4ZwuZVi/VoZa6EuIP xvyIg7L8ogKwI2RK3GYAQ/NTQLm4ccpjmHH90FvBnds3IOhUXDLQYRZ92r8fO+jBLB8Ym84g08Db OmujSFDifCkGLygeteeGqGKyVN+VRXZoqKHfCK1gFI/iGA58renhpyo2Bau7noWMKNwAcJCJmQhu 4BSwtAq4L+35FNSbMWhtupUFCXT2xSBbcYLIGDoVlAlCNOM/+9cskv0r/RJ6Kjum45x53FZEBuj2 gf/U1E07tlPyA9QJFnzbqa9dk/WF//qItf/y8ruLgK8xqEBDCKRuBppZmtDx0wOgkHXjS8VjiziE SPIT/7FoC6Mm5yUCfCO6EyytwR0OJiJ2Nw+XTzB/NDyj7+AA27TQ72lJirPYhG3zgxzFzLghm0MD wn0w6A1vDumuz10uCrKzkemyOxi8ofK7PkCQ02XAkEUKUbL9bt2fK4eZLrdp4r/LwL2mRwllMJ5I HR1M0C70c8G3GuUjRHxGNAah/7VkDMYbtDB/hSgqP27vHuYuBm/ki/4igV1QcRKExEvzG7iNr3fb XY2+Gk1N/1ULQSP0TE3khAeFOBJUG2kFu5vplzM/NnWeweRPGYgjWBWPl2e3YxiqmphyvwMrCVym +E3G6GFMCl36+pQgZVwvz1ryJNsre4DQsy0zyxGVNHfgizKDVMUx2dhHz/5ACP9fn/LqXRw1yCI/ bGvvx4zB/7oqt8tmTk7WFRUYVrEktUUkoGLi80lGxt+BGqLJd1eldO5ZGZN0IF54ud+DVAFgeuS3 /HsPww+mz00WjXztH/v13bzjfSpjvFELjjfN2XGBKzjyByOSyL7RvCtHEmQxDY+Vio8nTDU2eja4 GxMJjG4t0pn+V3PzYuRQcQUABBfeLzgnMaqMmdsEMSMIHyfmdJiUIinBNmBChLqEGk8bcB8shO4S U7y5BeNePZP6/mrZoU704jfvYjYpcycUphKHssPYEGtNHA3CLj6i4hKJ3mWQ785gxSLyfCsQiy6j fm/7BYgLKb765BMexVx4AVR3H3/jz4HKcYLV/2PRBmuvCaGcXHY+k0DcVP44SdZm76rZg50B3JB7 9+s5m0yVWs7k+TjAyGKa1AMoKzYkGWh4n9CAiLbCqFLsGgVpVog2+gIEZVC9knO/hJw7Kd8Cu57L 7hrXcZwey3pKOYZEM2o5jEaUOHdCfefzX/JogwWIB2mBTYuE4ATa+AwxUrrHOxKDleCAbLq33I8K 6cGFCJt7a3eMuKfcYDn0wL9VXcvJgg2ImGLKRCD1epULykR79BPEEGX3A98jOe/LpmS42A21V095 ULJwMbUQdvm/oqAWKUX8kVW8l1z1DNdg8ls1t/Hm7nkGej++VpSbhnEjWLbp8jK8uoYsHiCuXJVI Cb16kzOo1vuMin1PqQUkDGY/bfh3if3BqgPE7oA4x0cai0QThucdHGh6cjs7V5fAPQ6dkujDoJqK J479G1+gdOJ+sOob0YGWwCH+2s7iRx7RfdxTGmMzgoDJvZMthWjWP+6ql05s9ylftwFP18zGIg+C 9h5FidmRX26Yx0Iru4eGS/nKhoN4weLPSBsXBKbNbblUZCe/GIvvAu7A3SZAKuYXpvMKYy8zA88J wqa2NGT5pybtR3p+4uCL1R8FoyZ5o5FCehLckxsSFGxlo+Vdo+ky50hU6xXYkDl/gGQdPXDXPmBh ywWumxQtx5ruEdy1MCE6KrgD1sBR+ep9KC6bbrxDWZhtOIuROdBelN7GTKt8YS4/tyEIquc6u5yG S64Em6I44Jnn+Xk1azaHZZMbdiUuyw9ZUIaetf+ekCl5RQ+AxNiOAuj+ADirbO8xs2GaoqOzzuOa ybehbU+g6NV9fH8loDBb5vaQIP2gk9HUjRzfSa2HGkn07sJiBIpHnYVmywOJV81icnh5F9BYW7Pd eytpIqWgkvaqSO3pKI4AsJAKR5/E/4SCOZMNex2pr8kq5Ox4jpcmq1+FEcSTi8lQl9L3BkPVlvbB 63dQQn2UskymlLus/pIo9b5fMh6OTc2qPJqvia5vGqODpetgZIjRpf9pdqh/EitRtC1deYMFj7i/ zh5QlcVQGzv51Z61GYEO3MQdBqLgXS3UjrU5pbcU33CpqD6WthwIsKCUOJV6StZHhoOk1RxQKHOx 9qoNNyz7tWw4pxnqX50liLhB5SK0kGcU51zuHK0VWnzXpiCdZ2H8PKxWW3y+SmS7jqSA9Yv6ZKH+ KOZ5X2oLLoWMtp/EHT5HmdRr4HlXn1sJ0diWE5a7X3IALelqDrn1977saIyeRa59Au7ZBsbdM44G QgRbbxEmD4y6eXezRrNkollOsNC1AYi1S6oWCHc58MQWYQ9iQ//vgISRuHEKwe4uBj/Db/dVzThh AV0LA4YIJ7lzHN8rYSqL4pnHyw7v6Bl1GMGjQkAROT7CXJS+F6K3994QDucfyQIDVBfE9Fix6J/X Z7VX8tY5bVgqoHyItY6op/IqXCCmP0XDfcOu/JXiarA9BCehxxKjxp0HQrifmvVcrW9viMt8hqIs yg2rqggTElNoolLgd5aM1IKaFHQAkleoyAmTI5OVjHmM8Uog/8Ej2AnKWvciszUw771kwJQEnkzG io5Q8fvCD53RBB8PBUJhrKGGBHXkWHwXJV5jhLlP7FCzlrSrITp9SVggePC+gqqWm89+xujsL9iC DOGgNxRjOFkrGleMTsMKkb8+qXaklk+uj+D0wanaC48lJUzCB590L4R/XRsZ6HpofWA9oCSDqOY2 e4yv3kTCbfFvn+HD5tKyWcnMO+d3eSltEk7Gc2d9mtHOHyJb1p9tYWohMEx95o4+l/YiDEuLOt2x GC8HH+sSTYIxQxnYwJD4Tz7BQJL8PbY30N60uzg6U4I5AWjuhAEc5xMuFwohdEHXGOEOvM2l0pe2 dyR/Q/oCPLyMzKI6pWzIQpAIcG5bcXZeMFSlCE/tBS31DhMY+DPTjjWn+6+rLRg7FR9Bsy5eQYWf 3qODGVzgJfc5vhpYIAtR6ubNfftdYkVVGwFeCWEjhF54X6JyXii6S0dXfE5fmJ/BAcpL3fCm2EOX us2YWYkKalc+pSGgFjRWK0S8MrceAGDd5x0CDYZ9EzmuXdNnmQui6h6TnKjBCVDsKax9EC+JXXkF FgpoDtlYQRmH+47jOBCWOaGPByy+woALj5Pq/2niB9FupnelaKUK8383c5kWD98wwJwtoE4zlkGr Q0ip6teTJf+P50Hr2B0DCbpluaRw2E+uWNx9kYr8gCA/mhZdqc53U2QV+V4Sr9Oqsp45SOmE/IzV L5b8L7Go0ztXDGIpw/56/hG62/N2B8YdmPDYdVIorOxVmv1F0nqVGFbFfV5D4FxqzE/i2is4VAXF 3BAp7VBBTjqhLKo6Hbs9Dmvb3JxOhn+HK48QrJna+Ix3xD7HfKDltOb1afcPVo5lEFPo5/5F9GUA CeJQsQa6Lx+1xcGjcObWf+3XFXOFijvq3U6YqGFv5jpx1IVCJ0MVrVBSdWm/EVdQ1QqVqKGMh3WM BE1Ts5cUvOdul3iTyCcHGe41Txh0pYPHeh2Zj4KeUVtCOoFvdWranDmli9f0N3cVZbkGVmOPi8Rl PyeUEkKkgwUZEwdTRi9lCzhEkeC9QzcgC0gGCzHwEMPA5I9So3V4lEgmsPQz0iiBJf2VsaGf0WfW iSBrlrGD2F0bZup4TDsN5rQA85+afmg3OMcw0uKJJMSpMKeyLFFt8DNENi8jmrnoGt8YI/8oxq/Z Gg31ACcK62qRWhF1U7+WfKEwc0bPyDRUwL16QCKN+o10FPGiNhQOVNiWCvKwUTnLlphk1mG8xinw +bv7YJkr04QvZylfmEaNNdMS4pZaWEt59/2arVbzLlBbVR5xbwBJp3gDycrQG9Mo+OqHEktDfvqM jGw+rcNtlehhFLGNrqePf38+gRJcwYbQTZzzrfz/EXwfT9ExF22ULnAUgMkMgMn/Ap9qVCLGq0XT A6j/EXJueEDfiBuYzVAu4voYWoVgb1elBYXTbm76NGAont/P/TtZR/SkM1l1in7UpuzgOtPncqgl JWzrB3vLjzvJseQqGGP5ouEdKkjTIQQ1y1XJLGeX1STNveOJtciVjgDiMOF2WbZArbq2f23eodVk 29udrf4i9klI4fw9p4gQgCUNtSX8nqUJothrwpleM7BtW+cJRWu3C8r0SoI7W6ySkicAso+MLTiL Ig4yaqrfboQUyuEi4imKrTZ8kXqSjfsrahRy9psft2EAmzb9C9HDdCOdJTcedEzj0A6K6YGKVM8R KgU9T0XqLc8D8pmKWPyxvdVhvtfS1o+LNpPnhGtD4sbKMhEs8nR3//9x1h3anwSxpOBux36Ku5sH 81ZX1/jz41iSDwNOswqvKuEw0IShVNBkd103K0V6ZmtMmvKPnHQPSgz2bQCBdYVhTB2xJfuHe2G2 +6kaCBN0aSEneRhYfMcEOAiMJmpwOjslR5OXeqA9FNelMbjIB8OeAfmQ15/HgJ9af40X93O77dKp JLIeV4r2TXdpETHk3554cKwtZed/G9jLdcUVaA08ZWnPYA87m2cwUncI6OREr0WdVyXsmVLd9Xtj JtbbCBGLDkaib9TvHLDcrdGZBI5Z/25DopNE3eFrFCBpyYcdHpppBK7q2MWZSJTONYxSmXEC3uDM NyIuYWunFFg0CPNCV8awN4IPiJQvBfgQPtF+tRXEAoKfkv7Hu4HlyPrmeY2/S/C5XPXXrGp/aZk6 vQZ9w4VsK3G6JjJzH/jQiy7+eICzB76w0CZcXst0v/yDjp8ext60FVwW1DqDm/ghb3ZV7lAd33pI 9YW2TCmNXUwLsOVQ1cGkX5LeBZymthtGi4zWJN1JNdA+2EDQgZTeP2g7/h1Cnr7n3/he2isC42x+ hpNhctygT/Tl244a0TgACMy5bU4dxFT4b/UUJ4u9Tlb75+4H91i120d8AqQWT9Duo4iFrsm85LNI jo2En9FfTMgHqzEgNilCufs+yHk9XJiN/gLemjqdps5oaEB4FKczLBwn9oydcg4pk+lxMpzoqdUT BHzn4/P/3oZUhRrPoKCaXbNCIVInDXXpaF8VWH2ijZ/Fg2Eq2m1EDWuxMaKryQE/4PjigiLTlVr2 xlCgRWdIxM6MFa+3X/ZAuAA9Q9aqa8elZ3RcwqYdsuVTTFRzBXEJ1qHeR/yGKR06m+Ot/y5V3JTZ vKttVAO/IeJbylClEOrC0H6evQCx1wPpyw8gk+MhxkdE2C0SfLva0IxjFSbBN1ayeRUQHIbFyUCy 1Ydgj2taD/SlgL7SvlOohbtKYKu5DrfJIfkDXfiaiza9SgyL+KrfdG8nJL2iZWiZts9rBavIZvdc xFwMy9xvBvZb9cAEkYyBxvendyOrseoFRmj2EwzL4crYcexmnyP2KS+8KpSDVDTq8HhbVzyoqlD5 Hy9GrFfsuIkuTtKwsbAZVj6Y3T5CrAvcRQOw/9tPYHkfAgJMse8Mm4gKkOM2WOqBOlPJlsJUdWlN QXobyjl8l4YUZzlIUULyW5S8tgScfmkolIQ2kzjlW6ZJk33CVWzmU8nWWbPvUK3CBOL8K035QcdM W9wmXq4YdJMDhAqWu8k9Y+4FsLASq7fhUpiKXXMzUdUeKfQMKjI+Pv9OpO0ysGoq+oWbd9/vUL+E iTuDCdi8t68EJFR5yuUhyRjfrMlklc2bcSROfAcE5wSt4pvN9rvxuBaIGCqzCKSDiVZdjZAKB06J TrmF9XKh7G79IpUmA0LIZmX3u+Fxw7y8RF1cu9N1ptxUuSKxHb8BExeID5XzRVL5nKWFDmUiwYVH BY4lfsPdUJ4UZGNARlIsTR4K7MmmlciRNYiuHhO3aMHk44u/aGFHxSYlB0q1FrfTANE7/mtpWMza 3jev9LUGD+Gr/Rso+CBtB6TP2h/+REoG0fXfSoWTj0MkhngexWYYvXMh7lQQ5WlFTzOBl4yJy2p0 zm5kXyCMc1tL/0NkzfGzslAcJ1GaFotS2xJOG3N+2SPIlGxKOkQhXW/UvhzjrOZ4WXGeWgbwvWr8 K9YT4XNYjiYYh99VRPWR81aweDVHISSLZKLwJHfnSDWURybx4HZ8QQbmBNOfntFtWSOvn7AmAYhF OxnfLIHmHFOd03SO+zMzC583Mo96+WF+xRVZLE40DudaOa3QgbMkFUoT4ggXdbZqZVzQnL6kXN21 7yu7QOrAe1BuV08TC8fInPMoTQKWc6bABh/FEMxBH7b/CX4y0k7JcOegu1vlnY+VA8FypOrBdwH6 uf3Z2isZLqbSsucdZ6NNyLobxsTNo0IYll1TVyyNi3cOfDt8tmh0d7krPPAZlJhaXKE3lOnwxAZt gmCjL3Pn82rS0/bvyZMRHCbwfEiAIA6lPKZcO0nGA404PmGGFLgUknYW5vW+ElMypHIGZkIh3W50 oli1eRleAjswYFiIa73Biq/5ZJzEdhD0J5qSmkNWbRtLCaUnjTB6P/nmVr+fT2il2wfKk/1unkp7 y5F/QTi/Cu64ObgoBQ8mNNpLW6FcuOencupQPBeiuh3EerTTljb82jUjeZ7zlk2QY6XfuwAmb66j 1xFjnv3fj0WJHfob83lSIyMbgSv7Kdp1ufX8oV7MLlQj3z06yKQ5eOXxmzus53bI2XSd1Wpnbe8H 5a+Q9X8SucAmGQB6TuCA+ieyoDzk5nfN3s+uCNMDuZ0KEAKayHCxvp0ZvJLEXgm20Y6YnqusHKAx j1o7O7jvcFazyIvzhiMX/jRk4vMB83rI5jCcsr6O9EkYSJ83NM4Mr8q0ZNiyjpc+Ni3QDodoiE/V cEHvDRr6T8ZZOGjsMjcSt5Jb0B8NsEph0ZBeCA8ZlChJEmS4qPogLBExjC6gQk2EIwGaZeYtA+h+ OJTdbyuuvR7vdtxvXpyZaKP1piXB4Dzw2E9aCjCDR8FDhSwHWAgURC5vmv27rGNM/PwHQsVUx76I Eie8xSJd1aY5KnqAzEypRRcfi/ENOCsRehYhHo46hw1d4IVVg921GxsYrNQiANQheLZpij26cDha GhmyXQf8zKPLinvDsdc2Uu4SymeXUVllJXbSGO9RkplSI6HUoRAOurzhj3IM4pNowHBgU8BXYSjM ENXJbUzRPWR0kfYUos15MYKQHDHLPqccsSSU/waDwJnRkY1eu0Ps6dh/7+wN49YwYJYRsJdoVPu+ i8JfeXLm9zSdJtiJogMPCiQQ070x2lXYxeKFnY9YDwD66MFodv1EGGVQc5wAQq6Iu2vD8FmyFXWa /TR+o6M5FskQlcoC4O0lkCY1b9SlznAC5OC55AE7BKPAa2RVQBVkaBjIqmZwxPw+EX0EME2KKAq7 7vBbxPlBLwdey/WFmpjdZAdCry8jEYe//s6sAAT5XVcySrcUB5BPhA8eZHYD6y6fE1m09qwxvhCy OIVF3fVS5bCdHVStQPcdZJyaaNkx1mkhkuI45T0w8tazVqDX7V/R8sLTk0Hom3fo50K5+WTx6Mak XqixAc2sG8y/uDjtQZ5HtnHVnurOq65tqpDTGlI7s4JHNJ+7gthJeq2vjBGS1FhF/fi/SfZApHUF me0k0pmE64bzCGNFMckTsfeo+yANY/1ysfHOTcbRTftVe8n4+DW6tKfVsr0YAal3vEaUaGKhM0nm Sh7p4OUGbFZft+jKFdoxhxXIY3doGWEsrxqjkamYmbwlL30GRX5iKy4vS0mJYBkrE30AkfcJBP2R QkNmLYHSj2bSQquHDXaJZ6oNyTMX1wiTsbdnG5FaGOC74tFZ9dfWwjU7Yz/tKGW2WT1SgG1DvmQo de39CaiO0OnkK0C5TlEVRVhdCVBq/WWe4GBtEj1lYgBi4eHKIRdimaGsXdY2ExpM2E0o4Za2nQzS fX27T+sX2KgVxKgYGIONQm9+SEDvRLbIpckPB4T7HiLb5pgATPLcf514tICLfxcr+ljoWV7ooAFu 2vKMUAosCTJrmtitlnUgPCo3jX7YpzeCB/uVIgIGNLZbw9EvoeqkS4CMfwbyPE4D5+ioh2fq/UBa 65S63YDxcV4sZW0zV9CyE9CIhFPpeMXWLfy60EWnmLqCGAEhSwBThdPaJ4vZpioWp4qWfUzUgjHb htUa1LGt55mCX2gP8TK7jXQRh6izE3GlE/s5iP6dHwT9gmNWc+HVRQC6c2dHL+LKB/N9cj9AJtFF Jts+rDnyVnJyX9ntPJTXd1DDgfeqeT6YXkiQkpaTC6A9mtVjYvKKwz9CZWE8t3MGFJlHisuBP4dZ 3/RoP7g/QBlL2V3PrMLC9yWJhP3WqNNCCNwolHu9Vqdoft1uHv/6AUTb2h28hytGwYdzs4TmpyXg PN3bQvEcRenicVUmLQVIduTBNXjrr9/bCmzepMS15J7mC5REbRLEI9KBl/mDGARUWVUdTNnftppY BkqrXaGQhtSPb8l+9t2hKJoKAL3Od9l4yX7IABqTICPJg9sg+p29hPa9/uquHjwHYtwXJLfAzUrj F27/4NQfI2Msq8NeOvRTNqU3Xsbl1dpYgzCcA11OQAOUEpmGV/iN16LW8dOctD2zd6h6b/h/ACOb U6wXhu3GqmFAmIujwIZ++jM36mAzV3R0tNfP7Us7Zn/tG6gZxXyh1OHpK0JVlKaUpKh3+XBbucl1 Ms8lt2eOaEIcFacn8cHN9u4gTECtTHx7+JKICnN9e2rOyl7EDp+6o6F2k87kZA+CgvN+osMgwUlw QPjL9xzpFNazBfrC+pLhIxQyvQVUExMhWnlHrcAtjJ4hdY+PxRlXQ+un26JNK3IHBgRbew1gg64y EY68elc0Vq+vWeChtunXfBQA6ERkyVTEYf6MvwUnM74A6mWDEHEJ8VvQvRgmP6AGnVaCu8L6po7J U43h6ef3P69rqQI9aIt/KQjBA6v/j6H5T6i2Fx7Rhgz5OlmIO9wyBzsUEQLYwONg1JtQrbQWsj38 JMTxIwIsoD+PdaaCr4QafW7WZ7+3uqK1qM8EBGSS2qfIYg6ig47xtDc/BCEdCFplaaBnrhwcihkv czJeJqcaWECpVKaPPXmKWzwq4b3xJyorfjDLdikw11YonQgfPvx2OEVyQMswPZcLg1Eg7xJBuJz3 p6DGlxMf4qeEy6U0REoELzQZbAVfUB6rvMoOfO8LawztAaeot8skOMrvwoHL6YI6QhJInDy/koPm Nz56uWa/A3o5n7++fPRYC8jk7b07plPWQvz1Xiq7FgX+F6J1p+P81h/WV9L8atss3fy4yVnUhBVV CZW1JzdCC0d1hLA63zYo9g+DZhU+OAEMxjrs7zew36VvGn9buTFp7CfiQLsg/LYXFOKfmGgWRF7I ePKaGD0xoiZKA1+EC8ORGmQC68IZYToPlaQGAavx6Fqh7cBjOHU1w2n3+0+hTd/WWVf6Ig6yT/K3 WdLRqNx72zSHgpRxRqusKB2AiGatCONXFeJt9B1HFhHL28oeO5to7/jt2CtmlxtnwHSC5bjCwD6X VcJMjpZN+Uth6RgnTQr++y8I3Nn2rtdO0xH38UvON27D6ADlT1kVoTUseU+G8v4pqbuJJfN5UoZx efXV0m4euZgk4nhzDGvFFoyiLtN6V8kotGQMRc0JEHgOH/gYevjovb9BNOotEuK8W2+8i5dxSuVL wRC8avUtJPe0xS0oQfPYSvTRoCZNtCEODOlswSkA/yApNtyadS2cTCHW08jjGdB7xvtwwR5JFTC2 +DYS7ozYI3wt2QQ21CgeFzBZ4yGtDNRwssbyXWQkHhwRG07FkicEwueOhNBH5suOoaE15XY9g+Y+ X8Bt+ZXQhC1DM1ec8A9uAruDXw0Mr7KGyrgxu2N/Zx4qUrpBtoz5NSBkJzdNhaAYwWMNH8H+Pf2g w6uydlFW7892OJTUsqcBPeDXaQPlaw0mDRnedSI23cfb21V2Bnm0tj958jXkA1oEWFnfmdTu27RJ p0vbUJIufqMmnqzCKfNWtzeRGY5sIYcP+9litKjzsRRSpAHg5xDHajuHnYa+fjq6/PizNnwe0djz ukYP9AAnD0cL7MUFbtSvaTOVQr7i47hyvlOI8+KAdR9GnzQRwxGazVmVkC5Elf0jHhh3usmPvkPS CaNnV4Px0hnDkfYgJKj524u/Ka9At9zIgw9WB7ldjV1BEe40xDD0gEf/CMgf+OWkhcrfeZZ/FDAv 1z/dKl3V5VPtl2E10JKr/5HB6hB23kRimIwB7nYvNQfNQyvFWuerQIc2CijyHgdN6iLZkdVFDLlM FZ4G6rswtlWM37Qx9IkVNOGy5OptN7OA4sjB8AYnT2DRrdcmZjgojBtwsAAwxWxRGIcrxNndZOu0 pHVJ4v4SsgbDptq1eeXaSkHmlg5xYIPTAz1YQlaaradiFb9vDOKLV054Byt5QKPbOSmeV3bIR+Mz zCHX5LHgq+4Vo3tgwZkDA/zn+GPwScWn7jY/2G639+5ovdX0a/T2nyvRcG3/whljrcjAm/SJJ3ZL UFWgSwwwRrcrKWcBGapnWoeHTNjqUwe+n+8ebw7wxBtbUFysDXrK8OYU5mRbbMpW60Qioap0KVPT RAD6uZIhRxHv3iWGnp9LBYuc2E2ApMk2+1Vh8KZMSixv4la9bqu/FHgbDuYdMLUMbD/wX7+ZEFjC W+F/3H+HZ5tQmDu6CLxJ6a5EWJbsJphOikYCXaPeKhqq5rtMsw0Wwa24xfIG3jjKJmrTYd4OaT+5 FkI/MOSihSClrjv10ShdfZ9rtY8fckibXv1uj+6HizhwCcY6wfgZC+3efrl3vVjvBuxO5BszyXl5 JOAlO79VZHfuXo+iNzYAcpkIZ+tswVHu2+SGF2QwwloeTr3bgeBv5CshDYTxikR97jgHRa0wwC57 tZxsPIdDX8Z40IhFjwk7Nu/8Ut5lKCbr5Qnl+M49wZy/bLvIQFp3mt5LQsHNIH9+YpUTOJ4to9N6 ylCvxUbyJjAy5NOdropoTAsFgTvxZ+sE7aOTHX1pushejA2nVHkqXGbbfo12Pa8XTUkecyIaudru pBmy9OP0pxx0uJcOrgVvsJQUlGlvm2M3UDpzc9FcXFJ4LMVRafJXKX/jurIl6VZN0SUwBptZrw55 5YKTmfowlLYDu+saLy5Nu2j1QljpyxL846zzYsBzI8qCe1GS1plOmuSYXVGVHj/gzs71rEwMJLUa WJWPWKAGM5V85BdTEnVF2xRdhmAYxRoD/dG+5Y1HO3Lm+9Mfc58uQvaxUjKQaGSG+XDiOGq4ixnq F7HbbduGm49moossVXutDtdq+3niz5HNfaega9FGIVZG6VwpLRg+1fyNP6b6R0AMkw3nKkFHVNVi zOcVf8BNyzDSCRxDVhfo6v2j/NJkGl8pWLCB6G/FgQKOfmPphA9qCyt7F2Q5ewv4wobj5zZSRceA HMp6crt0zPkBGdEmXN4doug7wOv0IJ/AwByeMvE9jc6KlvSgBPV2y4sC+wPMz7pw2yxl7+MOQeeY SyOCNspT37GHhsgqgQce3BNA6KV+DEXtAhIuBMp0zDwYHQDaO8P+RljzUFRhrOA4ai8nkiuh0U4+ 2wRyamtZfSbAhPlu+6H7RYVKTQlJUTUrJ4lKUOTC5+BydLTCdJwUqSUYLnZHvxjGAXM5aiWLWTXr MdPTslph9E+4AsV4aaIzgMDvybOVJ7VD78q4hQ+pO2InMZGxN7vqQKkM0plZ+08eQDuUjNqoXCo0 lPTkZnR5qxjzAgHZCjhWDPR+gpW3Ehxv/0oIdgFrCQH4fSNjPRTvbUclB8hus0v5Z9K1Nhwbym5D E+2ntzgAP5aBkrz8lXEH+2sngHnVMjYTwWptp66snNbhKKaw9HcZiqd1LoIorrgmEaFnHwav7ecC 51vweb3l+sheuV1b2EJwd5W+FyYHU5ImecCKBCZlyPPwwFzmibGiBlZUk5X5l9JqMa5CMkDnroi2 qJT457nzrHqf5SXUwf2Ia+7PQO/oKYepxF1Lc0bpG6P/VJhGZIZwwtsQl4SMYjyRA0vfzeBtsrO+ /SsXxbxGBiI/prlCSVLAPv39LyaZdsrkkeOBGRlWIzJiMG2lBIvNJ1EELyEWxLV5SVoBMG1UL3gl Km8u5tjEVkp+EOgsTBbTEi8hjUDg/Ng7fd/lmGWU0sToholw1CHVuHlhQxf3x5ZXrhrrFTpIgOA+ Ia7hk/jCC993v3LJlRWrukFcWjYeWOW4g1xh7GPurNy2gb55rdrM94D+9I7CAcdgl6PmalQF8AIj 4CIt3d71sKX2vN58I2Af4R+Z41qtdj5IcI+fjP2jF7onz8FOeIhC6d9ESQTBrAMIpaGOHPe3XjIh sGtKuOuHabrIKmjSw7N2+jOrrNikQ45h7doIlXOfjP8FfJVRM3P3iILCcpR4bmKhIV/3A6lZPqVD hrLmjkTrNk+aHi0xKTQMd0iCwMBqxBRzYxs2HPfVCOFILeub/r06Ic52jiuBOl8cGMOIcjD1bhkW 6SQXrlRDm/Q7AtFctYm13pS97kR05v+EBeaDPBi5EcyNTZfRAyafnHVn4mfKDixLPHZHO7dvLVKP LIndrnjPR2NbRHRES3hUV8BAri6/UkYTbx2O99FnKe1L/f0o9WfOCdcQRPyrHe7lHjq39jZM5u61 Fq5IXw15aI8sj/lUraaTxEhYGMX9H6QAbCDEWqedidK4WvuXz5Q1nojYSZ3YDBVMLKQuphIpkUZ6 iiCHSHfVpLAf07mtZWD+Q/teSQEn/I7bew1e2+SFDBHDtC9r/HJTJtf3I8EYcg0LNsJJjra1hl0/ 7oVvhZWXhQJiJ89j+JWCPIjyGCDlED7H7HqF/DZKcJkziK7VOv91YuWjT94oD9EwCNx3BSP2yGJ/ n0f0dnqj1qxbWZRu20/LaLvxilsLT+S0bHq6Y6QS4qlHguU+iWRHFsT4xXDCjkFNihhftW2soEHE fzPIKxGsz25EK/c3HkCidM9Oz/rexahllf88BSC+uVclozpjWjDNYJZ5RYpeOuo81ecIKT3CvHNx HYIoz1z3H2YMfVIl46ZpTqxgx8MW4OSLFc6on83/4APxWmtrCW67YxMk39z8K8OrKvbzqR0LSG73 hAGxjzylUuOmRo8GZcZClLo/24/zOqbAN7PFGtfUgvJLGlkURT7iQCzMpsGT1pARV5n2/v/qFuUK 9i7qsfSQRs3x/0vy57TY4Of0JWIrV8bQlXlWsdgJckW/bIeWdl5fwBwzSWYe1+82ug6C07F3w7Wh sWBKgrLI2FJHxjx38OsUjDu9ywZUXZoXULJmMRCmYc79feJHT9EWAO84AnBrBS37Inhpnjt9XOvd I2HqhFkdKhGwUiWN4XT7L0gGq3jFX9FupLdgySlGq59en332oi/KKRCwkIeFUd5EF9wBXIqgTvOJ TWcEseAJHdKwUzmCy8JNgu3ZIK/fNOxQ0wOQXEsSPGQslnPYBNI4yhNyg6N18pDwzjemx8FBo4LX I1ccG7KVKMZtiGl2i3+Pf12ozU3WhQub70h7n1MN77EdjdZoz6kpJAVWvvP/iRV9EKJ2mBLXtVpR OKrt3koS1t2I9B2nqT9O4A1y/l/XqNjOf5jDlQxbiRZune/tTzSFjxhEFXO4zPz1JGrdslx/++f0 3lSLu5emSTfHN+altvTq8Tct9RYcOU976zaB8OIIv+/AMAoTgtTTEZUuXcZY9KRikeZz+cXAQIRK Vz5ibx3IyUlzKvsF3wtVzstbczFrFMIXDILezMY84+q1C3FP9qq+ZW2dmiBybqhcL9Ippt7giA3e 4rsVKmc0e7W7lhbf9/uAkgbR7q9WLD415y1XaXGtsjkXk4pICk+MMFKKjhJ5N2WYywsCT5wiKU5m cuJi5oipVhvh+B093i8GoJoKe1EyVVi3C//8xIKoCSVUQsgUOBhfmIn70+Hh3wtTmOgW6nL/56Vn TPwn8DNRwdWU06kGjBgAJHD4qhKUfIKSVj+MLM7bfL2ZC8ijtc3tIc0h5KJCF8WBLgk2BOTz6ICr 2HmxvJ3+x/XNbPIbs4bg1zrVxtJmfR5zPpCQnQwVOdFOdV0GBloE1VYnzyIe5PRC10wcenqq99FV nUzQbmKS4de4v7q4UNcGa+ctSYiMiIgTt4E16KxRMho8MFoq+YI6djOo+Npjxbs8s+/ST+CTGt7E IxJUnTQDOmsDMM2uu8K+KAF0jIo+2uA/lcFQv3ezdR9dcQA5Abpl6KUx1FhGkqEJOSAgWl2RAYal t52tnHLur+Wj7zgybzU1R/6LVW7GNU/wsvQQr4FAhrpgrHcnOk58EB34VLCyUVsY3SDIkrTyIGh/ S2NnPDQvHEmkFfeBmWk2rWOnVrXLcWfHASFfQdfhv/U/6/MQahdBIUHp6DNKVgYEo56MxYvavXEU PaC5l7KwW8r3aa95UCMGia38H3mMjcqUjYWNdKDbMyFSv57m3IOuwDVILiGjfduZ0BBxNOZGF0gy cwFrc90ndHM0HHvbE0Pgl9gyErsD7eq/nDeVajS+9u1CK0Mc6k9Es+ykRxD36BWpfQfGMuttn9UF 8/rR3NGbAL/Vw/bV8fo62vSC7It2T4qAZ76t+4/TqoEeC+7KktNTFX89x8A6jL6OkPNkaW+QyicJ RMX70byK0O5aBCj0iiLv17wIiVUGoNy2RggtKXM2Z8WMOIhy3fCUhXtmwrT5fl373YgawRX7bJt/ A3blgyhyH+nTcRQ+7+mZt/XYbnnKDaFWQTV6wHane7EJq83Z4huJX0X99SCPADQzHjesUw9ON5Uf YNDOYBv8l5/2hJ/d/KnC9erNxCpMALxu4h5P1BKJymApbucudjssrEsFkYVSIPsGe1R/EsTD1RVa NQA2h5Jyckr19sUlr3j6nJwh1S0X6KyVQT5HEWtx1LHz8FDTfzmimpbMADykRxbcof8PAB/OY8LC 7AYje9ix+IKQ+27VW6vHxRdlpvP4vACHNoJVV/dmQMxPnK1awTuomVZIfU97KWJ2UnmTXYz61oSw sNl9+t0G+dl5qJ+bBmPvndDtHcIldv9cvZsdd6XmYQDRPCQl/H5tM+DJ8WK/mylo8oKZ7dGV3FrS Xf6nYsaxUY74IqnBvH6PS468U2PkO887ROj+6mMSHJigSn4BnqtBgYwqAsfa0iab9jd8bG5qw99c vOLUzZLzWQ0Ckc22vvapGOHlZUHG0tXZmyN3qEQifaXpZM0MHp/VLibFg97sorSiwPRvW/Xr+a3R zEo7krjpAfk/bvJbZRLxS1oBakd/aQxdG8mlI/K7vV/VNOVpwJl2/aAq2v5Zn2B9PuVrLkkRg2xd 2wBhOHxi7PBaBFR/+6jFjTvFwAtxdPS2lWK80Z4OUAc5mRCkPPIuj4PbnfRp6THaqyle4sJKUEXU XBimkBraQzdoA3I5SuZfwywy19nmc+8TTT8DfvodyGoy0DCb/L4GxYd9jZalTxVr1nUSqnwKXZ6P 1cClWVTkPcIN/d9lL0aiQAplkNce4vIKUFh+eVR7+39zaguRuzMcHkhgM2a7ieahfNvNoKH8zyJw Pwu1p369WqAF4DJ0omtwQEmflOvG4UXkT5sruKrKUxY+qJEc6lkQSxm6BCV2Vv2De+wj+/gWZcMt EuhSr6SD2IZ2pwXXDzSPkqSkyF6Xu01JG7+46JiZIbsgMI4muTf89EsOOyNtOb0j/6AcH2Vfid4n asauLY7xWL/1Z+mNvSWZZJ0gQ/OAVqbfSXz695NofY+cVibN74V1SEHPGbbJT/Z4ZhKahhlQZFTW sYHBk1npFbzNZZnQtmZek+P4YpMYVQ7Hip/I7cfOpgzz9uCzcQRcnFo7qFngpGVxMv/shYDYCzKy dXZ1x3Zot5RGf4AwMl2aOPBNhIbpein78hqqkhSeNdeYGapRy58xtdp6N9ZQk0Gj4vpVg0F64eTl viMaygSdbiZPyqE97kRFsqMifTs6guJhq28TB7ptKKKMQuNyuX2bS/uuCr+zglzo5RvNiH8ZcPfM 3hvjLIeEWtOd+s+x2FXRhv+WhzSvcfrbbR3qDHwsW7rKkcEca99NDH/vfTf4oEWKz9O7tuyIm0qx rw438l6xTjTrqVcJNEJk1jmk4zYc/G+t0BonS8D/8ORClBUQY+127YOvgskwc0oqhTUAn0RaW4A/ oW38Ojjz/5Rl5DybuFRGMvzOkdeO+wklkCliDQOet3mqqh0LAZwkljOXXVPWYWBn1+ru3L70VPSv DHUeIgzKyQfW6CD6hB9golwIq7SO2asH6quO3uzAMnSUZy0FQVk+HYD1fWFunH3XRV99aTXCTu/F zfF0bj0bljTqbuXbecj8pxsC96HLo7i31RrKQx745O+TOXycSyu7Xi/2/+Uwa7IoDNOwb6T03NvP O1hO8aXAep6e+UsNu3RHystzneU9c+jhK0UZGdW9g8pI/lHgq0UyKgCiDAcmnor0xDutnNHs5Rlg 4JaPf/Oy7ZB55gfw4o22OBd9yT1qwBulPznjS0dylrKbi1t/5RwjF7CdFaywLp1DVlt4TlEZBNdQ uNVCzqtvFQWy0cU7qu13vA6w5tI9OCvWtmenFE2f2kgxvRaG51wSkZH9d8MlGgo3wjDglPJR1rev HQd43zAAUoNJonPAZ5ciwQEkw889M+ufqY8gDTUedQuTREqPP1T+0tkAV4oyI9gEF7r5gqV5kkQV Rhv3gs1HlohfY50H5jNvRmNV8so8Fb9BtY2joHAnM7vakd7DUqNfIcaOLhCGGx4ZdJ18R92N54Ov PE3OsYxQjN6CAIWhJlGyZJMc/rbPpWLtbhFtpRUTl0uivo+kpISXhbPNXhRoa6oiVHsSupZuRNcv j45Ed3vBvypuUTrMwqpy5KFHGk5T0d1FqAG6DMpZHB2mOJyrVDUwcgjw2PRAo+LL5nbFBL/RDRpq 8aCa2rAtd6nTUPwqrJEVkFeumUOPE7BVSTzuKcL9YcM445MKGlLlpkARMgzHh5hgvjUDnbDetQPP lsAEi3DKk0L/3DAQ0iK8LDxYOvIxAzXtj6J0vTIjCHPGrTDQzSPkvEAEjF4HnEGiZM6WLnnmkM/O 4NpJZDTcG9VgwYsn99eh6Kc8Mlr8s3J444U5dxN+jdpwV3COJfAB6ClmYAIFyyLeHwycVmLC4uNZ H/APncnEVXN/i1zbyTTcoJV6dIgWjrCcYagXLfm9SLAwMJhvjg+HDDFK5FErsEZhKZ3LaBQ4sddd eBKjuf+rSP8gXQmrXV7gFm385nn+uNldsBM6PhgMjZgCtqh3ugrEvmW8hdwptWrU577rvLuSUTCF Hnvdf+vgPQlOI43GQ9sKgoUVlcoq7bn8zi8wKNlFKa0la8fwAP1k7qHHc1ffONjzrI5qXkjoOTf1 DWsjfwEKuQ9a5ols9DqDpuU2X/w7VKd/eb5yPtNDDLV7yz64WhBoPRa+ESAGo+7nvuTISztg3vc0 kF1N897DVCMM9i9LrvDofXFRQquRe4lT2QV2Ck1I/ThkfThmXLwsR8n3/EjH4V79+v5o0pbbk6EO UQfHKuUPsfYvpDIpYhPA7Kq3CGIdIs0PkCIWnghviUsBnvgHfXAtiGztQNC5JKFAs8QEkHRwvgBd liwg+kwEQO1JWbd3xUOUXGTWXr8dY4bo2/W6+43u6RcBT8VlSimrq771G7OvslqwsUdLiuNqSlXV y5FJdHVsQJvYUhlpSoHwb8ZL+IUORHOLwP+Kr3jhg+d2iOLVVyJkhINMip2Afo3jrURZkUaremU+ Im8o3hgBWhkZ2R8oGx5V9VVJlCsGMQDaRY3ylrwsbFiQTgMQw0CY+EgYRrUPjGMtNoReV50XsCvK t98DE2rf8Q07O2u6eti6IwQzX7WT1aY0QYU2AIwziEfKcBgGJ6WeCmebQQnqFOsQJOCmvsrMiLCM pEFWB5J1OWHyxdZx0XnnynA3mWK7kaZxYonwApZ4ZZdniul0boJ8FGawV1PjvTiiszWzK854z+pX 4mJzOxBusaOY55TKRriEEGv54IJgx0jmd1mA3jvgsk2Tqyvvdpy82+bOzLgWCWMHpUeaVr5KUEAP 1RHbA6Fjbej0SOaD/ghFYZJhHEJ0yODgY8yUU64/aXtRxYzoUeddIzuoAyCdi7sEESMu3LEKzsRy yrIlAlxuecPBvIZgB/+WtToxAph+x4cgOZMlKvkjzvLxvr3NxDRrjM+0CYPBpDMrgSDOOCiGkIl1 zArL4Wp5vXcioZjH00AbzMeRKl97bA05dwxafLHEJ6nicDmdodduIfJRmMNgZ7GJpK+tCEIJBd1X u7JQlMwDOEvxrRD9tIx2QClcgwpJnXUcTlZ4DvWJZ/XLTPwaAq/JDP3Z/2Ria46+X9bizkdly7ao fE9YulN6pcr+ZOZg6LsUGIHRqSVvJHBbrT30lE9SZm7HzwAQ/ZvRVLX8Wz2SoP8TzhfQkZg7dwnQ 7xPMG1w+x2gkBVLqSnVmLQa0Mp/IdI1SZ6R5qO8fHMc46FcuVmAqH0Y7xJI3qXKKGTANwVW/miK8 Kj33J/QuLYud6aCNTSL1QRU3JV5TvgKC7B+HIsgkCAUAAQhSiYWGEY2nINWFS1m/3HTeBxMsVrf4 V8B3HZ83WMarEjL15OXE+t2w2MGOQrkVJmRH8RLNMVJjRqDEmR80yXnx/7DV1NZmhzZLXm4CW5AJ 4J8BGDwXuKhuvyNJqNd3uSAIIaU6j2a7FN0+W5RezTeUXdEWhf7Ks6iUqnVGIXO2N7VpyTIAcqMs bMUu4ZxGXv79qrX/rgzoFz7xkMP5VXE5OwXo4V0MYZnnlnbN9oL7AtxWvib3AP4ByHX977yfb4lS a/R+cz3BY0kR4MmPIOPPebj0q+zODJ+1A4OirAhtReLL4DKngVkiWvLSPl4XYLcySH0VA4jvcNrB iiKV4qI5wCvu+O9tM/nhJNqyiRfU8bPBaUlLYI76HeNXCrP4zma7qH7nBn2mqh2lfrBLYtD1xapy LyfJQUJcDrb/61z5gwsdcUVIfi9br3IjNDu7Qn0QAXoYExBgskBnSdCUYDftcXAb9L+O1J0aQBXm dVBWnrfEz5tTVoCWNFB7A+k/k7B7yddpNIB1Eqq10rID8fr87o8kQcoUi+5uezKsAQ5LciWdZ5jh UPwsdmPilnyn9k0ROxV4aTUPq/PLKNBr5Gzqbqg/zadGT6N/1K5oDsANyaH0YPxY5jAKbXrzCmmf xumUwWHTsKEquGW0mMpGkj+i9CQC1JPp2XM45dTqwyw5V9TzOiUJ7e5xr6yTKgifA3CMEDy1jWx+ 3iI/b/wAQipnqkbrUp2H/P3ibA3Vl5K09Tgil3G38FAKLgOvVeSbS7KCAdxaHg94MRzqFgjCiEz/ jv4wMjVUoN8FxEVzsenb0AjUH8ENu4ANSVHgf8swNk3Y8+049Qp2dDuV1QuP4q3P89CrGwSlH6Fr bAnQbe/c1lv54j+hfkGy2SAgu6sZgJ4G+ZJ3aQvdT+XZUdH9RvCVRlWfFzeYvIu6GHqTzce4N7D1 Vs63jf3M0Z5SHFfwxAZOqdWIf9dmQgmc+YPCgll8uczrQSZw6u3W3e3WgbjCu1UUbWYFQuKYlzhy 8F2VadrqdrfZMZGvmuJUkmRc2iHPdMaXg6Xqy587jTp7moI3E9jEg3XmGmuUc81cBf+es3omOB9D EAGWX0AH6TnLBZMihZ3ifKz+IAB9+0jXtyF3i1pUxuWp/kyff7QSWPDm3ALvJgFKvfnToaFwft+t o+MgGb6rdjJUNnhaF6ZkSSoI/z11k11uxBQKhi3ByIgslaz7OI2kshTQZuX+bA2zeoiCOrvJNYQM ZWsB4GeG+7gNfG5V/PYrXgS0EJjbnLxWR+yjCqVoumvSu+WrSHdkRSgXx8+iN/fka/t4LCCxtNl5 jQFbdjZUGMKXZbk6trZdxlRSdv9pq05cBNyPQIwlD9UWKCh3Au0Apyjf1UfKuNoD8LrlW/u0rhQm 44RfdKgb/YopMxdeGZfQslnbM23m2PzOpFRWPgr8JPAfrZebpWunrQduvz9d/WXWneXufOR5y6Gj Mnjy5XYhQFI59o+lE5A5lap/x3LZeTqLJ7ZMD2bThXURXufHhLXZPrpKJbCpAg5DUcb5CUHVvN8/ GYrhmvi9w5mzYAKUNRv19CnUrYVKVo+p6ebEuEl/7U6kvueF5+unAEJdlZYHVGIJYmzqM/Bycmep Wk1T5QMf6sJj8FTXMl2rBeCBbMEes5kEbTEjBPsVjYrSj7ZWOVLqeR8Vp4gIAxSndEeG2BPdnSAq B4tE7SJ27fCbC9ED+Sgklvt2QzhtBWCfFZ1Z2L8KlMmnE5LgM+i6xlIbG7OHQ+a/zsaq95CsoOCV /EBiADMF7F7Qp1HyPAR0Nk/ZhOMcR5jPOa+5ZoUrHyPUqi4ASgYhwj44QBiH4QY8FfAHfVdyHUcQ GJFjwR87EEug2ET7YbwRIjOz8H+D+wCt4+7p0NMVu8DEK+SvoXi5rCqCcVF4chjJN+IcxKO/RLn1 uWIfnU5+ADOcEdlqw2zlkgLi2bjD95BYIV8EtZU8UGlbtPrccmfU59N+jr5Qw6/sxAsC9WatXIRu s+2WhaTmcewEat5dfFxW22W63Ht9CFsNOOYUnTXTpPbJxV401KYBAyg2XLbUobs7f44fK1vX18Lp WeT0MQVcelUpHh8wYUWIC+T3e76a6fcbxU4In0dvEWOlDAftQWEUKbZ4aBlQy0gL0hF5NLH573jS JC/l3l01aZsJ0HjvotzVi+SeKjZMbQB3HRQArkf9k4VcqihYI38AVOfIaUHfA+A2EHTeNe1zKkKZ oYaaZiI3DJScrdkWkAXFKaVzFahIwtNWan0y4a8O+SLIGVELqJ+QIcD4HlLy9dWtdZhh9bCpkX3r EuBlFinFeu63ikoEaneJp5QLJS+E6hTC8+Cm5Y9Wds1YegQL9o9ajRlSL7+YiTvDWZYRkDEPqNUK beksgfboc9bffeaW12I6JcsX0TRr1y4M2umMSdwEq62jBNw2Bl6j/bz2PQz2bSj1+9M+DiwUzq32 lqSyhzFcSAYCkxSShu/Fc3gNh9dkmF2+gRRZ/iXEPxtCbowOBSwMZqB8ZiMK0rELUszkV76rTxd3 Lcgfx0zMJ4qa62v0Jv2oQdP73cAD6+BAtaEAk7M1t5GV9mq6rAoEnb7bbGTWd042khtsP/G7MR5w kgTZs4U1Wf9U3iCl1mGKQZIBH+401UTK91JzlNjB+QcMXZXDevB+hAGmYfyIiceiqJwjxbnAxYMP 8EOGTMmDSYti5gx0xCLi+3YmJpzHLskdBtRzi2p/H0oBxjSBqGOOlCojezyUSPDOGjb+hxPahhDP J0UiiEfX7TiDy9/D/tfw1oyit4Ie6EVesdRnmpspEx39OohY1BpK06LF5VIvLqmIh1VWJLWJyI2q U4zp5x1/hiLKY58wyZ9hsvmLZkmLa4MEpTpaVNKnVyJIMidJNsTt6PFE7f+jbPVcFlpsTsAzjJ+s mUAwK18foGNPU8DcrYNA/3lEzrAYa8uf1+kFzvqz5bJn4uCT/HE7yLww7PNT6FBaoyXcA0AkQerx DiN5etS/P9/ED+G1g8rDoZrkfnYtsCqHAI3mOyGAez70ptanWayKYF+00hWhd1GCNJW5ez0+jJ7x abCr31xO+7aGgdGL/2OAkNCpzpv9sDL+bRsOh23bIN6GOSogvxOKGHpnF1d9ziWH98uboBbHxeLh PnCktnmOsLMe1kY7jS7/HQC2hA5HeQFmVmMDAkh9grgzmDk+lDcLC4X5o84Q4KQBPz0M2T/fuAAv BkM7FNgAyad+nriH+Dk2dKW0Zj2Py9R+beKvsuQweVkE8wlBM6nCgFNqPjqHBdWObtI229lI8Pve mqW8vQIx0pUoPI7dZA6RbUqaNfQKQEJ9w4Bg8QMCGlRxiNTn4dg5e2sbbuglJKjt3+p5okAzUkel MBvYgjmt02YXPoWjvzfTALFe96UR5pyuvjPRJKI/aYQhCUgoKnfVTYAPK/9cxKikrqKCnjjGgH1Z 9wlT+m815bEloxTgLDMA45A7cDsNxaWelrZH28UOz/V4YhH/Skm31hk5lXw1ZpJ5loirjiPKOWyN Rkl5qaWoSIAiYv7kQ/JymUiDp5vGLfbd4W88p0aqia088/oa95qTh7MV5Y4/pYoXJe8ZxNow+hbT aNd7EmQP3p+GhjvMlBgm/EH103syTKGBlSY1WuKf2eQsqx8Bse4Ks9g3IfMbQ1WJgurYktzrB64Z L+zIvPWGLslsanNRwBsOT/FHTgLd9YDKpHw59uccM89OKwbFWTLZQa0g9wQRnk05/pZJsp1gqPSJ rAAuAmXUB5RAeYeIiZHeUPqy4YXQY/5PTIRJVIEFcImVJGvAlhIkKGaVFZu9ulw/obyNoGyrBSHW 0uIfWnCho5OuXeTtnfLXUjD56mBCuj9+6PDCt2OaMlEIWPxEnPsQSbR3vT2hRuTp7EOtcMo4TOh+ pJe5oUGMT87AX8TN9pIj5m0geAuviRYOThvHoTr9SiH+BTg6DFgg7w9l7j8vvvIXW1aCvlsvpcQn 6Z7Bw7R2u8RhplZjVmL824sIhlVR7sQehLeSNubPe39+bkkP/qY+2DbbmfCbuiYAqdt7X7rh4f3x uIf/fFEO8q95WTVF4uvh6IErWWGRdM7Enml4d3hG/Id5BTVuBI9g9kho8oTny6R4GlMBnjh3MSpM itVnMKv2Rs/O3NcdlAVJUvc88GSfIG4Tl7bFM3Hi/DFsQSZM9oT4mtWRijbFJJs8JjYEhZtp0s/D D6YEz1fToU4R7ROTAjYUKXVjjf9VfLNXUIbYrcIIbie4YCVKnw0O+obbPH0UXDMRZr8NgMgMDHKA P6w0Uj8jnVHWUcH22XklfsAR19kjIWvtzptvvrx1QKnncW3zlS0/tVdIwvFOLYJfWz6TQoCKNzOP P77E5uFz6mNHvk+0yx84ySnakDMWvphba9VmxcDfRteS1Bpx9vZ7LHbCPk4rIe9/JUiUCQyt76CY SOdZCHygOSwAdGzone5+l2f18G8kNEqwy0FresC5ARMEwF25dRdSs9DzTuWR3ZKKZJcFkUBkfCSm 9umH8akkvlj1w5sl79SwdTMYzGfSUvDAHIFFUgZzGXJgEeL9T9MbkB2S4ifOuxHl/d5jCD5PI+xC 2ZNTPnQbxN7mZ72cgE9kQqyy4LvGq2LuNlbF6FWVvFw9UCcCyUHRSrCvJAJHt+30j31zTxLvDEf1 3T6dW+aGwBozQRNEubhfwhVfF4wpiR02haYKhJRvEtAPQ+qsFmS2aiKSiO+fyMKF3UIOvf/YoA/i U6tim9CAzNEUfDSU6PBD4boYm1kDSmeOy2rb76TR2FeUXkKZTkmGszJuw9N7po+ssgM4RuOBYAMW uXDnMJMxJylJIixWuIdiP8YkxZd36Oy3p7AzBx86gHL4us5EgDf2iyRykqsYz6uQbE2Jk4IcZ7jy xklIgvowo8ITZMdcK2r6z+fUxQ5Mro8+1R47FyIdBYAHPgcsPaavZdJ4vypQ3Bc/XisPY8br5ERr f5lTPEqE0vh2CTebHepko446GZtNvsjJ1dIRBp5wJk8m6a5ynfZLoYQ42+1QPakwCksLwq1woSb+ bf/Qi2Cbn4WsCVBKc+hKw60KETToVj4vKFlMBBhPgxUMgg4Dzc0VneDRHNacGii7db/EOtkOa7OA Sg1LIRgXzQjHnRNgref9daxwJBfoXJQIuLN27G6jXkrlVH2Yq/QDUfJomSlhRnmvM16LllpgkibL p41+2b4Ro9BKNd9l8EbFTi5qcgTZb7r6K+QSluZQ5kk1LAp4+Qz8WKAeE+AG32+gwl5GPFPHomgm xi1fWhVjY6vo5AZXdxhlbfHPNTcHHnZGPHa5diWvYUdpgz4sMUrl/VsN/F/HhMmV9A9TjrmY9wCd kUj3kP1mvMgywps+Dzp6enApMgd02rKz2tKTvLLkfcofUT9V2cCt59ws9pRZZsmanbW8QZ4/Vge5 Y8DTu3KX2cBEUKbTKpSqffUNK9VFinqYbpsysMlZAFKN4rGuJvVLeySYDxuXFdojDI/J57LVZLcX uIkJVtIst8LoNLcijVsB49138gc9//2Nhi3UUgx0A1HeM5fbX1EceB7NGwLiiZ9JmY59Jou6DPk4 0ykzjImH5GYBGbn8ogjuXXiI6V/V3mpUT3+qI4HO7AtZkRolzZMy1TM0Oe56fYjZL3QRaDlF4zbS t0pIdKxoc2DfLnQKxFMdMp3IbzZ6HxMTbjP60clZ9kueRxvRnI2naEY6tbncr5bXcQixtHGTij3R MhIb4jKNoptisLPRGn2xDjHvjbxQTLLTsP2bv8RZaUOwySXfArjpo/img06qPTdAORPk8iW5GTAv 9G+32ZA8v2C8Ni8x3bYvkQM7F6fLn7DQVRwHF8W3JxQAUGjrYmGfLrv1zhBwXrv/tfixKyvBu57J 633sBNP0cjDnuuTZvAI1OtKVKHPciuUj6EkKxxnFHRAbA7GMj2n4GXLqnqC3Ggc61C6Ugxv8+zbJ DWN6bbncofoiSea7AVan2SlCm32AFeHNWi1GHfTEEFPUgIOp/fiC9NPxpKC56Cczh40DNiqXbL0m VnJxncs5rbSoa13hOjA/9xYxTQEd7vwLjgySH3Suo1GuFKmCCUezEPYf37S7mNIyaPIkPaBigMla veSU5PeZZdpTUVmGNqHBhwhk57hTkbjiJZ/QFsB2LQYArcSjHLdGuJf1dSoQnKjBblxXmoCwFZ+p hzv9vFZ78fiDLrxRbLkt6B/3TgINzWHaEu1xwBj3elAUlzjIfXJSQQeT5zqs5rIfWlyy90aca9Hs CEDl5uB3agLTo1OXTq8aFOONslWbyl5voOcVsJ2jlE0J6g2xljZTCZfGHArB5IxflhzvZGsyCbqk bCDqeud1sYUMPE1Nm/sbN4RrYvPsvPfQj9JTXLJjKMte4n20E8q/lrZOCJ3pPB6vTz06ZN1MVDwa 904yFczexOWituu97t/RcSRFRn792qbu0R+1SETnbWHSmlRgUx0ELVO6hSqAdh34C2+WykTrOBzJ oRCC8Jy47b+CcnujdbBOUyd7SbqzBM+Esmxn6bsIQc30y2/C403MubcN7n9Ed1xPHk2LhF540pZv 4EX/phj7Mr1QA/I6bvhm3bi5UR7hqbkasSxwAxFWuhFJd46mYIey+5/te6p4HlMAaCnWD94VKDAa /QJWQk7u6qLNfsLPSW/bUWt043fM7onhy3U5D0so0d7iQJVAJmC7/gruqKqIflj9z7w6gwULk8ro X0cj1EjlrvP51IrMGG07djJT9XVorvxdM8YWcKTaEZsksj+1rLd1A6+/5m29wb7uJiiVmj2u/ofM UYyKXsxY76o9qyX68MVHA8sNnjNrGRi0YgCf7xCj5eymPhJ0wlx2PfsyqvWcnaaT5eBdZ6Jl910J uwYf9DbI047QQskLxNKRXMlrlpUj1fYAYk6hbZ6f0q5TzoxfsaAPQNkl0zfShQV1oAmKGUMOTz6W WzVgDkj60LT63q61/Jr6sFE8U8nuEF11+jURvVnSnW15PrommwPylJp/1BKv/acWvMJ0IVcNgg2i q8gAmcUXd4UV23C+rHy8weNghXvcn11piX3M7GXZ57tw1pVkGUdcx6AS8MB7s5BO51VsBJJNgoWr 5qUIlkztOmEcV9zRZDP0ZFbw1gdf3J8UxzBQvYJJq1q6LxaW5gcmcaaVlYOHlo+whEnL+Bthr/X3 UIOlCgYK7PothK7e6p1EW4AXOrwY3BE+5wqtxYGtzc5IKOes33mvHFGGMvbaTNG0K/nPk0NkzKpG d1Z44qPhkb6qEb0TbrnDC2itkE14zxrdJKoy7+27OtsdUrFcXZjatFZNEMbDu4wDnxCvK+WI2Ndz dRqVV0QlfbHwc+fzf5bGVgjBsqxm4gD/qCuqaBu9rh0IUUSCzoxv0PxtSN61yugLgFbIFlntHimD JODOnAc+wM+7vpBv+cPuw5Tq+ukkNxC/Zx9cwTGhBBu8jTR1uZNS7ASuHvCCeE0XzNvRoeIgdy2c Ct5noSHUfYusJjZHlpFZI+/Qo6LTihwYLAYja5nAlPBZ2b7YogzCsbv44uxzcKj8yiVVi0Fi8s+2 OusdWQmGB80mZeO1XN7NOHmwEQ0NGQyMcormtEeKCE0gG7AJXaHgmZIWUJOQP1puB98aXoAZ561C lj/Dl136qFypFal6h2858Ew+nnv4L3TSLglfuQWYQJ9SlBx53U0ZhXxWUwb3D1rtNrtcGosdnvdb yaTuMhNRUVNcL4r3pc1i7sb8x/I+9cf7ojNcJSPbG/LXSKA5I5C+B6u0fyIf+RaMg3yVgnWWXOS4 0pdUPDKg/XUV3ih88X3cOJ6j2Xc17D7jUqy/hAQPahBb+DRAm84FUTa1g8kfqFTKwXOnjG8eN+h/ D/H4HeklTGe/oYvUnqFwgXBxQEI2qnW9RDd5wdM3e8e657kHLFXSDYgAWrHuH8vtcnsr1NeTTh+8 jmKlX4Og+dm650RgQDsa3xaK8CHtE8fFOYOAsEfNJgfb3RiSBGRYmQKFgz6PbX2ywpA0FhwYXEt7 OjKIf2T8G15iTDz9jnJnu8qy6klrtDvKMvfn6Yt3tAs3BQVPWoYHJoAy2YAmWJzCmT+4fVG+2t9t vLbYVNbC8ezWaDca77qrZmX8WwKmxmCTtY/H5dhnGhZDpp79C7Digv6/1RLj2xmLt+AnY8E0nS8C gwQlgDOHrVPUM8cAXrsj14zVxV18FlHVRP3QBWv/U6kl4fzKczrkQ+DI6ri2u1N+5FSzGHYsORz2 +T7Ed/al0dbTXkfHrUUv0nKUHy7W3NwE3ExObMvvL/yiIe8FNcTGIpC5fzL+rKJmmZ3i0+JYPik4 yiyK6qKOxZA7CKaEvBPhmmuN7xaJOaQEhy8Yx7LBdB3NG22K0aKK+VNaYEQD8sjwKDoeH+KodAhQ N8jUpEBigEPtnkAtTOSTdaXA5sIvOSMo7uDGowpOz66FiVo5Lapv8JKp/vPU7fiskjd+HQuE8W/i yuqKnSlErzoXcEB1IvYMlkop/QQ17AYJ/Xqs9QMtGEgXRl+LwaTA8pD67Ra/SBU6se1kUN6HbWuw 2327gQtid35mMBG2dOq88LvmYiTmltICswMtYo2qIzJ96OdyAL+deXaE8f2v+7VbcrO3W9+tqzGX 8CD1j4mi3e9r99mDafhY1AXYb52ZDAtOIlBU1df6tD4B8J1VpHMwEcF16Qt8q4afPk9vJ4j+8aFe 3BeJrjrTYBQa/rkzwT6mYZBI/cg0mWEq7uYroiW3iTYi4HAcZKKb5GQzJnvartWuepavHSA7mXGA +pzdogexTIK8nHsvX7Qek9VyJwLkHr4z3xfbMb/E6RhR4vZ4W3O6XpppLz2Jke3dHJwyUzZz0Df1 xvwemhUnTpg5IYcrvWJXb7rBqlEfI2pbjJ6vOarUYRoGgfKHNTM6GivZnAcFlOnwXJI6GPwiJ0D/ sgvhnysDCK9RrczmuwkhMf0qIkJt1rZ7NKbJ62qvpoRZcyZYbOz4npqTWze6wZOi8oTLVx1c `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/wr_handshaking_flags.vhd
5
12657
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l9qS3t+34Adw3J7tzEF6mdxJrAFan02fIUr/4xjQbFxJztYO8Ss8efJfcKuFdSM+KgMwDxzut0r7 7wUKes/G3A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D0xSMGVp1kA364gfEeBgZ2Tu6iS7kfQ4zOA/16+EvBEEf9d4urc39zBLLeFYyI+kO+GefLswguDl LrFagcSf5vM8HJcQXy6nYClvbBVol6lGSl6JttTC7gD4/KAPOJ9yJjmDse6Pp0VQLeNYVJxO2de1 Qg6YvPIP0TmB27TZpAk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pa3K+4uLLYd/JDdKM4dKTIzHVhp55BgjObW0d2aXf8oi+A6zi0d9p/h9InG96DGGV6OGmaF63M5r D/ZvbKf4SK4g2ygA+6wzuS6HnosV8Mhi9DEghG41GRMMmBiZ50GzzC8q6+Zqvd36tXHRGp/XJ5OG 9UuHwOAfHyJYfZW+670RVCanD8JOUK3zfJGORgc738FPH2ObEHJqWarl+/7UazVnUXaAqPgtVZKX AUGFmiTmYaBHnHOBTywp1a2T3SOGrs0lMoktS5ia63W+4QHt5bQNGaWhQyyYf2qW3xwAe3RcZYhz iarzMT5YkN3/AiuDsWYW1nyJQFQ8RsGMhksSMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSCz+VtGXT44NsvPvXV/l048m+nIHbFkEqlytyRY56VQ5UwFwh0Hq7ptMEPECN4YBKpjlQaTv9fX VPkJAqzm6fQ35ymXEJsK91Rt7JTjNeSC54vRUR3yaSVnwF5gCDaisyPpXt2LhaTTxLVX3QW7Tq5X ATruKUzAJXwuE8GFWg0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zg+/is1koS4fIUk7L++MHC6v5WLMmMP8ErlAst+jLhMPnoUEx9yPd8id58awwI/b626nA22mGWMY Kl+7LuXpSSz33I6sf6J668LCCwgloJXoM4d88YCwfHS3jBFOxAnx0N3jjSieb2lxDgO//zri8e0G RJTduyYmXbdelOjUXLV13VxxOTYMxwoHAYlRD0p3dozFYgddWp2OHVngKhVWR/jpijxUT+A/buTi lmKCpTNllXIQAjIBAPMlQYJfCDaSSPZyvRWbfgPgNqAzGuNReZ3Bjjpk2Zx5yZV+xTXQJlwo1q/L JL/5jIhwY21gO5b/QHaYV4ciSjKfcSuU2KDxBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632) `protect data_block 0/4fBZsdE2xh9wJ13KHLYL1nla34IpfgL55KmCEOb9cRaM8gVmfCmm8qKh9im4zapCjdIoJBUeA9 DwAid7OwQCw+x6NopLmry2GP2Wf82NgpOIFSHF0Qo8mrm/q0ZXr6H/gDHn78Ix755tuLis8xbM5R 9IjVtYqSkk8Bg7/k4lN3h7AUca05+D1VgUQH9mQUt4HttTLkJUAnZ82nmhfkWKqPSbMI0GxWopoO 3h5Yfem/9zWYtMcWAv/SpoAjtFOJKeLvvVMR8KBpHdxr+fGgoQV5c+RxxUaaggS6BhnAL4/b+yRD ELClaYyFMVj1YKAYs2WGi4WjVnGue/XiHhkr+njtzb8nCPOrC1m3n8WTNTld3xpJ95CD7ywTtBzs neLoBBQ3Fh3xxuQ2IOQNPPEmOR3bhkApOYmlxL/TD9VuB6zdbo8CreHf7tOg7YdN0DfemrMEbZ/j PMVMA1RmA+jRnvXOeRhAV4pCg2QoQoElKTBOP4sfN6v4aQMjvEm0wCr267mAl2xCR4+ghpblsXIU WKEERhY9k/UQExwSmoDXUj1ACD5EyhAto3tTG1OUplpgqS0t08Zoq8ypxhuzk+l6tc7+KRxDU464 pNBxcASlDHXYpNX/qzZMb96i05kbnSyaeRljOtUaY+7uAmjC362VRrlIbQahVTAVyLKpxbZ38pHd LMZAu2pBnGAmuXxEzHMfY76uBChwab4S4pQPzgjhw412OYZfl8xJTieYa38xZV43R2Lr3A+ZKvbK KV+San71V3TnREFagiWONdmB4LEjAR+3ql6Bcv3h2YpHfgc3i3hs/D2LnlJdM4EhZVIOi6RVdRvo A1gFSMmjOIQ/4GOzJtOEd4unQvTD42IyydLylohgADyKNFzUP51hHsWKec0BtsAW52LBd3z58Wel WpI8ExcSryyqPQ3GObRuxSa46sYLI6tZrMul1Gjig1JEzpUY/L1vnVGFqulbBRaxLMgR/AL6382r UgC4t+1Tdmfjv3DMoPWQUQl1ebVAGcAZotSi2W9Bn7W2hhz/bNCIKlLlKfh03cl5Gkn19D2ogHgM FZe/Cr5p7DDlsl9RlvoAkXalQKDSRCNNUkGltiPI/4dFHuEqGRM6qs20cRtq+CW8fFGT5C9wKtwL rRFD9TSVBD3JrfRQv3KUBPDbuZr+rCwH/yZQOhGiyrN1DbyOiltxvtfMoRtMeas7UxcPV2eD/jl2 o4QngUu3M/+0yppDeFg4YKd2kcmwCCVa3Y2AoBdifqF0E1C3Dj7OyRb/EwRO8gEcrVDU4dBYc4AL ns8TG5uFg18uxQHuITF72pkJZFApZDfrCV1FSPxn/b/eAf8lbR0yzYWJoMgBMuOd7nRxqHI5Qln7 u+ancQRDvFeVOvOZzYJuZ8qwtCMnfEsOM+6UBVDduOG5gKcLLTb/nPP06+kja01gBZZ/vhY3xFNH FubvmWA3xfzUmD/ppM8M+GcAfiWjvC2XK21fKxqps2gHZCeKTheATKC2afbIB3RbAWtzaH95cEae QR/Neq8uf4OYBmzh7MXSrBWxlsxw4llA3YT/OnbKVoyVkXzM7YaMQ+ZBtiP4sBMby4vJ4S2FTa8g D1Ms8PXZn9+3nIlJQX51WeU68ciV2O+3y4p9u0D6E0da8o1bvfGt0mHkEPVNhaKMDFm67K5uQKBL 6dlgkOmqeI5bzkoHUeHt2wTwWx0EPFtvPM4TWAX3buTRLkmXyACU/zZGwx9RToKQnkHTi8Z03nWb Tdfp3VgmZ7Jj+gpnOiBnqVTkdOoqmGWDICS9+mme+AZgpeh0XV7hy9Vjzr6Cw9tM9lST/JV941Yh CixtC6gbXqfq6KfA0q7tpfogQb5Zeu9PKUFh//odw1jOFS2VspMwHSUbrFn8wsXdlnNUwYvvjU2W LyyUhsc+IFeZnn49Fs8wgtHa5Zo6ZUjbMqg05tl4qaSeTYTeXOeq0IE+22DI+YbK6XFF2UUt+34M rNVSJ8svykfjMBXloUwNWDB8VI5JCaujpYcSzNy4vPYPDOBRiV3EXhnX7sN0vRAK7NwNF3of32zU 7Sgf2GJ/DMvzbZ2TZqMET/2E2+3p3R/HpRbpjR/6HIvt+fnmAVUf9KKSIazFCFTOXKhyLy7E+7zl gcLss3iNx/VeoeySUNVQNpi6rKTQpLAEXNm/r9ZWj1YTXPscX/WY1xsTdlOXpa5Wk6pVSZhrGjt+ MP3zfm983DAC6kMmZExI5nak5ax6UZDrxfM2pU7ncwnzFlVFvPJYZ/0WFQ4G6rjZy6o7D66/SVei B2Jdx/xOaHqcLBYfkipDB5j9IX7V8qbxRx/RJjunIdbduTzejOalqbT9hJUgk+ebvTos6gK6rLhY Hg/e4Dn/gYzWiXbwtpsXlXTsz6dS8qFmGfOnBff8CYlkTG4lyfpguWn0W2+qDf/I38QlDw/OLlRa m1flLIztcuOQfWLuL2dllpqqB0OURG5BgmEXOk3prELxrhAjPsqbQefV+eXhXLyn3m1GB9V8lhqd RBaFbv/DFNDAM7WR2uoCSzJfE5962EFilbeet+JTbz4W/WtcjDTt0d/g124lRtYj9IXhR/fgk0sp 7Z3PNKE4lIi8tnzY6tbzTzWkwVDhaZITSz7I0nyt9elBM6FE7nLRVwFmGPur/xu4XRZnOErkaztk 3EH+p/Jg1A9iHQLz66yjuYISw9aXLM4d8MnvIr9rjfwcIyEukWmIWDlhLFZ7/aGYY4EuK0A6WmxX y2CGboa2V2UeCgYjoNnosAp33Xu2W/y6YWUR71RgNuhtPTofRrhCTVazp9tx55YgmOM0OQ1Z/dJQ 8DgSZLqhArXmItX2gnJqT7EteSPeYxzOUReLg3Gvvwr3c2hsOSaaXbiDPeuXpGCJVgepwm9s6QIQ ZXly9IprSgAP+27IzFR/CMN672Tsy1MlWnoS068Ur3kmvYMQgCfjKN+/8Z15uAaFly72+iJUaub+ 2+b2ntm+oxem4W0wuciEPHIKWNX1iFVHcTv08Sdn6K2mAV8P3/uVSLesiK898UbV2EIY9z6I86xG VqwWJmqE4NCzRO1/NFxDYgWcc38W94PDVg6AgYCrIdAeCPt79UsLv68jZrJTfZ569n12l2gDefTJ QO8/u7lCt8Jj4Ia8QciIC8eyNgljEUmgsGk4GaJVJ8sUkKZbfeshm6/m+e87zQqbWjecTl94rI43 Uil1rSHdJUFOCVGXN6Ip0rdMB4sAiSHf7WxaUFESLN8ftklVsCWXdRolXZ/LTiCvQwjjzcZ3jdHd LcGssCvCIySyTntgkaw+DMlZfafoHWgaDDQwG3+Z7109G4/JoTI3Gv424xKJ6IO0GnL7568il26g OVfsfBMQnPKySJfDO9U72qzHxaFZveg3a0qJZQjpYGCMrhENl9ogGOuFZEGUwMQk9hz5O9Z60GKi WOskm995mnbPubKlv8BrN6xiRg6rTs+eljhCcolpyqTeqLxQ8mP1SsjFU7e+EOcQdgbf4ZPTOxgA g/QWT/EPF9Af0yLnLXiwsnKYq6du+2B0AooyoPFj3l+enDcBAVr4WCOJTTqTu+yhKxv9QqsF2ENn 9GfJ1du9MC0qQeHvD2rfKEQ4rqRYmVCtgxnHxdg2QxrqUPTCSFwpWJNq4h411gnMK6VBNYOUcdFt Pyegg9xzaF+1K0coXtDObOh01I/Jg1DgeZ780u2BwQacQQ/xEUj5zcQsgwxIrUb9fx5XGxzmaj9s N6T/Q2YA1v25A5N4jbR3LkLuTgR5P7cU4cB9s4YwPLyuSQ3CJaaAXaqesZo10u3MWbl7RTCCO2/s F0/xSwL9cUxPWxkp9VTXdfrrV9WaVHX9SBrXjICHzhgRCCxeAeYAJki7fsa+9TBDN12beaKUI+XX GttForFuhecDJwahltq8d057sCS5QVtFIMgdk1qLycgoRW2IbTDlNaSmySYvlCmFr//mifvessZs uI/POjXCI8fW4nc4SfuUeoIhe6zL3+DLFp7CfQC8zV63X2kt6agORy1jz0HH5lInEXJgqtuLnTlk W/5+zDla63ZRhFziAoWFZrVfPi6vsmwmJOXyhCFRJnyEka4YzGc7Y8CjmBJP2Xibm+NQ5IOLyvMe JVn43X+DwCE1NfWNpfLcmO7/ocG4x3CWOAUyb7xs4LWhiXnwWmooxGhrWeya449x82ihXlPDLmKG rm+Hz8M7Jyk9kSzpAoyvFNvhsh0JBVDi2wAalkHwe7bNyYe20CbO8gynr82DxsDbXuOsXIOrwKb7 0OC2kIN75LlHnkwp4keQy1teduP//JCjXXVzDcUvPHVec6Ar3iNPqCcxKxVMqh67gtIqYI4WyURh quUxVOF4J2xcJ70ker78ual2EUTqDsCBM3E3sgYNiFe6YePg33zkqThnweYd60ddyWU6fZxRmwZZ BBk/vDQQTMiJazOhbFjeh4sIEvfuDfwJIGaa//0NYbwnd6DhurlSF/JRv2Vvp8qG8g1hi+1BwDVE zJtt621NoNR5ZWMQq+x3cS6euZl193Uizo1veAoYg3AhY+MJfVirneis2l0XPEqFRKXL2j/My9aC UTvrZVykl+PGrEi8/t7c1831+kv1L9dNUtCR85migT9/hT/y+f+2TMW2RFf2ZTSHnM7t0DzL3vg5 71K8QjIEp2jCgTV4TiEsOeXTX0x9hqvkM0n7Tefg/mI2/ikqrELZSlsCkVVtRu4PERg3GCgsuv26 CjI0kBXg7/IN9JatsTiybRWknpx0dGZIs3eiyDmDp5Mev1NVlqLN8JxcPsSagA4N+GD5TGe29bX9 1dDvsghZIID1n3GKDI7B+aRMvlSei1xiqfPejpKq40r5R+Xj1bdhUcZXGRU6pLl3ZkRtH6yjSQah +o3MM65kcHynYs010/rW0U5pxRoqcFAOBj0xrLQj4RmxbXOAoMyn9kl6dIYTU3pW3t3Amm6PKdtz z4BT17ckoVDuW8RArUn7Gd//dxMVbNFUVrWZ0jSL7+3GhNayPb1dvZD1eHvtdkrsi9k1G2Y7yp89 y1iPYy/BkTotxx3p3aEgLmYtVcuFdN7ZuYNqyhbdYeGJk5qyB4AAdC7pTDl26hgrbRSzQfaruznr fcfOSOFkSIjm3UqCCcrzFwFtaYnpgqPMvVOyME0Kmxczf0V6yXW10SDN2z69PE2lvpAlAJV11oMd MNNTb4bqUH7b6DNv/K2rfy6TtTVahFINhFRG/BwoIoJk1Kxn1ovqCKNyfTPpzgn+dtnbbCwp+U/U 13WOvIjJdnz9qXtYrOlBhzG2TLpSW8Q03iTvvqfQ84oRg3ZS6i38zD0sUXbgrM4gnkKYwz5TyIf0 OfwJgR9vqi92BV92YVGs6w/7RCeHzXNFpVsc/cHVlkTufV+RxpuOgiZvwOV5mlrzQ2UpBn7owsSg w6jNg2CB8pAInwvPaBRc07jZrYEu68ZS4INFSEcZUTBAxNlitJQ/kvpBJPMHjVASbCjhCMnZqa9s nfQ3JDdY5KZtltqDuROmzRgcDMIbTVGJvp5YW+VTaxHSQVjzqaoY/JKNEG+SLvp9WGIKsLaJ6brb 7nDKsm/b3wDE423jEgev2RwZcOi/3+9BO4P8GllUmnAcpi/f2grKKbZQnzfhglbvUzBVsFvDNyoH Jj55Ce1EVpqiv2U/da/D0qeAnU+/8pq3TGzhL2uw1Q6GadsIifMo1h0j+UVJuX/Zhy2NUAcCzq9D ttjM+3LDGnY8C/ieYZc32QBA/cwvlsXcH3Lk5eirYD2y0q7LxcRJePTNTPA+LPTdgGDtWTOL+0Qc pnzMZ31a5K5DQbOhkx+uSxCdiamH5/6HYn14zuaYQPnsNvxEJLFvA0l9eJ3Y5nkIKdTnUx+wlEJo AocnodJiPYxFU2To086NvVi/vN39JtPf6QoGaL/ekQDEQwXawkUzAvxtzKKFV9zFVjl4IOEBtTqv MU2J+gZlw9zJ/Ciw4DYMkoPxcjYAVPO1o6qGi+NAidlse8HJ7k4LGXDb80xIulWA7UQDsj4Uo9WP Byh1OVTBIoBIcVNOLqh4ic3swAQ+LJXNHsMuJMkx44SYpYejYkxW0q8D2/DFobsBYiqgfxNDJLdJ ygadg4urUF7sdEdwPpmTjfBRkkO0jZBt3xB8NaAanfbBuEzE9Av5oAQumt0E2CZJw8QVM79kXAsU QfruUp+41SzymaiBCoq4W//zTImn6ZpRv2a67S9CC2TV2Th8Rtgw3MeN8xsEP0glZaJpy3bN1WbW kpitPqdYwtbetBk/GwkocQCs3K1V+PwGXPNco+PXSRSXByixFVQDUI7asBfQcTBd7rO4ZqMXuN39 BOgUZtMEEu0bkxaSJTd4xfYdauZpn2SZ1PSFV0ighih5xbov6ExbHeOqvz5mVDkTd0eOzAWUfTTg UMeHDtDzmYkUqJIKuw5Pke7OQ0xG6LTcrUYG8FR9ctjKmqUrrjOZK8p/sNtCqjQg3IAjHWtqOxLv FDafuu4g4fwgGeHp6YsMxuANnlx3dRgNaCMzmpzDNaLhKBaEym8FIHi1MSHaRTQEYZq47dmUvz9s po6ySRfBREcdh0PC4t+5Pw3CBSgpvMepZivY3XCye+tURCkxCDazUG8cJm/5GSwF53cgs5ecjeR1 tGGgLWNkAWJvem4Yu5mgsqgXOsSzK/3hPnvVgW5KM+de0k0jvfiwLeMB4/FiZFg1g18FwLXtK1Jy GNiX/sSS1/KZSo3jwnTwU4tkHd8WXZdLx2JBe0eHupOB8T9fiTJGUhxFRAjKgKW/ol7RGS8Cvjc7 plpAncerS234vrEe8FoIKFefTp8AycTKkyfL1QJq2gHR1V5vEFCb5c28Tdwk8kGKBHX6C5DRSzNF bS7283gAchNGFlKRaA0SU0yoDfB+l3Uo3oECoCEIhCnY1g8NM1h5iuYW/G/O7Cbkizr8XHX6NA2W uPZjliHj5ud/DgR+6Ul4LSDiy2kfeUsfhpKxms4+d8T8v2lXgkhZxlVvp2SBXh+NiePAt54MiwB8 fkNnQthsYbnpJo/Nu/81Hz97bD3GDkoyUoEz9Q7Sm2o84cSJA3YG/oSvnb3uJD+8uznTJxcr5pta RMqGsdt4QU6gzewf4Amwhjwuxt37vLy7/sZRkLqLKAhhk5T/uM+Aa9CU1vKwY9ZLH8M2iJAm/oev nNlTHEi1diT2Yfmr3hjmDjQ66+HzXxZEsU56AW18R+xv2a4yun0QWZ3xW0rIM1SVGrQWwpuuGTSx EWgkTrP2Ma7KTStmRfoh8nawLBOv28gCCK6PHXw07OwVHWtpsG59CXbCSTFpF/eiru6i4IWKH1Mj FjIu36xXnD1ixm7wvm1m1l/8i2AIoh0GjcvovTLSjVEEVLvYHfRl8xVHegTbtjOMeIX2QSO+1fRH JbVBpv1JjgLvIDWsE0JhGJBK+aCV/2ZoHB0gmSCDxVo5jrM6pimpJo2h6RcfBfs01769eJeT9+US X1wXdJuo4IT6mw7Ii2oCf8YFRwVzx3UVZdUMP16m+OWe7m07x63z4nA+0xCeHTEddRm1DkBO8tuR 7D2haQva49eZpWayEAZIBzJLQHzZIeKM7G+sbslsiTZihDQdVQ2TQEIqkgqTWrR5BguW/ANmNmlp eBeoIzsXERru3Gq282aheOPXmaKOmxQvPzWYFL7dPUetOf3tUQ8OAQ3U+j7Eg0ZkDOI9bRywY6gw zEbr0grM2xcFVgfjX+vo0pqbjbcKSJltg4NM36Lf/WLosuzqlUmuOgfYkP3RabYXJ4fPxAHLYOiI Ts/GjGbiSWuQs279M844yTMohOzrvzyVbLeyiVMCL5kRFrzILzEyRBL+cpBOBP1FxFCU1f7rRraq NqmKB0hxPuEn8ecN54BoU4Z50EWg32dbHUZEZB0qUJGyfjIqv/+6cwepBT1xg1lrmijD4FffhcPa uD4EZJA2pE1dZ5ENdXp4H6IdwRhLUWRzb0DE7XXjh+yykLeyYFrTfOSpR+upSnQKfvBtWc8eZTaC rOhQCgdqPOn3hXQHVx20zeypJ6b14bRcAt4pT54shQibcAIK9z74CprmkYTzMUfQRNDFaMsegQFD EvfvGOdQE40PFbGM4JL/ZvY9vgHvSPiSUDTHb2XQ9Xb3srEFu+O3I5PHUZxtYSJT+ebd95InQqbd BYrfdwnTWXdD418LFYAw4P8r9Umy0MMZNse7g9lhPy8gRPDJcYCz737rA+xB9N3kfGY4YRcnzzwn Se4cSGGprVMBPZuycvUBawEdsRZO9Ceqh8aellAAHE8DvSClqnPD4Rim+wwDq7J0yu9lklJMNuNO poheM678wvAW0FBemZiapBk8rzGDT5TseMgbb0VIaIwvbQLIYNlDs9lyx3YxIzfopd6FBJ3SaK/P xHTSNqQJA+pcIi9Z6GBVKAEm0svdsU74B6+QnzjtyQNpMCB3yGzDLu6j0Zj8TcH+SkI4hCVCKcV8 MG9D0dIKHpdgbwwcpEMVMJxAyNaz26ltUf9jmQ/ORDSFz4M4itsAy0Lhsue34vG6ITPIzmUen7UB aKVdSVz2MjQ6G4gytOr3vvhcAQNj1IMroPvT0I7APfhqWcRJeNwkzLRX+UWKWXWO1O47ZUbPktIc 1eS8KUMEv1OCsssAeG2et60qSb4GnPMGmgIU55x+DRPMkVCd9sK5+zy77PyQAb9NcQjp96WOolo4 9IHcS6ix/9Acx5cYG9YwVje/Ovc6KxsJGUlbOODg2VIFE45BcjOVDIgQL/BBQbXzrN+AYu4YFrZt gYkwRo8EYIGoZG8bDCPrJmIs4RUAvbtAJmLFNbq+/XajW8lC3sMUSEQ/PhKvoOPt5KhS7b/f4euQ Pj1Rp2JlSzLxw4zFA3JjeNarb+RBKfpFCSLcvwnOr/wrlDjG/pV3lwHWMWB23ETVYDqOsvnwtsMI /eniKO8s0/VYlcrwsYw0gS2xRI4KzyFs/J9ss4scMR17OtQgGwIYR+w3wGCg5vN4Vc8euUTiVl2Q Fmp6BwvSDiF7mgxY7EuplpApMLXr7k9ilTYejm6MMNlI8rQsZ3Rzt+w6pAEDrdlP9C8J+xij2hbL TutPg/DyjVJQbh6OseEMynbIzoMX0PNbfn2G+zzjqFKGk+2rpf1nKTJPfOqXFlERDDK8l/poi1JP HraRciYnlFzMdDkHYah7YW704VUtK5L3A6+liTszgEPElKePGSKhavGY1CP9NUTLkt1/I0TIUEKl Frh36JsjPZZCRpTZUswxc2QgmR1VuoK8V/vdRid6kY1M+40WXWKKZK/QyQXjgqCM34X1kZgQwrEF bb9feQTN0E+ycSuixVDhoNdfNVXoNtabyLoEkPC+JxpE+QiJxDcDxQSLeTRItpoq7c49XxGb+y3D 04r87zBdacfWKemxqL2mctftFU0aJDFOU76t2FjvlfI+NoQ1rHpGUU8MwaEM9qEOb8c/DLe2lHXh XEnjSMEIcCPoCgzBc/l26VzGS71xJHrGyCYn2cJ5vtGpnypBoCUHZADZTHaqE/d9FUMBSz3e6jOl f7wx0qlme2kY3gr9gR1m+Ad7iJMFj0KqyAq9v29KJj2Mm5Bqe4iN+k61gnjvHt9cX4IaS7SJzfJC JCQJbHkuJYvcruJ5mJSJA04yw7ex2NKL4FtQ/yacK2fAjc8saMV+3Fmb+Xl7iJ0IozLf/fdQOqeh JmsKZdtjuyz6RioTkXlqtPtPzxlQC1ykdmI3BdhTmawjgDwuDDPU1dFaC9kVvxyrz81qhgeR2ah5 LpAIps3FllYgvPrc/Mpy6MXAj1KKTaueCylOOGcVYdrsaMcjvVXVogWeG/TeuLLAvtW5Uotq8pP4 6YE44gLISwjzVma3rxWh7riMgnBXGGn256DYjr/ZYtKRn0nSl82onXh33VotmpZfisRwwekiqtuc bWrWOy+olboD3F6J9sWUIJhAzy/85xcS0IxmKyX9xPwcjWmuY/QuckofLEfp7B+WcNIlj8LX7lI7 rAiPVZZUlv0B5AkNOeWf4WgCnibLOqpqQgdwZqHplFx9VK0iHWLtQovB7XqlVR/5tYLesNcBWdNz EzZfWSWm9jxVqhF1Q4bP3eu7WR6dexHptydqPIf0sF2XJEMezWZ8Vpsk2IKYjsBQvMEVa12zLC2N q1T3C0dNBWqz3rzrn1LyJ8CuypW8mEEe12VRWxzizfr3I6XmSB7a1LdloFFen7o3pMne `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/ramfifo/wr_handshaking_flags.vhd
5
12657
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block l9qS3t+34Adw3J7tzEF6mdxJrAFan02fIUr/4xjQbFxJztYO8Ss8efJfcKuFdSM+KgMwDxzut0r7 7wUKes/G3A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D0xSMGVp1kA364gfEeBgZ2Tu6iS7kfQ4zOA/16+EvBEEf9d4urc39zBLLeFYyI+kO+GefLswguDl LrFagcSf5vM8HJcQXy6nYClvbBVol6lGSl6JttTC7gD4/KAPOJ9yJjmDse6Pp0VQLeNYVJxO2de1 Qg6YvPIP0TmB27TZpAk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pa3K+4uLLYd/JDdKM4dKTIzHVhp55BgjObW0d2aXf8oi+A6zi0d9p/h9InG96DGGV6OGmaF63M5r D/ZvbKf4SK4g2ygA+6wzuS6HnosV8Mhi9DEghG41GRMMmBiZ50GzzC8q6+Zqvd36tXHRGp/XJ5OG 9UuHwOAfHyJYfZW+670RVCanD8JOUK3zfJGORgc738FPH2ObEHJqWarl+/7UazVnUXaAqPgtVZKX AUGFmiTmYaBHnHOBTywp1a2T3SOGrs0lMoktS5ia63W+4QHt5bQNGaWhQyyYf2qW3xwAe3RcZYhz iarzMT5YkN3/AiuDsWYW1nyJQFQ8RsGMhksSMA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block fSCz+VtGXT44NsvPvXV/l048m+nIHbFkEqlytyRY56VQ5UwFwh0Hq7ptMEPECN4YBKpjlQaTv9fX VPkJAqzm6fQ35ymXEJsK91Rt7JTjNeSC54vRUR3yaSVnwF5gCDaisyPpXt2LhaTTxLVX3QW7Tq5X ATruKUzAJXwuE8GFWg0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Zg+/is1koS4fIUk7L++MHC6v5WLMmMP8ErlAst+jLhMPnoUEx9yPd8id58awwI/b626nA22mGWMY Kl+7LuXpSSz33I6sf6J668LCCwgloJXoM4d88YCwfHS3jBFOxAnx0N3jjSieb2lxDgO//zri8e0G RJTduyYmXbdelOjUXLV13VxxOTYMxwoHAYlRD0p3dozFYgddWp2OHVngKhVWR/jpijxUT+A/buTi lmKCpTNllXIQAjIBAPMlQYJfCDaSSPZyvRWbfgPgNqAzGuNReZ3Bjjpk2Zx5yZV+xTXQJlwo1q/L JL/5jIhwY21gO5b/QHaYV4ciSjKfcSuU2KDxBA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632) `protect data_block 0/4fBZsdE2xh9wJ13KHLYL1nla34IpfgL55KmCEOb9cRaM8gVmfCmm8qKh9im4zapCjdIoJBUeA9 DwAid7OwQCw+x6NopLmry2GP2Wf82NgpOIFSHF0Qo8mrm/q0ZXr6H/gDHn78Ix755tuLis8xbM5R 9IjVtYqSkk8Bg7/k4lN3h7AUca05+D1VgUQH9mQUt4HttTLkJUAnZ82nmhfkWKqPSbMI0GxWopoO 3h5Yfem/9zWYtMcWAv/SpoAjtFOJKeLvvVMR8KBpHdxr+fGgoQV5c+RxxUaaggS6BhnAL4/b+yRD ELClaYyFMVj1YKAYs2WGi4WjVnGue/XiHhkr+njtzb8nCPOrC1m3n8WTNTld3xpJ95CD7ywTtBzs neLoBBQ3Fh3xxuQ2IOQNPPEmOR3bhkApOYmlxL/TD9VuB6zdbo8CreHf7tOg7YdN0DfemrMEbZ/j PMVMA1RmA+jRnvXOeRhAV4pCg2QoQoElKTBOP4sfN6v4aQMjvEm0wCr267mAl2xCR4+ghpblsXIU WKEERhY9k/UQExwSmoDXUj1ACD5EyhAto3tTG1OUplpgqS0t08Zoq8ypxhuzk+l6tc7+KRxDU464 pNBxcASlDHXYpNX/qzZMb96i05kbnSyaeRljOtUaY+7uAmjC362VRrlIbQahVTAVyLKpxbZ38pHd LMZAu2pBnGAmuXxEzHMfY76uBChwab4S4pQPzgjhw412OYZfl8xJTieYa38xZV43R2Lr3A+ZKvbK KV+San71V3TnREFagiWONdmB4LEjAR+3ql6Bcv3h2YpHfgc3i3hs/D2LnlJdM4EhZVIOi6RVdRvo A1gFSMmjOIQ/4GOzJtOEd4unQvTD42IyydLylohgADyKNFzUP51hHsWKec0BtsAW52LBd3z58Wel WpI8ExcSryyqPQ3GObRuxSa46sYLI6tZrMul1Gjig1JEzpUY/L1vnVGFqulbBRaxLMgR/AL6382r UgC4t+1Tdmfjv3DMoPWQUQl1ebVAGcAZotSi2W9Bn7W2hhz/bNCIKlLlKfh03cl5Gkn19D2ogHgM FZe/Cr5p7DDlsl9RlvoAkXalQKDSRCNNUkGltiPI/4dFHuEqGRM6qs20cRtq+CW8fFGT5C9wKtwL rRFD9TSVBD3JrfRQv3KUBPDbuZr+rCwH/yZQOhGiyrN1DbyOiltxvtfMoRtMeas7UxcPV2eD/jl2 o4QngUu3M/+0yppDeFg4YKd2kcmwCCVa3Y2AoBdifqF0E1C3Dj7OyRb/EwRO8gEcrVDU4dBYc4AL ns8TG5uFg18uxQHuITF72pkJZFApZDfrCV1FSPxn/b/eAf8lbR0yzYWJoMgBMuOd7nRxqHI5Qln7 u+ancQRDvFeVOvOZzYJuZ8qwtCMnfEsOM+6UBVDduOG5gKcLLTb/nPP06+kja01gBZZ/vhY3xFNH FubvmWA3xfzUmD/ppM8M+GcAfiWjvC2XK21fKxqps2gHZCeKTheATKC2afbIB3RbAWtzaH95cEae QR/Neq8uf4OYBmzh7MXSrBWxlsxw4llA3YT/OnbKVoyVkXzM7YaMQ+ZBtiP4sBMby4vJ4S2FTa8g D1Ms8PXZn9+3nIlJQX51WeU68ciV2O+3y4p9u0D6E0da8o1bvfGt0mHkEPVNhaKMDFm67K5uQKBL 6dlgkOmqeI5bzkoHUeHt2wTwWx0EPFtvPM4TWAX3buTRLkmXyACU/zZGwx9RToKQnkHTi8Z03nWb Tdfp3VgmZ7Jj+gpnOiBnqVTkdOoqmGWDICS9+mme+AZgpeh0XV7hy9Vjzr6Cw9tM9lST/JV941Yh CixtC6gbXqfq6KfA0q7tpfogQb5Zeu9PKUFh//odw1jOFS2VspMwHSUbrFn8wsXdlnNUwYvvjU2W LyyUhsc+IFeZnn49Fs8wgtHa5Zo6ZUjbMqg05tl4qaSeTYTeXOeq0IE+22DI+YbK6XFF2UUt+34M rNVSJ8svykfjMBXloUwNWDB8VI5JCaujpYcSzNy4vPYPDOBRiV3EXhnX7sN0vRAK7NwNF3of32zU 7Sgf2GJ/DMvzbZ2TZqMET/2E2+3p3R/HpRbpjR/6HIvt+fnmAVUf9KKSIazFCFTOXKhyLy7E+7zl gcLss3iNx/VeoeySUNVQNpi6rKTQpLAEXNm/r9ZWj1YTXPscX/WY1xsTdlOXpa5Wk6pVSZhrGjt+ MP3zfm983DAC6kMmZExI5nak5ax6UZDrxfM2pU7ncwnzFlVFvPJYZ/0WFQ4G6rjZy6o7D66/SVei B2Jdx/xOaHqcLBYfkipDB5j9IX7V8qbxRx/RJjunIdbduTzejOalqbT9hJUgk+ebvTos6gK6rLhY Hg/e4Dn/gYzWiXbwtpsXlXTsz6dS8qFmGfOnBff8CYlkTG4lyfpguWn0W2+qDf/I38QlDw/OLlRa m1flLIztcuOQfWLuL2dllpqqB0OURG5BgmEXOk3prELxrhAjPsqbQefV+eXhXLyn3m1GB9V8lhqd RBaFbv/DFNDAM7WR2uoCSzJfE5962EFilbeet+JTbz4W/WtcjDTt0d/g124lRtYj9IXhR/fgk0sp 7Z3PNKE4lIi8tnzY6tbzTzWkwVDhaZITSz7I0nyt9elBM6FE7nLRVwFmGPur/xu4XRZnOErkaztk 3EH+p/Jg1A9iHQLz66yjuYISw9aXLM4d8MnvIr9rjfwcIyEukWmIWDlhLFZ7/aGYY4EuK0A6WmxX y2CGboa2V2UeCgYjoNnosAp33Xu2W/y6YWUR71RgNuhtPTofRrhCTVazp9tx55YgmOM0OQ1Z/dJQ 8DgSZLqhArXmItX2gnJqT7EteSPeYxzOUReLg3Gvvwr3c2hsOSaaXbiDPeuXpGCJVgepwm9s6QIQ ZXly9IprSgAP+27IzFR/CMN672Tsy1MlWnoS068Ur3kmvYMQgCfjKN+/8Z15uAaFly72+iJUaub+ 2+b2ntm+oxem4W0wuciEPHIKWNX1iFVHcTv08Sdn6K2mAV8P3/uVSLesiK898UbV2EIY9z6I86xG VqwWJmqE4NCzRO1/NFxDYgWcc38W94PDVg6AgYCrIdAeCPt79UsLv68jZrJTfZ569n12l2gDefTJ QO8/u7lCt8Jj4Ia8QciIC8eyNgljEUmgsGk4GaJVJ8sUkKZbfeshm6/m+e87zQqbWjecTl94rI43 Uil1rSHdJUFOCVGXN6Ip0rdMB4sAiSHf7WxaUFESLN8ftklVsCWXdRolXZ/LTiCvQwjjzcZ3jdHd LcGssCvCIySyTntgkaw+DMlZfafoHWgaDDQwG3+Z7109G4/JoTI3Gv424xKJ6IO0GnL7568il26g OVfsfBMQnPKySJfDO9U72qzHxaFZveg3a0qJZQjpYGCMrhENl9ogGOuFZEGUwMQk9hz5O9Z60GKi WOskm995mnbPubKlv8BrN6xiRg6rTs+eljhCcolpyqTeqLxQ8mP1SsjFU7e+EOcQdgbf4ZPTOxgA g/QWT/EPF9Af0yLnLXiwsnKYq6du+2B0AooyoPFj3l+enDcBAVr4WCOJTTqTu+yhKxv9QqsF2ENn 9GfJ1du9MC0qQeHvD2rfKEQ4rqRYmVCtgxnHxdg2QxrqUPTCSFwpWJNq4h411gnMK6VBNYOUcdFt Pyegg9xzaF+1K0coXtDObOh01I/Jg1DgeZ780u2BwQacQQ/xEUj5zcQsgwxIrUb9fx5XGxzmaj9s N6T/Q2YA1v25A5N4jbR3LkLuTgR5P7cU4cB9s4YwPLyuSQ3CJaaAXaqesZo10u3MWbl7RTCCO2/s F0/xSwL9cUxPWxkp9VTXdfrrV9WaVHX9SBrXjICHzhgRCCxeAeYAJki7fsa+9TBDN12beaKUI+XX GttForFuhecDJwahltq8d057sCS5QVtFIMgdk1qLycgoRW2IbTDlNaSmySYvlCmFr//mifvessZs uI/POjXCI8fW4nc4SfuUeoIhe6zL3+DLFp7CfQC8zV63X2kt6agORy1jz0HH5lInEXJgqtuLnTlk W/5+zDla63ZRhFziAoWFZrVfPi6vsmwmJOXyhCFRJnyEka4YzGc7Y8CjmBJP2Xibm+NQ5IOLyvMe JVn43X+DwCE1NfWNpfLcmO7/ocG4x3CWOAUyb7xs4LWhiXnwWmooxGhrWeya449x82ihXlPDLmKG rm+Hz8M7Jyk9kSzpAoyvFNvhsh0JBVDi2wAalkHwe7bNyYe20CbO8gynr82DxsDbXuOsXIOrwKb7 0OC2kIN75LlHnkwp4keQy1teduP//JCjXXVzDcUvPHVec6Ar3iNPqCcxKxVMqh67gtIqYI4WyURh quUxVOF4J2xcJ70ker78ual2EUTqDsCBM3E3sgYNiFe6YePg33zkqThnweYd60ddyWU6fZxRmwZZ BBk/vDQQTMiJazOhbFjeh4sIEvfuDfwJIGaa//0NYbwnd6DhurlSF/JRv2Vvp8qG8g1hi+1BwDVE zJtt621NoNR5ZWMQq+x3cS6euZl193Uizo1veAoYg3AhY+MJfVirneis2l0XPEqFRKXL2j/My9aC UTvrZVykl+PGrEi8/t7c1831+kv1L9dNUtCR85migT9/hT/y+f+2TMW2RFf2ZTSHnM7t0DzL3vg5 71K8QjIEp2jCgTV4TiEsOeXTX0x9hqvkM0n7Tefg/mI2/ikqrELZSlsCkVVtRu4PERg3GCgsuv26 CjI0kBXg7/IN9JatsTiybRWknpx0dGZIs3eiyDmDp5Mev1NVlqLN8JxcPsSagA4N+GD5TGe29bX9 1dDvsghZIID1n3GKDI7B+aRMvlSei1xiqfPejpKq40r5R+Xj1bdhUcZXGRU6pLl3ZkRtH6yjSQah +o3MM65kcHynYs010/rW0U5pxRoqcFAOBj0xrLQj4RmxbXOAoMyn9kl6dIYTU3pW3t3Amm6PKdtz z4BT17ckoVDuW8RArUn7Gd//dxMVbNFUVrWZ0jSL7+3GhNayPb1dvZD1eHvtdkrsi9k1G2Y7yp89 y1iPYy/BkTotxx3p3aEgLmYtVcuFdN7ZuYNqyhbdYeGJk5qyB4AAdC7pTDl26hgrbRSzQfaruznr fcfOSOFkSIjm3UqCCcrzFwFtaYnpgqPMvVOyME0Kmxczf0V6yXW10SDN2z69PE2lvpAlAJV11oMd MNNTb4bqUH7b6DNv/K2rfy6TtTVahFINhFRG/BwoIoJk1Kxn1ovqCKNyfTPpzgn+dtnbbCwp+U/U 13WOvIjJdnz9qXtYrOlBhzG2TLpSW8Q03iTvvqfQ84oRg3ZS6i38zD0sUXbgrM4gnkKYwz5TyIf0 OfwJgR9vqi92BV92YVGs6w/7RCeHzXNFpVsc/cHVlkTufV+RxpuOgiZvwOV5mlrzQ2UpBn7owsSg w6jNg2CB8pAInwvPaBRc07jZrYEu68ZS4INFSEcZUTBAxNlitJQ/kvpBJPMHjVASbCjhCMnZqa9s nfQ3JDdY5KZtltqDuROmzRgcDMIbTVGJvp5YW+VTaxHSQVjzqaoY/JKNEG+SLvp9WGIKsLaJ6brb 7nDKsm/b3wDE423jEgev2RwZcOi/3+9BO4P8GllUmnAcpi/f2grKKbZQnzfhglbvUzBVsFvDNyoH Jj55Ce1EVpqiv2U/da/D0qeAnU+/8pq3TGzhL2uw1Q6GadsIifMo1h0j+UVJuX/Zhy2NUAcCzq9D ttjM+3LDGnY8C/ieYZc32QBA/cwvlsXcH3Lk5eirYD2y0q7LxcRJePTNTPA+LPTdgGDtWTOL+0Qc pnzMZ31a5K5DQbOhkx+uSxCdiamH5/6HYn14zuaYQPnsNvxEJLFvA0l9eJ3Y5nkIKdTnUx+wlEJo AocnodJiPYxFU2To086NvVi/vN39JtPf6QoGaL/ekQDEQwXawkUzAvxtzKKFV9zFVjl4IOEBtTqv MU2J+gZlw9zJ/Ciw4DYMkoPxcjYAVPO1o6qGi+NAidlse8HJ7k4LGXDb80xIulWA7UQDsj4Uo9WP Byh1OVTBIoBIcVNOLqh4ic3swAQ+LJXNHsMuJMkx44SYpYejYkxW0q8D2/DFobsBYiqgfxNDJLdJ ygadg4urUF7sdEdwPpmTjfBRkkO0jZBt3xB8NaAanfbBuEzE9Av5oAQumt0E2CZJw8QVM79kXAsU QfruUp+41SzymaiBCoq4W//zTImn6ZpRv2a67S9CC2TV2Th8Rtgw3MeN8xsEP0glZaJpy3bN1WbW kpitPqdYwtbetBk/GwkocQCs3K1V+PwGXPNco+PXSRSXByixFVQDUI7asBfQcTBd7rO4ZqMXuN39 BOgUZtMEEu0bkxaSJTd4xfYdauZpn2SZ1PSFV0ighih5xbov6ExbHeOqvz5mVDkTd0eOzAWUfTTg UMeHDtDzmYkUqJIKuw5Pke7OQ0xG6LTcrUYG8FR9ctjKmqUrrjOZK8p/sNtCqjQg3IAjHWtqOxLv FDafuu4g4fwgGeHp6YsMxuANnlx3dRgNaCMzmpzDNaLhKBaEym8FIHi1MSHaRTQEYZq47dmUvz9s po6ySRfBREcdh0PC4t+5Pw3CBSgpvMepZivY3XCye+tURCkxCDazUG8cJm/5GSwF53cgs5ecjeR1 tGGgLWNkAWJvem4Yu5mgsqgXOsSzK/3hPnvVgW5KM+de0k0jvfiwLeMB4/FiZFg1g18FwLXtK1Jy GNiX/sSS1/KZSo3jwnTwU4tkHd8WXZdLx2JBe0eHupOB8T9fiTJGUhxFRAjKgKW/ol7RGS8Cvjc7 plpAncerS234vrEe8FoIKFefTp8AycTKkyfL1QJq2gHR1V5vEFCb5c28Tdwk8kGKBHX6C5DRSzNF bS7283gAchNGFlKRaA0SU0yoDfB+l3Uo3oECoCEIhCnY1g8NM1h5iuYW/G/O7Cbkizr8XHX6NA2W uPZjliHj5ud/DgR+6Ul4LSDiy2kfeUsfhpKxms4+d8T8v2lXgkhZxlVvp2SBXh+NiePAt54MiwB8 fkNnQthsYbnpJo/Nu/81Hz97bD3GDkoyUoEz9Q7Sm2o84cSJA3YG/oSvnb3uJD+8uznTJxcr5pta RMqGsdt4QU6gzewf4Amwhjwuxt37vLy7/sZRkLqLKAhhk5T/uM+Aa9CU1vKwY9ZLH8M2iJAm/oev nNlTHEi1diT2Yfmr3hjmDjQ66+HzXxZEsU56AW18R+xv2a4yun0QWZ3xW0rIM1SVGrQWwpuuGTSx EWgkTrP2Ma7KTStmRfoh8nawLBOv28gCCK6PHXw07OwVHWtpsG59CXbCSTFpF/eiru6i4IWKH1Mj FjIu36xXnD1ixm7wvm1m1l/8i2AIoh0GjcvovTLSjVEEVLvYHfRl8xVHegTbtjOMeIX2QSO+1fRH JbVBpv1JjgLvIDWsE0JhGJBK+aCV/2ZoHB0gmSCDxVo5jrM6pimpJo2h6RcfBfs01769eJeT9+US X1wXdJuo4IT6mw7Ii2oCf8YFRwVzx3UVZdUMP16m+OWe7m07x63z4nA+0xCeHTEddRm1DkBO8tuR 7D2haQva49eZpWayEAZIBzJLQHzZIeKM7G+sbslsiTZihDQdVQ2TQEIqkgqTWrR5BguW/ANmNmlp eBeoIzsXERru3Gq282aheOPXmaKOmxQvPzWYFL7dPUetOf3tUQ8OAQ3U+j7Eg0ZkDOI9bRywY6gw zEbr0grM2xcFVgfjX+vo0pqbjbcKSJltg4NM36Lf/WLosuzqlUmuOgfYkP3RabYXJ4fPxAHLYOiI Ts/GjGbiSWuQs279M844yTMohOzrvzyVbLeyiVMCL5kRFrzILzEyRBL+cpBOBP1FxFCU1f7rRraq NqmKB0hxPuEn8ecN54BoU4Z50EWg32dbHUZEZB0qUJGyfjIqv/+6cwepBT1xg1lrmijD4FffhcPa uD4EZJA2pE1dZ5ENdXp4H6IdwRhLUWRzb0DE7XXjh+yykLeyYFrTfOSpR+upSnQKfvBtWc8eZTaC rOhQCgdqPOn3hXQHVx20zeypJ6b14bRcAt4pT54shQibcAIK9z74CprmkYTzMUfQRNDFaMsegQFD EvfvGOdQE40PFbGM4JL/ZvY9vgHvSPiSUDTHb2XQ9Xb3srEFu+O3I5PHUZxtYSJT+ebd95InQqbd BYrfdwnTWXdD418LFYAw4P8r9Umy0MMZNse7g9lhPy8gRPDJcYCz737rA+xB9N3kfGY4YRcnzzwn Se4cSGGprVMBPZuycvUBawEdsRZO9Ceqh8aellAAHE8DvSClqnPD4Rim+wwDq7J0yu9lklJMNuNO poheM678wvAW0FBemZiapBk8rzGDT5TseMgbb0VIaIwvbQLIYNlDs9lyx3YxIzfopd6FBJ3SaK/P xHTSNqQJA+pcIi9Z6GBVKAEm0svdsU74B6+QnzjtyQNpMCB3yGzDLu6j0Zj8TcH+SkI4hCVCKcV8 MG9D0dIKHpdgbwwcpEMVMJxAyNaz26ltUf9jmQ/ORDSFz4M4itsAy0Lhsue34vG6ITPIzmUen7UB aKVdSVz2MjQ6G4gytOr3vvhcAQNj1IMroPvT0I7APfhqWcRJeNwkzLRX+UWKWXWO1O47ZUbPktIc 1eS8KUMEv1OCsssAeG2et60qSb4GnPMGmgIU55x+DRPMkVCd9sK5+zy77PyQAb9NcQjp96WOolo4 9IHcS6ix/9Acx5cYG9YwVje/Ovc6KxsJGUlbOODg2VIFE45BcjOVDIgQL/BBQbXzrN+AYu4YFrZt gYkwRo8EYIGoZG8bDCPrJmIs4RUAvbtAJmLFNbq+/XajW8lC3sMUSEQ/PhKvoOPt5KhS7b/f4euQ Pj1Rp2JlSzLxw4zFA3JjeNarb+RBKfpFCSLcvwnOr/wrlDjG/pV3lwHWMWB23ETVYDqOsvnwtsMI /eniKO8s0/VYlcrwsYw0gS2xRI4KzyFs/J9ss4scMR17OtQgGwIYR+w3wGCg5vN4Vc8euUTiVl2Q Fmp6BwvSDiF7mgxY7EuplpApMLXr7k9ilTYejm6MMNlI8rQsZ3Rzt+w6pAEDrdlP9C8J+xij2hbL TutPg/DyjVJQbh6OseEMynbIzoMX0PNbfn2G+zzjqFKGk+2rpf1nKTJPfOqXFlERDDK8l/poi1JP HraRciYnlFzMdDkHYah7YW704VUtK5L3A6+liTszgEPElKePGSKhavGY1CP9NUTLkt1/I0TIUEKl Frh36JsjPZZCRpTZUswxc2QgmR1VuoK8V/vdRid6kY1M+40WXWKKZK/QyQXjgqCM34X1kZgQwrEF bb9feQTN0E+ycSuixVDhoNdfNVXoNtabyLoEkPC+JxpE+QiJxDcDxQSLeTRItpoq7c49XxGb+y3D 04r87zBdacfWKemxqL2mctftFU0aJDFOU76t2FjvlfI+NoQ1rHpGUU8MwaEM9qEOb8c/DLe2lHXh XEnjSMEIcCPoCgzBc/l26VzGS71xJHrGyCYn2cJ5vtGpnypBoCUHZADZTHaqE/d9FUMBSz3e6jOl f7wx0qlme2kY3gr9gR1m+Ad7iJMFj0KqyAq9v29KJj2Mm5Bqe4iN+k61gnjvHt9cX4IaS7SJzfJC JCQJbHkuJYvcruJ5mJSJA04yw7ex2NKL4FtQ/yacK2fAjc8saMV+3Fmb+Xl7iJ0IozLf/fdQOqeh JmsKZdtjuyz6RioTkXlqtPtPzxlQC1ykdmI3BdhTmawjgDwuDDPU1dFaC9kVvxyrz81qhgeR2ah5 LpAIps3FllYgvPrc/Mpy6MXAj1KKTaueCylOOGcVYdrsaMcjvVXVogWeG/TeuLLAvtW5Uotq8pP4 6YE44gLISwjzVma3rxWh7riMgnBXGGn256DYjr/ZYtKRn0nSl82onXh33VotmpZfisRwwekiqtuc bWrWOy+olboD3F6J9sWUIJhAzy/85xcS0IxmKyX9xPwcjWmuY/QuckofLEfp7B+WcNIlj8LX7lI7 rAiPVZZUlv0B5AkNOeWf4WgCnibLOqpqQgdwZqHplFx9VK0iHWLtQovB7XqlVR/5tYLesNcBWdNz EzZfWSWm9jxVqhF1Q4bP3eu7WR6dexHptydqPIf0sF2XJEMezWZ8Vpsk2IKYjsBQvMEVa12zLC2N q1T3C0dNBWqz3rzrn1LyJ8CuypW8mEEe12VRWxzizfr3I6XmSB7a1LdloFFen7o3pMne `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/ramfifo/rd_dc_fwft_ext_as.vhd
5
12811
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block hTCDc+asW/tBEkbiwaBbFmNMVZoDIFcAY1Xtjw2/5qvTHrHxfjowMxaSTdMaDg3UjW0H+j7OosIR k1LvNj9d5A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ZSTMgs/LbjErzH9NHtGXsu+wUOxrtBka2Dwwd5+WgqsTn1nwo6yr+5bBE7IgRrYr9r5UzZiofPTu pNnDSTkt404JAQVxGBoHEF6wlWpLvowa74xYZg+Aac4SZ30LpgToFmDkXAlaLhkvrXT/Ejux+Uim szhrZbEWaHtwX6/BzNk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Tprp6nw1jVDd54Xf1Dm1u9TK24DNFYXUErYdnmETt+ODG6rrBqZk6L33n7nmdLiOSsU2uMnKrHtG joqyTXSqgeFMATzx6W2AI5Uol4k2/GIqWRK339RCGceybJ4Nq8JdzJsK0L5a8iOsiFHk29kBCepF PCo3g0yINyGAy03PCilnYVJFMEZWDxgxrnUuSQ64wmq8jRAuthxUURNqwx6xdEosiIPgiabRofgK LH4tc1Adh56PSs9upj48JIWjNF5Bk5tsfp8DGAwIqQEz3R/iO8k/tQ7cAsYfbt+4aIqgZU7E+GQu E3YLwJloH/jKtLyTF03aYk6JmWjYU6DT2/FLDQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aVks7Fu7AE0DZAqytEkKo7vhq38Uaqwk7TMz/9bGeHNTxS9GZkC3gQE5QEivi1OXs5k8JsD14Oum j1NGXYl3eQ9RwoBEycLNilyYp6lyHeW+bns+ZeHrwvcveQzXkTmqo/kVhql8Kyw6n5uYCTWgaYBr n+m83SY+ly/2O++pg88= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VLxvk+kn2ZK43mWV4Imivw0/sYDs1FIHNvrYlglwOzUif/l9R+KRCReEHG8fltOjMOE8xtSqXFJL smZzKwJWUy2h6c7gLO+7W8OVkjkTe+7EAhCkxBTYsjVa3Fa5SL0JMMjUil8XGYUoeK/H9jbh5E4d +PGLXdiabEaV+H6/qMNC7jM1H4D+iYuVMxONX7w6QOw5uf1Mitfjc1hc9S64QksviBT2MsmCMlBZ zckw1G2XkWMrmlFxZenhfZUr84nfcafcoQtc4m0qaqpzCJ2JtE5ZBIGVcoLqmCSekmwpb9oY/w/y mZyQlu4brgvGVq9F7e/u7mI1J6o4eQTw3g7RTA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7744) `protect data_block Yr8mTeds+09OY8u3sv8e2Xt+0+O9lefpRyYfbfkcvnmDvFKPWz/eAuHxX8MfCUIXUfXWKcQVptSL MIik4x8SZCbWgaE3LlI0uB9V8D6oqhCEa4U8P3DBN6UbidtHOhRz3lupWQN1P0GOwMCfNq1sWmDW 2wzFQsyUiQ3ffhd62d6ewRCZTFiY+e8Q108K+NQMXK2I5bGrtbObddvIIBAYwO3q5az0fgRbsnTx jszBGSdHi0GwKoQMrdyPSAgr/hdV8nGCp6AzZzeeNtaOeaiBmhsRbrY6Isi4LriC30DxgOiCKHEM DqJ0bSt5N8OcwfmfzcfEvibsilF9v55Kk5tv6IwLaTXPwwDJnzjYzhZyBtvOwSJyRYp9XREKeehz CSLUMddVg3TGoO12P5WxCBCAQrEGi87Phi6ZoLvpd9Eb9S/fpxwjbG7/PzXhoOplskaakWiOfl4g igjX3UhU0Olbes9C18GUpHL5WL6t5jIDvb+PGYFb7OY2msTHdMK4zsSkKzJID1RflNYUgAmF2aVh IwNkVJIUCh+yW++4IBilJfHp5sJ0g3WLOqjX4ueRwtaVAWSMEQUSlv4dMTEGVcx4IC7x21MVtX47 zu2U+1jjVkwTObT+XRUEAK/knLy0KRrW8l86cL0LcIDwHqoYXxxhDSIF51LHL4JBN3tTxw4PgT33 GEc35cVoBpH9TUAbXwL4KBZKFOHrr9EieMtT9mcQ1lYPzZWSIwjjItTLNaso7WrrkeAMjdYYZZHe p0Wk26+TCC8zdSHJbylvDrlvEmDuoRmBX8YZwTASNuA6U7jgbpgaLwn/m+AjnqK1RwkFPVqzoR8j 2Xk+aCOGZr3aVJlDyaiSoAUHb3Pg2FnLCayDqNn01U2IXuNCZW+ABiwa9qRTSbQm6gtCYNa3yySQ IOs4NbMaB/3dZPheOti/fBkU5Kqy7qsA8dDtGXystSJ0ScF83ctT8C1gezgejGpdr/Db1N1D9Kuz Xfly0LmkJDy4+y8/1CqN2SJ4vkoXrKMLO+zy8Qtal7vFxMlWSl17dFcgjOVyIpwgjNRRBk2E5wtK wu546ugI8PFI4W++au+u3udu4JQ9AXVYKpC01Ld2cAD32f7k2opfAHMjL7d7ydL4Gxx+s1geTmHQ xgUV+qP6QA8pRMB7upsqcjm3eFAdjC+05zQHivDD7wiwLDNFP/w4tBOqSr9nfN73xt5NwK1dN012 W0emCXWna0KMtL5FKMeBAql5Uo8M2ayejKdgVON6Rg7Px5PdwQXCzR5LjqkajfAz25CiDm2pdYiD wQFCMaaAIS/vYg90o0kWzUE/hAvunlgpMnaSiHsmCXNRyZ/TkP2ryLuld9ucQQTq1mxUAnBGZmvN YeypaIO7y8DUMZc2nqfLKWE/n6qBF9M0MWizhMgDro9PqbNkXXeA+3B8/9+4yfC10JvZnRjl7eJT A0QiT1UJXL1yJ9Pf+9E4tpliZRsDJiGGM1aMPM0ssDdDUGspxZ6rNTEw1AL++EhssihBUMxHUaZ3 IGilDuLarK8/dM+fGty4e48aBJe/6qB7m28k0YyirL2BtVz1w4oWDrmFRoc5jyik4idNNuZMA7vW Qs+OuXypgFN/FYNQMD1MgRwqoXlTd7ZvMRg3vjaF9shp7VLvz+huPjMzki69I70w2gSXwbOI231b A7qlD3hTN2k03qa3IVvFdbTDAcwJ/XSa4tvF7tM87m9NhMR99WmpRSp8q5UrqEqV95SChNuQg7jk cwivcYKVH7po+qlzIOm/sJ032OraZeFFIsNnoBwmSCg9QLObIHgBG2saOFXG7h1JeRVvmIvKE0Fd lHEFoupQ3whJLUeJjtZY5t+qA/Cq2DUpEGLKvT+/m3E6qDE7BKhH5ARtH3fOFUxq9I6+zJh2+WKs 7cneAJp2GJfq28O4dhIt65gQ5CWR4qZYGEQrqPF5uKEsCMLpNn6eoGsr4ge89r1qpzxbHWVAAXDh F70PkjaM6FMtHypMAvZIVjotAHLcDsVgw7Eh2//EXb5UV+GYQaVAcxPlJNQJ72aACPnfDEMsDcL7 IhBTPJVNKeyRKr+0L8YSecgcu0047Eu+lcfFhAygBae0v9haKGJAl6yCo0/dWCFH0SNjmchrokpp /LVvjnP9F2E5J0pM2vRZHvPa31p+CyMLauFHBM4tl1mHxEcvTDEcQF+hZQqVMWXZkLjTocCKNLsP wS+aCABjEUWbc2yUAaFMpkLlQVo71Z/HZhSgxvSn33fyugrs31DmQEGte1vh1h5GMoMD+6EeQlhi m3TtYfErMw6wbJL/1a4M5KEDUnI8/WID64rzQeE10OnnxHOUiJ8WWa+cjrjWBjUK6efFsuOWyisg WVmVauETNdXu+fGu3kFUM1E+exJciYgnvTwLjyUiIKnCM3yCF7Yb8iRDn1LKizUNtNmiON7xbqsv mlHLzyp8tJQzppoBNzbP6J5uP8OyGryvEI6REIpw82bCPXqHBC/IOALiUxiKwbuEgG7FVZ2YXHBU U49jQl/KgV5Ny6Vuyk4zwsSH69dlWMvg2gnVQZjJmJmyguDQxU9i5epi+p1ni/hsmy8w7lRQ4sTi n7M4uFYOkq1WoWJ/t6r/2s73nZ+GGh3Ml4RCDIrcWT6vH+KDZPLZqWLVIweeqaXDNTr7ywf8JE5h LyZzjGzH5mWBbfGSuOOxyfoU9H594+L0DW0dJxhEX86kjPYpFZz+vEF0mZBizLmM6RqWmJgNGxP6 edhOg+Saf5DzYtMcYpYQ+ULwLh5BM1alpNut8ClK1ICREXGqnRnj9MzZe5jMknuBPGiwdq8hgpDe E6SzHl95KSC7lV/BNqzmkSKTb4vE2pt5CxegiM53nYnFUOy8pyO2sm9Yj03wSnG5LxTsVIGJc5m6 Yi7+lYvXWfW/f9xA4nCbT8DAmfy9ghbtkXs7W7pFo7rNBiO5cwwlsOr6w3WyYuF3PUjm1tseCVU2 MJaCNDoRd+CDVsSW55yJ81lNT9MXrngj5iaHuJGPgB4WU8uUK/YBb5RDeKEvR7p+U6ZDD6uoVIQE QbdjGQAHjhmsL1WBiJ/sKQGhbkXnEXYgzO53XwLTIsodVaFFQmaY72KEQYs8gVCEgczxEKJ2hT9d FUEEEv+zV0RAB2jBpD1Z0hzgzafQHEVvCNwszyoKEj+EbZ0ey27YXexeYiX/F7LYDKCIS4wZHa16 gOfaCJa4VW4rFqmwXb+UYbRc2hvRYPrwxr61B4H+USIG1vcsdLB50wNjY4fd4Zc7WHsYqAD1UkVF fljiT0sqIVnwke5ACkc5kVy3D+sux4bBMwnWGAn1xnX0iZL6Z3iAz1ZgTzNoLrfr7upi+5EqgICW hD+XJR57AqumVoD2wLoHGmowNW7rk3aYUnPUCi1krviAY78RtZsXe9RPIq9MW2zXEeEwnlf7Akzw AnqIpREduke+/fmtSsY/FXLvFTV6tN0NqEYBjSIwEJ6B9Cjh6jtDlY6RQJkQTyD9V3g9ErHmR6Kr p+t7u2JBabz8GDAOYfGxJsWTTJtMmp5/0dCKEsM936dYPSjbrpaymQG3hAggYX1bw5QyCCh26g90 tJsBinHJ5UIo1eAs6GrLdaW5KurlwFxE84JX//RVy9RjGgrUg+fjGM+cUwJnMjBpppOWbdkeypRz ASwqM8ct2IOX+mPovhcLUj07QogOLvxFpL+gWk1j3TIErK0Zux1UPYZQoAMCUGiB8bTd6xaG7V6Q IPqtff0xW3+GnYf7Q3klZmm6fQ0KjD6CWmPEZP3KZBDb8aQbqkBvbRAZ821uePByJ8o9QWO7y7Iq 4FQqE66FjqjXFeyhPUxOF3uY92dkGI5+moWpolYpY9ChRH3ETtQJI4797aSAXh7VvX2tU0lEHOvq SURRTIAcZJ8EUeNLtpJWc8P/n82kABROg3zq4DFqxniOnvqsJv2lIdE5wR/u7On8BXkpx8ARZk1h cL0Ke+PKVJix/CbiENWRFUb0Ac3XohxmcxRd8kDylSyQi626v13qYY4yYL14S83h1N6rMKvrHZFZ MX6jXcCLRqG7E7gs4aWA3aNvj8UekR32tWGjTobnTC0mkd7msUGcXms3ycecAbbWxDB9HUu6SfTa j8OS1Iy7pkR+eqLeT8PC77CYVWrw6fLw5waIYIe82fA4/AyHyMrHWeTyPn/+ZWqGHsTAqsPQvo1q 2701RFgTvPxR2eH7m0uBrFHOuJdbQyRKA1gxia5dAdFGNzjruF2rK9AcPhyaIS1cVX5ctI56ljLx wPfxtNJOyrzjWACtQGRfdMAPcK/JFslFIgfPxHn4Dr5Iu3NIM9lbQjYUe0QRIdwmYeG08OAMJNS4 rkDvAgf9gNLlp+yBPJiuH71uiopWODTjF0UnS3cAtpA9qLGbRa8MZQNkxLWbwO4/6FLuXS5l3lty pf/WNHvrFBGgtVWL/na8TuP6p5q9h7CcI+GnQTLEap/kRBLKOIcqA1nm5aF8tkihJkEYO56hIYWD sj9/acJL/0kUop38LKGR8vdUdkvU4exXhOUJ6FMcZL0LX2xfGn2H6hQR96k7LnfbL6La8eLt2o7r kwOEXx6uw2l/KZFh8DYAbowAGcuQMSctw/mtk0AEPpf4FtID525dzDMaeHnejdX+v45n3nWrDHCx CXh7OKsVHT7vp4uKRWNjquVofyLTZ9DApxsiIcH5Qzv8y1FkPHNjY4IE5A/X5OHCz/wrQRBaqdBT +tEp50bo4Hykqtn5t0wkaskYevYG/sYjPtdxj31+0GFd7hDxXloSVMps9Mc1Jr7W/NwyMRa9mniR 7hUXftesa3qZNabB5wulMImRd00PJptf74F7FELCRoZbUxfNrpd20qxH0iSG18oupcMsOy/fuVbi azUMdZCH5h3FyluuVfBsHwGmJQQQxBSmkNx1AvRoeLmR6xNBPj+MTswz0PkokNGrJUaEv4rWHR6k 37ZHnv+GzYR0QaVp5wt5oj+rYGBjRqcYfirbKSeqdRaLD3vDBIZGqs+H2He35TD6Tfb3z1+mkNj4 GmXNHXFC7NuIeschux+z5kCRzeqI102FVEKYPVbhWyWxbNl1/BE9/dWOA9WCKea4rdWU5PWZdlTo 17QVrM6MW5bJu+HwznQ8sRF5ET7JHSm85Blm9CHRetziQ8IfQZai1Mhf3Ia3+dyQAib9a+Zm3lgH z4EnZCo5RptowMO8kgrBlOtPwQ6zjEmbR4rKPSm3niGX388X50LVPgiHCL8f25NtpwOeRfKnQ+pu Tr0zB2TxvpKQcCz6+EG+ZYG/cNTEwx4BGoUlQIpKU2mQNf2P5kNGu4f0B2w+AvkUhXM0gkgx1Nsv PyiWCDh0MiTg1FX+TZdnbfzlsVshMrcAMlXAM67/7htaMofjSgqG3notwiCUrMUJawE94arqF4bN Du2Z17qIEeKNB8z+WLxZHHxHNC60LOgKBTXAuPPgnDfwcJ9nE8G6U1YjT2U7ckNhGQeZqsiSurp+ ck2X5Y8K+ilWqjGFH7aOxyp772Nf92L7Kw1SVxV8wMyi2j1f7v+wU7fvdGYqbGe3KrAXk+cP2rqq CfDasJtl2t2vHJZ2fnZFhB6fOrjlLz9BB03HXlt27hqN3QdL3ZcE+F4nJMlGfrrw7iZDsBlFsrJC VjxFg+SoiVMW2i2TUyDe1S6UN/hKlxCxMMHKQ+kkB+vYyTLD24ft3Hmqj7FG7z0ltSd+qPS+j2BB 2u5g9Q80W1Xzxzm+qbro2FHq8NTh0iTwWOE8iWC4YDblOmy1j0egXNk3fEnPKwVk9oRv7Siy4KqB NPBkD+WZ7GzqU6our8y/d8Oaw3qEhPfhnIYo3AjwfXOn9WP/e3QGpVJ6Sjp9pRySP7AESwUTZbOy M+aURguqUd+WrGzMSIOyV4Q7HeD+44kNWSLv/bjXRkiBI1jDQ3+5reVOWWZOSjlqZJz3s0WVAAph /6WECc29w7/M/SAGYk4krPwIRQ1ec7DNRnABaa8lby2W2ECjPk9HXK7YpLokw8pTqs24JScPpt4y 9L68u2B+NHbhVWyWbldCGoIRMxfZIJWaq/lnDHF/bw9u9Ur897lUQlDKodWVQ1gMKTAsJJ0Dd+G9 1uhDB5Lh79S2HSmrqaYDeqplH3XLuH2v4GjyxCnUvVXuJgWux0RsDAekSu61wqqAXV8XpQ0rxTDZ okh7FfE4CjlLw1D6Wi5/vJUUYCGwb1n2BBwMSw6s9rrxNSVWnTVvPTt4gXE8xCSe2NGNMDvtGGZ/ yGEtRxZ8SiL5t43NUlTDkVlzGUcD5yNSRzeTYKuKcgJ7UxVy0a1gyJi/Kg4lcwVoFitGB7xPt1Sn q0yQnmndxgxLTvfHRZzLrcDsGN8yZWGTTkfcUOksgT9nhCJrHW11HsWNedG2ICt+IXb9ZLPKeGm7 tObs3Q9dNZRfrufhHySf+8fekeB9hoPTvW/8mx/iOnESwTzpJoX8NsmNcR9+IMkx56gFc0SLX7FJ XF5Qi3NQlVvMt0bopkPlovG88UKR2jRKxuKyVCOQ0RC4Z+SI4SSuH1s48EXCJ0BYPdvggIGmXjsE ZZNIfOw4pJJ+gsTENdA9a6YQYIKt+TIjDrgzGUUZ4/Nif/Km5g1vMr4JqUSADHzt5lBVhuVkvLQk c2zUu8JuL1QPEkyY2Sspe58DNmL/mD0sPHjz0ysI/g9qUmsIHfRkr22HtS95kM+DEpczPYEoiMF3 2yxIP2/I7fPdy9OiJZN0v3etO63PSjhUFKekFMBxInFc/nq8Hpyru+KCrULkkBkOzZwiPjfCEHFG plqL0JYAlyq9lNH0UNeJOzPVNcYD7VhMARVllRMtnaP8WUKW/UQ2H0zBIDpd2c6WsofE9wvEzg0C MqN5Z/g1UxyTl+ZKOnh56TvXDncSoZgQlKVBVFeYwwk6j4i6cujGS/TxSpYoFDXMCQpGHNLh7t/k yZQSRaphKMdGzbIiuc2NAMY1DK6sgYId3txyMo/wCOhaSmE/8yyhqxgpMlTAfXLw1kLg3ucPW2u8 rTtAyyAAzWF80q9fhdQ3J0RgLI4gwV8BnoH3+gJJ4qJJmEqXBu74F/LTwd1CUa1SLuUKxcO874PP lIVVY+JwFcucbCRInBPZaewtCdUNxctJulVFLnk4SzPXlbti7R4ZNzj93tfgOBaslgqzMMMm5Z0Y AHKqzh8Xd2gQzZmrttcNgV758OgSxZJHZhHJ68wPyHMDRr05OD+ItdWFyhWN548LYJX3gZu0rJiR BYfPyNe1SPGs5C8EpDOKhXeJ++y5KHHierjczzVaGy2iW2eTWomcVW4xARw3/ycXbq5wH2mZWYwn 8N/WWw58EyHcBizzSd7ySlvGTxo5W/mk4I361fpWfErTwZPeEN4v50VbJWzMPAwCvhMamII/tUhI M5M8G/5IDoLrIynOAv/mlwe1snhluE8mRjMF+GC0r6dT+wP9c/YqHjIy+WANFqGQfq2Y3rNAQvM3 PM1RzFsno/+Z+1tIsjYHI8lFEN4mEO5pB5DsE+27XKbdc5Rm+YYTtd3jDL0FDMvJQ55m0pCPf7Ui 1aGiKihOC6QHLF74/HCsVnYXuVVKznJ6sYzPHWwUsilASY7yv5xvu7E6iRyyP9Xptj9DV5mp6SFR TUIcO43qOQleRoWDii2NHKrpoO7zzrMtpoxkvWh+1prrjqgJkx7nltWcd3msbNRqR9KnYpmrb/0h +kqzBYGBhCXnRS7uUVOitHyWlK7aNorRBRdAbu7U+hMCvjmc8J64yJepH3tZsSOelisHMCU66bR9 YdpWGBoyiUUQ0W42ngxsK2QQfzcE3ZoCROFTVwys1Pu5ygGaVsQiiBrlNOMg+tvr9Noc7HeYFkEt Y9fM7zWcxjR5gxqcKhxkt4DNu2m4I73fLLIx3XFcVLndNghzTvrukV9qywmhDy9KAVF03wFmXFsU 1+HKEW48WB6/31P0vRSLujpNE5EbPSm6BMNNy46Dh7v+FMOz5GqDysRm5gI/kfiyOB81T3WvEEbr Bt6UrJsgieeb+BVBmxqLcNhHxQvkpTMMIuQiH3rkfs7Koe3F+/Cgt956BATaU92rzkSY1ufsS4lP u7KwgBgm9UzGiZ2WNLp1o5Eze35AO8b6CcFD8RwcM6w4xMmIjFxU293Bj3JWxcULyzGg1ifOhH4T q9vBxTpf1bfNQF+/L/wKaOd4/HmtF+3CHthZ59I27i7NCs3GnQetDcnVGFyb4TCUsrvssxN49fBz S4hbisHyvxTPRP4NrLod0QsJUPL+LCxTc+HgjvADC7BB4INcQCt33gEiNp5IT/1SIXI5uzR/IPgv buFVuhKwRJdffTgfIkN5+Qf2ZJiAqdVZK7tmh0Hr/p1W/Ft7Cc3xWqdbpj2umLta37I4iXLqPQk8 cODVY9UDrarxwvUVrG5hk8Bgdv4vTbIvqKlaf0nqRiSsk6xRW0/wyxt90/KNMwvCMzaA3daA2qwJ WHQhVBxfarXNtdtFFC06uXIdQFRZeWWTWrgpMMn920O3i0nLZmMq7OUTuhSAEiXymd+0kTth+C/o FQhasnCB+1Z/xBz657G8FGgmGX1ImZnX1wr8xlKSDQrj/2SeX+Ko8avXANp4g/E/lCQq9VhqvCfA Fdt+feFWb/6pQUNsC7valbVZyDQ245Q7lGDjjdYuzlC/ES4ey0/SFeXDmodeuKTOcFyUyjDQ38WS U6wDR1M7sCjlf0igopogco9zeO/5aCJSm+561xAoDGKUw7EXcuLTsKLd7O8XgFihtqjQVADtvXlu b/Gk+XDTbDDVjaVUoFXIsK5ldKmdVt81N5vIv3tvHIMckazfD9skVA296om22EGIMrfcqoASuywN K0x4ZyFYNpNp41Rr8CQ/h/B0g47QLZUjtNCITdMqkC4r+1G0oJOhUU0cj+l+tjAlnH3/6DVRsKUA jbs8qQfhPkDq01cSaR6uuZUEwIUKUAejqC5ORIRojP64ARh6WL4ZjaDMjMgC40nGFk7g0+GRmei3 XLswHlHuj4G/CvdngT++ky32kySYo1t4LZ6DTfhW6D5KpmDpISMbvPnnVcBGg5cgCdK+YPD65cZT uX1/aPqylcJybIxc4WntwmPifMlYkmFBeGmch6bl2NLQbOy4SRTv8JsBGpZbHPz3O2w1Lf4d7Cik 65MxpU2S24kb45TDGUQeZNjLYDdDAe0iigOjz6s+hRzPex72OuMDd5h/WjYQwnpmlmkiPB1jGoRY 9GSh30VjzdgZam3cplTCRVKei8ZgFCUoluSiXKltRNlgPcd6rzICb/hUTmJc2G8fpGKAH+UVyIpC opCUsXw0poZdCWxQ9qq2c+iosQm0uN5cPGHnvmSsvY89DV1AyzVCJoGC2IDzh/813GQn1nEJB72v 2r5VVngejFvTt2mtSoJ+na5whipi393JiwRaOa2Kxj/1b53isp3IW8aYot+c7TFFmac/lhghNP3b nfqvWRkVpXtvGfpjQrhoZlQwd4ixPXGIzzai5AE9HMRD2+frog4sF+1/q/2itf4r5cNkbkkLj3dJ gtnt1p1ComZK1FUP05zDOMIl85wrlzyFrctsOQ27lK+WH2VkpsZLFWbvuf/NwuKXCwi04hZVGtsa AX+GFB8Sxz+PWJExpa6rOCMyWo5YYPBF3W02hvurmnhh5zSymDvf+JQ4VlncUvmLKGqWtV6xzdUo frCDVo+kfShjKdgq6fp41n7++4fcYh/aZa/VgUHGP0kiz4nVxvywnUJ2JCSBH3g6eikbC2iIcO83 0PIWiQW6O4Zk3R2iDBYdq62G8ou1kY+udiQxmJIUiCD5uJ76AFs5OqPge5eGnQCsu3wbFa/Fl8tK g5mgdp3Njcs+b9rfOYF9A87v5wxe31NseQWbZz+zDBxGV7+vGZOE4gCBKrIIUYpM2vBGDvqEfyk3 WXz9qBjjos70fmH6hr2bWk+bOZRZyobORhZVcICF2+QD5VNaXFDvy++e21jsRyLICFX1ruwMObhW e9Xeenx6dpgNl8h7pHHOtOggaA2AZbQyHdt1Z6KVDACNVDCUcKm8ifK4Wn0iUeLbLDQBWbK0QARA 5qX0q7ASKa4ahihJncMyIP1B4KitTT9uEXYfcL9CTfRT6XnsnMAIaelXuP3fUzEAcrAVGA5cvzZy CV6xuLgLsEfKg0kZbpvQffFk4Ym8uFA5JNIi7Xwq3S+tJiwJ/SCG0gMyjgIVotbjEZHNVntTu52D zrqLFJZRQnzXnLFhOQ+UVyEj/oXzSYQjMUUKv+mq6CZERCyo3PLl4AhK9AF+maqnM/U4KQlEtVYu FPezK+bBjU63qDOIcFJ9vsThwgPRVuNnuCH1cQ1CWVzBkQs3mb+7MZTzKN3XrP5u5g== `protect end_protected
mit
Nic30/hwtHdlParsers
hwtHdlParsers/tests/vhdlCodesign/vhdl/clkRstEnt.vhd
1
137
library ieee; use ieee.std_logic_1164.all; entity clkReset is port( ACLK : in std_logic; ARESETN : in std_logic ); end entity;
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@a@b/_primary.vhd
3
4112
library verilog; use verilog.vl_types.all; entity F2AB is generic( WIDTH : integer := 32; DAC_RESOLUTION : vl_logic_vector(5 downto 0) := (Hi0, Hi0, Hi0, Hi0, Hi0, Hi0); WARNING_MSGS_ON : integer := 1; FAST_ADC_CONV_SIM: integer := 0; ANALOG_QUAD_NUM : integer := 6; ADC_NUM : integer := 3; NUM_ADC_IN : integer := 5; VAREF_INT : real := 2.560000 ); port( AV1 : in vl_logic_vector(5 downto 0); AV2 : in vl_logic_vector(5 downto 0); AC : in vl_logic_vector(5 downto 0); AT : in vl_logic_vector(5 downto 0); ATGND_01 : in vl_logic; ATGND_23 : in vl_logic; ATGND_45 : in vl_logic; VAREF : in vl_logic_vector(2 downto 0); ADCGNDREF : in vl_logic; ADC_VAREFSEL : in vl_logic; ADC0 : in vl_logic_vector(3 downto 0); ADC1 : in vl_logic_vector(3 downto 0); ADC2 : in vl_logic_vector(3 downto 0); DEN_ADC : in vl_logic_vector(11 downto 0); ADC0_PWRDWN : in vl_logic; ADC0_ADCRESET : in vl_logic; ADC0_SYSCLK : in vl_logic; ADC0_CHNUMBER : in vl_logic_vector(4 downto 0); ADC0_MODE : in vl_logic_vector(3 downto 0); ADC0_TVC : in vl_logic_vector(7 downto 0); ADC0_STC : in vl_logic_vector(7 downto 0); ADC0_ADCSTART : in vl_logic; ADC1_PWRDWN : in vl_logic; ADC1_ADCRESET : in vl_logic; ADC1_SYSCLK : in vl_logic; ADC1_CHNUMBER : in vl_logic_vector(4 downto 0); ADC1_MODE : in vl_logic_vector(3 downto 0); ADC1_TVC : in vl_logic_vector(7 downto 0); ADC1_STC : in vl_logic_vector(7 downto 0); ADC1_ADCSTART : in vl_logic; ADC2_PWRDWN : in vl_logic; ADC2_ADCRESET : in vl_logic; ADC2_SYSCLK : in vl_logic; ADC2_CHNUMBER : in vl_logic_vector(4 downto 0); ADC2_MODE : in vl_logic_vector(3 downto 0); ADC2_TVC : in vl_logic_vector(7 downto 0); ADC2_STC : in vl_logic_vector(7 downto 0); ADC2_ADCSTART : in vl_logic; ACB_RST : in vl_logic; ACB_WEN : in vl_logic; ACB_ADDR : in vl_logic_vector(7 downto 0); ACB_WDATA : in vl_logic_vector(7 downto 0); ADC_VAREFOUT : out vl_logic; ACB_RDATA : out vl_logic_vector(7 downto 0); ADC0_BUSY : out vl_logic; ADC0_CALIBRATE : out vl_logic; ADC0_DATAVALID : out vl_logic; ADC0_SAMPLE : out vl_logic; ADC0_RESULT : out vl_logic_vector(11 downto 0); ADC1_BUSY : out vl_logic; ADC1_CALIBRATE : out vl_logic; ADC1_DATAVALID : out vl_logic; ADC1_SAMPLE : out vl_logic; ADC1_RESULT : out vl_logic_vector(11 downto 0); ADC2_BUSY : out vl_logic; ADC2_CALIBRATE : out vl_logic; ADC2_DATAVALID : out vl_logic; ADC2_SAMPLE : out vl_logic; ADC2_RESULT : out vl_logic_vector(11 downto 0); DACOUT0 : out vl_logic; DACOUT1 : out vl_logic; DACOUT2 : out vl_logic; DIG_ADC : out vl_logic_vector(11 downto 0); OBD_DIN : in vl_logic_vector(2 downto 0); OBD_CLKIN : in vl_logic_vector(2 downto 0); OBD_ENABLE : in vl_logic_vector(2 downto 0); COMPARATOR : out vl_logic_vector(11 downto 0) ); attribute DAC_RESOLUTION_mti_vect_attrib : integer; attribute DAC_RESOLUTION_mti_vect_attrib of DAC_RESOLUTION : constant is 0; end F2AB;
mit
Nic30/hwtHdlParsers
hwtHdlParsers/tests/vhdlCodesign/vhdl/minimals/packageBody.vhd
1
39
package body package0 is end package0;
mit
caiopo/mips-multiciclo
src/ula.vhd
1
1665
---------------------------------------------------------------------------------- -- Company: Federal University of Santa Catarina -- Engineer: -- -- Create Date: -- Design Name: -- Module Name: -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ula is generic(largura: natural := 8); port( entradaA, entradaB: in std_logic_vector(largura-1 downto 0); Operacao: in std_logic_vector(2 downto 0); saida: out std_logic_vector(largura-1 downto 0); zero: out std_logic ); end entity; architecture comportamental of ula is component somadorSubtrador is generic(largura: natural := 8); port( entradaA, entradaB: in std_logic_vector(largura-1 downto 0); carryIn: in std_logic; saida: out std_logic_vector(largura-1 downto 0) ); end component; signal saidaSignal, andOr, addSub, slt: std_logic_vector(largura-1 downto 0); begin somadorSubtrator: somadorSubtrador generic map (largura) port map(entradaA, entradaB, Operacao(2), addSub); andOr <= entradaA and entradaB when Operacao(0)='0' else entradaA or entradaB; slt <= (0 => '1', others => '0') when signed(entradaA) < signed(entradaB) else (others => '0'); saidaSignal <= slt when Operacao="111" else andOr when Operacao(1)='0' else addSub; zero <= '1' when saidaSignal=(largura => '0') else '0'; saida <= saidaSignal; end architecture;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/reset_blk_ramfifo.vhd
5
38790
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UAGl33eV1kZYKmI1EkF+sL23HYyJYovI5Tt8xtrxczXuH1xXc/bv7fX3YEg0AI+mzFwJglfhCeqF 7YZIZb6RVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GSg2hlRzoqva1ZcJLSU/keiLr7EvSxbFep6Qy9oAMkTEXBTJOmxXlOLJ5AkJ/vm10i/bC665rZEv zBGMrGa88I6ngjoj6I/UgTwGu1T28NfpRyPOO+sYF+KQqHdbNOGpHmyshG9Wyykdsb5+ERVd/6gV F3VJfE+4+ZLg0mar07o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ombO5+K1OeeNDLt+fcM7+k4zIqcqRFbF75DVKwx0GkUmGTTeKpJbgbwapys6S2bFyiFXzn382rcy kzhNWPguaBweOaC5FDcAsXSObyI4aBj8NVQ1a5HHkPxnFmS9SG4nwUHDPIP+Rfmc4vSXxS4eagQ2 lQKBjhti+bQ4DYHnDkjIv10ora6jGoBG3/MeLPKa9PZvWTF5Vme/i9tSenZl9dlCDp/1EW9jGhUB eY+rAVHQfodiuolvN/MXIvq78ZfB2cwSca9Gohb80XI8oCslPOIO+8O+sfPeAOm8Nii8qwts9giQ YlBKwNmlHU2iCv+JFDH6Hq7qEz2I99d0Nou9GQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PbhQS3Igjtr8bvpjwV5MjRHwXvWT/MOf9aAXJ2Kgz1xsTyk1N8HAyQWBEAPnARGtnrn/Dsvo2yHR LBp39xEOJNtb+dFsyvV1IV9yl024xYFteWNtDbS/aEiptJWoH3AJCsgu+D+x57ZPmx0r/6S89QXv //pFa5Wa6gryCe/guBU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GbTEUk1DPMNGS54CCuFM0CVb/kcC/Wdr22uVUt43n9Gdqd+vA7U7bR+x5EcCDRn2Fy6E1kxMEMhz HuDNxDdAUT3DimgZ6nE8kj8AbGI+vut9wt2GD4P+yyunHze+P3GSivZGjaN49dZOZXQ2iiSKDnl0 GPrjTFcyyVa2F7LukzpfDYpzjjmGLoP7BMdUkos7AsgVtLhc51aLkTQ45H130UYKg4Jjy9nJDxwQ 5wUkyz7gPbv70paH7QD3JEz/weJclOlbhknBCR20KRQfY9yRELflJtebPhgt/0iD/21/xmctMzva dMs5CLIl348604+5N1SL3117Nf62Ud3Bi7anRA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26976) `protect data_block G0LRzYdaG798oBar01J0vgNEJmTee1/K4CPnT2QQ1fV1B8nM1GJW9HpJ20gyIfXknFBf9jZZlBP6 LJqBr8gETtgke6dMHDV/JIM8bsVgs61xIxErC7w2bB7nAlSZFguKqUWdM4il9vYUkXYDp5CbjEoc 0T2nt4QFqwp0cvRj0v9sH0ZQLs0kJXdgsxjxNiFiBtcaLWbnYIoreXCoUzPpyEcqKhDSerQ++Ybh F6ThE43WVpoNqn/MQrLEosJ77WwVciTaojMyST8LRRiLR0tpGbhIkOvzYRbv9+uE+ggta8E2rfS6 Sb/oYc7alQNm+rzlqXOXq6dRfHn2NE0WC3lN4iy3fA+3CvJuHW0j4D8Jbrzyz8yJ0fYopA7Aub5N 3upKl0VxA1Hs0JwYlMWvWZY+/DozIF9y+OoFHZfrJy6jrwAOpM4k4pLQPJgIiSRZI6eOqWnEM5r6 ENSnDNgfOQLR/JKg65NNhyoye918/QEUMDWWdQokvimQKNBJju+zyaAke5Zjz92gXeSk2CRIxuHK INjgOsvcrFs6ZmHF2EgPoEbQUK+H3z5Rtf8tKgMdXFS7qo15bYNRYqAWLOSHT3QkiUlSwugQ0zbM xSM7wdiVtPwxjABWGGx2wTKFD2CLkTiliKvKUpwZVvsbRLAYNQj+EbT4IJKkqAd3xfM02uznIUXo E7wSrie/H2my/BM8eflSH3HcFjel/8on6RJGHmXY6p7N8yci43TBT86t+/KG09mKlW2HL06o4GTe xXJTRKXcVkma9HdMYyiBxCbKHHRsN4lmQnwI9dm+p1J4pPJqFziQnOd+/DHaxM5GCbzc7urZ/36T 0FehEblF1SMGOLKPMVW49s7b1G9CC8EMa/dNQYutvfmZUpkC5ZwruuBEUkcNuC4ljUAWmiLMPYKa nlsqI/fVBicXWxWtLz6kXlmVkLSqBFEgUApqtuV2BBKMjZ9YKyjVB6sQNui4LOPSrXmAjdU9kOAX ZY8ytzrSoYaHicCbpH5vOl8GnNz41DWRhr3PKmOMZ9Momvj/wXVTQIEbVuWfbCgKNiJBmEKmooiw Sw1NqdeJp23Dms3E88qDKRu+WTzveHvyoEUTn2+me43Rtd2O7pkrubr7eE0mKgs4VOWyefVbGDpW qQb4N1XrCAWFtWz9cA9MShY7k2tjYGG+u27Q2vD8/IKZhA7IwG3eSePJ+/GPWor43UKZpCplF2UL uRl5WdUWlu+VFdY7zOK5AfRryXn+enBGD+KJrV39rbLTQgpDk6lfcP0wVR2MWiZR7Th6Hn7hzJ0k ctNCbJz7/Qdq8b5Glkp2a+2MHaXCeqjAQev1ip5H4cWScpCTnmgAduExyzi2OCK3kTnK4I5VsnN/ 9zy1jmnFKUMlQRVemuFf7dpK4Cyb1iUqxcYPp1DXtdeblooGaE4OmPmRSMH+X4OPe9jEU73315l0 OtA8k+ylRy9Mkj+dqIWOizN77Q/+vku9E66OsjEsPNUVfC/2GrU0m0i6RON2lRSq5IOQ2MoAsQou 6kPsccVtIpX1qex6giDdsPOtBoWItRZirfpTTwMRDZ+2m0q8Vv1K7z0Inh8W6vP6ApaqRSXiTWpV rHphOvGKVEXtLZXUlp0O4se5lMI7k4/EIirnoNebPxyf4xliDrTp30XSNX/o8R73WZg+OoPo/3YU u0aVZtfnWDOaBlw6tlkfn75rcpzsrTFF7ge41SQaKAbf3LGC2AAplVNluUG/l6SQZi5zvoMY92li mp2DN4mW1PdhQS8q9/JDAcq8FBNIPjFHdOAhjze4SQtepGkV6VnBlTDRyFfY0V/yXgy4ESkZCNPP I+fSxwyR7ZALIqYMdYQnz/cVZl+WOCQ2JWzQqWxscdIqwhxKfa/+KstV9ulyk0npgjPKqlszpf9a aK5TRl2niXXTa4KYcGDKsh5b42RhRuWuIrXPhOjw1GXOmOsxENobG80iaYebuHxVbkWn8TsRLnXd jvWuvfiOP4DWuymJ2NUHi7Rhz9vGIoGnFGsfEHfpz2lERcwOQLaGebe5Pvn14/zXhRlrtPg4xTqk ACxP8R/6uMJ1BT6Kiry72Asg0Mgazdpd47cR+m0Y1Cang2eqTyvNBpEL9WrFkYCa0SENU23ek+tz CTLFxUfIx9Cp8CLplab0Z3N55DahLw5Vr2Lohj0jl7PlC9L/ij5//JKZzKwwZ25AV5kTU2RLl2Gv snCCeEIDpP8OBw4feirQTPQJPDMkipecI0blwNVmmCeG3NG0udKro7jZ73vHkZZ8KJ6+F6YMpevH jH7y/ZQA0nt5a+fBHR83b2luKI+60YNV/AtbdkoVHtcV+DDV+99iECcwCxECw5n0GaxsnQiI7Gi+ XecV2J+5YOMdLYBdRuxQTFjeDxZSva+f3W5Au+oRhQ8H0SKVjhwmpJR+o/hZECfCBS8qi0YRQHjz Q0cV7YrsTi3K4AxJzeZOhy/f2ACjDS16czTP6OwPu5WzJ002QTP4q6es0UT3HnOVkqm4qRXnnRzG CV/niN/O1sYP9sEvUcWQFf8vx8aG6nAlUhfO+iNhtqoE+1P0OA6oK+TfAQmoNKelj4nY9xn0ATvo 7wy3AhknrPkea0njsjT69pvzWIHQ/90Bvw9lc+TAhaFIMiUzIonX0PLzlmVi5dll0dGIe7kwZLUI ByOUpvSODozw3wcchv8KTq0znbRkTULNGc6YlpgqfI5zxvdU6uaGhdlrazSXWkwujo3zbcvGfr9o rjcyR2tZ/bhfIhsBvChVpMGRRVcwCI6DVw9axLO8DDptO4u3i8m0pO7kr5/zxw7qdu+Eso1/OTBA 6zCIUUWdcw+SzN8DGyNvysJfNaqbdNcYq4fI0EJZFTMZ0XRAGXi2yq6q4UscNfSSi+AwSnPVal8q bjm8E5/QP5icQDq0oLNRYax9IQqUhm0OYRvi3HHbQV1n/SEqPO1uO+vH2Z+Aj/BknTkyDDkNERLT rH3+amRJmHRMvrhoaI19jooUTcFeBkAMCUivKNhJ77E1hn+DeSRM2LXWBIKzjZEKWtatVBchUG0Y /levD0lt+hVwIafGJEk1TtlwP4/cIioQKzkp58Bf9b50GciwKBHhPZtkiloY8xpEc21d9DZH+Jgc oTUvFIYrPThD4+yEJ+//zCU3JTxJbyrjgpTe4AFSy51CprDRGv9vSNGn+wQMQM8+T+C6vBRh52X7 41I5Lh3nREDSkHFroalI9CL4fbRPu63XtwCxr+260LqIX1l0CXKt/HmcM4FholFxD8FAd+FIXw3a UqB33E7aQ5Orr4EypNswnrmus7F/gXuq3Kq5gj7dpwN67zwElEOanvpRFhd0qjGPfuTr1nyP3J91 TBCRe3c0Fr2O0+m16En50wR9PbzdFSnr9Ute5bw43Dmm+JPWrY+3F/gUeA7UIYFW3iDeRR56HLPu 3HiUuHduZXhw/jqpZTAXwS9ja0LryfATuDp8i7jbYDLMob9uGtskYvAsAs9KXg4SVMA0QC1dWC/d EgK0hwluVIyVA4G9MRcPr55vQdQmGFQKpI8AUPRUoVgfLYVM7xV2CWVnOvQnJ72LS+4lPl223CgW pci6RZTy+1yD0n/0FtN0gEaGhSCYk5j947qCUBysxLanBgn5C0RctP+CWNDZwh3Zag7w3VA1Enuy F//85si4g+BdmiKo14I21s8fHRsJei8nEoUHNYU0O6UZJ+mNKogmsPaDphqtX22S++bmb9mg97WS w7ACda1gXg9Cp3bNFDvELUOru8Glg2CC6pkF37vfffIgectcUsl7oxqsp1iAGBSzV4gBMWXz9hKk ygc65UieaBetJjG8NrZ5wnqPxWHNbPnElfnk3tRa+FDdz0pJlV6IOilKEqKUdX4Xlxlg+/FsSMI/ nxf/Y9lNdDcgn7YCwCz+zUit26q2/5uQfNv/QROuL5957wEsSKzTD2VoMC9G8tqx1crjTy+tiNfm h9aaN/ucWSjIc1XcpD/giJWqhU19CTJelcorhMpeV54rjbpOd3VDE3ABjSw3nlNkKkXaFBb6QBE5 WgTvdznV+6ZcVTmzn4nPPttF4i38YuBy272VviVF1OuS2TBBVGPGFL7tWuZCm/jdpQFQONF92MQQ OLzPjqCwQJgAaIeheTJha/jqQNI0JWogVS0k2n0gzt8y2qVZSEnDoZFTu/Hg7r+GAcGe/wMv8uvS CvwYEhai/0p/I9wbqux6DqKeylsuE+Qj74P/kSFZC7iGgOGB2RrfAUXFtuaaFQtqqQvRlG79Mzsz +YF29bUR0UZXIuydod/bjyU3e0QgK3pvlBI5d5FGjsHOOfZFBto4rX4ltjs622l6uXEe2JfZs0LO D2U8gjI4c0F4p/5+UDozchBAzdGQuHC9F/JhVUa9fqmZJj6tiVcn3z5cAw0xd4IpuMymE8goe7zZ bTty7CIbsjYjRCXcfMXcFbVPHtt+nrDcPwT5vW+pW5C9bkXVFWYw9nust3SLdtSKVBvfR4Or0M2j 9ovWAt4AiRndS9E1pBNpkkzIXESNnr9xmMrn/WCLcrXJEIunSe+jhu9xcHKJIGq0eRlXyICpxuik mLwC5lXo6DyMIrdjKvVI7CVYrddB1WMvITzkm0IgDgLxRFdKfur6k7kL/+QSspa7e6QyoiSyDnQj 8u5DClI8JoYo1/VNKLOf1cQ6YlJtSbsULhoIkRHeO+syPrWRuszi1scgPQ03Su3oyyeOFMAKi6kd BhttYFL0sNh7QnHcGXemwJ2B683JQ5dEBlCYFyB8BHHy7YZ9bMQWTHwM0BJwaMdxl6erz2J3bDb8 XZQ2ovrLl+RgK+7I0HTyJIrPkzNRoibKYVH3I5dQRzCcHwBbYtXDw0Tz/S3J1Q4IxY/Yebz7myw/ H9IkK2VVdAJbIj5UxJWAA/V4Z0sVAUpIxuPWvN9zHHvbL6KAFt83gvPj6VSpxTo70Wd5ads64Hs9 8dKZ88G2a7bHQAiUn72uwtjtVi7dteWLFPh2lvTVkW0nqIWNjjt5Kyi1LHwP5frKVYxmkSg5EI3C AwIRHbTENYmBSxHUNmTWQYV+KQLmcUDmrs4EF/jkDT+9+05taB67SecgQYRxFDaaGOmGQmMsju3e ZsxKnVyFalS9V041De+Whb61p97NkZ6qLrVG+zN6maZf8aA5Y8QymMnmiJ0/kcbvqoUcBpJjMnmj Dda5rLV46HrfAhCr/XGEkdEy3U00pslanpg2Z1xOE169ZLtn0+QSP1KENQVericibjCuBFu7mOhv NvRhlR5wSIdTXJ6B90dtPEysv/anIg4IswDT0qFthsEZlIfE4IZbYDEgjnOnGDnPfH1dzh3CB+Cu bX3QVAEJcloVJhaETqIRMAp8ILGQYWC19/zPmFdGWUQEFH3Ug4T5zT2XSooHI7FxFZDBQa6Oc+O7 PSgUNoLHdutBEXZQrJUmnIIQ0KazBaE0RK0HYtM7TdLQm85qCGHL79rD+QB9KEWe5X+3RT6qjOyo kLKHqJFpgl35mBzFwQY/F+vzi+b0ZvGX+tn0uMDvKcDzm8QYyaUcW1pV1srbfP1zO+UA4RfGncTs Gh6FZ1PkX0oRELkzjYto0WsDQg8olvuCPJf3Vn7ORjeocHR7PDfLXs0vtdGcOkwRw+n/ogVbvH6T eDQRGCaUr2hMNrbF0mWdV8iDxTLnQj3ChpbbmlBypbgKbsykUetsDSe4NsmDnUruEFRcwGC5YXl+ ild74Jg8RxFDCrF+O/EepY9WnI4h7GV8ijHoAd9IWL3ujmCT7Ug9++MCAOlwl4WBAe1//s+xbwaw aC81hWpzxnVN5as5tkX09yghGiyKMRmbn0Sg1L8sVO24aNw74yG264OsPku/bZ/5Nfl4ZeMiDsCg 45akVENkmimM+jHxwwL762+MX606e0ciKFCmx2JfHczyisN0GI+QOXl0G2RKxoDM6b99TEgfmqzW TezUW8sKho8uNCF5YgaKIzNdXXnQINNliqHxZaRUMIxa3fnq/C7jvl2gfHmTi0kTpApczSf9zG0P AFfV+ZLUWvxoKSy0np4strX8x/srdxoIeXOrqneMbVMdGqTpAP9BCmKCTTcdI1VaW2iI5JgR/ZsA Dme4MZ7dfmOyGxuk6U8skErpZPKC+nK5Hbcu6jyHF48UdwGLxNsGdxT2w7mJLD4SyOzziOcEHSwq cbMtJs25ZVX6Kt6GEICZndNIDsw1A4LciLz19jywemjHCgTILwkpTsYPQum7eVdCz1Fbd/MB6FuB xOIeSTD8qpxwMN/aahQ5SwQ2J3qALpMLVjYDxfTcBkiX1Gea7/XeX+gj5b4c4Und7E5KKeT5nHLl muKawiI5eklQbRI+0fzz/u3KhA0GOOI+2gIT7heVX8RUuNaNOry9WPkWfmRAoE/pEB9QBDnPqrq2 K8EZUnE4pnuuwjvKGbCmRFLXEnj6C6p1kPBxYjh+4GwBsMAsr8W1fFzPXTEADbmgXcWrWEGNXI6z /5jrHnd3kd5Me8ALc4b5z/a+JUOrlwJwvCDCazSmCNGQb3KvnS6vIxIWSxIXKHC2rC1BxTSR/5cG 7jo6DGMeNi9SPQfhy5M4jumKqQy4TQizB0gXglUI37FVDb7SxPdQGed9SncuG0i6v0VI9OK8YKmm o5AQx1eHnmcswaC0hC51L1EuG3iDsiA+5DuVdh1LIzsa3q2od694i4jxi55rE0plzgUC2XHIh/r9 mB1uefDRVkVDkR8s4+Pqk/Gz8SG6LEmiYDj9QyuwgFGWeASGuinivDQ0L0qndq0CU625PV8nGMce 0BGR3vEQ7z6dGs+u53t08PmxQrF/Z1WjYNQnoI1ZnUMt5Q9G6tNO2Ufl3jE0B55RsdH7UKq5oFTb eDQVmuFTvRXs+j67epKTKOrh5dRuggZAbxGyMZuVowOO6adgMWsFOZW6DJRBmVORHDKYFf2Qestw Rusdb37M9O3c1Sh1Y4n8UQKKLOu1slJmc2mmRPy4DPxXMkMZsYVYzc3UOUYJHygp+Cnj31hKGX8L kicyUHTFpzC/ielN9JcoyQJ4CrgTYB1qgY59RcL/vEpTOxfSA8EK2NIzagwYvmZmaNT5JqX9NdU+ 0MkdDWuzPtcfDiWPEISTS46OBnfX1pZQNStCddkQgm3/u6HndTvncpyQQ8gJqa1QOnWVCNx+XhvO jhczzITg4GTV3f+jxK9OCjsXgMVD8sZPU9BwIHJkxdG7NNLqTJlspNHaXwxuYHM8oZbjnQ4F1ziX bWlF2k6Ow4LUmHeNrZkl/mnNXi4q3u4KOKm7ZskwQuqGFaMfzDCJSroeNBPUJRm77MDJh6GZ+MiB Vk4DsS9ilwOKF3FdXAThFkq72CZGN2N8oa27RyJguIeGrjZXNu0fEKtYsbINC5cn3Sl9KIyz6auc oWr31Hq9QomVFZQrGSLL3dJob9XCag7wLmOV6p+CK8FtO5u8+XiTHOZ2F5bs7mIaHg4ntrJfC6vT pMuSB9byUPyUCl1cnuYaBl9ZCXSbsgmUrripJ41PK9yoTnlOGn/v1foQbGgzR3mbsmhptfltvh/T 7GsosHTymV50mUxLzMb4tZFTHLloF+c9k+d4cNUjbY/qr3zpC5Gx50EJSLMZ5VC1IT/cUqu4aFvR faVXIBeJ0ZmUVJdFFLRqmMSoG8cLsy9bI/wv/erCDFK58aVMjW8GnW98+n/W6FDTxlH5PlXr5zsY aqFwuL9AzLBYaSAzA++n5W9JDBcPwUV17E9S9QMrAH6o8D6bVNmkGsa2yOv7UbOpN6bxOHWBOASU xdK4uF8gG+n42uF5bzWEsLYhREjA/3UuwGDL7ibEFCfHfUdojNXUHGdhQcSdrXsN3mZuv+T2jMsp qj+A85Bgc0B1AOAr4K/xEpj0AO1Mu0EVn17IgO72ihAQmSktngHESdWLj4kAuu6ixAMcRI6DJ4QK AgFdxeSf3OT8mQxfX5GW+Fpk2iqP1ugucQ/7ua6QuxvCi17LuOfYa3j6ETNlM2ZLb+lVx86GTRm8 XiZ/m6gdE3df6K/jOzpQIDDr+R/F0RQJI8VjE/BBX1Q20m0jeQT5FnWk7hkfkhNDOP1uWKDkBszQ oXXInz6kccrHkPmgGIa66hFfoJgsaRQhLhJgBUwWYX73qyy8zZ+g6HTWCOI4osVEdEQWIVDRQS7O JwOU90sbHhsRLMDEwo/9ZYqtH4AulGqJd/LjMXbzYPfYxQu8/PjAeMqL7lskGZ6jQvVoA2sp7YdA FfvEwnPFuufPzDZOiMpFV8ehvkZIucyoU+fw7nkKvNwRTbt3Pqk8XapioPYvE5MDe+9N5034L6PY UQPSXD2JWvDv56zAwTR6Srf7Q6VhNSzcwHBQ/ePCSvi/Tt318uyniaD4rEEBcsxAODNgyY2PHpF3 t2OZph8kpmsGYb9y0f3juqXpbX9VEE5/pfv0pdZxapMKRkjXadBXx8mCwVE+y9ndLkbBISfLlPzX zq4/nh+dGYti9KO5dBXVswK8D0vn5hciPPLDBG0b5xn7B19PUGHVGg6UwiqCFnuY/x/hgyO05PfW N2WcbRigXqtbSGWzCswkja+PUX98r62m6Fu7vfwY6QQ76khCJskAQQeyYSldy1Ez0aG5gCq0KUmR yoZ1DQ+OTjDLozcIqdgDRtEIvsOZI0w/XbX+Pxo4HuzsZMGKFiHmrUn9U76V/sHgbX+2srkCJ1E+ ngupV8GTKQONIsjUGVitBUqSGab2SpG8OCI4n+4Ttfm8BrDE1vdzyQrGJ4aE2C35JC1+c++XQB1B 25r8r2e8GRCoCGZoPjkYkv85D2yAwsZg8okdD6Q2E0GxNPTuuG+iL83RZAisDSWqMweRsbzjrf+v H7k7ID3PKQuSmx1hoYy5rb+X7z8HW5T8le9znFHywWiB1Q02vU5lWWn4Lr2dmnS/FNHC8EB8lBUF jZocmDVHcCklZj6tps678OdMKa5mRvPWHlkVKSP0Y/OLryzDSHTTeEPuXTXKeuv7EFMRpXw4hpoR sMit68PMpOyzVxWfOeYEpvS5oVl3eB30RSP1xGGKZ4ek+Tnsarl6JgznGWzSdKtiYeGL6h37G8o+ 8O41l9MFgWBSiqU5rWluGX5K6xGyjZ7KjDp8n1P/Cxd2PnYSwLpEsJruWsoBwo/HR2MS7GfSyE1S f+LjTUE0yJxkQAJhikuauBvW7VNowcf74jQLBFOH1RhEZqBRYgIHLQRPLh349CBQ7MsxxgDNxJHg Mr67Qob0ZdbsqhxNIytXPwrVojxU2bCzmYDaj/R77pAe5X29EenVbZxRB6u5ko30cfNUZxX/G8fP JoeQRQkvUOUDDjeSTQOv04dujWi5HKK2sXxNq/IhcRcPEtgdSGQfN8GJ23S3f9BBSvucybkyg4um k2kHE3WSmA0v45R1znkEBmIrkN6lac0ND575DnK/ZURsl/I3XY/qd/jGZ2bum/XzREq/P8haQGlv hODtfdu8gPz4VjiqefAIeC9AEyGsZnnbFg8Tkf/RGbZKWsQYKHg7u29ugNgXhCWIUEyr4delkrOQ N6xrNJ5JYzIR57yEJ4dEZN72FTledRig1IZAMFSqbVT47tYY6ypET/tZVUUMUj4tcsB5CCRkp0tL sNwScHKhb9uM6MjSoMSbtRs1bi+6m5fcaoGKxwGa8rI3acnY1GjIVIagY1HGfmdkAdW0QErUSIaI PoQqDaxJN+UdeQmsd9nUaXT2oF2nVB3nEDTx56s3EJ4dc1v2f2HEf4taKJjHneUqgrWu5HeIHV1d EWMlGbrAdNPnoXlEmKWVb5CAtEqKYhPPNrhmZGAzgfSLaiuo8TRd3qDOgOvfaIoLaj94HHKMdUXK dqBfd5hwM3m8lBu3JsufgGo/ZGSuQYC/IWmhxl/Ese9BABYhnZUl/Gb+StB/ovdKa0f1xm2sbJCW /xgS2uZZUKRLhrmhOAwpYUb0CmVhloAFTvgEJ8a0vSJ5x3A4N2p304Bq+Rna8ZvfmtSBiJvn6pKc Lz1VSk7/lKrJ8F/DGJgXbl65IP7I8AV6T81A0KruIguiJmFsIZGSCPEHqIxU9HNvV68ZATsRwAEI 6N9JaVC95vcxUrJruC8CZVoLr81hvS3gAfSAQD0++4+0ZZHO/oHk/RUtITE2KD/LbWnjhGw312zX TLRmie30O4r1yS9NDjINvYY/b8+eH8U9L988p0LcwH0mScrxvbtnON2zIQQMB9lm9fyzibsrIlm0 mCj6+pzDHBlQGk/F468azGwIM3+oUJxPTzdQ8OrqK6BcHytquUQHZc/78QWUL8oV839dM/S4Cqfa dFmjIkpNzTalY6NLCr4/2H03UVKR9UE7tKjaiVXSIklt2j//T3A5xiHjDqLQ6EkGN71iUhX6ON3R KwoGO7OyB1FN8HnUbSCkpEucIQ6ccQttm4GNbXXNnI86vI9ZuePBx1Wdq7sFN2QL+1wKCNVpsyLR 6+RN+OFRRWh8xpRBanKkzWIvS6MCaCbcup+ZSrcpEWvllJ9OVDl/qgee3C4kik9c2SjlpVIUjC29 PzC8HQOIOrB4/6/EELi9px5ngBlE27TRIISQOop86nrJW5XmnPWIsMo2HdNyNMPGA0tw3mvJ+brP gCOOjZIh2g/Qv5xrOeO61g2a8sYPS1lxDjZ4nNROnAg7+mrVIEVouqQPIF7TpR6iHn1nkMQ0D74w JDmwb5A1lM+MPyya96fAAkuXHWwrfQp1TOwuenwQid9o59HUcK4hB/1IWSCnpheVZKzMfijmcNSD Qaz2yyjIsDZQpmQ9IsNwNlwObcP7QIjk4AFW1N8I5PT5M1YCeGCPbS3XEsWI0zOrLqojoPzl/C8W ugqvzJm6NJTV+QuBptjGDJS/MBhnmpjBMCj+j9MMU21UUF9syZvCx4gDsiahyYXXZQlbNj/5wOkj yppN3sZp4ZPm54HzereHhHThTtKf3sYhJKgwpLf7Zdjjjqf26LZYiWFpf/baVmQmVP9KPfYKstjw XKW1bbAiiioGo3KsGDhe7bmh8bQaiWIgZO/pbRDL5PPgXuv6CSaCWZwrxTDcMAU+XR8R5z8K88K5 jgqRU6khvMFmul7wpN0Lm1oqbXVikSrXIb4RFPCtY+0ZPdsti8nr24k6g9V8M/Fln3sWGRdy6Gi3 CwwkwNYlkTVA96JfFpiMOQIs90K3jvpAwcqmZoP+aScXfx4iL2v8adU/NidkY2dwwOMEUy22cBqJ 9cA12ai9muflFAbWZ4a2K/wGhGQdQ20SKhgHx9touHgpWpFHd6Yx8Wkxg0K634vwVKVlFymWxrSP C8XGx14OW4HjmIwjEDDU8kjqKuRVoJIxDvqIVC30X1eBAakgPeBHV3UCYj1sIFsfud87GTmFgbq1 ZsN7J2WlCThIgtX0PI9U4x/uPFz6tf8vhbnn/RtuVaNHXbwsSVdYeerCRjwkRNydpPoIUPQwqGCD eOAg3SiWwPoiWRYi4doY7rV9Er/9b2G2Pge2S6+PA1lztkL3alcuInv/hWKWeV5wfgR4fMPevMS/ k7tVtzCUT2e8VWPNvUsVem6JHf+a+loQDbi/EhH1OIfiVNWEYzUfy524htCgpeL8+FPsqEkjZw+h i4cIcFP0F0tyzJs3JJPacJoH3faEJOVCy2IMgL/tgyLSbc703XEkpqgTAz+3EiFYKTQPLtHA4Z34 Qjsh08D4f89fkvGRuc9Rhv0nI2+Tv1dkKx/1Td47UJoQA1UvjtmikcHU1fUwKzdQ9jeW8LKcaDLN gaFXgvQaHlVIKqYdYomwHs3kKuh6NY8byWzlonRouVvLKfPuznomq1An3rWNEJth+cNjafUxVaxV FTo70v+lquUF0/jr1D9fG5/OSSce2w3E+YzThRUKc6diynZwio8gD/ia0BcyXlTlGK6xpRI21wpb opQSQfs5S1iVLzc3IpsPCruWrWRLbQ9vuYpPWbzYbR05GmhbZyMJnm4u0U+iZVoOVH1GmpLj4Kv4 gjXrdB3oE13l0ix8tlJ4GO8Hhp3AZdTZ8YeajrDc48I5z38pI8Ur0q0wKET4g4JDP43cRLtghTOz jqkRi5t39q3KmKUwUL6f6H6o+QhR1Sdw2dGzLxddr4TrQkiwDijDHWSC0vMJC/nJWoQ990tpoL84 9Y3GtReizl0W/jOWDw5L24ROt3f/q9tVfluPkr0CJUwgm1ulEv6/TcOBvbN0FYgcDwjANFwnosql MiwT2ahwdQOIpbIYMxjDfQ+W6W2AGpeDcruzNftkclULEcRpSmulxlwh1/lKFhMTdO8hnB7zNqpe eHnJw1HQRm1n5up83DxDitqeh8JMEMW/rjP+NIhjPKljty6jkSf7+mOqASu+BOmyzsat36LHaPQg Z9jQ8CSCTYYiQH4cHy58f3AUjFJLMrZf9bY+55tLU8JIgF9VENP/G60XGNd/EfzyOWYyf0HCLthk wOLQ+eldJP5xCt+BaV5x0JwGPV38kt4I0/vJjDq45681JyfuqAde6yoi4Q0xuAHrXbdauS74VPWa URf78vw4sOMdRFoEteX1sgVMAZBP9hszmtz53eRChe5Xq5HSNAJOtVO90jin0R6MX6Sgai32EeHj H5qbLrIc2S0gYNHxSdZK7delifPd+23QqFZMX2cIPfDlRxiW1qgH7RqeGIAjOGUhJinl9+4c/Knt 5agzGfD9e8ke359VU36QnNy++GhTOW9Ir2EL9iJEI/MvhBaOOo+B2IV9PJpJfP+54vLrT7qrmnQm DTzZOFlX5G/TDd1fzILJlzlCU4J094ETUqvBKB+Cfp9LgXfXymjDZ9rDK1nxLWXpkAt0Iig72DHX kyjDUq2gKJqlb1lLVD+ltNdYlHOeoZGahnB2v7W6QktcKMLUaA4q/kxZ+FNcPtKz8wBnBLKFVoQZ CdrExTKp5dLdLhUb+p/ATLBkWnaw+yxA1dxzy1zhKSx6MvKvb5Z+MgxXOFGoOVs0sAwp/L0E9s9C 2dgwWQCeJpvXLHM2XrG5wvsxlI+7fIfHwnRFdDKwI0xUu3vCnCUlnUAMqDVJSURTiB54KL3DTimT OgN5wCJ0cj6O2tyV2aLev04CKnJyH0Rge1roy93Jh/uJDNsjxWE4Lsw+OSyfp2nHP9QUEvXhX5Bg zNnjEguh3iRN5DiAAebhyWm5LnuB/3d4zvGPYM1ORiIHP8OqkbgAhhhxhSp9qTB4RhCsS7LBmtSX OidFIrmompz0M1lzBnSCsMDcWySpgUCaDw1JXBYUr0pt83hY1WWwUar58HNtD0MB/+aG1RJUR1yN z903APoWc7U58BIQyq0oUxIMcRzWYzfvTa/YyZwd5yMYGTuPbpPkfMXgr69PMS8sCkIsczjM7Cph xkHVZl4MtqmRzMaYd1zBve8HXxZscygBKsTL9C8geQ4q3WsWQH/PIjHVNZ7u2zG81lgK2EiOcH98 Wl3+jcmtj8x/DBVndz9eVnw/sqrnGIZCHsPDY4xClLN/Fwuk/SnW947YGpNd8mSOuP89YTu+mdKG 5dm9N78IMg7SFHapn0zg1kdQbU4F0Sbnj/c7PrP2L5zCW7NAJxbh0InQoI5q4Oelf80qJYl5mbHg Umeuz5nw9AuRUwQ64n6QHH19866imCepWIsv9BfM1DbL4+hCrMKCwVowKbBHUm61FejcLEQ5+Gyq Lz2dChHqCFZPB8cnpn7vQ0qIpthM8/k3WSBghEKVXOGrM7FWq3V852Zm2AABJFF+blMa+XRHiXAa w008GylGyrCbIRtQPUgJrMTipdGp8X/sSX1Be3jCsyZIpDN/RDlvbwwPizUWLuoQ3z4ftD/TG9Nc whq4qjhK9/8sg6xEjSe2VGbX503LJp+Gh0HLByYymQvGNuZdCCe5AnyTGaNBEFe6jg/jl/jh304i pFemBUNHz9Ei9rCvquh7+47JXLLSxRQgqJmReabVr1+iE3BVVK9EYE37DoClNy+YU+upQRuQ13ZS 8FudRZl6vLZWj4vRAqymPCnrgg9YEkb43yZlqVwKJEosP1q6gh7lmPATxBPmiFYAJKLdvEA2P1QF 3AcnP98BrTR9pL6297K8IuF1wPSiAwnCGT0dy9BuZWeRSojsHRTudPTlFf6ZQQde6Gz0unqPQ/Tw vW3M/dRlk3JUcZSEYHZA13Q9ZDlE380Y3sb7OIVdQIhpcKt8nvXrh1E833sTIfl3efJFIfPXZ2WI LNA/htlef5E4qiSZQRU5geSHwBLflijOSoYdk4l23tgO/BvFVLw9v+UFNdXkUIDnhcC5v23He8O6 ypE4Wy4AZHAqddeksMYLSlrZolgkl1mbKYYzBHpz7wq5pGUf3taTkceNXKFGTLOcmNehb1PG5OlM H5nWS7fG2jV7WGinyqVUIkveHihaggneIO1c6DkW0T7+kAa0LX4Ws4JOGnIdZNzJWt991Vcq7GfB tlCyOKF3pPONrrvLOMJxLNI1J4oTS96X6mL02/u0juQcMEF4bMBDBflFrV4fjDKqOA1PZSJ/+GWk w95lhoOUlR8BlR9t8M1jeJaSdJfNXjIWqzBpzLi4iJihkd6LVLUrFd7FxlDJGbfz3iVVCp4c7bcy O3n62F7UYME3NeQwwXRIsPEI9UsPrWzQQEPln9EDj9tKDL8pwqYj2gku63cDNieOJhK7oI/3AKFj iiNfkeKIX3zPz98A19fPP9EEEen8Wr2pCVRUx/v14RdRXipJjUGoBdFZBIMSTxgbpjSQd5o4X/+O Mrin749UXg0JaLMuMt9AJV7quNodytBnRdDtfrnrLqPucGUH6GyZiqeOI9R+/f/6rzuw8bbxt0B0 Yt9FDucq6MoYBzFCwpu896Nmn4dfuYOrspLDxhcvWi843Javtp8rjk4WazrFxycWKkq5Xn0PAHyv LAXB2cMWlnyY5fCaH7oLjtaldYufaSXzSw+jt0XBNnCAAMXyUnVg9zGAh8aRb63z27lCwDKYrE3g arJ1gVmfN09CwgZynDQ43H2KwUB9KloJTxvuLAaTIAKkDAJrrM/7HMmshA01cXxEPCvksEu6h2EC EAVc3kfwT4mHmI0Y681hZUGmqLy49SnN3aLkQ7T4Gm6X+wEtPLdPJ1AqXJVU5Z4sBmBWfF3oNfk8 pBtlt6cP9iqqghrTzEeB5t1/eGKyC7im2r+TY8p4Y48982iqXTM9YOemQtxTIAVLyC0TsKAJPG5q iX/4U2VFPEU0uuVSBa/Z7OCEoGFU9Be2CDKcHV6dFTbXJKzwTz3wcaVYRDbQkrrvxU5NyhBbfLXA oB+zIm7iiqZQ8Gu5dq43diMmIJrdDTj4CfH/CASPGKzX00g9709QCpszepHMw6lsYz9Yr5FFq+su s6hv4ofNsosTRyyO087AhjUIww4m5OoZzqbryH5LHMSY5JdvosTD4/YAHdVAxwml/GqdAiraTkFc nr7M3L4g1BCH3QbekijpxIZVeNT1cHNd7TlANLXvOUKPScPu01ofPKKT5DQaYLJm0NpBo/X3iSFs x5pNqLpysfJIgo+EYdl9oh7QXFPRrduYrqBr76uMjp7653AMZVmTc6g9A6pwKKgT/ZZSui/S/kqu x27kVUdZCBJbo+sRmuu3ZM5h7jfeOQ5ZIJX7rOM9BOM71czzNwJgJQcGvH3LHzoxQLlZOCI5n4+H HFcasb1NjJ4dHS7F4pHxFln7Ukr8WyNTfb2O8LE5h3VP2G+Q1U0ReCBLOznQ/Bk7lGNC+kkub2c0 qQ61njovRQSTug7slof5MdAB3Wdrhbcu72bV9PCdQ0aLU/lCUdRL3L3dE1qfBypYeX0pby1shgaN t71cnSHRk1uIUsa4oEZXxgWfnb0iQTCjPbHzkizSr9HvMOTE9p30T/nAGZRkS6gjrEzr2UmZG3uo MDYCtLgaWnB91ak0pLvsx/es+BgJ2e23lTQzjQtzYGvo4f8Krc4Cc2zHXKp4iFDtZXMVzT5f8AkR XqM3Q6LFQxoP5aWfhy4WunS5UO5ynLaHUil40EeLcn2ed3EMsROtr08FnFDNcRPuGDSKUxhBEDve HATqLNmSf8JWPorTS9zbz0iqAX5T2+AJ5YQKh4Cnr2bxuP/6CqCszWh955Or90O24MunmpL8YhGN Y/jJQ94wQD+pzHAluWEZFPnjHzLQh6KgtTWm3zTs9icIJBNyTnAE7Jo2qX9zD8CInNxpWGKNNbxH IcM3734qeX4mQVnqpfHe4ze+Zl0dc4if/EmzTrQIx9VeY453maWggGp5UBfvWsYrXuM1gn2FdkXb b4iVY91NQE7emLIZMk7En53T72UcMRqFDFRSH/TNGJvuXrU35Ygl31oHbCS84PCK8pi1BnQLvx2i quOGiE/iFrU3mcecEkzkxtO7GXiAtPj87wZvShehjhb9AK3d/y8134/uIBqWEIOEX+Br1sDRFHlZ nlOLs+BRcquZRzn90kl2CYP1Dl4QNYRMKOW17whWYQa+up7BTCu5bZZeYbtZRA17gSIwkYAWaN2a kqjELoXOr5M8CYO2yQV9dGjvjQU5LdRmLuwH9ELySWAxk5gRz9ozDSGIZydmMgI1GdxQM5J+uCTO 6lNdWEksgqTetjZsQMdRhiM1q/tMhzUdBTbILb4MThFjZR15xas2fZjqNQH4KdZGLLa+18KRM2s1 u1H+D04ThwUyQL9MoCYvDHPVGOeMB5XU4xpzC1E+quxRYoH0fmRi96jOP7CDt10V29y+oHfIdxiQ Hf+UMS4dOWfLEdrOsuZmOHHV3vnwdJ911JLu/45HU2M94A+nyjcxpLc+DvswFgaJb2r3t3PF53Xa dqgT5TW32tzEe/2BUjlW8V9Dlmv0iGJGp2xpJeKjPzIWRq2jr0ZHzEarhRcIgR1PsQNttgn5tnEB Yzf1OAnnAUxmEnhez8uZh8r9pPTJ1M3gTdpMzDmRR8JVy8XIfvo505iSHzdKj5kjnmx8bMFg8Xvq k1dSK6I6Cp7PCgbvPTcJ4g474kzqdOfUqn+kModl2ouEOBvwnpAJj+BTaVd2FiEsIF0rJ8OVW/Ie 4dfF2gEDJGYuOJjy9q8VGd+KyLFj53oCf+OkfjjdW/lLTNmSNBK39XSYBPlRXizTB/fvN13El3dX kUT4+LHR6GOn36LvQjMnEDu9+D59a/H9mUx9x8g3Yx1sI6ij7CuXr6WQleneMURWQDq0Fgj4MZXn I/GLDveqw4q/EXaE0cuWtwARbpRGAdVq3mvcMhLG2W1W0JZzLbn88ykvDCNc3YSxOPqKwXZP4kQg XgzsrrZ6eKb+ccUixlcSMlKAEw17/3wz6gvFWSsw/pBZXvoNsMcawdbVfFnLfQ80GHPZ6eyrq1Up Hvwdw0Gxu05/bCba+MrPSK38Kyy1VgPQ3C1CGJYpmfJZCl6EpmK6SLBlZMbiXKi/Cfd+vgUX5Jfy jz9j96FJ1bkvKn+P7W0ynGZP9NaQ6Hl2dNa4GTCpcR2EOHBnTq0ixgtK2RCyNarFt66QtEJrT14J MoV3PAOXbFavNC+KNQOMwT8c7TvJIWUlHzQsHmMkPTKZXftUrhpIKVdJNioKAwjzV3jBgr7fNSjv XIe+xO0/F4dGI5/zNInEwxbgvo4UL1tSXZ8ds0z2F9JrTjGk7Cq5gt0sbnXSYEjtIE8CUis5jhFM iY17gSNDG4kbcBKqQwsmdAtFv1EQbdbY9nYsJNG0ZamxgSNdHnEesAd1UZ4AQ556eajm14IjMzY2 Hlo7jwaf1Yhui6bXAIQ6Nb/FA44N/aHijI4VZhYgdVr+XS3mh8upRsFD3af82e0qwrQsCZemyz4M PySZPaYTy7RETJpLk0f25t26efwJRMgGMvIMxgIFfTbq0FsQNMR5y7iHw4sCpYqfLdBkUfJMl4H8 mY3G75XN6shH7ABiK89caTwQMkwQSFRCAbvy8xUm6O2m03zFXuMiHFDRkxyl3bYbkE3yAa0YJRxy 8OrhLE3q1htT/BjTcsqlCdTqc0vFlIAWeGfgzglGVdQcaR5j73bN3OFLVlEicmTsgpnrZCuQJQqN +eAc4YZXNliOHzyQaAllbRT3D6Nlm4Q+e4+hjynayHnJJPxwQtaq0Wxfit9ud5/B3WCJUlhi2Hxw WcgC1cN3r1fR/jY0rVUU6w/RmG4y1cNWqKIoHw1i8fQtAU8qzvUiSO9EB/YLyGk2N+hIxjGm2cIe b0tYMEd5+oVXZyDbwNxkwHRziPqO2EV1SJnLUFRdoJWMbPLJAtvwAxvTLS+WM7BE3623yqd1DWlW 9nN7dyYEzqrx/t0bFanx1D1X4EprLqpaFxxiUUi1y7MBPO16b2VOdvow16hDy0wS2TcnUDpySZwY obKJmqOMp6hE4ra12u/J/lXehrhmi1p3VyCBaxYWouCK+I1stkBeUlovKsfrsafLDkz1vANER3J0 pKauATBOrXTDtNrmKZG2NwugztbeaJPRuIzQh4P3GsXbJJHJEKfnBoQKtE+arLPHAa6d5bBvkHmb JWCpkwKQnFzJ8SSY719AWU+EAZOxRZ1ht93z2C6Jn8mMIQyo72hdEXmU6m6gYLdxva9ETyHPTn2w AYB5F2ZoIMQWboMaS024/ZxD2295WCSMf07OSssfeRrF84rIJbWGskA/DSiOymxEP7OdarflSy23 4wsApnMTYJ56d5kbvDh3B8vkfW0dH2++yG3/04wwSNiAJ0QKEOJ15ukEPmtFrylPodOhHXkwilH3 VRDTv+RGtrZAx7+Ta5vyjXu4X4AN2BFauxOVyOj4h9n8VEQyV8YeOC7J6Zv79kzaMp+pfywt0+Ib 5YUAlZALJF6/QJoKCM33GxEs9oayUNxseHmzi//gOlxCNeV5yuHCqHBTU51TRbGk+ncPtG/7UDRz //fkwEU9XyyLgA2WBoDzcahRqyqIZNZNu4SZFXOAkOzts9mUNVGO9NWx0YyjyAv3slNOOupH7Y9m f4WLxGAM2BWnqp6FH0QkZXsgRhvbvh1h+Wj+AVvhgNQ9kUeM1EAo5bUadWaC7JL3zB0qJUgD3IO2 CZJPiQ9NTEBUxNFb5VsXnx5tbaU+5TJYauuw3B6m7D6/K9xCE8A0JegpLaEGW8p8bE4tMLHhRllm +ag3y6qK3O6Xi1mMoY7rbrOBZAhMOa2n0NW6lwf/e6nOyyLBW9r4RLsqmMjwop5Xfe65r1Oc+WGd mOn6JEA6W5WMcIa/fLWomBBzjdGRcQo0BJ2vkYH1Cez99zR5CUxgVFajorv1e2xw2d58UrzQbspQ rQ2hscPX4qj5pE4zxGCq7pOi/NUEvLB14VZYeBsbQ4lwEQqQegvvW9ULyy+dr2vL95790MPMwXUZ 8/kKuKY9iutRO3WAz7zXQ0qtuyUIwM22CFOjxpU7i1nNCHf6qKK3QsoGhqaP25PJhp3yvjNB4UJ9 LBJro+RhyxhISBoyQ/kdDCwEvX/8lI1C4gqZiHy4dxUSrXBiCOlM7M7hwrrs9k8E1YgVruNlHnQm cmtokJNJ4orsuVo/pCqGRfQyokFiXVlA9hK4BT789nE2P3MRC4QN3vqCypRB61ba1O9oo5FKpdrR w7lwXSDurRwVNbv3UA6vFwZ2X9tR+woyWP9IyYtZVflvpI3dsbA7XNAm7PQBqM5tuSueZlDi9MFl n87QDgaEbKUV0ufYTJWB5EcrguYVfQoaI/PQF8KgXPDnOtNIbWKl10A72Y0hvlDZtUtDgH25yylc bUOtIydudDw7FxMI2lMIWkqe3m2VJ2YT+ISj93vPNd0AeOHyYqDTBexy4NitMLjIS/97QcbE8lRw WeKU4MPNjQzujX4Kre2MP9uYl63qX6LQNo1Xj9lxCJCPSSZCVwqnPCC8/rBUxjjZ8Y8ZcK2/oFa8 K094jEDvNayVn5ztbA42IjUmcnQvDTOxFVQVvZ55exuuaZqzJXx1oLdNJM/qxRA95ivyFjY7hMQb 8sU1+JYRh9RJ1to1dufoeVYf2al2P4sbY7PvogLbBCphERkx1vi6bkF03lcKqGlyF7m+KtBfADtL DWjt/+0ScEKvDB+j4M95nKhopU2B00PjKybX33hqfoa/qkpIBVdCQRA9EFBdPOj772IMa9VNkS9O Qaq6MHc2Zv6oaX0T5615h9oswdMnOEXrSMwYjakhh7WcNvszRZvgUIEo3w8NKS0Ql+KrfROanELW v+r55KT+4q1LW8qCQQS4O4rAs0QI30cuIlfG6mcF/5Zf/NSDrwY2PAbXRNwRnyO45e7UiBdsKMPt RCwQgkL+zoNwqtYAu6pITJ7/KR+tgI2qowb5FnUDrOGYRlkOP5BndjW8sFU4KRTY9pT/NYyh//kL Y8dsROlAQXES7B7O/15ZAJKYXZP/WbHRSQ5ej7Ga+mervM/Ws9+4XfZpPg+KkWDF+xPI6bEQUjAH 6CopjvbSgoQ7ZCDYRNrtcYH6pro9T5HgmvLrFuE+0CFcut98BLF/q5CutbDPNFmK+/VURTIIgyxh +tmNl76TOl0/IMEghkIRsAatmYEv0GAnJYePwY4bgzvcoaAn+1xrcDVRxAOQnFTT+328IOV4BGOh Rb6MUxlg1bay/cTORGx3ZaqDcVEOg0R4wEC5ZOgRzeCE6FwaRsv91nPGKkdLzsOTmr5TCyalRrEU df4TWMoMfmSkkvieOJb9DhmmO7eqrUGCXfO+I7ZdSQXIuQ5J+QzbvtS9bO+RPbq/s9LbXGjFHdYJ tnc4KaME9sG9GYPRFEpgD9wiYhMFmtS8zyCzzWwnBNciHWf671ck8ZWEs90+jvsIpglPo8xCfyJt X93YqJ0kmUhanxVlwW86fHRYDMOLuG/N2VMQNup/IpSNKE3bgnlXXqIpPXCSg3fJBnzCEHQ/W7H5 J2jlcAwdQny9a8TwCTLZJI+flWyHc/vlMPHoB49Mu7ndx/pkHuOHpzRsWbARLna0bCKnGVk1Yqil avNuwycxN1kvcIROLsujyu3D2i5vY/9m0WKRHXM9qt/Azh/ycGwWbo+IrJNqpm7pNbVejuPGwTZy DT6RCJfBqZupmrqTmYTnFPSbWqlUMB3Q0LqrTrXg63WSHi7KpLuqzGms0loghGarLIJttYdTfEDo 2622c0tDAiMyiFMEGwbxxl06EsAaARrfnuImHPKGr/gDIhneABniQULvv21Dr2NVMlM/8gF1iKpX UTxELTbrRC9ofd4mu5dLW1+YbVLqz82wBzxFEJRXL4czV7TaeBFZZwfBFbmbVPZZYXqtVEGK8i00 Ma4vYM40AEgJL/Mgqx2tRzVkvpPrVtBK9M42yIvCOv3uQFWTWAVHtBaYKB6BEVcMPhQUWf2tJYrN TUSJe3zA4/t5rHi59xb53q70/7XComTszKJlm4lXJBMQIbTHj/5GZVGidWC93MUok8GNxk8FYxM6 Bfopj2ZRL9M7WvQA9uXPCeeOm4mZVJHIAz9Ax3HGoBh3am4gkZ6ZZg3lL6JvRvrxLMOzpOJFENCu 4PIBHQWGqMOle+8+HtxzKYlZbCHeFbTQBkp+jNFDuz2TZYQTUpBwCYtkv+XXaN5VcuoJNJj5uHax of6dRgqngWGkGnkyet577kV1rDB+VQmHCdA7cb2TaagZ9Oc6vRkDlnQBEuv0PMJaXsKeiLE5/C92 eZgSBvuMwvvOf7ofPdi+njuaqKM1RLuAzwI+QJHTmREopEnB3wqxhd88MSaoFsW8iVasbRoNPY0v TbuktivkPCH9IygtG5XZ9QoWlMJKOJhuyCdKP3dVMu0iHs47gFtlxjGxVhgrq837WxH0EQGkfOEV n4vf8Oy9y5F9fuB8ZrMXltYMZCs+ycvlkHvy3DpdX3GksyGAjrTGD7wGtdk5/PQxtzbx8G06tld1 DtpfO7oQhdtfKdTZpMxor4s4ujhI75asUxA4lCpHeEgGeJw3oqMLPuxOGMdqkGYaHP6DzQib6EFk wPJJaq1hk4rFg+M4VAmmQ2ay/8mQaUFXp1kc8mjSMGfLuXzalZu0YnrZiz2sTZU4K+EwEYVRZrV5 eqAp5o1MTzzlocP+bpeIBz9I8H60BupGOdxArfpErv29ydPcy7ojoFpm5qSehOlv79NkonvzzJcv C9r60rjyFCxBhEaY0Lp0bfxOgyaOEcLDFUIxLWnChiNfa38aBVSaFwn3LofSd8rZey+YrIjNTr9W eptIENn5ifhEWGOYghxFsHYBykpIpo5lUPc/dqMylW52STLwIjMTBk9wWhQGcINKH/umq5XjApv4 8T8F2MUuzilC+davea+HwObYawVYDhmndwC4x7Zl4ec4DCAftCk0NjfkYAIfSp6Ek9IH40DV+W2j AeG7mDq3k0JTxe9e72cHPkf34glisvurVd9GhCv99vKVnpRE7QCinV5qRGasI/j/iFPPDWK4gkW5 35f6XZ5RzAaaOAnDjhXlIQ+O6MA9soOWcMCIMRsMRi2HWHfXAdKjkDOGXKW/k5kDGcOGQxEb8/k3 CfyA0UFDbjAXzAE1iPXr4jx+s1OG4d7Uj4tALYglz3RkUP2MFA9zgxm0s5BC14UFhal1H3xoY1i/ AfvUlqFSQHV4evQ7m/+TvC2aERlbeUIv2VCpjAG/lQj1ckxj36r1y0TzDETqQVnhUhIVnYsu32bv TdXb62nuh9sYa+onbaZSusJEdpCzaopFUXBlUhau4lut8lL02XLtFzqeNfPkUAQ+LpO6oOEZpKRn BdFrSEI+e6v4YBwWkWAKTBCcMmDDjGBsoGUP5DYbg2DZZ9kAxM0fYYG89eIto+Urnj5h95XLlDfW gzRkmCqUNp2wXbJGgMvsSQDX00ahmhWV+VoosE0sfd2VdjqB1pio33nn/9pURvCPSbzV2aPHx100 yLmJUt5SnW+M/sF4H8TOfDOj1nzgUqLQg1Obm3sts7N2W9QxkHQGNWGw/sIBjGFLNYbAPV5VNN9l FVSYiQZ0FBv4/ixd/k6ulZ0Gq+7UrDLR/bGxnM+G6UoSUspKxxNWfkOiNGojdYfD2h5ok+lbz+TY sOp8gjF7UVemVRj5RGBmQsV0841ApLRquRm1STQP3z/fJcMt7xp9FQEIv33uYcAeFhF55/nBj6I0 hVKSvomj1PDoYkJKzW9MoOqfpmIT9m7CSnQyTRUYEolrCcWVKyjiE29Km78WfA1RdPzt4MVxo080 lhQG5uOZuH49FI9AsroW0Ydj6tVAffMZg9PrbLMMm0cDBMjTHTphQW1QjSQSEJ3VJh4zN3oXrKVh tI2qCFhI2B55QI0f8ULsEdvPCN5lA8CwFclP++1o1HkCmimf2BI0v1DJ1ppgLGjvFQ3fEL2vYTNi Vbx61wv6CqgvCaqaC/X/14qKUryE+X90kiM7jY5eYam0DVNyyZAApfQBswzmIP+qTPd/IdOni1HG eB33l8WpTAZB1hn+9sihzKD5GPFuF33Hr2dnkwZXy5tKjucibyYpMdEGRbGjHcGNLeuR0CcvL0Sh hgeoV7Vk+8tyH1AP5KOxj8P3PQhHev9dhnOTlZed5ojvVxdRXHPJ3TLyr2ofJhJ8nIWJey+adeNv chEgA5L3P83/ifzScUt4NWVSWAYUyLKOFQ1+hL+4mFivgIlIl55eSGxtA1EDDCpi8cc5uU9fyopt hlvyodHwDe25Y/NOGAgQeWFU79noi0DLPdZWHiFTHZOskCaZtK01r/eZS3FhkqE830fnzFdO1lBl ovJVcc/1QC1V2XnIKGKWiLghXJtUo7TPLSJqDbjwPCSiRpP/2VAk3J92/MinWifYesunbH4bt3ry 3vtNxZc+uB9CsVN+vFnnpX0Dqwh+zSEefVMgykIW3QaeaetDC94A60MIPlwIeWjLc+HwpPNIUZ4B hu62n1ppzzyaXh3Wgr9I+CJlcyyRMFeIGOQ6K+v+rIshprNHELFwZ++rz3LjteLcQL4wlvg2q1J6 CQknPARNI6Un58zbACmeHuPfyLqKiguUIqJcgRvqL4rUXJOPZtdcZ4UZR1VGmbWlARcMGPAH5b6v QQjsr/XmukfrN1d+D6+1TBPHcNwI17hIDIYD8zUhbcFuY2dlUK/N89jT1rsMQLFmEluViszJeUch aKHg5UOBRe6f7RaES8jckLvykzZ/luDrxPYaD4SZBLHFPAw9Rbe3EN1y7Ip86HD0+UbxkK+RCVUH 1+TCIi9BZrUnWelFGJsaJFB5LvXTm1YXi0mlWvaktOY0A/Blg//enEpfGdbuznFSq+5lUurJu2Ad dR7Y4ui3rOs3X/eocoA+n6kBhiMyVVWQALAEr+Uq2KEcIBmTSAJKlsX5oQ+eWQaaLCrFv5NabnF3 g1NS365AKar4pARdzOLOgfTbp+0eQqILRJdVFE4QQlSlGQbO320JlbqBkmmA4s5ccVthCbV4a7gd 6FRiyIyOFe6kdBeRvXj/MxU6s8wbUSGypu7rGl3lZ+ticJqxWN4U0AGV+S5gAdr2dpxBmKd792rF 4L+pWI1DhGWmrjk4IJqSg5Wz5YGedbUSBsYtQTV6HMla7IuZVo5yYU/HiiMyS6vbZqm8FIzP7Gl8 3zDk1/lGR+PVAHhf079qw8N3mMAFB4vacZdjMC00JQp2ZCTWi6qwl4S1yTXVsoFPHEL7mMhv8zW0 xMumyKFlm5D6q0Cwjk89V7JhR+4YUf2KIHjbiVc0dhTiBtSvae6CmhlgzQ1a4VbfURy3QmLw7/xt gecr+2OllSQMNZyv0m9Xsyed3iVqttm3ji3imAnP6ZgJfK8j+HWtncnEYWUfqSRdWPc8EwaxAWBq GFYliLx+4M8DmSu5AR8gKT8UnmBWowzYPl1UIOzSqsDtw/i2Z0zvUcBzr3ciYGm5NHWsPL5GiENV bXSvQeIuX9wybRKb7s+NFZdgLODdWpCnl6WuyGzPoZcg7RlHOGd0kk1g9Pfe+rZdcViIcZYHNdHW JxVpRPV6d+ATs/KGuEjC0/iw3+EzkLHUsrcpk3/eKG8e1LHWzOvKxK7TTSOn9JrgIqKIy+tRPnjw 1np4VKwbbYd6Od3aRwtp7lpTAnmtqkcBmKQ56Dwl+d7KDavVyUXzviQDLxv3r5f3X5BHuIXsGv12 yGFCqwQPDBz4QtrAtb2gFGKohwgmVMkOd2XvJwOIuH7VA1VK4kIY/GJYkf1sE51R7feEtj8LhlvS J0PBlp22TVYO+4YG5yCTVAajEE4cy1oWtmuON0Bi6vkVesYCf4eTguBImKzZTd34fNTh96ntOI6Z 7wLj6ObrwzyP2nIY97s2lTuFzHjlYW3G0eMB42zE26pu8srPVayTNwCLx81sw5zmnMb1N+Gwzubt Pv+yCAnWZGBQuhc0bL76+cyHc3soGcZBEBOVvexvHSAr35S+vzCppNIZeH03YVCidIANyAe3Bp5+ OukEfec8sodoJGoiEb9eATpF8Gyxhg4Eq8ZLJc5YTtPx2DdOeLdxkeTtNIM+2eCSi6RkkYJeb+VU 8jAGofEJ1Egz580yZAlf87adzYPwH35ptZGDDkTEAa3tfeIoFVj5Qhn0ybTWKUqp1dNlcKN6M2D/ 39xIyT3S0qcBfjJE1SVEkM7YO6Q7FN5B5/YX+dVvfcRijB4Xjw5oboDV6wd76xIUzyu2gg+Xo4ha YHEaPHeRK17HL4gY8vadE/3YIvBEn8ZDANB7wkMd/xkEQxw68L3PdP4zI6kjaI/QccOBcbg3r3HE +1o1Y6/QzJfmZXURyKHaH5V9Fo5KdcfD2GqC+j/ECq5MOr6D2Ahldhr9TPkQ51vKgTMxowNICtaj yk9KGx0JX0Y3zhO4vCjfYtQWCwVGsq/jwmnS3lYmqO0PwfJNE308eM8wrO28xddDnHdgtd34Qd8Q PvD++z8WKBSZQTF8vazxd+s9xvsbUH3ApWZqE02/0Zay8m5wndrP8AGLPb0RgjUzwL3ImBqVIvs/ slW0dnamnQSgrsBPWxHv8WgHRwNLHOeiLmZ6ot//yNfbr3nxhdrQIlr4E8gGvs8B54DPr/4XKZgQ n0P1DQ00HLGO8WGUI3bed6iguEh2zAm37Iu5tELEuXEAQvuaGFPwyrgFLvptFyL69c/2Mdl0HkAb bxdiiFwld+B4FPc9yDJtKNRi4AXhFiIWCq8tNQ8HwFW35IytYmkVjFiXRO9utONLCWOiNfIJ4Vng /YxrS8x1DDWNtNY8Z9cSDP9xXlx/5IZbskL5Pl8cnea/dsoBu+WYtMeH42wrtf6TeF5hWz+Qem+W AhjJTTpbMAlCMtY9Q+/KchIyCTSJqDr3q+yx4U+5t9UoK2kczmlFkm0HKx+6baMsO5cVWk7Bnx53 Nl8lKNBNvXgh4MzPs9uoJLNz77QYS2NdeJDU+xx96A1ELSC0a4wnslrUAslDuaU9U2jbpiifxWxv bNkQhYTdwy9DAEvv17B8AtvldktcckYg4YOnhq1PeVhAXmATuV78I/3Fo1YyO69cYwV/vCfZfx68 fb2n/vFxMdw87qWrGtC5kAFlfDZdyBapS5XR3PUrBl8eM/oC3dGJcSoWjYb1wbHet+EkQclpXZQ5 UiHlQaooHwR41T4g1jkkdgH1ARfXiCREuloTe4pE9rt+0ORTiJs4h/bWsj6u6WDvj0Z9H7vy2Vsq WzaJ1bMkCice4mkK9jH24WWN74PmI7kN3zj/ITX4b9gIMZS3nmbedcPy8puvwQdHYN4Pee0s8kAB trImRmRIrME3aXmt1DlRklkS6sl2TB2jXRo7Zsqm1rGk/KCW+9fx0hN44prDn67yavKpAN2elyqR bCPvbTRSlr6pCHKTNL3vaWDgcjgbSCp9hsniaaS34RqzDfzjcEvyBpVK7OuS60tIFEPPrDWFkLX4 AHdzn9cr+d1XWOiqtHmCNqFIcdXpe7PDQCNvQfm8awQ09a1U/2LQzi6C20KwRV7m8abmirJOC9In IOP45j6PoMothzCVgmujVjg6QNSQb+l/cyY9qFJJSpOj7NbnRlsmVgz6qAItSp9uYgwUAQkIiBbL gBcGDQSL0MUX8d50llcSL7NCJf/lqVMuElGATJZz7U2mUOK90s1Ux0ofh6SzLhgumk6JrtxdhXGk 9sxDNcqa7dV/6zSFzU6jDLS6DqHb3vBH8/hq1GCh7JO1SduTQNfDV2DKkXbH0VUdi8w68gmMVN/n BGtSMpAWXuGJsuluxKt0wPoZNI92jgXur7YrHekGyBla5roMBauySl5f9SWxnHl9mgX9kIKpKN3/ bCQJszEyH2rDaNLcGg1Jyqz9QpLJlVzDWenCqf4nx63Ua5INDnGudca02GOYF46OPgQcSLaEyxHO 6IvaGJ0WxSTzA1A5Ootxgo25jDR00oILKXJri7R4iaNoZ/LBzu6Xfhp0uo2W5FF3bxakG2hn23sM NW1FGs9y4PVdEim9GYubuyO3/5+YD8MJmxwaZE7wOElsNSlrYsR/ltQgh7+qNxXfKM5QwuBCE4Cs zAIppKEVvQIsMWoxDCpqPqeCLWucLFRUzDJDzs5F+hLNFXN+RMfNcTNMw3nSb4up6ip+ZrgXoQtz ggpe6bKHVe23lVAFFAmpbRgLo3/kA1P26oend9KfMrrfFzniGcgOyBd6l5wnCgZi374Z8ipzE2lo X6beX7T1CMKpAtq3FqfF5CsJb3bdEJodeaHfqQMR+KIaKt9rhzKi0HMXZTHCwlx978jOpC5rcUJL kCEElQjxl7LKk56OuwNuy3+V/Cc45PwQ0kQtZ79UNiW9AnjS9qe+Xf+f8mCXMaq5leYfhOt3TQQU vY35fknYOyYQl41Th5DiEJz7lGxm8chFoyM4AosJMfaDsDSLHqKsQgUxPoLA4Rw+PLjxv3mTezwV YD6TA38Ry8xIFtN2p+FljgcOCYDdMo9gkVvsO81yi/y7VYKbeNxI/FMpNsBjs/1OLLvIuM/J8qAO f52obg9cXrYM6idjky2v4dhk/6GxB1ARmG3mk32Te02anRC+2Xd6GPNuX4PZ3YWOSZ8QbLM58S9w NHp5qOqjo05zeEgsmstGDroh9NJhdJ2QN1Z0g1H5bhN2tVMeLUmcwLH75hHGwPDk1LvcTXhTI9o9 QvPtlyw1WLJ08bg5XKg1cp+D0wVUwfO2byIbW1TgGxw7rGvch4+Wfd4Nb5JbUTrISmNrOXrSNTLN vYCElSf14CNkzOvmW4U+CpimNVn7zIBz8m82/v3zXfD18e8+7b4Zr1Nhy3Bi5jLsDt5xVVzDjuFQ JtoYu1rdeDL7UoPAS6VeRlzmcAudiY08MLoUUaIpcKWtAd/IMWBjTIEtm0cXXA6N/hIsXXB0TYDc poJ3DfW2pK5NjYpjRT8uvx+uppZAzyndZlqAGsBe1/axsgpOlINgnOi9tN0s3y/eaCIkXTlTDhj9 HurgXLdWonD3RnccQYMPs8M9Rwe+YR9bso8VRqAjRGcL82oXVFHPTonEn1fCsEuQmu5sWn2GjGU0 uf9460+hNz8hEAme67g+du7Um6Mfs82TAdjm3oND9LsDy8tk0eyym0N1RbnXa3ZONBCb/nv+tBn6 8GLwAe1tgD5Dl8vski1zSDGR4DZWMZVck9mjo+z7TDPTxYJhlsKvt5L51DAkROQxCBrAiyScM9Ch 5sEPd4sHVDq+zNMw1GeA7IFGnhDBsjhjfDz8If7yWwJYAFxCsKcSwkOjwVGLvEmH86R1pCMdScgy czAxfH4c+VU0WGnWwZgVkghOxVmKB8EjPhIOrd/m0m9dghlz8/Z2eIjglSakMEpOQgA4bIAzBolD ttuq1H2Cq464hBT7p00JhaN7xA8SaPRt6nZ2plLLvIAfnnBvMAg+rTWJX/pcfbjX5S2vua6WdtX/ tWYc1DZi/5Oqg2uFwDSBB71UwbvvPDLE07zXn1/94IvGrRc+mBr36c371zduL7sJj2TJlkXE+vny S9NjLwe5a/YSY0p5ppHTQpZv03VqO2lGtSjX2s/Q7bJQ4dMrKQskCu0aPXQNqq2rP8cPXry9BJXr h05pkAiQV4hM2jqSCfEF2XfzV67FMtFgHBHCQa1Y9nzzCWA0ruCsjHDXNpGw/j09uLjhvCLYOvjU LaA3NVEZ7b7E6hD0ITvbbh0MwXdZUTd2CRFqqphgx5l/BCxwg3V8oIqZ8fLEshF6+eu4tXaQ/baE p76RPvyplEcSqRFHEo1JgfNtETbYsbYuAvUV1YU/+57QPhyNge0/yXt4QzQQRO4RhyV+qvUM+8Pq kCz6sSdEKVcY052QfrH4y/DDdbdD1bacuv5tE1r/e74u6uPRPfFQdn/CA0uFaeWDdpNsmZKx6Ryx 1M4fiyA0piPiZekODu8FON7L3u7kI2nt3rQ01tFF6UX1spVw6UcTfk2by8HmRuAVHUOMm5xnj2d3 Znb9TNvmY/cKk23e37GZgCxYMbkph68I7V/43Eo63S8qPYpIH7bd/bab75kSP9piQaPWneksG0Qo eYCoiZtq+a8HLRcTsEPv6p0tohCGiOJStSwbkfi3ysmJ+g/guxZZdwtvGfJvFjHXH6WU8ynrPjK2 P2V+PpQ0kPllATb4bdXqgk77ox1vSmGKtvBXgnD0ub6ujdJNrUmu2AuX/82gg6x2eLfI6lAeAQ5S uoqhwUuD2H6/9loBeQHPxsU2e9T6IJG5/idIaQpJhnNjPTiG10xTO8Pn87x0jvuioXnMOdRD8UPb TRkpyHl2Ri+TBU/bOFL8h4EFPw4Fda1tLEjWqHMkeDeuBvWqkRU/U9u0+1t34lypo34+CuM6tjw3 w7gMYvWjsvCPZF7+eeMPMwDdj8cEjlPAnLCOV5zoW1YrlpCxo+FXqX0sX1O1MRvU7unQvhVb/9Pv GBKE8lcFwoZt+R9Gok7P5bYUMF1wvAHxw1aovOO7D7T6JIfq6UmR+r+GH7mmjVFIjSVPIfUMCeR9 SZpLJjYr2puyERX/uEnwh6ts8AC1/SBM/qekdsYAmJ3+yT1i7oBLmCJPN99VieHBwkCn5EO3pCmT tkb2gZhuMwY13N8VLHrwOYAxzkv37/bh+A0Z47j2URJ/GQU7JBAMCJaWWECsIfouByjeVqa5uKbz C4yqe7DVdCN3HcdIQLGxhnpcVnTEgDeluzGegMQ3kOUqIwmQQNDVDdxwseUWXE1eu7quKizOitsX 4sJ/TtJe40U76DFfP5EhO1HxN9W2j2cpKHZk5QS9ramZupoCl+6Di2+QrljLELwveCplf6y2rERX MCDFtFcJDeJgR6bvh3JEhnJFWAmMJ3MhhTUa1kOGV0lzkCf/ucKyiDQCI06Ek1nMCPD9wecXHEhF 1mktTsEMuFeXoFoCAQcZKAanNY2CwifgYhYqKYNrzc0k1c+kpjiwTmo/dxtbZnpUkqnXuGSY9jJW Ayjsg6eeU5gMHy8S/N8CXJVQ/mg8F4guKyceKf97CPVHZqwgzji1LOOOhhTisfvYjfh41l4qIXE1 3ZLCDYSTxWGTYFNpWzzRnwBkEvm6Q2gw0spXe+L5JTMgQpHYxRsAyZMAOmERnMxo4Fu37Fbe1tSX MZYUIEPUCRJ7YzocKWdsDLoNdSJ4971K1S6duunsgni2kxwC+68c1cUp5tuiBIs1tsPgfSvfHP2W 3+NX1r9AFrVF9TblT7426xsTGthDCE6ljlzDaiJLOIlV+0tCmOcODW/K2qh9k04N54Z6h3DIJGyy q9BdvTNbBObE2BlQ3j3MDeFahqnlDs9CxAgR2vK9sTKCeiwl9mjpVK8TgGDk5Kl6ZCS3Za5H4a0n EMcicOYmixl5ifuF57iYTwL/RiKynBvsnWKUhVKeH8UvY301f7hp/qPjeviKnzlxxM9IycqIWAza pcJABWQFC5RAl0q1GV/LmeZk0w9Cm+YpToZ00ujvmj1eXxJfv5RSrh4pc8Kfwb+ZVozifrXuzdYN 8vLqugEuS1nTavyEzefQyXqYuGeN4Npq7uwUg8nP7GyauKpMTiMm4tL0ADyd+Bc+7hvhJ6tIzB6A 0qmMmSy7R/USg4rdwt4eY3Grmej7TA15NRzZllUCR0OwbdZBC1i4Ti7Th6jWMKCPq0sMA9MI2MwN vBJJXPtcIko2P1ETeFvyM/zY4L6pyUundJat+dcaj9pnjnHLQoJDX1h3moxQIM48tFKHJewxc/Tm usygPOhEJjJ19eueugRLlfbqR0YBnPzhZFtMIOLbKtUdrztPliRkXDpxudWiDauy86QLlA2ApBDg w5NDYGIym/6jL8z7mrEYRVL85ICA65I/gj7lWnVG66n/a2C+SKNYlwueRfrjsLQ2VfFj/KSe4qOE WLgZp8yJXnAPoWUY3G9B51gvn1H7wP5+SDvl+8QFrfygjG4IZiDFx+f74H3N4eg7iC3mNh+ijnAz NpvJrJqlBmx2INUqhjnoBp+n37nN4Io/Ls0lOnppQ1yWjBUUI+nhXU5/flo93aZQv+t9HtXzlLES cm9oZr8Z+Erq/9Vy7kaEsarfADvQsaLapZOb+XQjoma7QbK2bfnAcCTInZrd1wA7owkK6QVO/5Mr hlN4ulq1iky058A9XiEPolMD8hsrsF3WCWmOyN++IbCuvC9ansQrqzkMu9k21k5sWAjyW9BvBYEG FuWxAGghHvwviheuq0qw+ETzKHGC6k5oGClKxnq/AfcqT/ioHzZeyeRCr8RnUzch/eIgfDiEeDNW CPpu3heVdsbsP0l9wg1b4wU6x+9sSZ5caADOVBXhvL6Np5V5R9ptfwKIiaw+jQYpCW42nGZq49Oj anaPxz+ip/lW37BExyPDh16dkDsDdI4SaZsW72k6V35fFl3cAW+waYcgMEjCFGywzDXuzd70VNIr yi4lrNtm8W1HBXL/9+Cqi1vxTHUKBId6awyLGvvK3cQFRY7pSN+etXgzK7/12tittDI6WYsNegCE hHvyN520DxxNr5vaGTAXOJS6QiYUmsCFOgUFBjg7DElwaP1OdbDatfpH5wxyhntHciHSTC1u4WMO bbvA5tfgcycpfeGPpemUWa2qRKtn+L6g9MnDReNUTfJgv2Hc/8GlDW5qVIi+s4A4xiK7/Q+OKaiR Jk+1Ts1S1+cpMjrbV5HMqHw16DaZf/7tvMunur6HtJtLpfLGD2nM3wKkABSXqFy9JbAkRZRBedCF mCE+au8HvnDylxE9CbXQyAC7REn8V6JhXpsHJV0XGJg0wCKn8+V4pl2Z2ME7iRLjiCq81ZVioaYP 69B/UGHdzD9r8IjZVbbxZqU4HlHSXhk3+lk4h9MCeP1k/l/OfQJ3lH78kGGmQgWtWd12suO6Su3D Epz2tEIrXEXC9nfGrtevmki4ZJHDMtST6bAvPKrACmzi3InHOrGxioXbHNW57JUx642JwSI2+KyX E/wb682/4x7iLrj8TCJqJiHzyYu1LXOT7/PbNMJ/z4s79Qzy/DRjI61ApRBZRQNa6qMKvjHISa/p UJNbxxZgFWL/uZzzU5utVqGJSzumGe3uuaXcGgbmL7WBQj+IfsH0HoNqS+QsDHeF+vlNxVw0LO7u SeD8t8wglGayUNJZxCrO2a4zu3YK6AN6UXhw6pwYmlMTYxyRvm5VAjrONN3xEmt9bfeoUoxEDOUP I9X8v9j95NMXYaJYYWY4wS0UjfyGsPqBCiBU28wD7Xz+0jlp/FVmEEyABqmzcxZHtsRvto/Z7ZOR 83WhaLK4vGexpoTB3UYbzpPsDs64HphL5IX/TgeVLqBP1txnNuvtsnT9/ggyTziRAKSS2NFlIt1G m4S53KgxRVPAgbrsG4fngWO2TeiA8ejn9njIecHk8vTohDqU7PwB6g8gQ9qoMe2eZYp9c/nBNIPe zgnICeLI0vTzQcUYAeEGmc/ccgLQlvJ8CZqBERk3Qh3P1mXg9qsYUaYqmBAfkg0fBv9tjQeajdhg pBfbmtENRrk7CUK8FeCZaJiw+Mt0gVasXdPctkAVMVISEVTImqZYLptXADurm9FwTS7QEXNCBmyP pnL2UURhusWMCkszv9stoYtT7NUAt+xb6fFsDwopFbaB5K6zQ+kDXy9IqIzfQSwXqeBOns3SbAik tQdtKTN/J6gGTkwt6Ul3fVqH1gBSmqyH6KvIW7FwE6S6gAx+hqZABkv5IFIJBn80DsGolA2Y4xHO m+szNTDam2fL/tgBKM11H8wSbxWpmujrXqRv4Rfv1JPWRXNwBqFMK5acSzV00M8LJvkRPZcop85A MHMel5w+3jcsOhF+SqeVJytwMyi47lRFnOnrMhOoiftE1kFP+8qJvyRGB/LmCAWtwZBr/4PTxywD 05A5VI2BjjMiZkKGDjluxXB8UCJEWlHnMZcb9/EVGb9eg69Yy0x75GPdNYtbssjbknvPJzFBHhBa LdbivrlBGzj2M9gQ+kQ2tldq7/iN4ItLokPPQxZOkIczBDsyOKeu+nAwKNUMBVWynoJkSWSm5MFG Aj3c22HOFllM97ufoIc6b6rE6+Jh0G+kWEamhUJn48jwSlfkv5lI83jGXbCVAaqc2hCmNW2IruVC Q5CAWmhBhZv0+HdjqNwZqQhOpgh/V3v6nHDAzvM7tppO8F/smc8DUGJbBaMUTOjS4eBc7DzcY1Zt IPc1ZNmt5KIjoaz8QT6ZWev4+vZook+QvndXOe+VEJ+XTGOfr1XDU9+0upDyPzsCjOrnZ1fyo8+L rG0babxTguf5TN1QwLzpZoDEs2QV5etaO7ZAYh2IO+Sn0A7VXEiIKHgSgqbTyThoFe56mNWlEQoG u0R4SyeeJMqtC99w90KVmLM0YtKrD/2MUiI93WyELYI8QgAFm1Zf9yuOJUT5i9na3IuGzk2pksgR 79a05wupDJMuBejINtf8sRZsSqDl9CqeUosbTRlgexbblfo53l0cge2J4DzBIkbC9OuX7aVbP76x WjgGAALXomoWuhGfGI3LjFeA3u/sMapMpoI7C9mA8E//TRKoanFllleGl/7Uqw8So/+5GM9W3D0o 96YMfeSVUxprtgDz2ClS86nDoNg+mss2wJR36TYpWaon6wbQmM1Z0tCKklUE0pRrjDfYSZ4S+KTM Uf2GIN7D7ducrRTanO44OqHAMYdaiWmDIagCav7jhuCojXX2tITyDjMPcdV2e4eT2Wn6q20EAjnQ UNkKJegJ/AInQn07M5/WY4FlHy6ULnK37k6j0LNBtcVwWYR+Dt0kmyHDEHlxpAq7Swr77+zHUv3q 916FKZZC6+2+NdxnM8rXu5Y/yUp7fURnWKI2kym38ZzUn+o5STIuHeudYrbGTiI/XfCXQsNYbJf8 3d6tDpELzirVfxBLgN5PPZw9QfPKmgov8rx8qmxWs6EQFDZjHPo3OUs5WweiyGZ6E4aFJmKmc2V+ 805wD9CEISrs4BWfu3QTaqyhFRRhzxanZzMBCIuYWLMNKziGKlRHoDw7riiSa+Xs/KwhSdPDU45x D+Pz/+nW2NP+3lVdLp9gEfpG8/ZYnUck+fRHcMYfrkyVOHRN345WE56/rV841T7ih+YNRvgdZfPa vESchYjcNmJQoc5L8xooGSXu+p0Vu/KsH8Mfd0Fd3c+KzoaUAtMcfEUg159g6xeR+Mc21rl8xUKh fTdC639JZBbq5VV2pzcZQGsHbvOGQI3lEw9M1NLlzE3Bah3WqRx0VAmmRVepa2MbBw0mYznGbiYj GFjakDvac9XNhKrA1hvI2cg0PcEn6Z7ZSrCW+tVmjVxYoHZVf/Qd/dY9WPEC9LrcNvVUntSTM9lS c10AIlMf/DS8nKem6HZuxSN2tu+XKrN3d4q+vkhcbvBX5GN+4xl2ClvBONlXYv9ZKYQnVTmZV66k sgsSv4dhH7Kn6NKjOsQNhfjFwnKt2q3gk1CqXSjl+xeKrSu3GvRiDsIYj8H40MczkHy12WYjaRF5 hIxdMI8m1cmX0IoPmWWzGbCep4FWR+0d7vTwyTTtaVh/u9LNa/Itdg3YJ1XTHA/PA1aCFHD0oHPQ k8DJ+XbFHu8uPkerqWLN4+uIy+XaK8leh5E0+WFLfAsgfFqYRfIktNBfuMkn1DgFlyJWehzHJ2mE CIQdQEaCbQ+KgWRW7m8XVMMwRh/xC9SwtAG1CmXQymsm6+Dn5quETnTawK+9bNqs5+0qxd0GctDS x3VCQNT3/Qdezem/yrxyklaDbnmz6XR3N6JlVSKwkuZ9/ObrTncvTWOGE3dSS1/B4E572qNFdFzx K6TwHcuxVwv+MLW29FGoaUtCVqBLuMPFPy4Et2aOmA4isYM8SQCnI5oPsMT/tM8w64RKzrGTObuI +pSqD7cA8UmifYEYTwnnlv3LxeQFXI0n/iO0yMlL0oltVqATfwhtJup8ACsi+zBvwY6po89CA2hk W7KwthnX7vG04GGf28WGg2fa0yg4cZswttGPVuSnsxhSZWvx1dwZwVaQPuSnict6iJ3ngGV/Tdaz ZbNjh/EzeMJgi1+RtMQMp9TaoH8uXlLp3KWD22H3sJz1JXaWptb7odGzgrAuScLV4qpaejzksc4A PVWE79lS7Nt6Tfq1XjD0ovbLYJATL51IbLm9tBlFGKMp5JxcoKBkaI/LvfJIW3uUsainxhQhCM0w 1A+YCWB6aSMu5oywznKWoFYwjseXtwxfd/K2kaxuOL7IzoHL5PWkyAI7ZSTnHRUm7Ha9vTUwkbR4 EhcOzeA9qvZaT4XyJZi+ZD6oVzE+p1nzBT24hkDwN2tekvNl5F4oa8ItX+5YFeKRLe4m1hbalfvT NvGUB39TagX+jt+dXRejkgUkQCpfaM06z4lYZozwtbDFclDA6j24QdRrD2TWCT5OHf2naePBUJN3 BHWnkmfIrL51LPQAy8pxCTFJupcMmF6SXfKFLu05BG3udYz7GzueqrreHrETrLlTTqnmxKYPGhx/ /Y9IKjbO9kBcopFTXlXqrPLr8Hwgx+tqc9nmd7mHAbXGby93Z4ELEx96mUNEdHplF5evB9m5qMKf wkH0N2/ZFb6iqAZ4flAoszcncJ6HRAuCZwimXQqSdgK3GGtsYqezxyHEjdusc7APkX77vpIIHOYX atWMt1wPDFZZgELqIQBPqqqs74f1KePt70EGeFcBDSZSI8q3hoG9csi99Vq3YPnc1ld01tvAoTqX L8tZkbYrYYV97iSi/m7mtdzO6fljeQcIvQIPu/o6ajfAtbmJ6IiCy7YpE7zPkuxXEFeDBjIMym0I H0ud/lfe9vP3PaNSEiZC `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc706/aes_zc706.srcs/sources_1/rtl/switch_port/mac/tri_mode_ethernet_mac_block.vhd
2
18140
-------------------------------------------------------------------------------- -- Description: -- This module contains The Ethernet MAC Block -- as well as a FIFO block to decouple the switch clock frequency from the MAC -- clock frequency library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tri_mode_ethernet_mac_block is Generic ( RECEIVER_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; GMII_DATA_WIDTH : integer; RX_STATISTICS_WIDTH : integer:=28; TX_STATISTICS_WIDTH : integer:=32; TX_IFG_DELAY_WIDTH : integer; PAUSE_VAL_WIDTH : integer ); port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Reference clock for IDELAYCTRL's refclk : in std_logic; -- Receiver Interface ----------------------------------------- rx_mac_aclk : out std_logic; rx_reset : out std_logic; -- mac to rxpath interface ------------------------------------------ mac_out_clock : in std_logic; mac_out_resetn : in std_logic; mac_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); mac_out_valid : out std_logic; mac_out_last : out std_logic; mac_out_error : out std_logic; -- Transmitter Interface -------------------------------------------- tx_mac_aclk : out std_logic; tx_reset : out std_logic; tx_ifg_delay : in std_logic_vector(TX_IFG_DELAY_WIDTH-1 downto 0); -- txpath to mac interface --------------------------------------------- mac_in_clock : in std_logic; mac_in_resetn : in std_logic; mac_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); mac_in_valid : in std_logic; mac_in_ready : out std_logic; mac_in_last : in std_logic; -- MAC Control Interface -------------------------- pause_req : in std_logic; pause_val : in std_logic_vector(PAUSE_VAL_WIDTH-1 downto 0); -- GMII Interface ------------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; -- MDIO Interface ------------------- mdio : inout std_logic; mdc : out std_logic; -- AXI-Lite Interface ----------------- s_axi_aclk : in std_logic; s_axi_resetn : in std_logic; s_axi_awaddr : in std_logic_vector(11 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(11 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; mac_interrupt : out std_logic ); end tri_mode_ethernet_mac_block; architecture wrapper of tri_mode_ethernet_mac_block is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of wrapper : architecture is "yes"; ------------------------------------------------------------------------------ -- Component declaration for the Tri-Mode Ethernet MAC Support Level wrapper ------------------------------------------------------------------------------ component tri_mode_ethernet_mac_0 port( gtx_clk : in std_logic; -- asynchronous reset glbl_rstn : in std_logic; rx_axi_rstn : in std_logic; tx_axi_rstn : in std_logic; -- Receiver Interface ---------------------------- rx_enable : out std_logic; rx_statistics_vector : out std_logic_vector(RX_STATISTICS_WIDTH-1 downto 0); rx_statistics_valid : out std_logic; rx_mac_aclk : out std_logic; rx_reset : out std_logic; rx_axis_mac_tdata : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); rx_axis_mac_tvalid : out std_logic; rx_axis_mac_tlast : out std_logic; rx_axis_mac_tuser : out std_logic; -- Transmitter Interface ------------------------------- tx_enable : out std_logic; tx_ifg_delay : in std_logic_vector(TX_IFG_DELAY_WIDTH-1 downto 0); tx_statistics_vector : out std_logic_vector(TX_STATISTICS_WIDTH-1 downto 0); tx_statistics_valid : out std_logic; tx_mac_aclk : out std_logic; tx_reset : out std_logic; tx_axis_mac_tdata : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); tx_axis_mac_tvalid : in std_logic; tx_axis_mac_tlast : in std_logic; tx_axis_mac_tuser : in std_logic_vector(0 downto 0); tx_axis_mac_tready : out std_logic; -- MAC Control Interface ------------------------ pause_req : in std_logic; pause_val : in std_logic_vector(PAUSE_VAL_WIDTH-1 downto 0); -- Reference clock for IDELAYCTRL's speedis100 : out std_logic; speedis10100 : out std_logic; -- GMII Interface ----------------- gmii_txd : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_tx_en : out std_logic; gmii_tx_er : out std_logic; gmii_tx_clk : out std_logic; gmii_rxd : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); gmii_rx_dv : in std_logic; gmii_rx_er : in std_logic; gmii_rx_clk : in std_logic; mii_tx_clk : in std_logic; -- MDIO Interface ----------------- mdio : inout std_logic; mdc : out std_logic; -- AXI-Lite Interface ----------------- s_axi_aclk : in std_logic; s_axi_resetn : in std_logic; s_axi_awaddr : in std_logic_vector(11 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(31 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(11 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(31 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; mac_irq : out std_logic ); end component; ------------------------------------------------------------------------------ -- Component declaration for the fifo ------------------------------------------------------------------------------ component mac_fifo_interface generic ( GMII_DATA_WIDTH : integer; RECEIVER_DATA_WIDTH : integer; TRANSMITTER_DATA_WIDTH : integer; FULL_DUPLEX_ONLY : boolean := true ); -- If fifo is to be used only in full duplex set to true for optimised implementation port ( -- txpath interface tx_fifo_in_clk : in std_logic; tx_fifo_in_reset : in std_logic; tx_fifo_in_data : in std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); tx_fifo_in_valid : in std_logic; tx_fifo_in_last : in std_logic; tx_fifo_in_ready : out std_logic; -- support block interface tx_fifo_out_clk : in std_logic; tx_fifo_out_reset : in std_logic; tx_fifo_out_data : out std_logic_vector(GMII_DATA_WIDTH-1 downto 0); tx_fifo_out_valid : out std_logic; tx_fifo_out_last : out std_logic; tx_fifo_out_ready : in std_logic; tx_fifo_out_error : out std_logic; -- rxpath interface rx_fifo_out_clk : in std_logic; rx_fifo_out_reset : in std_logic; rx_fifo_out_data : out std_logic_vector(RECEIVER_DATA_WIDTH-1 downto 0); rx_fifo_out_valid : out std_logic; rx_fifo_out_last : out std_logic; rx_fifo_out_error : out std_logic; -- support block interface rx_fifo_in_clk : in std_logic; rx_fifo_in_reset : in std_logic; rx_fifo_in_data : in std_logic_vector(GMII_DATA_WIDTH-1 downto 0); rx_fifo_in_valid : in std_logic; rx_fifo_in_last : in std_logic; rx_fifo_in_error : in std_logic ); end component; ------------------------------------------------------------------------------ -- Component declaration for the reset synchroniser ------------------------------------------------------------------------------ component aeg_design_0_reset_sync port ( reset_in : in std_logic; -- Active high asynchronous reset enable : in std_logic; clk : in std_logic; -- clock to be sync'ed to reset_out : out std_logic -- "Synchronised" reset signal ); end component; ------------------------------------------------------------------------------ -- Internal signals used in this fifo block level wrapper. ------------------------------------------------------------------------------ signal rx_mac_aclk_int : std_logic; -- MAC Rx clock signal tx_mac_aclk_int : std_logic; -- MAC Tx clock signal rx_reset_int : std_logic; -- MAC Rx reset signal tx_reset_int : std_logic; -- MAC Tx reset signal tx_mac_resetn : std_logic; signal tx_mac_reset : std_logic; signal rx_mac_reset : std_logic; signal mac_out_reset : std_logic; signal mac_in_reset : std_logic; -- MAC receiver client I/F signal sup2rxfifo_data : std_logic_vector(GMII_DATA_WIDTH-1 downto 0); signal sup2rxfifo_valid : std_logic; signal sup2rxfifo_last : std_logic; signal sup2rxfifo_error : std_logic; -- MAC transmitter client I/F signal txfifo2sup_data : std_logic_vector(GMII_DATA_WIDTH-1 downto 0); signal txfifo2sup_valid : std_logic; signal txfifo2sup_ready : std_logic; signal txfifo2sup_last : std_logic; signal txfifo2sup_error : std_logic_vector(0 downto 0); begin ------------------------------------------------------------------------------ -- Connect the output clock signals ------------------------------------------------------------------------------ rx_mac_aclk <= rx_mac_aclk_int; tx_mac_aclk <= tx_mac_aclk_int; rx_reset <= rx_reset_int; tx_reset <= tx_reset_int; mac_out_reset <= not mac_out_resetn; mac_in_reset <= not mac_in_resetn; ------------------------------------------------------------------------------ -- Instantiate the Tri-Mode Ethernet MAC Support Level wrapper ------------------------------------------------------------------------------ tri_mode_ethernet_mac_i : tri_mode_ethernet_mac_0 port map( gtx_clk => gtx_clk, -- asynchronous reset glbl_rstn => glbl_rstn, rx_axi_rstn => rx_axi_rstn, tx_axi_rstn => tx_axi_rstn, -- Client Receiver Interface rx_enable => open, rx_statistics_vector => open, rx_statistics_valid => open, rx_mac_aclk => rx_mac_aclk_int, rx_reset => rx_reset_int, rx_axis_mac_tdata => sup2rxfifo_data, rx_axis_mac_tvalid => sup2rxfifo_valid, rx_axis_mac_tlast => sup2rxfifo_last, rx_axis_mac_tuser => sup2rxfifo_error, -- Client Transmitter Interface tx_enable => open, tx_ifg_delay => tx_ifg_delay, tx_statistics_vector => open, tx_statistics_valid => open, tx_mac_aclk => tx_mac_aclk_int, tx_reset => tx_reset_int, tx_axis_mac_tdata => txfifo2sup_data , tx_axis_mac_tvalid => txfifo2sup_valid, tx_axis_mac_tlast => txfifo2sup_last, tx_axis_mac_tuser => txfifo2sup_error, tx_axis_mac_tready => txfifo2sup_ready, -- Flow Control pause_req => pause_req, pause_val => pause_val, -- speed control speedis100 => open, speedis10100 => open, -- GMII Interface gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_tx_clk => gmii_tx_clk, gmii_rxd => gmii_rxd, gmii_rx_dv => gmii_rx_dv, gmii_rx_er => gmii_rx_er, gmii_rx_clk => gmii_rx_clk, mii_tx_clk => mii_tx_clk, -- MDIO Interface mdio => mdio, mdc => mdc, -- AXI lite interface s_axi_aclk => s_axi_aclk, s_axi_resetn => s_axi_resetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, mac_irq => mac_interrupt ); ------------------------------------------------------------------------------ -- Instantiate the user side FIFO ------------------------------------------------------------------------------ -- locally reset sync the mac generated resets - the resets are already fully sync -- so adding a reset sync shouldn't change that rx_mac_reset_gen : aeg_design_0_reset_sync port map ( clk => rx_mac_aclk_int, enable => '1', reset_in => rx_reset_int, reset_out => rx_mac_reset ); tx_mac_reset_gen : aeg_design_0_reset_sync port map ( clk => tx_mac_aclk_int, enable => '1', reset_in => tx_reset_int, reset_out => tx_mac_reset ); fifo_interface : mac_fifo_interface generic map( GMII_DATA_WIDTH => GMII_DATA_WIDTH, RECEIVER_DATA_WIDTH => RECEIVER_DATA_WIDTH, TRANSMITTER_DATA_WIDTH => TRANSMITTER_DATA_WIDTH, FULL_DUPLEX_ONLY => true ) port map( -- txpath interface tx_fifo_in_clk => mac_in_clock, tx_fifo_in_reset => mac_in_reset, tx_fifo_in_data => mac_in_data, tx_fifo_in_valid => mac_in_valid, tx_fifo_in_last => mac_in_last, tx_fifo_in_ready => mac_in_ready, -- support block interface tx_fifo_out_clk => tx_mac_aclk_int, tx_fifo_out_reset => tx_mac_reset, tx_fifo_out_data => txfifo2sup_data, tx_fifo_out_valid => txfifo2sup_valid, tx_fifo_out_last => txfifo2sup_last, tx_fifo_out_ready => txfifo2sup_ready, tx_fifo_out_error => txfifo2sup_error(0), -- rxpath interface rx_fifo_out_clk => mac_out_clock, rx_fifo_out_reset => mac_out_reset, rx_fifo_out_data => mac_out_data, rx_fifo_out_valid => mac_out_valid, rx_fifo_out_last => mac_out_last, rx_fifo_out_error => mac_out_error, -- support block interface rx_fifo_in_clk => rx_mac_aclk_int, rx_fifo_in_reset => rx_mac_reset, rx_fifo_in_data => sup2rxfifo_data, rx_fifo_in_valid => sup2rxfifo_valid, rx_fifo_in_last => sup2rxfifo_last, rx_fifo_in_error => sup2rxfifo_error ); end wrapper;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/blk_mem_gen_v8_2/hdl/blk_mem_output_block.vhd
11
17242
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W9ikAzfkNAB9r6UjwYkkLbO7xSa6Pa5uk+WdU1HnuyZEhmVth9jtplxOjM44FNqSQvXccO8yxQi/ NOIWOqyRuQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block hEuem1/oUd4/OEXkW2OvYqIxpyUbHGfY7GOC6MYHG11DUK95IJjyjs7VGLCJVTSk7aMQu8m0Up8B V7A2i5Ur1C/MGpffEfJZxWT9TmFVFogk48CVrfRqfUf+EY/RnTok8AxbPM/CybW1sngqZ0CjEdAR WFwF2WmA9kANp7DyS9Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YvMHbfeLoNrrdjK8MzZ3wyAsEds/aUUU1qihbPDmGwW2kx85UhHj3XK9rxLVtguq6gNEFC6HhSRq ElvLoh05rPkMnw6WFsbKYG4H4bGxyS47kd8q3QuXnE6sCz6iwiKIv3dpxTb7XlMwEgrVo5qwxGVL s9GGRvYTehzL7krjc0uS4aFXrE0IozDVS75JoLN8e6buKPj0LqKxI7eJDZG7nEfNSuwPJgV9jjsn hBN7sE/TpmRuBxik41OE9HAXgcn8nnK+V1lhlH0VRFNNoFpqAT/MO7xuOSQjqp+eRafuukS3cAC0 2Sj1JyG5X2zzvgGRtR4WAzC70VggYtvYSDr4fA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block odYDbVugJa4zsNoidrU3zfx00EVw1f1F4ZM7PMiUD5vBKIyGujE3/2kpootoEODrHYYL5BLfkUxF BOQX5PSqpPgaDdiSWs2KCidYq7PHZN3L6Rfg3lupSDrgIHrKR+n/0uxrr/QGDaV+/KOkCbB4EmF3 NyOLBbCEbB/cyic67Z4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eIzvt2wVqO3FcBIgfe/d1GrO8xAJyZ1wgW6um6UoZcItt2tjAa8e4PowdMaz78drHioWBIt7t7sB imWtFcP0XMZDfFZ2wKw3JJinSToIdJDnmZ+SigbxdzjvPvdZmXqc/soqccpjzaBwx0DzDM+jpCRD sdcRaQP44+rEYmGdQzUtkX5LMZ/ySPHZt7L2ejRcX1NR7tjsbb6iftGBFtOOKIolJXES4o+D0lFM w4plD0zfXEeIpYzOx/B+7FZQ8lYPkEeG3Q4nhVL4OPIVDrnnmCTdbedEddsMjHf/oddTYPxyD/Ra iW41N9W4EeySOPEdcOEovPgHrZ+ZDykNGAE4tg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11024) `protect data_block wCi1EGWEcepbgW5uOEPN0evgYS9XZMSzJsF/EcLsBFhCvSD1oOzqmP8fJeDLmEdNQF/7YfTTGwOG s/wOi78uIdGAW8EknbP1v8GZ5t6AckJ+EAbHX2Fw5Pv9eiMTrctGH8sk1YlZDCuPnfV55Q2O0zud +0ZgXnZlrc7F/yyZf/0sL5RdhKarwiOYPkVaXJQy9vCiCznzitdbynS2yKkF1aLC3vIZhryEd2L6 6bsXkgm7ee4SOk169T8++p+1X37L+DO43l3b6H/OI1+62kC4OMfxcvL1jRl+vSBIkdl3HUEXQrCw BmWHZcuGnpe/iwZc1V6oVxIxWi4cGY3ejnO6+VJ5a4rzjl4OlufiZr9qpgclLk1fxBa6TbGihHi9 RDMs4KeyGEvpcjzh6tGhCG/gvV3VK3M7wp9uT7YEPNHxQO3EM7PlrsX/DYSZ9PzM4RJjzCocSe/3 XiNKaR345NJXRC+Wex8XcPYAGljr9J9w5GUwlC2iu4yMn3fsLiDyiN15qNEGr0Tl4Xth4CG1sfYc glnF3CpxdEWH4FnY86Et46HYGX+ezVvSVjzWPfQII4x4TuqPCdxM4hq53UY9L8QnDPjkJidKkAYJ A9SVIQVaSdUJb/fJsTc5rdQBFybAaNUc3RWW//Q/aegz6j9UZTB4EY+Fq0UzFmtB/D263K+5m5R2 k1jVDCHI37Mw5Q3mIzezR7HEVX5NJGQP30WUpwf5n0QmslBWheGmAaBdXi1VQAj2oDgSvzK4Roj1 oqpd3mMkX30De+pToc2HoY/rZUV73JMlIMw0KCIskkPCTA7ip+VF1+MO5EsiF0xIZ8p/FkZhkGPo Gi5RbLu1rEg2F05pIat444Nyyr3Pej93fE8aJc67F+/NYr9AVk21O3C5g7oFX+l2Nce1AuajhKwK 0zB93kklzSo7mCDRBC8q7PEMADV7fFxZucBy3aK8WU++rS6aGKvHQYo+2p75y3i4EMMBkH1Rcqoz CBDgAyuUqGwrEfY65q2fL/2jRGPjSuml/rXDYuZlu0e8j0ReNOjghdJSehSVOXHCyHM/xw1qBYIo uu0QnGqCpTsM4q4RdHu9HlYeb7yCMqSkjYeM1+X3xYu8J8+p5KKY2mUE4eWgHtP8CE1KaM/Tb0Ow M2P2+liiXliBjWMtM85usfHCJ7fmmm1r3P8jk9iuggYxmEjXFC63ULsDz6owyUT1VfVXpAIp9/ZJ u7uajzC8LEbqWkwqWgryamL21YBZNwlyNfVdbSiclHupKmo3pNGFhYwOmYlqS352zcow2F7u9+xi hUqZH7C4inw9SAFF63DmJqxhVE1mQjcWzRkw85tY1KWM6B7udsNpoKEM1WTqI7qQ+53iDoEu5xYX 4TWSLv2MSWK+djqLm37+GWvOwVSDkIkJhLGlxOienriYDND0QfWDwNcyTjeD15JdJMZTVEYtmU8y IQISw1STkXPechQz3a1Rh5jWVUyLi0HTzdHo52FenD9MVRpYD0qxoBH+H2Sj536fFfKbTI2ikQPG z8jiimt1UA4pRXwRZ2SdUFdLHp++REwX+6F3gnZbDjjJfhP1j1akVyqDtJiJOsDEaC1xx6PwaQWV 1lFxpoiRpTgQGBwDj4H6zqpUJELMM9xoQdNcVawIlV8H4xSQNp0KFquOdMjw7gk43HAvEoV52Ucx T8G0SOKKXyQmn+d6RoF4TZiPzLsGLI9JQ3fDOnU+ONTmPwIa97TF2/1jt5rEC3BoZlZl5J3iLjym +HwPiDdyxWmDVN8eh8YTbIUbx23sWRkXdO2OxFnLn7wNQKPAtPw2rVHbDty/enJJ05RyJrrLrsDT cucwoxxeBYKjwEAfjRZqkCDGUioTv3uC9+7XJvxjI1GNCyFTtLwCCL5ERtiC25hSec/rtw4U1PyC LFRTHU5FERCf0Uha12OzrTndcISwL0Q9nb5McAttt44W9asyyeSQXJmtjxx8vZhBBv3KDFEJVZYd NLNr3+KqXxd5e5EsUXiXu6JDrjgmjVcg4CY0fyKZ6AzWLIO23g+fLrmTjEjkaqg93g2rcxdibiC2 waVnqlSwtmaBJdGxdDlVJpgmHKE62H9wO9fM9/uDkEfQQtfIlXIA+9k8PDVKueAwexRIhgb3mIFN uptop6MXrrb4kSu4iuxMzbCT6fl+/NgFz1AXVItp8SH1h/Trer8XpdiXcvO778r+66DugCLXYS/s OIRR25AD48R1w2GP0649sZpXLfBz7F0HqCLmdgVn8gMNQ5eKsWdXOnpcQIiP6hX/l1FOLdMdceNP gaatnO4SVC+162i9QswQXO7OlN+epuzGKmPSiTLYygv85pACrYF/KnSZm/x2tlWh+wVZkReOksgQ 2N+D0z0RXeDWwtyHcsOM61s5kuGRbNgEf8K1bhCo2G9Gfv31XQMTLNupQOTuFm3oVLClNt5WhDm/ mrV8YpxXM9JhQP8wV2zVAO45sV6/pqZGkqOOB4nSI4nsbD2ISQn2325dzZ25uZMmUGVvlbQ19cAz LLV+EzYlV9c8Br9KeG8BGUrxR8dFCunXrnTGyVRQeszGYBJaBtJm69UpBBxF3Jh5mGvmr0n0sJFo F7Mgz5IglCO4mIUfOPRMsWmb8JPB6yUX9MaCvjILyawpazflhCDi8aAjE07GCW7VaERKnY1hAVIA Re6UzqWdTIOWz8miNNWoJWor/+ScvfzOwPaGhPVALiP4pZVERb7JIDgUDeR/6EBJ6grJjM8Bu3Ll 9m7bsjxFoSJfwkkrkjkgjKlOL3fl1/FLFoL+aPfk9H87k9cQ6Bv9RFUGNLF/taOw+n8UT90Zlh3a /HmP4OyxGohsCGcc9FDhLDhdwKVIg4otoyF8/ZE0B6O8DE4K+o+CKG6MC8GPyEbnCgcQ8P21P/b1 wvydvR1ISUCwoSZmkgDtXFfnaL3UPnWHm/xsOWX+/MJLNsoXslawTgVjT25e8J8Yyflj5vyKiHNI 5lpNEPTvrBzidpYSoQ9+v62kKOyKwT9V00ne8rXZxXK5/Ei9h0wc0DJAzRVX5C9/CL53uulh/Xvu Q8yU76t3lpZGujaqWf3XCHi+TEqjxkrVubny3pUuBw9GoclaqlYCeV8OAdkce7C535FnNXlfZfn6 vqF1Kbti+iAFI2TGU8NDv1O1+2ns05P9++TRXeyKJUYBVnhUswAg5xZ6nkar8fcORx98ziNa6fwZ 1uuPktpyjrXFSq64UbVTERIA6TwHImc3el1+hKsFQRS+nWfZDvKLqngq31yEjkFttrJBfI+hCaDl JnQNOpuFklfg4bYw5L3P46b97uKq9uNmAHy0ezNU9BSoVI4xvVG8thF+tC/UnN353/LZ/u/2nr7T VFX4x9doWaEtMnpADsz6lxQZNSKjh2DEIA4GztQ9ix6GamPsINzITUnvzGBzpScLm5VseBy2PMQi SSDhcsdX2aymtT8K0SOkIIT0ImnjqK6nuq4qx89cCQqIIXMlmESMbhcJjA+iinWl9iy1f8uF2Z7l dVk3fVcJtcPlnVjOCYj2Rc9cspPF9v+Nt7csYxadnwcAkr2LHGzme4309qjdh4Af847tlVAbuid8 CYV4eMBbTaBkI6WpVeU0vBd53e4j2L4e/i2AwhY/o9BdtONqeLM8QjbYOGN8H+Hhuj3Qm+8Qs9EX emAmIvxoh8nFtlOxwCOVtRNuj7sMWjAZdt3x6+MLm6NOas7DYqopXWOrpT2oOtZvHQ5p/GuEgDSe 6Uw6Jju9Zq3Q7WrP+++YfW/Rg4F+M2f8EPRLBPXQ3ofmID2MNsBOrOTPT9lP0P6/LO0/lVfnTRnf UODpU4GJtAqQ0Zb5Ckwa/7idPRN716prGurD8uY8lxZuoKcXPZ4sRnt21SGZvXSZAneSnLHkrFfP Q2XwfJI/ilsUgxf7cwQF3IJq/xpvVUzYguPYt9UHGukSNgxiECvXWdWxVJS/UHX0s+RPz8Cxrrxm 6GUQQZ2VHelxubUgbVemoZVBSr/50f+GDFmYocgabwl3XFQna4WZVtmx0j2Djr0ZMvlghtlFCDbH 26xgqSUWD0lF4rs2yDcfpJMuK7p6NBC8yTqo7PJ+wi4JaypSPQrUv8AsuqYO2mS2BD5wN3gM55MR uJGpM5PoRnNDYCe5okDEzh+vfVBtd1vmewSCfzqbtKrQvw0AN/2b3iSF2wKsd6elS/JBbvDJeXR0 vcaLurJt73FtjHzMvDVWwBjs2XZVAkRy2g/6ax3EJel+aSsxrCLdVwUg//cF+dNP8O57BZ4taE7y LClTQL0stTDosvi8b4DMpWvMEU/y+/EAf7Gooh55Bth3hcWzU+Z++HciBWGJVnZA3w041qkK7F7k nrcpXABgxCSW05l0Am2jQOHdm9gqrsG0jOHBSWvpIeQZ/6cgotjsCH2T6a0cAFG+D0FyJ1h3pY/w QEc4gvHNhj1vUxt4LHVcEXZ7d9PUdjLY80iZNaT6XAq2OvwGoBkGZ0EIA+jaTFbMwc5M++i5MHxw AtbcGVZ/DvSV2A6ZfjMB0BJ910HgMsHkFPk8gpx09xssqKyoickNmqbOTRzKkApmOtpCKkV4XYxL OjvRP7hQIcHhmu9808MLz4C7gYfHiMl79EhttiWyB3PrPvxavHXmfa1dnEJLVOIGncRBk50GIIGg HrO+kb3YjNpx46IT2NY+/VCTXNJ3mBRkkUGyUMD/LxnONccqZ/D0+eVoVT4wL+cmeCsUTMt3HbLI yLAGCdPejK16Jw9Q1FR4JhYK8pgHUvJyHi8zEkKe1g2ajfMWGBgTLk1I6uuSvnXJLLxbddK2gJfK JDMgtlc8E+h4laKrZA2jBiTH2mweBeZNzsaBtO95Vg8jqXNI4BgwovJzk4O3Zcg0UYiuyq9E+iCM 8MVkTxPuWYPh8tuVMSY3TrwQmGJt50A7CjAKalLx44K8UN3L4zZtpzNJRuOuKU5dg1ssAUaCiVjj zhtIhRJh8MphYXXxi35HSuWRlQ12KRrpsXefBadtqgrfoNpmBtFxwZR8vrBc1uaS9NwPWnbBk63M daE2DGX07+agz5imV+o3DM60yc2/40St3Fp0EuGGYBF/RiHJyhRfENOrQf1Zlk5xNCqyhR+RmXOF EDdWJYA5+eLQICqMCN/ZK+ImITU4xnsdpr2lLhCS1q9I4ivLjGy6VY/8CoVwpScON+33WdsOHqU+ HiQl4sAd2n05aFi/kCKfnGBY9Dwo7+MuVH3/A3SwyPcIYHMEdAngKYbdq7+OETPEAvPnukxPeQo8 WvjZsG+kvu1ngllQBwYYjnEAF5HhiZP0MnadKUS6o0C2t13rOEPB0qaBsYB9ELEcy+KRWX0Y4k0Y CKch2/HMoaSNrgolSVp9Uj78ko+cpf2hO/7Ap4CedHfgv/qo9TNjNBbBicnW6pqgvMZgLmEjzBGg J7WOrMNNDvIrA8gBP3FHAbAXOr8Gs699EQPkQCi4+1P+j3tvsZ7z3gBCyUPHXvkD+StxtnA3BZq5 dlA0EKVU5E3QNPqHTqDFiJgnrGKz1uvgOp/MLkPwbAnfv+k+Cb3P+4Blg0qHdKaLX1okmpODaSa8 JvKQoLJbgl8gGklvGrlORf+OAdO6wEs0mA681HnRhFvkzHCB8CtpZnMkj+XtBexkeEUYZHvOh3pL yOfBF1a6qizc/RNu2+FannoWoR96t1fPF8eklFf+cedAWEfaPK3nWGej8ukaMXjIKbg9fVT6KyDf wLQrQL4LdRFWB6Y/9kBiMxDuWdP0gE397ePL+HOLP6bsGjqN9zWsntcqkBRmqO2CMVVGS3Y8148S gU4iPECb6FwXbwc3yjcu4DxPuJ6YETrlybH/nb+kZsFNms0XKO6ND2xTUixnwzyr1N3vv6F0CWe1 1UacUML6Dk2ndWa+E3zqOBVwFSpRIHGZwb9syoT9PMvJXmNM11cc6WEzzhZpbQJB8SW4rNqe4f0L n1fRwmvHyQefdv1eZKvQr0EL2i4CEGAdh+8pKR5QgI04d57PCoJQJjvXiR2JfYNd89BWMtGK6zd2 +UWaNp9uXCurzNVgXb7Q5XctS1Bl7fqnhGkifP9GBi0sOaLzlYrOWUreezuC/Wm/i80zm3HIxrbh CphcYYEUwf+scO/1cCTYZWeOt64nPlNZC/I+ONWyG1/iUfpT4pLH6NLZysqRDlZRDML3VUQNUxDr XOujCaTRinuglfapVDRf7ZVegPTnWssuG+QXNuur50QuDW3K6WkBWx7bDiKH2h9rvNc7ktkERk38 heGQygaO0qeo+uB4twoVBNfyNKfO2Nd5pwVIaLKHxTmNNb3NvaJZ3dctvoC4viNP4WaaK4Q2cmTD aym+cyLi3OUq7Pd3kQQPWaxZXbAIojzcBRS0HAryuzXScnsbL9493fqEe7di3jS+qWizMjlx9aGD 3+8RQEb50X34FqOjMHFadbS0Smz9vqgi88BXX1tA1m0cBYYdZ97ZIBEj06txiK+MTHDQdxhxNWAg 3orony6RMm+lKifnHDZZWReSVW4x4aHjASJMMCSVAmk6sjjmrzAdL/QO7Bx9+UgElmvLlpmMZPpD m0X1c7KJJ7VqDsT5QmKXCnwd5X8BDxE2155esUsxOsx8q+rDw0MzXZwCf1U2Jb6Dw8xEbwOZ40jz ttetB2fjsJIbDrJZe4ZwWhtTPwIFI5A4w21PmugJtsBDNw6M73CEoy4+PgYYxT+VLBuzc0VSG+gN qYJ4lwrkBzxFmgU5kYhvkkLV5o7UXPHq+Dn2BwVK6J3Kbd2pGu8Dzhc4Cpit5kDLuwWfA3gt4TGD rykl0ClqIG0HYJZynx8FUtv5DfHrwLhaJZ33418ifEQqrJuGR27CkXR5v3zsElLqcpM6y5jglrxN JP4k1npi+7jM6E0i8wEU71eOm95QD6BUd4aZij7R7vjD/02R9l/7L3j/HHpgjPFi78CfpwLhfuyB H5OPlCC1tPAbtWVsNtcXN8Ab0HWNuPsPIiDtLwvIe5F0SzXnX1tjbXzJuKUOZwPqTeCJJWOELJdk wdvUfjCTcB1FcGoLeUfdmuIFE+RKyS7zbt2FqcRIUzgzRzB2bDZ/uL8A1diLwS/IRfEo07STqm5v NFdVn/tcWfWws8udUK+rDuF8r60p+oeUEoj7LsrOpT0dIkIISxjGpuPjh4Pr4RfDnFyIsGK6o1YG GGI7bo0D486P0n1B+zNqE/Y0t54PzRLzgNeDYmLPRSoXWQsVMNkuHQRQrlCEYY5y/FwoHy4yc+/o T/mBxinOwAM+S8jDUnG18R9HmgohJk5o+s8+L5v3GxxKkSkpXFZAmUrVEOfoqnKMwxsiwsiyDE4z ogdndONAvMG7y2pWm/kdTpLY+tYkdoatms8zlAZMPX2N8wWD/GhYzIwd27BaEdmeeFDhzY7bB/I5 LTo5U9yM3sYxUOCqSuUf/VG7yiNIvmRVehgNk/s1G1ewwZCEr8gi9gOLTePLF9aQUhNMJS+VU6AW qrh6xlsbGVUYk2oHtGWBlw40/Wqe1okdkZUKvlMxUUMxkHBMCphMyuCjhF3uwRkdH3nD0cKJI6cd MzAADauO/m9767UuQvlgltNUk9HJbpvnt/67xQA5vu67/GTyZGu60uToCuEMImMsBzZaiUo1NGIS vdpfVnGfM0nAe0EM+kGVQSdP1QLgkqCW/5UYzPtibyMA+A0KAr3T8dEHBnkO1JMntrE8arM8VP6P M9klHAIXIb4Pnzt5IunE5leV7dy6WPepP+F9uyv4O2oPDA1PTL6BWj61uKlUg0xPO8KCtWEW2lAT IRLjFdJJvXslKd84Tne5jvwRQAQMg2WocmHiwk7TYyWihN0odqKNtmSdqYcauD2QDzTT3ihBnBjJ 6ltHjrCfFwe5NeWOnqUMypMPtZXxjH17d1nIPpdm+zqa79/nSB95FS4FG9vAprWvmwr5X8RcJojD 5vkOmoQtpYaKgWYJz28RekldLSfNPb4H8mYjUxOmizCSgbfo45l+6b/hTwFCCgPG1W89qgOhlrYf HECCi9tEPk5XYM/WHVBty3A8vxcms7TF4e5f5u0rxRnM8JnwYuosVCmJpfjo7myfsexQkF/BhyLx ewQbT1k0UWHWV3B6a7yO8G+DLz+kOt71BICmvR3AeQFVwyaX4ldNbsaJeUZu4jOkyx7IpDFpSLa7 z90EvaHOShqu4nlPI95Ke8kgKOaQ3GukwILrFwz4w+0NvWcGNFH37ozx3QxCsQlKpdgt+Ib0iBBg h7iL7B5oYOeHm1Lc0fKIspVm0GvHXamYCK1xaqQKpuC1qd/sHrc33ACYzcDxNDeaVS89wgDfh2vc 3R3sO4PsurtD8zXuIUcptEfgUpJnUTRWgKmD0P7H8pg5PPHlvoq0B0xXOZj2lhAvr0pSwputKXLb Wv4M8F/+aWXf7HL7bonuqT2EHCOe+qWEOdGOr6e7YYOkUULd+c54YnAtaPBkI5pWIWSzy5U3b9nJ /p8+zVgoXXBkUo36PMqQjoppaKHP8xGwMY9IjndFt4XtXwpbAHxExUQCyLRRcvPDJnswjxNGD8Cx c3kJa74PjFdAzbejqNdOFviT/EFkwyO1pLxLs5BoK6dRgiqQbc1ZphImlMG5wiB3DU1d2QQSTMn3 fVkF2YXM4/i9WO7bFT+jDzCPPD2INmiF2wjzr2d1cOGoKU/ROPAxk6ZFyhCJ74czt/CIdy9oUWPm ZIALnrzH/+RdOMKIk7NO1XHj2xU855YLuPUBu7GJgfdCNsSdKuCQgWaeUINi2YLbiXtRKZqSkKB9 o7h463WBwWTKeX2hiYlr0c1BnMW6kgqSbEFx75kLUaTUMm2+UI/+lw+1x2BHBP9TB+jvx112YtyW udDOW7g/7aAlDYIyYZQAqYZUWI2n5SKHrZH+aMUz6oz0jy7yTczjEHocXFu9s1uzEu9/awHX4161 qlL97LWPVkGWhLx2cTevjlDrpoukUEBE+RHXXfm+XP9jkd0QA3+1rUoGGoabbWpmhkUl1yeDWm/2 iUa4y9s931hkHMfw7/DeqyX+H0WjGthxkHOvBLEIuv1bd02/1vXlZcKAtgK+yApXUvn/XqqWEZI1 jGzM9Rugp+rMb4Vca042m2SrTg+k0ip+8NbQGbHFK98uEjPBb2NqWw30qxEIvxYlcirlImi8osGq QKigQVTuoiJz1z4MS9C6nZmj+6bWZUuzZm8b44kJMuyF3YFgXjWRE2VjYUGSWDb4Zlr6830oflJb FvmFbe3ZPaJiXqTQETeXuI6fSSkDZpTw+XJbdMLOD62UHG06clgTHF9NZwR4yyHPB0jWQ5TLPDjf I57V8jTs0lYVDnHL34BguNJJXtkU1a48C5BbuOlwheBO6Ir5E1Jo7HEaShY0wA77rMAgWqg9MV1k doND6yGLaoudGXQll9HmIROFWe4NG//FKhdqGOqv20sm5/Gts9vhjdulxNfOw9mepUUamVYl7olU XpwPjoWjBx7zYiOTrirUqH96iTccpCIb7ickww6IbM6QQjOgbX3Rz5sTqTa9xAVx/IPxBAS7FXOq GuSPD4sXIjXpZIQ98cQLdQnnrGGl/lltEa5B6B1wjrf4JHb+07V+K0kX4qf1rzMaxcY3QIuc+V7B XV4GrgBD/97DWOFOV22elPnURolVFxUr7AV1QVdcAwklf9h9Br4gHxQ9Wf0u05AfKT6EQMpkJwwa P47sjB5PWc4/NWzuMxyFv5X3mGnugwrpGt7nbD59Nbv0r2P2FENy8zjXXZ80KMK87YKyn3LXPmb2 q6dOWAgaWrEZrFR50Qev5aS5Pnf36DgKNvU5E+J0/BwjjShVU8XwgAI9G4Ur3/GRez0Pe8izzQG6 xQV5+nn5WgdnvnxJvKyYvUfcqtqXLv/OZ+EK0dmadT0eKPoCPyQUzywwlI7FNjn319Di4YIcdxXq K7q2IdPuXb76sT2MjAEJArApz1hGIYIYkVwPxAFrwzMCDbyl8EIuG3oIeUqyDjPZTK6+kLxt47ba 8G2R0/udH+CHwRW8JZ7ap15J0LCVLVa/n+gamRuaNCO86/BAObtCNuixubfs8vQImjkefo1SMY5Q B6fB0iVbcdbxEc0bQ72a9RKFnMJzkICcdiNv4Qpty8ShgBcTGEkvuMLDARtmOjBRIoMuKwcPYmLn JvsfGm5Re5EAAJYFC3FviXp+6ps4bdWu2t2NyvWXZ1ajaV4uwXLe9lgSgyTY+MKDY3US3b6+8HM0 BVkvIpSkeaDwua4C9nlm7JoOIEoDL2Ll3V+ABi0ki3BPa3Ye+s0iXZVbMgLPhBrDgQwdTeNWY2iZ 9kydxvCQpPagovce5+KpCINv1RaYyWqzep7grGyfVccfitrc3vC6NPpJnPuLwfudK/4+OM6/J+PG rXkhzDxVq9/NmqvRCR3Bh8Tv3BmxiZmLJKlvADm86eI7sYs0QF0Cq+PG7SI221Z8aWx08vo0RYLJ 5Shm1keaQFbDw+1jS+1Bvamj+L4K407vmquZwMfK2dM0zQrkgCWq4Yfed0lurnkb7mej17T46+vO uSI9luoSWTWZCYymqz+hKcEzOrIQS5yvn9HrXXbveQhUxyROqHtxRpJqnbl0wZ3DJgVYmbXCSS7W k7lDnduuW4kyvzKoL+6/UNTRED/rVGJnqwBB+NMCg1wJTdZ2Xi3GIVucxZRx2hzWZXkQ1YF6TxA9 lymDZjAh9psFClQxxpWOmfL0F7e5viWzjSKFim+aRUwdaPWHIdYSbBSkv4faJEbmC2QToilLjNkM +icgBYlr34gshG/BhzLW00QTvhA+UdvLMRC24SPGPVsokbrMVSWSAM60gjljTdBUFbJDm50wkm6s HMg6t4IHvdsXH8TvyInwyZ8JN2aVyOwab5jx7Byf0mjgQx6ZzKlo4XDCffHTWiSfOhYc58C7e6JK QHxD/QAJGit0pCrdy6LA00ZynR6tfTiUpd2x0OcXYIg82ChjvQ2TbtxSFx8EAd+/yPwnn83fJd5W fvZp8MWz098AMUWTUPo4helY+FA9tYpczfEgTIqCs4ptE+WE4gA/rneEEPwqkoOgWzgMYO43F6lf f4TD4M0AK5uWR/lxD89GTjQYnHILNoG9ci4E1kQsxVtXyGZlIr12gSpdDKWXexuJ42YPaqddbyNi ymODEWI6bxyQCAAsF83994oadmIyxeAg2RjqXpN37mhroY6FHN8SkLIS9FOTZl8VTSgv1fp9vjiA Jx/h0b303s7xNZ7hHMwwOgMwGYxYTHJzxnbAMf8GTXtcirnBEHvH+HGawnsC6quCcVpapJBSwH3Q 1SSFJThAkI5R+eLRK0nstV/nzKLcAqFlH3XujpVZ8+jNTBqlK4GxBRFLt4Aw7S4V7F+6ujg+dZ53 SCMMt2KA1AMK0CRiv/G3phXD0atibwKb0wSjaHVnfGa3idHdIxGI1Fog981FdLEcTBer1rs4zymB Z14gb7YkIFI8aYUBz7CtECXwOcBw+jABdqGmQuMPoNJnGkXV431I14MZRJpuqfcD0b5jbHkp14DN wzbom/0YYjAJPzAwXr/58oQWpPCczxq8ZC09sztQw00hmgMErYIEysWFjpNTqCMRLjuY9tmrceWM PJa/qWDr6yCSOduTZ/gEenngtXm2orzroHdOPGKKzHz490U36HVEKNr3Pt/XQU6AUVvQ3GLs88y5 cTDrZ4SgmiNf0UfEQDYFzwG3wHL4p8Tnl2nmuxrAVRQ0nNSst+3ydz19l/QN7x/fZmoC4YLyB6J4 ndKKDCgwb94G1LR6PCLZYh9olBov2fv3h8H2rtQMBZdBVLPMj6E2a2KHr9NahH/amAQNL/ZBgUqI z7K2myNcHxZ8ABBf9yKc0c/Shb+xrhI+ysMFLE/3qOKFc9qrMOylDYZcvaq5z07Fgl+9WpHpNnGB D49l2Un42iL4EeofMpBuYYEZ7/++bYP6o96ldaxcehGkbb1F+NtMXwVQW/XgYVqFY9J2SF5BujnE g6SuA26MsVpksJuD7vbxOzPtjPicuw1Fm+DD6IL+Bq90tgfrTAZMQW1IxCqcGKH4nSyqVHhKpTjs Ron4T9adljJYR7DsFNH6bjXm3ePk/snq7/D4WcWVkmY6Zsb88h5jZOV4Mp/QRnx2zaO0BoXebgAs HBCw/RqcD65HY8+/uGOqtudNS/tYd6hr/8llyel3dTB/xv5T3PXKIP2IuPLs33xKkJHRN7F4aJs4 Qt/dWv3iRG9cqvX8NyZMArBxAnDbD2ywVTGk6ZwRbzb4riv5frsaSnuO2Cbajiw7677Bxup0pd5V 5Io5QoTAkmIOd5uJ5FbziKKo+bghTpBE+4RXrq46GIC7iEVtAqEaursEsfNIWu0XecFEyHurmnwA T2z3iSMqF2WT00US5fdoE7oBAfCaCEJZqF3M2ywIvWHf3Bw1Ce3H8CZVdIKERaP/zWu+VN8a+D5A S/MfehnY3jfnvS+G2WA2jSHVyeWwjTxo7nCfQWPIbJDucHdJySiyiYQh1+15NhEwi5mRVR+bJ6Y4 eX2ndPdswspVL5y0+H0RsXZkoXmLodbG1mI7b/BL3DdEWg45Kp68QF3yuGw6iwvd1Q2fWce8/+IJ p7473UwrOkEvRWzP6aVC76E/hpJobCuXIASIlPfJIOoIt4R6WwCXNB4ZIIN3N5a5go5YfAANWYky tU5jxCxLyR/J0nF1eG47Krp0cTZ8Xnazpr3n/wbq1Y8hNUuGcdsel1RWleITOy0OWtQVctghqftx 0PFzNp/Vidh+/YHwUYA49cPon79VEjLEfQLS7lTt/jBDESAWnwEaLaK2TzQlknPHK/NiGqQRAVrU v13x33eL4ep0ZLtfQPNipieZ8qNnn3xmBt/+t1i4eVPhqKwTkw9rrU5pI1Q5Gsrz4ruaUvZq8A+f QpLBhoMnB3blMpzxQeqakg8X4WV5XQXT+KjqPEvyIovYcBNg1f970NRiJo7+AB/uMUpi0o0qlSOU Gz4cjwe4WEQ1YVgKDFpZlJimkuroij6Tm+avlzoxDqD3m/gpEhGbfyEddFfDBM5iCJ/3fF2i9BHw PX1ZIibfjGwFDbv62/FZjHBJuyQdEObKiWzY83GOOWy0chAqSfLf0NG0dmaHmKU08Fxf+TetX4O4 /AfLBiALQVWsyDm5ME01cmG/F0wGoIMJh3v8p2FyFLcxbuR/2wKD1Ki0fpHV54xw9yXhwDc1pwve T7mmWQ2uoqmVnCtn0WKsMu2dT2D4ShX4wzxEyg5y1mUQ/jbhUQ8tqpTp0YLW4RBu3pmSG82IpK4E lBrX2yZMFLy+5P1DC/hFvzS4qVLgXxVrqebDA/D3/oLt5nZ/Y4+Y0OtS8TlhFeVHlJyPSpAfbR7u wpPu/uMxl4BhF9CxwSlRsz1Zj3R7CfUvrbKqbnvIx6jRf/VUgEj0jHTKl5c7nZAWCmsQNoqU18V0 lE0hmaqSchE6dfhFV3OEDisMmT2UD3byoy76CavG5LbMjQyfpqFA/zcvCH4jogR3UybCKtXGd3zu JWjOAyCZ2EsISs9uVxqi6H9W4iZhnJS94o4+FkwUt9wtP5H4McFninSONxAz/P+H9EKIqt54ddce W3NxZ2g741cfWMXrTxT96NHVzuPqaCx8o0k5ACUiJEktWcaY3zgySVJYl78EC7UYbUAc6ugBCVdy k1+bImun3EC0iSvy7QJMo2iR0fJTdSNwWoCIlPXyChMZjazGrGdNYs+RBRoScNr1si7zsNNiyowh pTjVDUCHnaR+D0EpynL4gGGQVKovn8zRm5JcVMpJl+9/dNph0Cxr1ESdMpmk2FKrZKRdVYGj6fQ2 nG52LBZWAG2owBc16RlTG4naILE5Tbo6omsQ6u6xuT8nEqlvJctkzNiwbWOAiayZIMKWTFHr123Q hzzevdPgq+PLETWVpuqWVwABs2Zry5Cn8/1bY1ycLn979JIAWPZ+CWFYFNlN25pN+EsX/RHG6R5S KJiTZkoSVqcMgA0DpqLox75PBFPyJ7i1cvjK8YtTujok8e4VVhXsI/lNXWMTC/UbijxZwtH267Ce I4fMGbQQIMESXMd6WE9C3YnZ5MH6x2UpDFIkzWsQJQA7KZolxExV1s5ks4RNysoYkXVDzvo/mCmX k4oR9apqKxMCecmDlaBiNOoZiwzn5hqEyIJKPLKsH9nSDyj+JnoZMyQQvyMZlh+vPrf8rCFqY6hi RkbrD+u1Mq9vMeE7pidNai1Srz9yGef2OGgIq/L53Utc6vbDnICqYFW5f1xEB75dcUvzqG4rdo+T 5CggBverDRcHd0mfv6/cuCDA50UKoXchT7um7hrHO8Bpg+5LcmfN/Ih2pHLF3ljBEhcB0vXfOlcK Iy4D241vvk6g27GzhLpE8ygbJd5gdgAf46O7SwseHXBhsP9j8KWZt5BXtsTED+LI3kePgYlW+5RF uE2dDRAmi02Ick7uqMtVEvW0iPsXfaZBD4uIyTkVxJEl4PuvxkRMzxk9UpXqxzBED4LKQu9Xx0mO TDZWT/WXqCembv4/MjB+VKBed6NZzUIVa5hoa/RHKhjwueQLtiOCFeBXMECrtjcv66lhe7vfb9z6 +5/p/1CVftcsyrdAPnK0cRwNJDf1EAaYh7BPwK6H30ueXZtm0tsVo7VdSz/sYtSzIdG72vXqeyCt kntpF3Ak8hJmnt883z/0Lav6YRbzEKfW90EuPMm4AiewTM/zdWNHdUN23cJOcLrF77qEGEYDe8Sb Ridq1vEgTVRnwCYMu4+7sDFYg6jXRfk= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/builtin/builtin_extdepth.vhd
5
92803
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UQrVVx0Ki+S9hN4EA0XVMDwAsr5N33/CSVu2kBhaJOhzSXT0t2B9E1Ngy23ilekqSUwemUvC3J/l wqO5cWTVJg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Gq3Svtc1Qc59vccEJ0VGC+Y56URSwJvkyotD4yYAy8fMPsPnXM1Gi/yAAk/a+ioarn1g4AVf9cSf JBiWcZgk+/R9frQKH/bjFbrlhNeiXUtt851AiG2NHUZhTis7R27xCAYEcTQC/ughB7GqQ4/ZslcN 39hccKvcc3cXnmd6Gb0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YdWaco8NbCBv9Qh7Oqv/ziUUS1qViC8CM2agQKWL9a4Sbaesq9OXhuQYuzYuC+cW535ljhhsaEar HPzVXFHeyXDZ3yCXzpAKAEKoILgm5Vv2UEorrMelt7kdRTsTg+LArFsX7rRuoQ7oZ0oSmruUl8UX otFtXb4D5gaIem2Cq2v16fEfgvMajmuWkmnr1OMT59evXymKgPP81ricdC1uORESygSCiGCjKsPd uzsavEzh+U0h1OPpDaqmYmD3ma7RKq32cNw3v7vbKiiCQoUSHdSaD+lo2pqnOuZqH8V8Zn5eVrk5 Fxjd2MVswJTYQnrRuNGGWfSqwo/gm+NV0U1/VA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QYr4RXzxhcg4OpTxxqArhwoCZhbC3Lfl2TJJZpMZYRdWTBMHodFFiDntg3f/p+r0z4iGArJ7wL4P tcA6C0BNTGqYMXmphSkLDdfoCgPxKJZ+K1JXO6wkEO15mF4C36Z17fW+pZjt/imMxvQQDqkYmboS BygNczRG2swS89VUrns= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jIr+NNJ0cz+3MbFTjfgtXaGeWxsjFiGIMbU15l0Cd0jrd90lVxPylhSPGhfZae45PB0D1RVjG9+f Lw8MkaSbePKxTRoetZ8sv0p5uM+ShaHWq9WqBjl7d8agXNuB0eA6JI/GzpFIKOBc7vkD3gctbtHw Eja1O9iRmGww1ku5nKleGWcds+387T/vyyeTXThjNEf60J2H/gQy/4jKrIj7DB+qDRn9e56N7+iR eibZo378M1mg80Q4/GoBfZSFi0Sf0QUHyQay4u0hbJapFMXRQ7b7OPYQhmGCfELzn5DNusM2LL6f fduFcbzoCL3+14NM8Jk6/PEcKrzI4+GHLLDUXg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66960) `protect data_block 2bxeJKhBYI34JW26YLLnikJ9st32jw8igEN6bTeZvyUUXZW/53c1unyRrKBtdjzuuYOas0+lc6Tk rQo3o/yr35etQ8/gUPEWH/Yan4HaYwuGQJ/KGCLOjfApBDUz6J3Nnf1jC+pn773sP4suY4J1NZLw UIN85zOFQovoEZB/6aYDjJQk9CzftdIY0pwp6lg98UfUEsrrGpTsMhqzkTOVyiSCc6q9hZzEgCBE ishUh4OTWAH0bQzTReUgRv6OJDz5nNG3zudiErWTGDhAKbsNuTDJrcu9VRPRnDNjIhMIsc0U4vvI itMbZP+bPRgU7p733M67KBngJDT4JspxVRvi47/hw2uo/Me0NhBCRok/AdpF/ELshfoRivI2kzlO WyVhToT+G4S9jYHBcXINe1ZK8kaz1NDcQ8m8uYvuVVMTmpAKQHLwD0WJ3ldNXeOEBfYKY1suqbMp 0GtDdOWmJ0GKGXJvKvFzdshBdZUZXkVGJoLzbONiMX8b5r+NKxtr+vfza1h8Jy1PCEYZE/JCqqqd 8MzY5edCijK2yA2o1sUoBBHONkhlqPXzDuXqO9Tfnjo80I1ysujPSEVGNYtY9N1hu1UlPK+PjoOU wjT8s02dao9A/qkBa6Y/EQOkv7tVTWu6RhTYdX/ayBaWJWYECmWfXhjFxY9YQExFizdx4nelBC7J pk/m/Qjhic+8ZY+cDQ6OAMoDYi8R12qHLhWxXBr96GFjIVGYtyFSw6Jzt1kF4PhOR5Hu2ceIRuEP zZpuXf1ivGtgidlAt3JY79nECss3W9wQOyBDlAYlwfo0Spnsh4FONxGyiY55duwiaKjogOIRPlE7 UEAXiBbwbgwymiWnbP4dz/rGsRUsBH37rP+X6kQ9gewOJ7CWGH3kPva+9Alo80Lbu0TI1Vez5S83 5fnb9dsKXdx6y0HYXw40VIvcYjYUnOG7VFutXis7Ux39fX4Y3tQueZsEr7bh5W2idsFHSCdkB05d TGU+qRKj8GrJYbHWZ+ChyS0j025iwbjU6NrYvnArE0d5HHgVl3LKzbsy+jJln22yROPAcOEN9SAd XTw7l3tgEu/oHvlpu/pej/c8gwjHFpF6rgekPO0srcGJkx8RHt/634RoEfOgWWX4F7xXFW5A6EuA ozgdgYKIQFzl4zOad8RVl+FDuz+BP1Lp82Z3JdrekvWgAHVrT1khZPG6r+G4XLih3qNBqPGtvuC/ 08uA6iAPxyH7HVwPihkaPO78Z1CqkP9VONrOXb7PE+EU5jIzS/E44cdcYqZc0c7O3lCLlOJYptzA auAMfce1zKEpB+Txigj32+mewXuPBQfFqs2EfUOSlk2d//O8UhHW9h4OI3zKJ3UxVzs+nondOlst DkLiEdh3OuGE8vJ8E8wks6NXauug7Z74NDI7RMCt9I2Ts8ylNxoiCx7wqvtXn6bZ8QhCnLY0Pi7f mOKDfbEVI/5sjjiGDeD3/jT4uwgcaYMlulXuksp+u3lkrGP1UXT+HIWmbpqM/OLhZe3Idd4H8KyR eBCzru0kAPB+nAeICLjh+0+6nBtiNwWu3rrMsJ8uRL0x9buwkoZoOKl61QbyEQLiYOmqEBiuGCKj BoxShQR6wdbAor0hxM/qXX09PFcPfMe3tlz1llFwXlovtcI4u0dYvz45ChTLHAMMWCbu32C2RPq7 joBCWb93IIkePzIpPsA4L7k5S9UJoBZdqtEviiwB5yT84frKhpIEN4ZR9ABnPMBc3/IFEivtUaFx B/D9vPQoU2IdMHbR0NvuX8qxXq4M8T2IMQd8+P3OThmxZFTC5qYXvTqrNM2bzIaTQvd+vjjRwt5V JK2URAYblH0t/2Fena/Na0p3kySl37xf2v0PdKfhEo6kSzWjCst3zDKqsyN4gS3vROWEUjl2yE4e gP6Ja4n22nDfhylMOcnfKPgZDyVt8emMtt3FcL48BZxTx5fThksQKY+yveNToAskb5nPhkoP8Q3z XNMo6BHZi8spDpaYWVYIcV483w9vg8xzDxPD5/kkCMd6gkr+2c0q6Pmh/Mg6eggZYyM1oHJeadZh tZFwy7nUXQhbM1IULiiD3PcCoo72xsRispqAwmmVGRaoUST7XePklOUnu2bPthS4MdygVzNiv5br ht0EDcdmySw4b8dNfcPD0QG5H32dh5BI0+v8gKelV0I24ybDsvDhzv69/YMNDxYprDYLa7yd3WTG gixXNTwnV3bzoSFCyDJm/Ywrj+V+19Mc1e11eziXZ9zTlnxpHc1LE5Zje6iCQzET1HFBLJG87Qx4 ZoH/QnaOc5ZUhb1AOeNi6z+IJKZ+33s/tfurZNd6jUl2TDJNaockM9vZT9w6uz6lr0EoE2x4gR3+ 6BNN0uq+VHhg44XqccfdxAbSZpRk04hm5gxYKkeMOUwDAA6VifBHIm7XX4f0At3hP19wsA68WqQT 7OUNPhkXHlmB2g1sl3j5kXICk1jNKHSNinDhqBd+EpaAqbHT0qWkQRhxNL8MgZUkfndhR29JI7tb aNhElt1+CRFAy8p91joKlvaPReXml2pWrqdwrm8EX6gbL5Zplq6egSQeybCD0EE5OMvnMLPuMUOq V2ZxYcmwlgD5XJxl4UXpGDB+TREmtkf793ijtUF1z/b3vXD0jqrmaIY/1m5Qz0OGrD3t2TE6cKzH k/1H1kRapzjQYW0dqJxK7Lvq6x/LtC7ku2Uep2eEYRM3cYGJg1RIN/QA76hIuglYZEkPsUkbLDfe VT3RTl8n4UD33xbU7mob5/AwwI1Dr5IQ4G3vkZQKoy2JNMwNxLcBuSwgqLUmIoP7KxRC/HeLHGlA 9S2T15J8vP/RBWECq9ZqIG6xuO8/S8wsl4YgtLYJxZvaLDQZ+rtPE05XpkV0KYk7bXSbud+mMzur B8ppK4CvKWYcUuKuHHQzAjn8TzFs/gy8s0kZ9BX/EMVbaOGTQDtXRJO+rGNLwkyz1UWhvj+v0Fjt /maRjmv2rxcr8avTAwnCQkDE5Am5aGIwPy3a1HF+xayV4u+Cxd4MLGi/zR9r6kwgrYmG1xH29vF4 Cf1MjctdOWq501lBbbtw7o11UubGJQ12Vkzij7O5NN/mn3HE5J0nNgLn9zAb1mfks9PFz3fRm6TC avlf95TGIuh9LDwMdTPPn8Y036ke8asdAo7mNdbknKK21Wv6V9p1l22dCfuX6grjbeQT7mTrVqty Pb1IjoaYwKki4m8+sNeWWkaitBKCoJcCRqC/XXTTtmMJG6RTcXbbYNPPg71MK9Pyy5eGLcy8ICVM mIPTlcg6V6kztAKrmG36ntRQfDrkiWzGu0WdxnTfAvdLuPOzKa3zcNKseKMQNK6wBNQ3kr9VOINv 22i8CnNepJuevSwgophVyN6UlU42pJOVpzkqhJ30MigntvIQKHq9g9Rek9xuLW8x2EOIb4EBv48a JRTwVuNi/ecRA65BXsykymp5FdbiMsK31aJYojcadqwdpD9XvIsng4FkayLmTLntebsrEGrrscva l94pOYVJSD+yI96HjYdn267EhSmaAS+VjeVu+06O33ITafeW2W3sHBw6rnjC7k2gHSrgBLvwqjfm rU0HL/l21bXZs3gAy+ibwAii+DSoTsAtC9MmSefjW76+5ie0aQG6ocyIVdG6QU/pvwgB8nN0o7Qe krFrGlu5Cm957OGxbksGK93iAM8Gss1qddhZMPleYmvCstQTaPSVmGlCKyAAeaXhP8QBiUNNNEps C+BmtTpNNnsm57qtBTlr5VkXtLtqNGR1CncEqW/5Y7VGPXPetgr3TDkpxcc/FknlvqtNj7OOk1cD CkKCMpYRkoa4yCD8P+5cZWMJzx/jHJUVUp2kJXpE+bR3F80i+wWBgUGUdZvHgY3Tx8rBgWRe2Pqd PUSf8Xk395yuhticH3JJfEoiVUg8ENCHx5s8bAHFyRvG95v2Dvej+M3nOpUHamtnaVQgaIIFar91 y4LS8fe1saA8S7J66wWJdp9Y7zRuuvSfmGLIZrtmyYsSXK2PK4vJCh9BXce2QEHJ7TGmK+uyJ9JA ENOXEPZopK5TNUZgyTzETkQSHMQvyX2r2sZxuK2MIoFMH9xz++TlArCG5SScnFbWxC6fBT/NGjqK dFbQaRTPl593jCV+NN/WURfWPbe5V2PCV9Kj2aPramQChYWior6m47hPY4mDaZxdmtc5cIyoWrH2 zP3fDAKfIkrHgkIY2rqF//OyODn2WV0oHda4uiULzhykXHJNJu1G1yUNYyM5guZvb6USRaRrtVyQ f6x2n6OnsOeNB3sWMiolFselgMLWoafwzY+KYlUyVzR9bbFmDlUvWQFrlI2WlDtWCiONCHUKBjmf cQnIuPQzhkG37JVWpEY07sA2U+scDPyWRNWTgY/pmJNCbnnAO1c5Ksu0xfEWezq4oCFPaKZocv4R Wg3/1vo92TA/GbGdw9p9gHUm4nIzMftnlw7OHs06MCOukHECPi9rzx/Qp704HmXc+dby3lc6YHDF gsEUIE3Nx+Ue454GIgt2TSquUbc5XX0EbflJslrigP7erYtejA++uh3PXiPM21apNMkT8CxpNO6I lBy5EmEGG6ic924TDunXJv0mFv1CfxzP/XbShBB28OmOOtFWfyeDoXoaRUsR2AhkU/C++2WMFsZG 5G22W34r+g8UKvWHsd/7Z/4iepQIEbu81NRYqhIQzgE///lz+Vau5ld/69mdPg6/E962lQ8Qoxtj PXTl+JK8oneWStLzbkNdc3hL/r/qTexACuQU37snY5j9SxFWfqaX4TyfOEu/dLp+mMkES6qp8Xxw nV7yd77vOG9zYVAUpIqX2P43duDsWLIbuDcIKirBoKybpyHEa91ogv8Y6zk7uzq2lIU4kPuarc4o fEgLfRB0Z5JpziaXzzRtqaCZqASOtx4OAo8LXgM6Yf2J/6dpTCI+rRRY+qUFct+zyCXAaknVMJHR fFVLXEYkB6jga7RaGaHxsufmr6RYSiDDGtf3Si/bfsr233EsC3p6qr8I2MGga8SowwyllvD/7BWU nvyHKyD8HDtLVjzC9BwdHcb4Ri2D79Gh58Q+RgaWs99JX+1+pGL/O0Ir3jgE9y/k0uQz08A01COW cop6dVI+Y2N2HOgkEnm6hHjLEP9sYe5AZyBiW/AB0i4/JOo7iRu5Myiasm3VuO3Ood0qSgURCFGJ v9ZYXt36FLbwmXbIm7ovuBm7toeR1aqlWWGdhTjlxdIajKJp+p9rcIwwMZaOjB1e8a/RPj1snRyu INxtVcnuAUS0Pxj5LaPsdK74bW50lc0wCOqY5mgIs/dRRRAZF79swoE1AnMtz3VnwyPJ2mEvfM0I YPWHRMYsue2gctXE1kxHjV5cXt9zXV5XhjULMX0m0eoiqfo0LAVerOowXzyKXD0o+AFme0RVPRYY 9AMQFFG/lwMB6bP5jqtsoRcMiuMmtOH5X441y2lPT3NjkeSAa6N3ZakdHYtDtl2M5vEFgXKMqIjz gHLFmukBHKIbFnh3JYQcaqpzQgd6uZEef5dcHura0OlnGP2aZaAYj8zHyaH17xLfsIw4ti/PMebr a2r2F6X75Eh4yT/MCQMj66UP9ulp7CwTYCK2ue9I+tXElFKSanPc4nrvop/Q/SfbB5cqTtU967JU TWVRVFoHgkLG/ZlGpys0cgIWbQHkpRYEqwz4B8wpZCkmzimBdy+p7+kIRJGIbAo4ffnXaln676Z8 TdfT6hQg0jFDJzjA68rHF1bFV5RX3LRsurZXnRCKAdn8nRsJ3ipPWPPQztVmkKKmBw/M8MvhDHSS pze0GCbNmfVIqTm1CNDsPu1yFiJTVcHqMpcD/WHAeDh1m9lQvubU+WGB7Od3x392W6j4J1at7ytz Du+HToeL/Fu0HnbaNHc1hfTDRlEv2kMPAvoqxIMN1Hh4UumaAjt3Q/O2Iwsuik4pQnZ5c+a9INed VsyEW2EfR+T1ToNiAKa9anZ1HaSgs8mbPPqqx74Lke6aHd1NeUWMCIShPLMb/t3/HimNw9vuX68w qfC/SBV0HJiXUSmiM97OlU/fryBrbkVaU6C8lH+uStrC7GqSjYHvzFObX52XAcr3y0D86mioVFbo cAdX6kl6/++45UkmA8aQ12H4+BDcuf9ZhJ3QOzzZd/Mlb08ifktsaQNMJz77JcrYC53+b3g+75Mj XKKH7f5bUCJP3Yhsm3baO2c06hdyCStR6Ftk/miMQHsLk7QTdE6hnCQSkP4tX/7ioNFVHIKlscsP 4qSmDFJ4FOCnGlVkBmklhU+TegxLA/T1ENdPUR5gLoF99Fl4cp2FJrq/ez3hwehbbdFcSncL441m UePhxi9K5ECvOVMj/pG5xM3N+VONXY+U7PZz6N/5qxwZ8RYXBnr8sW9OmQ81X0uaUIIIRU2NjLVo TneR8gnKaJxc3MCFmCxrT2HaTzL64sTYtcSMe/L6m9Pj7QPBGvbvkik9wtojqomDDDvNMwRe0tPY CXLUDbUHcYJRWDkI3x2JYPuqDld8uDdwVmjcFzcpIWRNjCIrdIf3c4rOT4gKyqjoIZQ/J2BASpiB NNGuxmT8WEt0n56tM7ldjpT/V9UPbuoofSkM4wugHpNBY7zsf1JsnYcWVTtLUP277H9Y2adjmiI/ NADkPsixcs0nkid5JWlbWnR70BuhJKYtBndV/CIEufy7JCDVUWUyUrW2WWdylAZ7plZNp5/OEeYQ ADJU4EFmaK7EEMYY8paq09EQW+XX1NdZefPapT3VrXl0GJKyS51p/zN3JG7BMlPi/0jzT//LBS3w fp9H7OvIvCWeVreGZ3/eQfCcV430L9m2vjvDuJWPRy1Zs+2ouZLpG75LpihwFLrlGmJjL+jSC+Bn qcJen51m4yBiEuMSRCKBFyBf4oez7YOvmbfdFDILreFZowoPnX432RmoVIABaNJ6FfUBBntJjvcX WqOiy7QOHeOfaPIOXHYdtAnn8LEvQPXgVpHcBMjIBOMM9qHHyURm/RLClAEsu9RgrpHahwXLuv7l D6K2IErCCm4wFERkpSVTpT5ZXLahOLNf0NYg85Px+7co6khbt8NLaBBhsrom+e90LldXMp+pHuJ2 iQC4KMKRz1EbYIFd5GgiORRdVm7RpvLtGP/i2UbBbvwzGOZtoght4ei8IV2RI3R2BDe/CZC28NfE GJTjWH3i/1PFZFDUwQ0vU97ePf5Qv7cbDQeX0+mY+EUIS5HJ3Zjt8h62g3tmUbEuM4xdx6snTPhA pXLzgZ5Q8HVoDjkR1ezu6DAo9ne28tsiwd/1zL3Kwdc2Ar9iRmAnLQ85Fg76/xragYCqS/fOHhHS x4+yZ+/R4XrRggsnbfI2Aywdp0s5iPLD6I+k1GBNnqbdhLXDO5L0CqDddswOp1RiOeDqTyMPMHcQ Y/nyvxkLz34mRl/W+uqD8JJziKL9e2/3PaJRCEo5bN9l3MbBSE6lB+HBi+UPn2VO1vsDXfM7CH8e +QYyEp4MRlGAGs5GChpP0gEnU7htipPaBHNXxOw1AzYefNHauatPeYooXnt9vfAS15YzhUkyYAnj KJlpSYvHVDx9UaIqdVVnaY5mfdV8YCqALsSy2n3Q1RmkmIP3WRTWpgH4cVP+SUv0RPpkLTRSjqqU Ib4SJiihctH7h7sZz6gI/7GHY4wy+dATtYQ636z7u6qJp/mUGpyEGJTYtWyDtPPtSWfuIVzvA8i9 GExJrKjbw9zLUelR54R6OXEyFs7Gm7qfjieXpHkFCaALbNxnpvfAThwSLyyh6up3w+oJ+VB1zvmm XveMUiaY4ttTgCCwnxRFiaOz4PFkWwAcZjyx2+mPnNBkdnLQjbA5mbU6ITLn/mI+CFtZLHqYqx6n rhajTmAuenj66RJh4wts5ISdaJ+ngWb8dKk5JcpUDWrNt7TH12wQKjsyE3VidlHbC3Jt0JN1C1GX nozDdwb/7ZOUv/kV3Od6oyd9Um/FdGKcFBln8/UTj07vCbwxwxO+3GoNwXrNnFdrq/nxl/M0FNNv c22f1cvaw+YCCm2/WtWBBZOXmWgMN3ytX5swjE4OwympbO6a6wPbJ7YqORiN5KAWgC30ZXhI1K4a WYDeyKUJlRJxb9027EXrRk/b0qRaDS1A41FXWpCMAuHsnzTJpY3E46MishTZLI8e41yDdsYc3/9l 9ZyjXD6BfbfAV/nswAoY5o11xUEUicToXqB2Ofqu/u8Bfd8vrttZZL4sX0RJuMhX7dch3VMlvVPO 8o5EZgtfFv5HzJVJnln8xtyZ96fwTdBaAU0j97ymHe1HURjELgMYtsmWlVzlFs9eMdfiMl0EatGv W4Dp/jttpO0JT8G7JMRGDyEtXVCFOP/YH9BYcDBrXN+eQl/aeINgXz/ebeD6QmUuKNypDmU6rrZ2 7vK2OL2r82ZmpsMEEMbz/YUxHFF7HIiaajDB1i1BM9CwbCarnzFUJHojSJeBBuq9vW6s8g1Yq6dO hYtZ3HTnhVRnssFjoFWbCfXoROqbcM5XKUx/q3ZAAWic32mXHfJ490JbiggVcBSnGKCpVKSr1D7K rNFcJyEe/GVW9VnpH+3kyk+SSWLF38fiVBpQnvugRfgDIVIj643WIFjK7rN76+WIwphhhYVyH/3z S6GoawLwtVPWv8BgHff4KpXVOIo+m5G7g+TB01ZEdt+t0vmfDXsyodTOV7zHW6eaG1y6VHgQqQcS FtT+NyheEfVkykJjbBXgvRwROlE52b4iFe44WZqOPJpbHbMqh7aY/cixydvUQgee3z5KrxuhzHrC ZW5abqdwinP5E6UJHBXWQGFsTApYPr1tP/UV2ZWBVb2n0UpeOiv+sK7QgALWWdjfMnlStEmsaXau AKRl50HIuikjqHy1dAy58mzC10+RoAnz6aZ8U2CQGEBIa4IvZPlofW2ZJ8NrAUxr3QgXAMXj9tHN 4Kyvhq1qRZc0ObvbDu8n5wd9CxaxNkuEnLpRHERmk/MJhmfuXpQqTpQ0eM7F1uLtl4KzlS0mZtlM PlDvtRSkAFa/Y3ZdUZiWKzN70nM46FjKOakMTOTWgpiPyUdtnzHeJiFB8Gj+fj7SNLUTA4dZXdzF QWaiETJ70amns+OLfe6I+1yzhKWvzW/vi0RaPqz783wUKCJSCpk817fh5YPoPO3RaGdYBZROyMpc uJnBVOAVa2CtEw8nn6fx0G3waw24sl050TkQic5GyMhD9kMwY/xRkArusB9KQkT0hAnHeTI516Y4 nNCk/FdWPjaRh4f2o4MGXugiKfcDgl8nYpzIC5QfFuJa589TvzR1OyfmAsTODSIdijrA6JMI3VDo 91df3Ae7kq0f7QzBRKWblV23qdTEXwJkaW4lrsgFPrQY7s53gBi8KV1mpTZoJDFOUT4FMuJQyrrs N+cOyvf2gEDx+lURqdpQSajfKAFm/dGTsJFEkAKhVW/Wk3DC7CrAH/3EyHZlh0+7VLoSipGw8AI+ XMEix8g7t2zk84RcxiJEFqvw6M071GW8FsjcDIFTyMJWsIiqGR+A8H7tLbMQ2UxfFFUkexvu5VEw dUOxKFcslh77sS6Bw71j9pk5xFjZdfscfMR2I/alMGg24gEh66b39VjgymqLS6OUwVpH7t06fgsw VJR2p3vcCfpW3Tp/u8L3OTy5OVMNOk7BQuFpxEjYEI5QdtZtTj62P/4EadmGa5JpyuCDgdIIrhrY P6kwbKDKYbe99aG+tJodat0zsYl1ZUa5dwVhq1zBxGGHeW0U8aDVEVozRCjVB69iuO3DTSX7+BYd u2zthQb9VtxKLzSQX99tq0D0vXJ1hEjvCJPX/HsQo/ve0aWVqVK23Nso5O+TKjGHBsV3jau0lZzQ AIc+qCLBKPHn3zoMAGCMpsfzy7C+E+UhmRZq1muumAKR2aCgNCIMJKDSyImi1+8r/8sP8wXg2zRe 98ftVoS9d6Y33wqc+cvK5ZqC6DDLuo5frrf0O2AuoaZrbafAdaw6LmwW5mES0vGQouxra3mx1GRw 0hvC68Gx/UIiqxnCu3EVUPwVTJu31CxNlds68YoAGBRJupeKvS/rU7NrUY927YhCiR0fOzZ6XNNA XJG6nexRCIIgadOMU46s/K5UGutMKSFHVnKzpALFSV47eLneNJKPi+KmAAeklq2wSzSHdgKmztuS N6Cgf6PXu3fL+sNxEXpHMM2RQ3bIKsr9kflx9NnTFQ9Cb62XM4IR8L9KS/k1XVXhMYgoAHH4WJaZ 2MOAanNpshIHlfPEBDP50VA12m+23wNiY2jankNedDwQnx1XV4yvQTNFLcQUQlqtG7GntCu9WkLV WDV3k2zD8JIAe8D8DZ4zxt3UdMHPJlz3BAiAKzA9cjAEkqSE2kvKaplOkeS99VeU/EQ0J+Sc26sS 9kYWRXhdweMvZKXDW6dNvc1Jl5Ora4i/IL/aL27xoEti5a/oEO7db1OADXNHLzmGWLwtCY/GXJv8 KOS5xHFLGx17R8COOGtnbhJSKo/FGENIglB/56N8xO+oEaQFUoirv0MqWIrjbx+vyZYSGNHjw4AK CtR2qT0gzV94Uqf/W9rZUAROq+1CMQfC8+Xx3hGCsOtHPd2a5b+v4a3qKgvAXlJVtUapioFXkwPq XyX+jzeqgMIXC9j9MCO+JyT0kBDUi7mliEnbH1gKnA//IVWz+CWRXD+36XYSSpeweVouiYyB3F7B YqQJcnSDz5VjTqc/NtE7C5TGIDCfMDMkzmqaBXjGGSfuYsn0494Vf3jTlhRkTpRVzkvJIpQq02xi qUR0MFLcsjPqqzBa1PLSdI3NDmYmsZvU/f8KTk7IHLDbCwxpSc1/PvNJ4yhDSvr5GbJZqm5TNBQq yP3n9/R7QzUwRN/EeVHG/BTQ8vjS75RKzqrrhBxRJbsVOXtiXZL/XjG8HA0YBfoHzNJWXAJwV/P5 kZz/U7nWe5UkC7dsZGMrEfQqlL2N40q8omzy+f1xPxdehFHiy9VS74+tmpzyZbdQ2lZxRjfkS7BZ uZUBgS2pNCRMVofSy2kzTmDh6EGhJov5sgwUeLYJ0C/EMn9MgnwK3JJXxYdvO/tZlTUhUOtShC49 LFpMxYzucI9QxLLHnaKg0vxs+C8sbwgWGL7cuEhHKfF57JSc5DE0CG+fGSE+M7IYCXqsQLYIqkkm eH7EKQvT1qbxPg2XSf8Pqzq7qt50/Q4s/rish0PqCyUSGc0/u+IaRSWn8o6FX2+8MuJWVxFCdHS9 ofbaLMqWqf5ltHixYmNaBfsnwtO0L0inKeWIKmbKZrar25EnQ/nan1UdMpL8iHIrh5YbP0ddXtp4 jGEai1XUjk0FLinqiqG8QCCZZUTo0YDUEJ9BFg7HbnJH6jmQIS886qKogyFxJQ31i5fGWlzuaWQx IB3cNwmjUIdAcnW07ckJA/m6UchNg1NYaGNSVmAw4pxwxz5s4WjHD2HM+wXZcYxcWBsVzsAfoBki 3peUkBnWRawMps/rMsgI9P1fvQCid4Fd/r8hlOy1pTIfeOlBbios6egGvHy/kuvkv86fyIRGmY5J Chzc3rgsZD0w6ThnOLrEEyZ7X1Bh51i4LbJmONuGugmK+MvndKcy63ma7Bg0g/IaI07vr9k8+E+W op/l0Zg/DE2vEdJX+vElrU36ZBThZtfEiFVAR50eLZLDFntLjzNp/8UJ2LaOVQB1mKxNZPJOdq+Y ujacb4VSFpPGrUIohv/RwyY7iEqFh1qbREMeusDP9w09oOFsfxyv3KF77nglM/iqhK2n22zZcZH1 8itCEPUAJJO9LVUMgMBBw9zyuC3fnIolVR2WrTFdvLKy6crT0+RoRvCMeokl+KNscMwt9+Qihjr6 vjsXKpLDU6YGYgHhVqf7+luEOCrDlU21DWFpRTqG+54OvP1tN8T/jzZTTeVY9GOKB4Se7adjNkhm 6GyrQuLqMHRXtunsFhrdmL6ZCTs11MjKcYOQR01NEsK1VoiDLnc7wAPenvXHj5WtZ8ceQUjcbwu/ +m4kUuykXVbag982Czc/AOKp2hpkmTuMD6ds7tIelq8FQgpo63cAeuC7oiloVMYheU2fva0s9Nsn 9SNxv1E/laQboLsqjzDWh7t6jwi46ie0gcvHPZWpDjYbq3XS/BS0IJM25btZ8z45coPMtC3oiB6I G6r6ufPNxavMx26cKj/2ISNBAMgZK7hz03aqi8WncJAmnoMQV/OCMEf3IgG4PY+kpJEiFqzecXyj AJn4/Ni3JXSACsp38hyX/+Oio5Za2M6vg1McuCcT1+6WIqnnfAbEZHCk3gk9mDziWYxxPcnSi8SD t4TU4X6ohrYEoobC6+xH6ZM+AneGnhsr+B90/ndUTGgddKeIYX6TSuDaOch8ycOKmTyoRrbyjuw5 8fhHdyd/eg2bNV2Nb+wesypZaPE0hOc9JMg9OjraH4jNyfkTfLF4R/RgFY/AQ/uyaOCPPtDoN+TF mEbUNsTFU6oo7SfmoSQgMIY3OTrkFgvlXLq1UJD40u12U084FuXNoeqVonGtllvsbTIDzGMXPkOh KzJgIpnbWc+GVZe/wI1ncEAWPShLxZ9JkF2J9JcSs0fyH4YwSXuzWmK7TXUASQkA7fL/CA8gTS7x Cum18OObhIJo3sAQHVt8SBtmt0ixcdyICzL42MZG717RbJ6MQxecDqeDgvCZ/llGQ4A8LAKhUKq+ WGReZ6MisYzWyZAgxJBhm/fA4W+jChmGj4OjdkBhe8fi305+DzlQha+UCVr9Q2IVEWUurjQJHrkY yzAUsoCdX73HOMYsF8yjKWeA9w0LfaWCr6ffTTL9pVxu9RhQYrshhpuvaQWX2KXR9GuUnHkUKk+v X2362pA0tf4j2RFyp2XElFGyHmRuQvVNTnZfC0pqaRVGyXzeFU5KXGrpKcbuTp2kgORCiYyRIXHe er1mwoY5cm6knLpApBTbhip2iifs8dKFt09p5RfxuH12N3KGyvNOPi250NJdN8nMRf83P+uvxgEz bWW2K+fdAlNBtIJ7Mui17u8yqVNbguIziX/WKrNlgh1LZOW+vaSSi3ihC+okdxCUGp3OCt8brEkD Tzoy6uSdDqmmuUJ7OJyRya7XrOEJ7fUrU63bXSeMmmxUkEnRcGUk0HbpTG6kLda8mloC0iG6K0VD vKLQEejqfrzU6mR52Srh/7j2cem8kuFKhnuXo4exxCjoCC2JYnshEgOclNPPHB5dt0E4I3+basjK J1ga/7/zcNavVpwB9MYA8S7QzhiBkMKd2+lebbug/++PYO4FXoIM/xeJd2MAlq9nN4tqXs3STwlz 469DCRN7PSddGbh9Puwv3eF+c0IpHKOOna0a5CdkYJ/5Pt6CpA3ROaHOtA0IEkF5wA5zqip+EPAn jlPq9bBWYFimvcTrhLf5LE5D+i3ANvccK1kR4toNggqPeAgpeC9EByv5MfxfBSjwJBpWVfZ1gwDy q2eqA1atTguoWaEl/O8L1/iVKHNSrL/NyFjfJZp6stdBtyB8HQy4KDna7uPFpt2pQbknuGvleW3D 9e9lAaPzLKhaQJlXSCc+LFz+NP+6LUhMkC9jgALsXXKjU2trtlru9C4COO7iSPbAb6EQKSC9+nDU PX2JXz2a8aGc7lXfqV82gx0V7AIBYVb7WsLjJq2Nwot5UbwQPnAtF/7MmBoRULlSeIroXvTRIH/q 7lYtkZ7ldk3pgxbPRetaZivkl5oMS1azfOnwCtF4c7bFXR1mGJB4B49faFB1ioQ3X2U5P0sPoC0K zYy1aAuFVb1YXXAcHxJ8rw6a3ep6NB0s/DodlcCihQn5Uh3tPS2sIYv+wwtImdyXx/lWRFfgqCY2 FejICtbARfBzojHDYzBrXVptU6E1SByDk1tUGoz+OTsZQWiLQZXbtByelq2VmZ6PtoEdVTD0CzvP KBS3iqQeLJsUr/Rx2koS+7XnjdOUDPo3JCNM/JI8h1eDYaDHC00PiWHc39lA4XJMqNuOoKogZZqY dvHxafw8c6DsHWYjl2AVEZAabPALe8mVadCsMtmhOCejjlZ+HuwYpRyczp9du1/zouwVqTBM1QrX kKJgDnjVX4oY4aYi1iDld1pt4RGzOSnD5pbO/lBYA+/Bi0ZbEmv0PeNem1KL2AxxuO+Y35gHnuGC kwq52TmQv/iAyQ8Ai9dvkUYrkqlEa5xqyqGc66U3U2xwhZLXfOwLE6XzKv2J5jsLTBvkwdPPfVMx Nlx1PpSSf/rLMjoL5JnYUfsgBC9S9Nt13DPN32aX2M1RDJvCIlKT4aGSPdgsyV4U8Lmth+JWQLT4 lBcjE//nIDxJDFs8FKRriJnP4l+/kix2FQ9FVMoaF7CUmB+NFoZuTGZu19/s0U9jeAGtRnHlgFTi WQ5BtTtlF2o3Fpd/db0D7LNwyUvmxSJPgl4bCC97ApJM6wjOBgoHNJXby4H327MvcRugS10JJqqx u4j+ALICLB7avala0nPPPeGfvbgrHr3Dqm9XQjt45rfQ1cqQX7luV065OpEpbcO+5+iON0QIqEWi DF9QvJ6epEek7nqu2aV1mRmiB6mpWNx/facUUIb9Iw2oeyIECiDHxeQ9w6I0/JCrdWXDOUTtuxEs MMQ5zcWk46g7RVwkMvzvs3Cwjf5HdBhdtQN0+AT08cCAodPph4ZYgmuTNezm+jA0xSbUr3cIw/eH te17tuzwodEXz880nhC7+R/nv2qss1vB9M8hqdWvQ7BpzijFT93IGOQcyIisxKXD+eYu9X3EkLbQ bUFHGKPNIziXjl48cySGA8mhipVXs6T0RfDRp6mHZAeo/bWsIyILwx20kIhKFYjrd/89ktrIUimW nmAt1Jh70nQ947uw1tRwAIIaN7oiZpcALwoVBFEL+agXDaKzHuly9WmZjMVSVaSoLU0qG6nAXG4R Rd0oRhMJghpJpxrgQMOnwcTWaPCcpeaTpEQEdAB/PSTzWTYgldRydoQxlJCHL9EV4ngXSNkLr2N0 CbrSrFFRlcvqgNZxRjq2VR7FVur8F2ufUP/M8IstPOjweW1JMsUk0bdO/kdstFMBJsYUvTHo/kDi gaePbN8fGoTnw7u/faIw2rQ3XTVsNdINO6OTfmjinir+OsfGcme8QANIcPndoXkWQ9tkbfrwYypw z9wPN+sL1w/Ygg4129drMtW6n/fQGAEFQ+bmNQJlWmgzWhoZoXGneBUnPMwLCxu4g0FZt0YwYpsr pa9NvmtqHC6qiZMqvJ0TZMqvP1YBogHE6iKno9bnLmL174M4pRM8TWzphtZzNpBk2GqOhjX9XROt xFMVyUu7Cex9eAsp/JY6CPqIv4hRt2VdKpDl6OfY1tzamyBJiu4ewH53Nm4+I3TM9h3T87QGD1+h 1004NyF2UlHyZt70G7e7evwhh+vHeKOUfGhdj2ReEyPvstBNI87uedvsSCitMrL1vTwpg0dXIxaK UnPPhTpEzQ6Xs99lxwlJouozVzYxDn5OetII/TZcFB/zhhELZ2Hf3KuO4QoW87I27+H00hb5z6cc KUXgQ12GqP0LEcpCnvRvXmENhwre5jVLT1aX1jrrUaqoyUF487Z+puIjTKtqkTGTuxJNdJMVThik 88EM2r26Ng8fr+c4O076XayYmy4tdUF+2ArC+WB4Yw1NV9liTDHW+sAZWI3GgZC17qGnSahwf/A1 a97pgHfPFoJJCAY+hqfeyn5N/8992/+jlyyLwhe4KSm7dsO0l2O5OSKTuwmFeH+/4SxhneabDLwQ dEMm8FybTnrJ3gpAyWPaZSe59FybtQbARJTY+z4gY+Gkl3bQssaBw+PtzHxd0tk2+ZlnggSkXdwv gzsSKweeqep0dmN4r3ZNy5tzEsJowxw1CFdEfQkZZecx8bkvzoivvCD+Q7hh8zn+ABDsvyujveu8 wt1WROIIzl0P+dnDva9+WtEFLuJfaUdaeTTXB21p91F7yfjLPT/OSJ6Pnf9u5dOWScFSgUfcWUzz HD1OTZCZZJevShyTMXTK8y4kj/dgIeaR8aDTWy6KThXhyC64f2c6DHaeZ8l+ZHK+I19+47Bnxrpf ZVB703MTeK07lTRwmdEqNIny4E+D5XgUVL5HWJkwJf2/RmJX76asR2Lu/tokYBPDbcXZYypgGlNh sx9O1IpbeC8dpG7q/ZTPwzda+EeQO4lD6iZBegElw0alZQ1zDejbffrth+hDh6+KgDqkRwN9Q7mR yHh8C5GWCpbB56QXYhsZURQKcSuOWNviVu6nyBZ1KMm0oRhHRqDbkU2xA1OD0mrDTersIz6GCXlY RmdIJvZZ4VMmuHHAAulCTfoxZQQOZWgqAiTnLCUWi2v4DHwtVOFbJiNFCP4BDzwjE61T1noZe36A g7b45jJZEsHPmfBTSBAyyV/PfNuFdwhxOevtDOBKHBYl/3SbEqrkai/xAtjDFg4b99cEdmPDjt7T MpnnBBl+gts89tZ2O2KTuRtGnfXtfZE3Q+H7Jl1uUfN8GaLmvX1vvB6fUMFCMkpOHIzRwqFCsHuK 23qncfVPPO2CdmYD0fieo+LO3FXEbiGpU3PNZW88bct/boWiywJ89Em1ocSlucyn5++s78Oq20h8 LitNAxpq2sLeiiXwAyzai9tKsoHi03nkMl2YEViTjLaNh8EBxCPkNYw8tBhOPHDIoUNpmiP964xh MwZz3TMb/eifrwCh86GpmOYDaYjWWTGVsvT3YCBAiGPAw6CvrXmm05rewiKhWAMR8xrX62VxIF0a /BHGXheULo4Yf+Xt3jVdVM06R7IpBNpJu4yOtGHC3JD6R/U/Ygr0Egapr2RDLY3IOCJATOLof9DW QoEBvQoHpH4vdkbWeiV6bQ4RwRelp1Pe8F5kc5fUEEQOZdDaptpoCa5S8P2pUHPTGcjlK3AaZGT+ OjxZI8yLezq/VSBIUdOnCN71KOOLmMCaF4viRwMDroiFGEgDP8bfEv/tgd8obnYYzOYBBqm689lL fQyF1N2lCTA7+L8q6c/iB3SbqNzqAmwdDwa1g8TQrbz8fGJ76N15ZmlYZmVACy2dd1h0+dijyMMA m7Lvc8uuCcbzn52xZE9zTg49ugrB5UFMSOdyoU12bsTOj12B2yC9FFWADn2SPIgKix5US7enyI/9 TvsOMH7UahT5rT6aU0VrrTs9XxyrVN8TK1omHkw6lgPPRgpDn/epyY46Urpl3CXfENW4g29D+G0r p7QWylp1jOF/jlLRb8mAYnaKpPG6cL06BVio3e2yz0WWcgb62iNdDwxos/EvkqwcbWBdeTh7Gz5H ozIrOf2iG7va9J2FkOsVcdnYCKEL3gNW0yG/HvzBnvqJpjTHjIE4ejYX8M3UkX/5kPxm3ivvFO7N WupuHX25CYeHsitGZLfgL9/9bYtlhXCRrbMDM9Jo0rP8MFfJNmsbhijJpZWNaMSs4wJRt6AuaNrR bQFC4Ty+MTr/kp53/pfUv2BuLfb5sWA2Wr7Peo2/mn6bLYhIETFZx0mkwLSVdvn20bT9/PpuD3Rd GSUPonMBxqbM6nWuSDnomBZC8c5iJkBjBa9xLKu0dZd9LlK+YSlXnx3/nxpOmuPrQgwxkBwIMywo ym6lkal4FYVTTjM2TgF+8GrK8HFNe37m2AmxeFzrGfGxePEOLpA2Kqz/wuWOaFBnb6IBG6SICdX2 Dld2PfKKlD8hk8Bws0FmqE2Y8kdS+vTxereE+7q1pT2sNNx5tk1IN5ChK0LNjWZN/B0LdYwElWGF dIX7MzRrKLKXCWRGC9AUdsucVYTmZiUG0/rt1EvLOXiO04gBnw/Cni09GK3lKsCPrDKaN9Jd5yt0 EDDzBw4Hwb+gCSd9uJDiTxtsPkjYaMR8+6DBnEs3VtXhGJ6w4yVcavPvaQthGiAXfaE5I+RwgrfZ pxw6Rf07uS8xq1WRCGBhKxuZZRI7fBgn7lrIfy858tn9+6NNN6UE6MKu7KDhilqtkg2nzybJs5LS B0sDVLtGfzNbbjovuT8tR6NLsap2pFmE6mXG3o10HGHm2HIjq687aG71rlkqqi0Gjq11s10CJVbr 8AGVxqTeELnPRI6/Q+U4z0ls4h1ZrGPjHjZ+vbWg67Fdh7A8E6JEMfhYAghgb6kz1izFyqc5W0GP 81ZWqY/J3PdT4f6Y5RrivPeXtet5y1ltvKf/BLoQF/c4B0Jeoc8cMckIgoZ6uCWNc26G4PxIbekU bl+qwakZNCkdJs/OYYPOkxj43TezGyzQGLnmW+HTYMgjcnqs6ol3Dbd0pkHNFUboH7fUG0bE8/2P b0rXeXiBpdDLmu2FwPe4nVg03/OOM7AzB/LKh7YAybMaxzLAc0Em2zG9+QFru5WMovMqAyOBU7ne wm8iBtJnbIBtnCrNwMhGrjv6x2Xo4y7yyAHwaV+Pyitwf1T71+MfCE7QoaBOiIJtdrVrsLAzNTgC 9WFwydv5Y/j+NOYAn4NgwhmiewUQp8KuseJ1VQ6anfk+2UR46hJrtY3Vc5eGbhupo19kPCwUsKYa SY8hGAKZkdKh994NcjsON1+hL+Ij6VsONvgGE2O4iJ7n/Z98xL21xZQG5OwipkDs/arEbwoj/kPw Pywe9KO8GeseKC2/GVnbExy1yU0ZRxURqQOb3AG4B7sTx4MlVUKgA++MQhVSXXVIMSXis97VIA+p MnHxGqSCkzqhiCAPy/e5Kf87c9ihqhNdcHEGW4zvgiWfEXuA8GQpGctyMbE4VlMU3vz63fMtb5oX 9nC8etrTtZOxzOXdG5gTl3XsWPx/ic/1Vx7SGJOdvUoG1UGb1Hh90dCEsi2DZEJw02CHHhrd+mTy LlNv6M11TL2HQFTGQVcbtUQauEjVW5DwiGTOPBd3sB/fr2eB+ZXqKgkHrDFG+5fjMMkqx2RsIJKO TrOPxg1f+SzoAiCXFQuoT3DB9FQ1omtIEDufAd6FSudGdrwP9pGp5o1HZApcwxmvgID9HmlHtokS efVyy/doWHAR6RyIJvLuqgPgOpzu/7qHRxJ7f4N1XmPVyxxz2mxs2GaeL710kKZBTHKzh3JaUbSy aRLsQ1eeOQ5PkB2D/VnDQueSKFkss/8AD7ol372tNCNrfDx4vg+eCVci//STvlXCwVNaWmUS/5dB H3G4+olUcKIRoDsBnMUfgi9DENPVZkpX+jY7pdQT6LYHyevf+iwqhQGqzgPM4UdzF70nTRZxk5Jv dU4/EBQPdH6+jiKqhmbm4/FnvY5vXuTN7P+mGQFgIkyyRS1bIlsBU4B4K+wG6zaow+y7T5PJFk/f GdObylR1TtapMdTyHM+ADF4qmcds0Cl+avS0Dk+RDPkl1zulhtQhWWAVJBFHKCAaMMBe3Dr9gy0F FZSTpWG4fTMWT8QDRN1eOosEeG9mQYjEW8FYPzAzTI+EeY6Iqaw17VaVfKoxGLz4h9qiSPsAdkVZ mGSEX+Ow4UTT52lPwArPNbjSTsT4fUg3YWnTFvPTCjlk0wUGcmSFc3y2y14QVOwMLPBD1Sd9A4DP aDCBzta7NU19hqR9XilLp/3fymbZ1DebYZ88e2ANcCowX/zK99KL7ESogtHC9naAufN9zfTmO650 VGoAs+Clfl4QP7+SncfN4XgRloKKRz8fvpamRrM5Je7gYccHIJPcQqP4etrwWO6RGkxtU57YPIBM Tm88+H6glHdE/hzF3vbYQTA3kYWZ6ebt0bx6jEwowFK5oxLsdrpBxVYPnkOvVztYK3nHdKGbtz61 laqw9IvIYDxtuv/vDJ44gfF0Fyi2lfMfOT65RY5PZh5Ei3/a3BAyrdZJHgRBhIGkel9uIOm6duUy +2Y5+n9XoLPdNzv805RFU3YRA22g7G5z16Cz1q4V5evDFjFUlEUOv/3VLXY6mvxVb6M3s2mNQyWM ly4+tB2QbIF6pRpxgWzfsfFgkOVhUe7BYagqCwYs1KJnNMzU6r9Jz4TUuX0WG4/rJh8tF0G/jqXD ufk79+RaMsH2musbZWBQ77dbIH/mzz/v3wty6QhWki2cFFXbCIyTT73RZ4Es8MBHik7dKhXRM7pF QG1ZgPPWv4Ne4CskEg3l3PmMbmbDOW0yTj41TOtQcEuRG81+bl0zzVjr7HxXSV0IiRtqld96ucnn G/FE98OVq9c8r/olArGK8+KsgrEm6InyN1A8eO7z+OF6M4xNSPCN4v9/EUkALQLGVJUo07UxULaR nN5ncDNjPvBZNRS2KiJtQLJgz/CHZkIYj9cBrZqkw0EidzEtVXqZT0oJE8ZM6nYQYIdqJ4GPqU6U qJb3M9FcXeRY37wh5fAx4Y+X8k/DZZFSZeN9f5ytqrLQqDwB5n3xmkvp+fu8AYsKlf9XnWHi3ABI cU1Ru1gAd3vBsN3imE7LyQ0WS0PrHnkRg7DnPo6dvHY5chjroApxFCCELUjQsC0XhhDrTUvsClwU N29APLqRZMpb14VN3fgrzHvHGOqy/UyEv7J1nr5RdwrPBARiAbc8aD7Ju3fSa4wEWUXZHUu88gRI v95mwnH4cJmlDYidO847cf3ONUpR9ZYoRSMVXHcLOc7Cdg35xvcEi6tGipkh+1y6UZnHt9FOQc7W ku+dzWZpJNWUQXGkNpiwhIMLgoDlNgOkzZDcokhyj5SZ3jv9a0aP5UjOQWJdJgpdJ+n72E29i9/W pvhNNvvixQwadbgEXjRCQulH8wQXyTdSFugZaBfOBrOlb9/Y5ZtfYRYKnrGw2SNui3tQ8oAfq4C1 5wgNnrCVqZBjixDNGh20/Lz4Ls/+uTBLHHUqO+fMBI/N/RfLzyVgmslnDrOSf+Ur9K7CrYAWcL87 vq+Z9FVQLM7TDEq79QEwhvsuV/xu3yyq2k2eN++DhQ2/Wyy621B9M4vn8WC+OJWH7jkeqR3oQXD+ RJ3foBOuuIzOeg1MIF3Rhd409x/bEu8FHgGXLuP1tY8nYU1Fkc5hTarmqthq2O49RJvtGd2JsEDU DTpzkScWo2lWJvXVfAYTGzIdsDcNg6J5eFLHhBrysMOIhwZbHknikXwyZOR7V1ne7eBjLvpmEja5 8sjnm9UXmJUrlDKpyThiE/7XmllViuL8f/E1zLETjTDsKqZIogAl1dmSkv8hJqZ9uxbs7zzgaYfI xWD/wACUor3Fkb9Ct0Pa8KIuVdkdJDqDCcHY+wHJxe9gbZRdTRIxgA+9Kmc1JyoVoYTPG7xk2rdG 9MgKlhdRdQU6hBWiJjNO/hzqfy9xJ8xGsXuIRzB1Uf6BTMh/Ij/aIKf7nAe1e6g6xTzKEl3zW/YU yVlOUbpr6+GeINdNnv5ioTKQPT39btkatgI4vK2+AvWFnuCMfOnCheO1uWb8fcHKoYeiKKzhxQ/t jEbAmc/7k75iucx54wzBQzSau7XYBubBSpoQZM06p4AOtquks7I5U8aAkCkvoUuS79cLxRFlItgJ KxlzT2TtKqMwMYxeIGPo4Hv51JpyXvDmWCnem3FBtvNRxLx1KlFcBkpw4CEOR15lNg9ONZLsh9bF jaqx7vFUL3lYVOobyMEo7KcpRqwa5JWSKR9bVeeCrvA0/oq88WXGLvpXJWIzgVdOqN/O0cgHp4eA dbTgIWL5P4Co7qFf+DCkXo1hv059ffqnFHGlYgH6Uw/xRZL3J6GDN3pRblhUb/r3tDxiu2bzw6B3 KYJJwhZ/xvd2T3RZTo3LAOxomdNpbEkIJzki8/8DgBNbuMq1qwWPZcaB+NLQKdQaedMMXRKfvtmj VcuXrY7fTkK9eqpU7bXGyD/loscg+tAlHObzAQO0jz4fYl1EOjZaUXQLvoPMXF8AoVwoo0LU1qbg idg3JN5Aqd1VV/i7R93WnTIJlda+lmDOC8j+vxCDwO87ij7nZnFCHSVJbK+Qx+/8ddahu3iLXwVA 2DfurzSBHBGFHYbwjzAwXxhAEnFqaH4E4tnOVttcqTjxLhA6Iwcaas2fTIoZAtvZtNPgZ4XK4nnk i8JbadJnc26gVKbHBZZnIZxaFtFXPLjeUP0ZOGFVyFIhofmET6ifuwD7dBqeoPO3mM2QpWX1lXnk B8Xwb35kfwPS+DiTJ5siW1nb2WP/dtEQuRXQ2B4MVvPSp9PWhr8vMr7b9YxwHTRyIhgjnZ54Secz HHbSUpsf+GIbcRY/iMsSjcRa4CLgmMNBTVpyyFAdi4cuwemN08nxxxB4E+xEB1Ye9/463P/m0G9c oJTXgKcgcBc1F51sJHk0SJmHey5f/8TIUb8FewYsRYYxelMMMrh0BDWl5FHB4ICNpXAomvKGoZ+5 4XfcDWAwpMynFiqszcMHh0MRi3kKtxLvq9Ctr9b+I+KQBeIFuicc5FLeIMCMLwl7wcIQ3Cbnlk+7 xRjwRKmyCl1bLwITRgPmQEh83CPepnlZ1eGNJDNPFWZuui1wR2YOR0cCIvKs0mXiy6wQ8ptOBMoq 8gW6vlHjqHsvmMODYy5PyqDRDUo7aY8vvXLYOp56Av+yV/+o3YsAK7lH4QUFhwCLhQBcP81zZ+Rn +loOIUYWsiyPdWpNi2kqG1UdYVk0vslX/cXOUOv8Y8dznyHeABCrbJhvlOcqB8eKTWHmMl8LeU8C JWy9Zuj7rRXXbuFs+uHAiRyX1OGQE7qZzHY3YT0w6P2yZ26MFoUP34nKJ3o+cwTEX7gNNzzQvrNp t+6iLFJUvoMzW35mnd5swndHp51tNqcWl4r7ZqYiHrL9x7kNxgtk5RvEhti8YF5QQ30oG3om5+Dk J+eEulO6ZaM9mV8YCE1qzJN0DsbMnzfixdbvDeiGOy56Se5b3JbG1lWtfxg0Uf0HzRWQUG+HURfh t9B5P29AMWmzTD+CoCXc5KT1umP53OqvWpQzeRHnkc3Af8kDxBCejFCjzlNTo24lZ9bRcXbgLxvU OcKSLacIoDPnyISLRdZd9mb/YS3aRuABbXtQMCl9RgL3NUeKQdYQ01SZ+u40KeR8Jw21qzq1ABjN Idj1jbvuvWzmHY0InM4clCBakmaAIRd1L+c4hgxyV8QQeB3Awh2/zto2Er1OapOZP6gMaJQwT/7+ iYf3bbzSyC4a2PnUzTC37oPoOe3bsxn+QJtXCGh6DqX/uz+2q9GYyuxw+vfXbgxrOv4TTwyuGuj6 7wN/A+63XipZX/lgnd3gqa1jYhAST7xQ0UWyAXQb3Y6k9xoQzZsMWvLZc3AUqcAyZEBlEsb00WWP 6vP9f+TGtBwB7LNEorkaVXgejpzTW2fGU06QguyEqJuEwMZ7A8vHZpQPrjAd72FkuJZTu5TWUb6y 7WgseMARdcIhVRaoK6voY0SNoRRFqAqHXbwoDQcR6/toWr5MK9uTyNhcpXi3wrFDcqT5r0ALanC+ 556wfz0SWxvctygnzaZVGR4JojINUCCgpPkz/SUoz3u3mOKVQDtG8E31aA46VvSR16gh9tJkauYv eUDlbZ6TStTiYvLMFULIEBSlZKN786s6sS/GiJo4BM2FHh9hzhMhRP9+i0NqdNEvs7JWfB6tKZFq 4uLKTXPyOZ7L+9X75IefrsNLXDmXwEXdOfTPrjVxlyvfIU/rH1pJzlSxCUtN88/w5/GLlFSS0rcO GAM7FJbFRIeFKaVOWX72jlPfepHy8YzAuOk+G6T3kfGH49byiphnd+ZMnGRiS6cdkFyY5Pfin7YB dFwmC839AmOr0TXR5y7fCm5hx0qUZwDA9NOxxgjUkn1/DY472yt6al463BWWcxKbXKjM8jOa0GAX zr1MGaUGeq8wl5OuiZyCFyy8o/iPowt7y5jMrVihl2Bn/vnIs+N76NrMZ/e/Lmuso4Tf1kDMN99/ 0G+qQd0A+K21o9fyJQrsNODZe7bNsFkwuVE1Ie02gqvmj2upVT4kMML/FgL2N1AxxOQ6/jgdi198 A6tpFZLpWqaijsRHisuWI6k+CLM8cM4/T+juh1n0EEEvcrvKhBTospTMnqF/WlB5uAJK3EdglB7q 6nZeZI2YU9mFf0x3q5e7nPIrmg3T9wKvG4A7aqrdOypt9Eni+AjclHTOcu6dd6TwuiZ76roSh3fQ Rivdb8XocFLwoKWXM/dafhMP1ImahNeXk8m8vHA41f2WV95lEPP6PPfehwE4O66lVtbtWKQ679it brIsmJehagylWfEz8uW/58gfxIvZJCrqaqCVONL2bMyJaU3/EnRe2kUjJrDlWRrcfKh8UFPm34sM uqyCmlZcKccEoWOqsIPIv1KF+PqU+CS7uwJOJpuqAk1QjRk8ZT8PKW2FXJl5m1ERmiCEOADTJwlC yd0ap0AXxfzN+EgiEkuXdaeCZTRkuFEyYN+geZ3Hb/lzPDw1OZGyJNNgQEZsblAiEJDJAk5pvnMP fTzQXsBOTpqI5eZNuGhJCcSyAPMsmZByU2RyYXkOTqSx4fFMGbqISxjyXMlj5M/Zpfm2gUgwnLO4 WpC3Xf26k/7yd9RMM6fP7iPPO3LvmrWwWPS61Ts1vkHSvwk4eORLByUF2J1XVjP8qHcEAfPGo+AV tOnYsmMoWT5+ZhmqP02qtUIspYGXhgSXTr3hDlU008+rqmyVilUig/Cx/fEqrDLb+eFkZeTnOzgu vflmDUcDxu9IWRinXKVfkLKBYerdCCPusUv6XueyqQxYZkN410IOU6oaVOkLyIKK/ylfx2e+ErBD ETfo6rtyhgRbUI2s7aHDjdz1XjK4/UG78xXOetfYzcPzI08AWjCzZw1ZZG7jyYq2LpWUVCQmXs6L wlWgr3Ef+ldfNC5TnSqoyZHtgNgV9rF9+HTYT45RGJk5kHgYZgox/0luqCvXJg8AgNwJWqQVFlMu CfbTiuvgNVePhtOkVmk4HRt1W0KY/SD3XW+61XcXW4TA64rtjGQMhRL2v9yZHQsOQ7PW2LzLKXXF Y9f26MGGmz8rDHj1SEJSXHGhHiJWg9O7tTuYmnejMcD42+RRLv4d6KaH+WEM08PwCN7fcOaY2uLd 1PaRPI+WgTRY/NOK0gIrJKehET9aJJt/gF3ARHpM9vw0z+CMtx+CFOGu1a9p7Zi7h9dTaqj3oo2V LZ5a44uy3YxXtf22SsMktglkkSDsSTHGIto/XWSg/fttxBw361ojQ2cBaTzsLRqoiXP/MHbh8Ovq L6bFQgjjFxKxdQISL7texa7hHjdArv4+StT8Y6fyl46IUMv7rQcK+HrsbjPENcl8Th47f2E1KdOe 3I+S90IK/n+4AQ+5T8I5Nvz4y2NDvP7I9YxQuTAJwhyhfSHEb7nQDqqjH5Jp5JfrACPfpfANT7/f LqQJbC/rsI5TjnCsOk4Vy67Nytfqb7uaTICF/tywYHfE6E0vDA6aTaTvWd1GtZ5/zLQTUq4gu4tW h7DLC/ojcIJFScX8A9xKYOJjaDTR3J+GY+YCtnlRFuWT/pkemjRl6sV7qxI069k/mwOFy2IAvauE v5Sv/dn4gTljba3sJ53rMJS7Xjy2jVfeUQu9OQ3qitPftu4Pz4CmNuDyv4GU/a/nV1909c6CGraK Ogy+L/NxUMfUZqDtyz84iqyJewARWmsGT8taGJ7ZPl+OuL/NibQKLTDUE/0iv5UKFgb1BDuZDhDV ksextoleOQDcNKYr5Xb1Sx7Uxe+4ZyrB4utq/whWdDNrGv/AlUNyaAQ2lQEstJB4UcxBN/yZAO45 +wL5cILvR3ddo/zcCZhFfBzRZ50jkCO30LLI8upvuxYv81qAl5Z5eFX+mgS309igQ5hD2nQ3rQvR i0c3KjYiEZ9XfdFPqEA4O2xsNr4Gdb5biQFRCj6UP/dt7eW6ZsO47OnoKooCWiM/z0UxVijk3YkN Nw1KHvCQwpW7Cxd3+POwKpnd2/wDTx5OL8RDQY/i959ev3edLLf+S0KzrhFu9SGmbdOOqfFF0Wy4 SPU/cOFVpfPKU2NeZlaxPFioLA8ezyVxbJox2e9OL79sVdmMZvFauGOdD5uHTfh/dfzBhw7m/vCV WWBzbqI944Gj0fnZEZhQ3cNzzExRCfb6fITnaI+6IdFQG5EiWSLEqkEB2b5tbrmcbsKF9WLIPQEo vtxwJCKuL/58wE4P0v9W2SUjbBWDTHoMD8dOrW9P9j678C2AnVn+4Fv4573EXPV3+G5z3MwxKc0k fTY6zZv43Oh8c+EBD/vnQR2MYqdP5aoxQJYmtUJdKMNCqor7x2e02mo7vcet7F8v5hEDHWGWqVAP bBMKqw1Im3jjURDVZ+ArO6mNcrokdb5Q3SgSXcpLxmNkVqYaztWgM7YwdF093c74uQ3/IXLsK4KK Cj2itcERmvNBUXuukn318L9qBes8PrO230+F/EOmH4iDQ2kR/5Tb35ZJNUw0M8UUFydlRvs+XThA 3apeTsoVb4JfE4IFF6uXwsx5j9TNMcmZ0Eg7eH3TsMwwxVuyXKcPsJgmHZ930WpO9tQ4UcJSncDS jiq7H4UoanlMzTqbFBHdRUURFI15fye6lbgNcekuewhYa1uutOwYA7sbZmYfagYtycfeR8zeCVBW tTEfM2lkUY7bgkulVTKLCXPti+3bgp379YegOB+q2XZIdvUc7ZWN0kDuykn7qKJ6J4Ie4t5ViOKF mFe4trnqZmIHL/o1vEANMFhqWdZJLb/cW8Q7R2RpCiWN8MpJFgXT2qyfhRUpCt1DtiBeO2DU8ZLi Bt9uKlK87XlSMcEYVwfwMnwHRew9qyumQp8hzsoryZU34fjj4jjGvIiexNMxhxk7CuuSpB8hCW2O OUDFOtgnD38f0inbDDWsRdvRwusTFn2kVbG3WXwEJzWTywJN89LvXoaSUgIQU+0HchoNv4We+zKK m7PoSgUDUg4YvajJd8YPjb7C4oobjP2Uoa45HMQlHn3cAYjICwnF+CXRtIfcyAEzIU7GcuftxOMw K8mPsrNvDaBJ7gVMib69A8TOn5PiFJipDqkNE+QvF0Bz55ma1P6tat8WnZAMr/cyeG3AE79qBXx1 Uwr0se7IVOcN0A2M/6DcKxqXyBtoEvaf32Gc+asTr3MmFc0bOLnuPnKZUxrrymwpNhxg+WmqGqTP pT1r9QPUprf7sPetmBOkp8I+6H2d31ExXqJYNyA7eXm+skjmv+2d70AHFI+5FcQ84lXaPW2OG+uv 6PYecCnyCjaZHwGh282uSLuR4dKGNE/b8R95yivQJG4P1OM3/OiDShTy13sfswh4gp8CKHA6xq+p C39npffRGjjaEF0FH0oQdmlWUd4+S2svNWym5KTXpJTajXoSD+SXx63R54KG8ybknoqh7/aamrWC wupNOpWu5EXnFFGwwybf2m/b0B57JERcq9DUkkSQT87+lWitAZmv6zWbS58lxxRZDmMbVLiwNuPS DhwFfYRuvmWinR8BgovS5WltiZWn/vuLe1pwBSjz8bzdBeA5WUcg/H+3B7nmwzX/g5QFi6W6tZHu IghtgqcKwf8axvVYxXKOrmVjF79DBQUDTwfjdgv48pSOXxRrmf6YZmaUFpJRsaXipm0Ey8yzZSiu kMd88gP/GOA7B79AlBhzJgHzXsRepaPWqigM9hOSM+aG3FXjtqE8SQc/ITDd7lr6DAQp78AsNNnk 7LMcXOZb026fEeGUwuFL6YpqLFSshC8z069qzspJGcOq+H8QbvQaozBY0Z8cbcNjyMMcHr1RcDRa MMd55dOu9YFfqYPJlsnJR0fp02Y7qlBawi0L5u8tYAtv6w3NOept/YoQsmWdYZu+1HAxyyhjit5z ZRhT8NGtuXaUVpTr1V6E+17QubOoPaLQfHB3jv2xTSQ0DRTdlDRtD7CiXtbGvCoABmQCKIPNaNbf Vj7eVoE45Ugl4+fLD5FF+mklGFGqB5+2xJa956bhcC/euPKL+QOj0Jz7MX0cZNmeBOeJj4Xsvcf6 aJZjfnM6XjBiIbBiCHsmLoUHnvzkb3T/aoWG2lENnZCBAoA0FtNS31miygz/pgaYgkWX0xPvsltN ADW+UDOC0Nv/W1TyUuMdWGIBYOLj86J3cznzDxBvxmzAQET+dae1J0GHPRvTtMnCqOb1rfL6nji8 bCsbNGNFMsMkyAKTuVN+Zv+3MGlJNB1dcdlCfRGyv3DFG+iBfi3Ifo/oJ8YwGqOjf7bAaqv8R4Ij JeleghDc9+clEItxcsGfYrYDIgTYvU+BLv6ZtLmIP2f/H2eHRZvP0+yCnZ1N7ONTJaBGgg3EyibB /TYQ9uokMudgVXv1OAoCEu16mQgvRfNvNd54nZo6fNFebkxSOfwkHcnuHtCFlcUqgeMFKisV6reU UaMYfk6T0N36tl3GgKtEkF/iW48rPXG+ptbfL0Aq1p5ieUkEeLT3omUJCVyjYXbmudk7rhm9xsim fPgbZQ9hoZ/clpJzGsah6s7w/QYHaqmiqqbQ4FE3DoDSxURriTnF/9dYEJwfsT+UcDUNTVZH+3/b M0EvDdS8PHvcaxqMkefyKo+/0MOD1iNnBQWE9hsGuVxgzZuXwANtf0WUjpjL/TF1alunYog/PSJX v6LdQLGet2Rk6y/TutF4oVrJ8w+bldW3ASmUubzSVSRlyvDXKspIiKLB1UC/aemb5tetBof0Oa0C rNLW87WuAE8Gg9Q6qlOpU8yCs+2y4G+uQv+/wG+BgcYRVHlDMaAzsd6lhxd3c5o7d2yMGUbGRCFU gDKm7iYt/UtL/v21oMkGkiuiATs1DuFwn2sFuL3vTZ76JSSiCMM4SA/ZZHA1rpCMLuoJzmgWRQtS zfgajjnyL14P5UvU7bwhNRar7hhN+ZLR91pOk06mzvv7G4c4D17y6OHGVWrPbxXfgV4uy/Evd5C/ vnUevRtaMMLvrQNEXgVJGu9ixAI3p/FcwYnKnAoSFgMWPlu/aEQOqNiZel52qhVWTPo64WhBk9wN Gun5ETvHoCta/+tHWpzN7c0Anu/I51hmMKfdXh6oF0zq3F1rdrkhI1T8IGDgC8vlO5qHjcmxotQK wBI/K9wZrEnfBVSLGWCa9CEb4FaaD1Q2g6Nj4aeM27M3q74NTRJQLhBu6mwhEL2LnjgqrcCp6mfk SQYVMi4ZydcOudvGVDSzO3N6Kph1525JWPyF1npC2UwnHYq8gMc083E3tZCoIHWSMxbcL9NTUgl5 N6s0fBuGM9y11m2JVrfE+NV8JoDPJd/sFcDSYeHjOFpmdem0s1fvlAQjBD9q+2RVI1ppMmMUFjB0 x/z9SpgvBFbRFqTmZCv7qw9Tn0kR04CiY7B/dAgieUCoJFgpTKl+G8LRfSyduV/3DPDvQRN6eLAv 4yN0valf34e5x72cUZnpm4s39CrKX7v3N3L8VfOdZYwDCaugAsJM50v60klemf/fMbnFKFLQU178 9y9oXDA8E772mb84eqjPmAf6DCWfd5/zStLMIDcaUTuALz0UcAuncRyGxFnFjFWgsqecjvII4xeG bRySwiI+12jA572OmtdfcZ6jXRlLiSgzryVn6UNVytUswkT51Po3r4Gug9dN/t/4HlPoq2xxzmJ7 PyNYyA8mfJb1OCU+5UqbC+fGxFU5XVUJoTkTYPs3uJ3/yx7WvKekknztgUgIO4uoIV+CNitA0m/t ue2AuEfkW8ZNv/WX+3KU1N05ASIoDFw6OWhj5O44rvh1XV8K2B/srbi8s3O+F1cU7mt/3JvKKZd4 Gde/WkHDQj+FaQ4H6eaAQqVR54bI4XwXxf+5rF/niyj3WVBgjJIFcsGO+Ro6c4dmNoIjbuRqWpYx N38DNaxz+TUgRhItp0cLDqYs9ND5JsToQw8WnH3C5J74gtVu7XtHj9fpumq78HS9PgvkR2suaGiM Lo8CNxr7aKx/ZucASSSn1ahSaFaBxgFsXMNokhk7jSsAqqcoPmymHGBKoGYJF3sgUF7PnClTsk/i yOaMxiyBWDyEpX6ywc2y6tNIr1s1/llo2C7n/qGWumpDe6Gk8pI4mA73DQGZU7iwjH7pNKlg0HJf CWjAfTuCeUpChEmCs5antEmA0j4B17JVyTAPU9hsbX7hwi6T3amjhY3hLs6P9ls6K5btCxnbJPFx G7ZceYXje5OXav+Om+6dLv6rp+Vr1Iq88v20FH+rx2JcAqQw9fOESu4kYOsrKInzfR5AVaHc6S8S 3BZcjTX+U5reaM5GfqmVm3R6zbMIG0V1EFqNvFUuBXbFCA1R19P4HGa3n0X7O3toOoXgSVI8IniU 9pCEwP9iN01iZLE3/XBhnLrDm4oTfSHF6+loh0YUw+Omu/c5RL8d5OAXcMJLJ0OYDoStxBvzqmxe QV0V4iVTrEluRszea/2KN4URFaCgGTMZ3br0CPR7bZ/NZ6+hRIRTfn/s+Y/6VkgOh4lAakXNyK+o pg4B5VwdmrH2+W0jO71Uz8T2F1LuxdtRsgLSgPlc271Nl7qTwaM5l9aKd7Sz/KBXCcKHTH2JLKVe Sn544FI3OfejU8uU6AYHdMtNII1dFeAGYyZyt2oEipg9/HnoibZ07ikIJScV5zurVH6aXx7OHiAy wB92Vd/SwtSWKvZqT64KBvNuKlP7NTlf9FH+vqEUmzZjVSWEe4ZqFKpGqqwZThSntMpxR+ItPFsl a7hoD1Kpfwfe65Wva0ukTu6Kp4nHfGmrkpog5HA/1taN1fVGPwSr5siiaKM2U0F9c3QLgAPH7TAU Araq/7jzSm9BdHGcxpREEVTJ9aYeERBMfx8rMzUtIjhBdWnU20nTpNzPKhCg65T+ocWCnjEN2+UE T5tUVoiuVDN1eT4yqbrtJXMOBtBd19KZDh6AtIAYyFT6lFuvuBiQemVKI1DjKido+tq5R76V8w7T Fx4OmA4lU5t16XEdhYH0pCcTSvW525QApx3Yi0Sxb/cuyJoQLFEiYtnoQaQf9ZVyDBEKFCtHFoZj yvuf6H3ZiWGdvSG8G3YczdflErStj3osmsDD96xjozQVxogxu5SgdRDdeIla2ym8E9xvYWrZ5LL9 YaB25kOjk44RSibxNDpUXV5l4tcQn4WSdaLBz9+cQfXKmH6z2eMF5LE3KpuKUWUVa3+s0zGywIqr QVG31t+YdY3knj0qPmPggB77nbaz53fIQJG5gAKMBxGG2uBV8UnQeo7bbROJ4nmW2WL7xeC6S2h0 1aJw+FPE+UJxECOGm7LqcL9d48cn79aDmSWgEI3FXEhdRUq75qrITKxIsfYTrAGjLRAfYHqSX4bo IoBbRPXKgLRH8bcujJPw9+Ns6FIG448Xjtakz3AhW/KB04j8RZwRwatTjHlqu4dSw59OwhruzAlT J3O6vwVhyM1sYUSj7L1HuMSJJJQzpGdqnezPFhENN/RzD6id2YHRbYeB+YPlf3htdw1lRPvDXtLd Gg2uE4tgeVrg9rOwmmMkE73CTnhgMxUmUYccVXuxelRY9fCorrEHKCnXZAxk2AWmjYyyUIvaOLFz BU/ZiVLyaimlC5c/pcR1yFBtfIefr46jSGNhEqVnTivWQeb+jaU7DWSLJbF0kr0hDJo84Y9ruWGy hCDMZscdvcWy3AAQUzV58RFC0MUCE+VHuamrSDav24PbkQOvXmHIw8hCSK/Jcii2ZfqWGbGoobhF 7Kel4g8UGQFvbt8+sHCnaA7nWoxnTZq7FPx3ahHTobY8YjMcqlqlUa0kl/+wFYGLAX+M9hPx4D+y m0JMm0lHEUB/1sI+9x1vUNfA79H6Q9W6+mtrA9fkHsRz/NbjVjoqJr70ZUK6013xeCWQDnYx8YrT QpZa5sYxjaiPkMaAChXjJ7PXZ9Vm8HJtddPo4ITiy0rxFk12Mbghm0F0y4U58JXnuMWwknF+5RVI dKX88S18tKBjGIE3gixnDwpw0pRdeRSedIsXTkA3dKKFn6HSOeiiHZlNZc7CB0E+j2s2Khr5ugsV oRRGxv7pmj7SinLOd/J/XRxQDRrZPceFAbKaarJFS4Ke8AGyeHcGVDe3FjHIECyVBC3HpxoIOUN8 IQA0oLf9SXRGIKC2ZSASeXtC/kV7+HCQ+BNlPS0OYkzpB7N1q0Vq3yCLETWFbYaxncaaiZ/C7uhu oCrHf26MhCaQzc8heisP35EdET8UnTCwneBVge21Rq86o9Lv2FDVJnIco3D/qSBlQ+iTGFyM39eX O+0Yug7uRpOA4CQRXOchtIKK2Hv5sIJ3kFigx1zE9hbcbNdQFjvqxx/FG9VivGzY5yTttsgqKUiP Hqtt2X2Bfw6ws/f6sSLG6RA6mhVtu80b9RwHjXNcMtufs5nL7B+xivHOmzWs4OQODYEC7Qh+zHdr ixYyQ2YevNkR+nQkShpQYj9UVqm71lWqlgth9zFrdkovOHigHMfCT3Ye8BBBUpJwhR4qYCBlPyil z2UaMQugr5bS3bI9KgWocXiYvIIQJlC4t2gQ6mRJfm28zMyiw36c+np2SLx48rBQMoTjacxK4TGz bYZE9wYg2WQ0BxE6CIByBruWx/DR+N6a28WHBDbmwIOIOdhAKLV0e2jDHnZ+8srXKuNJtDl4J4eD 1aQyCZOrsaEhgG6DrAinKebdMb95tfpYmI0i+HVblD5ODilllvWd01YNr0TpU5l+pHVm+SeKwZZE wuqY1zoCVAJlDPyC6H3Px2HKkzyl26R4Bt01ol7M9aW9G69fCe8wf9f1uQ4ASR5OsI2ZEWD159SY 1YsGQPEPy4cwhcQXQf3VnnGVOAIXQaUqFoD9heGXKVy6Ot6bNWF+Atl2413pSnPIRku4TDao52LZ SLLdhp5biWIKV5dGNY9dB/pT9edEvY2lg3SbY3S71WxxMtDO+KxlTjyJ0PrQ/N3U5l5rCCA4+z96 BDNOHKTZxSfgX3fGZ0YJFtOL7PP8IGJAzpGOpIYUHi7Io6ZANl7c2bnYM+XY7sf3hkdroxOfYYLj GgDpxpi+oH6aRnOeQ2mLD7jN/wRKvXWARP//AHW5orYhFWZTiEPAKqIjWA6kBHMfPCec8ExT272h vpsbzZ1yN9HtYHDoWLoNb5ZbCd1R97+UtKTR5Nmmpp2DJ4Buv50lWjJ1zRYQTejB6OuBrAcq4ziy PXYB4v8lN1S4MBFSH/8oLtN6VDQhgNMQ88fDd/q99IjbzDKoo4PWeSGsHX/Zf+nmPs7TmerqneN0 hxca1UEfeDVUyn9JDPMoUHUezp+qopl5/TRZjjsUW3xDRYm1UUfLKwq2578+1Qu01jEVehgPcsC4 wSitprSS1nakWpJegc507mAJPSaihata373yEpxzGPy3ANXQHD88otOSQYEBM0tSUU0KNsi7lREJ A9QDTPZV0M+ZhM2AXbdGADcwS7WfcfTbcw7wcRX26G0nBfrPrhvlagCQOnPyFTbVPvPbFX6y9a6G ta8OWD9E75jy8aQ2amZzUN6FZg+ObPr55twxiIVcn5vX8THq1zH31i9PuE1hX0c2Sdu4pPFYOKP9 M4yezxlTJyAUVc8L0vqJxF4+1JWo6eG9xZknzO6Y5zCecGXgXW3uOy5qVnlddtqYXOM5SfVelaed exCNrcOnt9lJQ8Tqf8ZRBvEdPQ+LQeaNVui7h639/RIUyL6k0QQqm3ndTAb0R9IeOkxAymxSFlRG Tff6LIXTNfl07fcDV89iBgcdQpi/32ol70bUJrehgmUUsWt1ypgihl2fHWtvpjH/otIYIR7UkPgG nBK4EzvD0MXN1fNSAfjbw/d0MQQrbpwpOTpgO+QXv9ThsdwMbGsO4QlqjFbcmoSKHJhmPLPGE6mC jpTLXgemDepqU5Xt4hkZnLIEAbvSXRJAx/qyhbMZjUG9zmkMbSc33NPEC5wt8u4/yCgguasFam5S 1kJhh6rXbs7jV2XFrrml+l9noBYG3oDHLx2kGoD+ahLWY6Cq/PgEmtzuGEZx7r3LIz6tr8CTgeUX ECZejfJuKEPpoQYvw7/BgTQ99Kr9Wvx+nYla6whLhlCzoQchPaqB76MS4QvtwHvzHWzzLT4jjDIP S6s0su4I51Yx1MgYdaKZCvwlGfESlRoJVdVtucQSyvRJnu2fmo3GROX3csMMMrPSlpyJkDSY0RUN tuh+yX3VTPbec6TRVcu54sfYl5yG3R9iAV5v+8bv1IVLLfZUO0C+svBHwDFSSQZznuGgo0fG00eo 1a/zGRDURkBaDBr9doURUPxeY7N5HTur7FIbRnSyNmusBbfX9uToNI9r9POY7G54exqAiY8xTzyW oC7XqOUBXFFWyJas9niV7pgDbnGIrAk+/ciP0exJvABDht1JDuS3fZQqMdTagYnWUusBoWlnngfM WUwTQ/se0ZqHWuxQi9xScr0N36N2F5/idXZrDoi6X9JsffGgvfTUfyuFTCx0wigUuXrSd6DvT6QQ D9GLQH4EpBIYL7YhYQQdWzvLNgncS0lhe8pVU9Hh6BCHq/WLLo+Ad8UOcbo6B8GMTzJpD1BR0HIY h3sEuP8X5if+FW0yVliR+QGk2ykn88FC3uAvnAkE5JPxwE5WXukaqdGNFIdkSYXTGE0P6oW0liN+ MXqcainlzwmfij6NzGN79Ubk0/AljqNJ2Rz4JRZsNpnaFqdmvyKNUHule8o/z+yVxdj/kZEh/+Sh fPle414iRU/Nw1TUGZv9V3ytB3sGAlXeXSz1BoLIYRyNp+NEjlxEWCSVVkvBcvWGxCv7aInDoM65 lQtunyRoW9FOFg+wMiYpS5kcevcGvDE57BPlYQZxyoi+crGRSX7HYwzCwv4xwAYFWPSXyAR80/S3 jZXrhwjqGYDRjjZust1tr/UBA+2DzPUHrgV6nTbgAiURF2X06COcR1wD95rhobGC8c7maPbkKHey BqCjG+ICmbKFFu6Lqfpg09pCLYALZPdkBoBXK/MW8vWaZ7WZlKh01tL+lbpP6WdI+PHyEK8vYwPi SR/K5rl1WK9xdBqnaEe+tv0MQrU2KGTCRwFKNDvInqDsPcA4yiFkgjyAvr5LJv1j+JtirMnvSimK Z5dh1JerVmXyEmhacMkgNjFigVnQahi0UnG0/jHU+HCc+uyeSpwcO57J07+nrbVogB5p5Q/XsxSd r9B2hIEqr78WY2bZuLtCY62GERUGC9llg/09YlBUY65K4LC1racA9UTJjSBa4Ig+CxBGFlqfop2B q8P9DmIA7qBH4z6h90N/okGqOdfi9ctVW3oAWYqsYsz3SBFVAualqJIRCjUZYO+rXeSctvDJl0rn lE0Bfqoq76GfkMyEtLKZp32XrTkOLswEDvJTi4oKUHqlQ+U/f8+Gm6SkZXMth8vqPEvE91s7EA8V Dg6gktu7nreJrKOj3k28TQKBPmWn3AzXQMiW7jIZSjY9u0DZzRlQYl/k5UwNA3DAUwkl8z1XZCh8 pKEpOAiTewxeog+eKOHkWEpgFuuwY8O+7/iOlgANAg9XhMtejVMwYemygcoW72yOt0vtbT8hKDn1 bhdXkumIdT2vRZqY3kJboSfPi8jdGQuERHKF1aAOq6yo8jUtK7muTH1kNQkXn36sqJLygoYEnxO8 AnGcVbeWZfbG2z+7MK2pSr5JC0f3eB6GA6be0kIrzi0dd5cQ12km7lvnn0Mzyq84/54Swqa0pmD8 Mhr1cL40SBORAEYEc6xryJ7WkttcWXUKA2rKZuUISgzAwcDfTkMShm+JgxcV2c8Qt434V+nCGbXf LrwO+UClqd2xXmRNMGj3ryblFvvCRGQ7eCuc5DfW9Sn8a9qQ4+9NVk0c8fvxGUW9phmg/Zv5jTXS IYeyBaKZTJDwaO1oRENJMPn6QeO5pMExM8UhOCRVsZJZx1cmh5gulHjWztY+tlXhpOc8vhictgX+ o2/YpLKTTnk8sRMsZbP04DKF17H16euRhb47HFGl4pLjWdKR9o/Qn1Oa65JIB+YRuOufa9QQBUjX S6A0e2cCB+qIgOrDcxFmyU/64c8qVumMjbTxDj7QKKUMmPWD6rhR+gaOl3mcXcHOeDeX7Lu9BKu/ 7LkeIVtARycwsV4gPGO6osqZJa6IjvUn2eSWbK3ZDjy20eppM9cxsqszijwX+/cG8ZHJQyyy8ksA rTa91VmSY2fkKq/3kIbW5fdSu/rQuc0ZHA5H3fFwWcmnl34bxYS3he6+2h9MCJbMTsIYP3+1reGX MEslMvmM2z4OZvvgK5kH3JQrO2ICKMGG6+CEEWaPf1EIvRxiUvBXd9gK2UOK7LHRWBltICo12YbN z2+8NLQnsC+hL/W/17WXS1oG5PXMaHNGaYR8kS7EKU6NYCXR3YNNsyUgnx7SELIc/o18yL5lwewl JgboEjbSdB7JgFxfqL+dBsEWT3A5VsgDO+us6YU06macclosh2iCJjXFiBAmDnZDLCc0l/t6RIXz QCDtf53eeEHyGa2Qy7RUAJcv5ItQrd59ZR2QVNx37P8OItUakbAdj0qXg5Hf3WzGzGGypyli7mG4 H5xpGbIraat6xgWaEEJ7BaxfwR3rWfOy23nA75oA3+1BpfaqnzB41KMGu5tCL5YNNuILqtNiFy1Y 55f6sRuLNDBDR6n0+swQWX8+jplECQ6nvBcXlu2tgvf9VVjzZecULM6PjoqK2oc+YNPQnKj/MZ9Q r4wSLZjGP6NAtXv286FLs9Qz074Aj3AEXEfBqQ5B2yIytphz5Dw4My8sBTKKZlc+RS752zb0dZ8c 95vHKDP4jydc3K1EFYe3EaXR5EjrKt2XT823Bw6DJfcUSrq39I6fo9Z8SOMPHdP3aWRboNC42AHl AoSmN5ezffGHxnxEgW6IxwPkcCdC/bc0r+LlvwATUYNAICpcd9dsAUyG625+dyeF1RvIsBu/k3xp oQxUHIcRL1DxQkaD7wpcADLiS+/7/8kKmuy4CXw2DbAQNCjBuZnsC4+8n+7lIucE0VE8vZlStK/d m6ve3mMRH3OQHIcx3qGzwbZ9hsl+CON/Jfu6I6ujwOe53jqDuFP54TjXKm2IsfrVtIaIh/BjreAg DXqO6yGQNP8ja9XgJUd/1MfBPUauKchoc3BvUKSNfvKJH4079MXGXk9d1pzlAwXVQhaKx9AmmGIo jhiRBuHVNv9cs4NQoiPF8JTv1nFEl/sjIHNeGQNCBjRFcy94AQymgv6Q2c1Es8q0rJuWberk6H1S sFRmy/usJ57CUBIH4GnbuNMOLBe9rbiFMkWotztwPI/0LM6kpBwOEa6XBnvbdIlbfV8MumyvpzHk 7mZHsfVHU7Zv8ISXXMgL+tw28xBHn/2gxwFCJ1jqV0Cijghd75CnNMy4PoyXn0HivY72xPag2oDv M1XJteF8qbp+TdPw7LnEjv6JFCldk2ujS33FkEz2MCSz7bQnTtF0DUVi14MYOWnyH6i1g2AfqEwe KHv5SBQFM9jmBJDElT9Es16nkcjn+PPb6qH0KnK6qC/1avEiSxXv+j0h8xxk0XnSXyfECgxpO9Ju W6l2s2uBh3ZP9Z0xLhaoUJt3qmGcOdbAohItMRxMmuGiTJMgDgliILRqQEIKSHHnUmqvg0H+K2Tr Pcl9R3X6cZBL/s0/zN6xwh8iWGhhTxsQPJKSCOUrbs50ANm6oy+JDmODeFxlRNc2CDogDXBBETKL NE++kXtYlU9HwcYBO7PP5MqkNzKhy3o0cHUBBCGeEoUMHS0w0TbFC3PprUF985y0e2DIJaU6O1Db HDiS4KZhv4zCklpGEr0AkPQVAJ2Ca78FIQqOqSmtaKFJd6QTWtj9GnS8tgeVF64O97kh7d9p3OfR BNvoa5JaKcm/x2hCGU1TL9ZVZKElRUtjsPHP0hA5UTXRnF2c2++AZDy5GXuymhEQ10FTW8e2TN/R HiKufbUhvLTiKWrjL4CwN7llgaPLZ+rCR6nvO0Cuo+jusF8+lfHO0CdaamRoFo6uxXqV3F+5uCGy upyDag9BCWzq299vnfLJJcxp4UqRaG7rF7CNGEN4DQCgWJFmduRzDLbi5jUgCc28KajySm5cWbsT 0F+OK1zck0Xfo/XJ0V26oSclKBFKAnBax/IY/Zie6HvhUCjssrt/sBeXYmKZpAwh61WJCl2T7qg8 B4y7mp6jkkiw3Pebcqe3gxXtyGYFR4apjvd3mxMkhI77yFsbZtOV67Ix/TZ+cIf/t1Yq8hqJxxj8 cg/28IB4GMrP1afpLQD4AmifG6eD+Sp7z68JJO9l3zjb3/ZyHX7t5xRBuqUw7PpWth9MeIxorPkn WU0pts3S74ihNoyTIU1khYLWK53ASGPIIDuO0zhwKcqC2adl79Ai5/26gwMKscQL6vpZwpLhUo/z eQG3yJiuNHFN0QTpVu3vNgjATEVUiJnlvQOmMtmcsDOY0jip+4mxioLzToGCjtrNPs0QNQNoLrgj q1i+dq84WTIpVEzCraDSrWIn78q9S2dCtzFjnX2GZhKZaWB64XECht1ppFenKf3eL8ZuKqhwGq8d tnywNwpBL5kkyuYoDaESNobz7y9N7SLK1ULFuyERMDPg2xTjJltNGtf+YDefkSHbtNHl/e7Vmzla 0OCeEbrjp0TtKRX/NsvMuhe3Pcb02N7jsq6tKI/RF2TfhAO6mNdBpj9oStZHKA6y8B/9h+OFkJJR PsJmuPqDTPeL/DxBv4xMs4kEVcn8y28qkY2C9ICYmK5YTgt9CJqMVia6nwNNycJ3j7qc9TMa1GP1 QU0YxQ6m5Z9arC7jiMtFplpJCokoq6MiRhrvllqXzfMRrRRpeLODRigz+TpqLPsjOzJevdXwbtnl 2Z74p4IMo8OPcaeOYyOdMYI+pKrtBvPKORHpVlYfBtaAqbOxMecuOPTrjWy10jMh18d1IurqROr4 Y53n57cJ2ynnBvC0t3osp3hE7iVbZbcaGYuXsh7vnfNa23YBQNb9NHAaUqZIm4LIIElP5eljGPJ5 3eY4v7yKu734frK9k3r69dKf9Zq06NtpnI0CWv6IFw9TsS7XYWmsYPHojJP/OxgJuNoyAb3mv2qi KVZzz69JUUuy49Mugbs6qJkTCQpLgrxM0GhdFi1ZDrKmRA6HqOzp1HqdjFp/SxD4TzeUOyb0GtPu aIHP0wIBX4QFrgz8TRStAVxJoL8z8K62rmt8LG4fg/ryplh7ElJKtN2JA6cpKJ+jI/bOp0iVsRud mdr68K0ire6N/S7KDgnWVS75gFiXfNs0vl07w4ga1GKWt1g9+r7Wu4Tc/rpWMoya9ICnf2JzRfNc cUBh3qit5hxtPdEf9hHPBQXF9RQdCqwC9mZ3E+s34vcaLo8GeXaOHw4SbK80Yp8hn/i+RqP3eMOI MzOiLITeOpa6cKaUT/rwFN56o8+DNvIMgGSHKc0Wv9byq5lR+y/2LWYREnvMZHpmCcf8jRVs7kDE c9jOeu6C0yrvoWckzd79scYfTEym5Z1jvoqoMMYjr5nsmrkysqbJkJ8pnqUDBuKa5Yr5dVeByyat 3TvhSckUJLOZgVu0hzWLtE4nEYFRlMRNteZP4bokVK9Souq5/foOhCwstNH414JGzsxneLV+QeQX 3IHpu9yq2QtyVFQYDbLBmU1UkBJqMMTsmN1b2FCnMx+dHYlMbT9XJ5VtGYqWfksZJxSj0cTO5Bhx xECytCOSTyZBL3ykLTN7zZrl/866eOXf2uhoxgG/AszN4rhXDUvRqCfrWmwcKtTXhlDwCRUrdrF2 Cimud8KPQ/+VEB75xmnYwL0K5zILZmYNsehNuP+vU3ukgFmTUS+TRcUSV5saGF6uGbaViZ+a5et9 IZ6++QSbWNj0pTPXjmn44/BH7HmsQl4S7FiezhUqJm97naGMOwSWQ6+H47p5c18R/QLbfbj3zQoi VRokIOA2pXRaUukK3L6AnchBVANLGQjQ8Br3xtyHQpCPxDkC9+FGmHgpftagbRQrmtOftaUQuT/b VSUPJkEOdCD7cph/v9JTFKHaayMTzwISVqrbGgbB/RHGKJP+6+v0/ssJdBQiAKcBeQAUKrQlzcxT HH+aT700hQqFK7lGHtysD4YZupG4yrZUxhustE6XQVunxJBb1azL5DrY9ql2PyB7oZfRfSl7j9RR AIUFm3v4cRqERzbsGo2XjooEFC899v1ghzNcS/m4I+Q+YWtOiT2H32+VICXRPyBbB7uIGl1hSRiZ S5L35HiJyj3H7OXXglv/6wM8cjl3j/4QTC/rBegkKP7Xz04RAesFHKn/cHdnRBU0U1J7jrhozRXd OSDQYWW75s1/KDagtOZaLysiWUBPX4BoD2mf82o4Iy0eZvYhO/MxoSdhv+g8f2O/RHaWubPzYloB hU0eSflU6wzOo4Aki6epDK62ORcs6h7TZ3jr8yjnYMybJ+RsozVdJWrp2SucbFAIpE7dPg5CS2GB MF0rCsPrHUB5PnrHLjefTEPW7gGVXv+cP0AYPZKnvUkHFO+743r4NWLlzaz1W8Zi100hKZzj5nTK vZ7hL/URgszqFMFKIiWPTIr0ER0YlA6/BGyYZgtwpUfmiUuXuoNYOzC5buhkL1Co7HxX+L2uTHdL +DSMSHfO0aiNMpQBYZ0Ni+/AOOjsOIGpUVtWMLpQO8LHb5B7cSq0S7aOlskayc86u+CR5MFPhHVV pZMU3lZpw987YO6JR4xGaxHuCX0BxWy3tct5aQxdAoaf3epWivmGcHvQ0/cx8k7a8Yfs5PJlnXfW cuditvuix1uVLQoB1+8RVLwCZZw0MjiXemx4Ip0alga6XsqQKcwk+5MMoNvmu5GunCWsvdyNdwkQ E1BV/Gu8QRl0aKh4LDPbOwGu4PEF26Cgexnig/avrMc0rg6DLn/kXWbnjCmT6kaYoAVZHW43VRfD 5w+yDfwaVvjBKXtFS0IKuzFLDud5R43zhDqFTNyf8trDADnR70VirkkNTGaOuJASUrKyxteiZb+v ByJxJPw6MvHx+9n/b64r174MCNbL/e3RJfp9dPv0ZFGlFkeb0afbD/iqH7/fLR+2x0lJ+gQeSCr6 71ZDU90ryYR+RH97+MuZ83W8vIoCEE1jt7oh+XfWU2lTkPjkxqt/DtR/LxvOrsBBE5Gl6E0IxjBw QnLFdO1+qx1afM7aL5+3L01XXuwVSXzUDVTzcMjcRbjc8860vuCSJir9qFG9/RP+vwd4oCv6PWKS bBjS6Cwqa9Kf2cRMscDDtTZzUdP00d/ZUZb/uEzJQ4HbA3cOZLlZB7mfrFlw8eZCHlIihcG2i1mB 5l8d+FTlbzc2PseJJtJaWcGN2rtDJJKNDSbopJDebg8+3+mHrp4HlQ67dueIAZBDDdlRogR3dGGB g+fZ5QKpy9Nc16AzSoEJ7B173z1uhdAs6lHwYbcr7OUipRGcTtR7JFh4/7MQYGa2BfU8QIpoz3jn ix//vHgA9SjKEY02paFoChQE2pkPbZwKEW9XMJy4o9w4KFYsb9IOZYCOuWWBw0NgdhWPFtYkYq1O P1LCHemCo9KcdacBngUVqLYscffKZepNIdaOP88aHo2NGVM4snIcaDQDEOcPZFluRs+F1v9oEjr4 MkR1MyNo5KneCsAOJyI65Y0/jKOP9rQWDN4uASVCSEEgctOfi3hEloxW6H/eEi4fsG3KNH6ADFxq RaIaislvlAHuD8EzTTb/FDJOosH+23FiHqm+CDMsSi/Pc3KjPstrVSMqZzmkdGBPmDxPIfA9aOwm O4/ntCYrvhbUPRJALzEFvuwdh3pbP6ZziVRK0TQsMLCQda9AkyRjWSWzVnINx95jVz8/VGNtRpSm /j9hGi5MXn+vXVJVZL9tPE6eqm0byNxSd1I1KxbXXAmQ2C3Ug7DgU0c2cV5KW1jrUgza2qYL1Aum dmDO5G1ZCDCyycXbwGqtvX1L0y9c+/zGvSjUKR068RvAcMws0reJoo6Ypv6DswKGYSCC765/Ns0H lq93v49ukO+mx/L3/gxaHgQlHlKlGKMMSRf8jKlGizLwaWKIrFRbjCFy4NOcoI3SMDqXttlSRT0a PYo7OmjLjAdCo+i8x5qt7Ne3Jij7TuXd9YD8IxcDYse9m7vZWYPL2QxIIM6ptsumseFI2nGS5zn2 XRXUd28ZUDJQvRd9sUis7DRTxWyeDdT17vxEoi1cPO5Uy3kzxSxWnj5OwvBnk85UyWlEcHB9Kjxo 6ouDK+qE6YVmVlsKPfn8VPMHtQoSjIFwZQ+VnCBAZfr+cWxHQncGeVuG7uUnEpmBkCE4cZY7+7Qp zlWXMcVeZIZ4qfrNL8Vacodw4kNaqGqaujL4SdLCFDBPro4LeTavGmzq2L5DPhHxCLLZgPVpy3FX 6r420Wc3AfDOJGbuxESi/q+mCXspUdlAdGppJDkr2HFDEDGx+yTW77KdKPCNLSHaONCxv+jrvK63 552oavZqR1haDJKav+p9640E/jY2MJLqEHHTqfR6CXDDefkp0+5r17fhWL7nK+zqXx7hVjAbSRg/ iFz+25uvdH8j0Y5z9KM616YXqZxNdYCNliBvBSmK36vgX8aHW0PXb0OFmbuQ3fcJzE3m1WbdLahg 5Lo6sNeL4ED1CafV7TBRchwFN6n7yeHW98n3/j3ihfBPZES/YHg9aMFwHaXv4NWzg3wlIPBgMt+Q aEwMtpSlEh9eIDcRERFQaGm9KYsxrgKOKnAZyqDPpvmSQ+UxHvVzNkxc5v/Ege090ZsDy71Owreg qGGPxXSwvKmWnnuCGGGjs29NDvM10ZULUfcPkZzO98XuPM4wleDc5vh/iC48hzQjCcJsG82TLgMR r8g5wU2ukxcSnRcbgQZq9OBvUrXK4P3VeQMBVp1m9gcfBDrCiF/vwHVLpxgtRZJ/jvw2fbkRpoUo vfxH+xke2Z/fCTzMxnNAScTZvooj51vK5lU5MQ5/mzMPIMMyd7fZAzqa+CLxMNgRuvxFX57WEoIX ck4exPMZemYy21O+1Ueqf5NCoMVXGwaT6rBmeQ+5tQU+HPUQh6UK79kQuQvJsXu13HScCDjFDDUs 4YAXlHt4KDh2BkXCo6ve8FIJ7K/xavgcXDAi2/51juSGTbAzlIzrsQi88s1041F//4D9RJmGVNxp xPv2Wbn5J2QZTRLdPyvQ6DAzzm7f/WF8izhW06vwC/TPyEiE4vq/K0crcrZNy+gZ+VsDnNFXFoFY a/c7u2BllevHFqwkpuMQR5ioiPpV59zP3zg6rH/trb4YyiwvBwYu+3ZAdQ3fClzwZ5UYe3Jr/nfA hxt28JaMEz9h3sY8uLt5a7bHbtkCDgAMdjkasgPwfZ0lHMVRHz3e/zwuwk/CwML9K6+z36rFu1pT dPzYruxaCbIkJs3cAzfHpDwuHxVWPnl/8eII+o7E5H1V81FrqBPO/Z4vIO2WSEenm9OLEGFOHQpX QwrS77QAzD6DbN6eprDHSTWtJuOTa2lyQG4eu4sRd35uHAYqiV0YL7+GcVKb3ZSQlob3O0R51urV 3RNnWFLi/GzES1wvq8zgccCkk0edZlsSNFVAQK9vYZaxKlbjuOK3YSEZZv7yGJdJDd4NBV+S2gcb xTnw/mhGoCqXEJtMjsDYd+L/biPVze8GOdUrAsmKnET2wmzSc6eaTVy7WyXamvznTc0w7hlPvNOB 0TZyLRitMeAEG13EIoSibFKO7wIlCyEigJI10Qgjz4mUVaZpsp1/xJHVg0d08qv0i+2wuecZxZ18 Iw1bavOtOjX7r0BS+lBc3jWc/xXW2TusRwu+D9akqqHIVQK8cVSbQzMtrn4u7CJj0ujz9Pg29kIF eQtVuqHN8EUW0Ejze8YbGB9TV42pYayN1WYjcaTjxmgyMFtjkEB8gSvGYQ9SSZHzZ8/KjlqNbzxD ktvN12AScb14+UasNZLVVAM3FFtq6MnwLKdq02CI+raBNxqlSFW/BHZuA3U0pYQtNM0Obx4LLlRQ EIcuyFxNcg0N9IZ6okNooQgPx7qwD5yZiFe/wwg1DpzssMQcwhsnF0GCQR67cxV4U/l+E44K17o5 7aRH4x2jUYNqw1k4NXBZpvg89q51VWGgx62z+8fv7aTrDUz8sW/3Y5RlMo8OFOtJ4GGOZbxxJcsC RxU6BW9zRl3bgCFVOhY+Wia3HAkDuZizIIvj94u3SqT2KYkVvHK/DVocxp9hwxx2NZgakrTEs3WZ 9VaI7nQrl2toTRU4NUPK6unuqgmqEu5GbGRNP8fUNiV8m9HYQ6lZtotLPk/g3FHNBI4jWjvlxI8A P2NyUXq+UvedL9+WUapbWJIegM34bbyFdM5WBJ17UAnKTXE0AQH70H75sAgnPWFesTBvgzrbDqDl B3PvSe+UPsHE+1HnbJYdqdVCFj0tF7Ej3P5wypxkfKVHZ3IGxxFXj66x5otJWI3UxnhtEO0RbDR2 GaIesNQ8yGdEEcH84yy6xVOJUq7Gf2yVvYYEA/FD51zhfcpl2OWIuROOz9+Fl9GBXT5hy1fnTFeT Hxma1BwQd4jR5GpDL4JBQxsE8CeD+IYoTOK8b3oLKi5E/4lZ9AhLSuSeuPxrS7fptTCskFxUms+O rrnWZAFa448s6+lbichBChAbDfLIPlveG7xRdvV8SSvKTfuM8+v3NyP5NG8wgmKgG5p2f1WZAH/P 1wEyO4dTvrfHZfpnMN7EvmTBdSxpb/QcbSoQ0JwrvPMtMj/mpfNFWTiLV9rSxEq5BvTQtt3gl06l o5Vj7nxgX5POnEy2iKdu1MN9QcD8oqTwgFZKPgaVpBSp/ibVjbXrSH34I1NAoSji+Q2Eb2EpI9d+ q2xNGQGqYDF8g9r84g/JNese+wwLmjrXlSc83++XtwaHSvD86hiC/x7+p+5pcbIQuADwiufEPVty ke2c75iYbL9QduAqX5vLAUcHVS16KebN29lbDtjpvCVCp3Z8Z83QTHjlXjmFkHvZQHY9W7h04LqK s300uuNbnfMtR//rJtt2YwapZNRoMH2mxWdNYKyditHZmDu4OpWx+4qtBgT/R93a/FAV/RuTTP3y TsEqBQv+2IXtQfYERmagbxtUzMkGS7jwmdpT4XtWCuBikuxxP8hw9iTHC2GgEPGSJI4xizyLRai9 WkR+zjw/H7zHavWyLjCifHsVe9KX2F809Ny+9arGAwDXFaSecqtn3ahE4TiGRI8gSBRTbaDlT+1Y Fv779J7D+dI+KQS9i42a2+9sQRRpp05zrLTCAl5veF02vTM3JQB+XGmWl7DicG3Nmq4EFeKAWOjX yruLTdRejhkMLY+xBG66MKeGMei9ExeuXHMeT9S5hkXlZhOg46jSlL73UpBPJ2JzcLztFpUMgbcO 70j/QHQ1fpUxx2riX0ZLKMYfhEYmFfxIMyXWvC4dfqNluD9K5oe7cSjYfeDpl/bsj+o6NGjvRN9/ fV2bWqkifdEOn7lr6mZb4LHdnMfyWxvozDN+LlJTP0eg8MF8zVEzuI3Ljul+CUZod9Ir0PGaqwLP odHPE00WV449wncy0tHLX5OgY651w5Yjakg5GARCD6S3zJnopw2ZrZqfQ8WhqyoDMEOdZeHOWcCg k9yDIbjf3iBOIHkDQxxQ3Y6FJikqRsgJER3/mrIB3snDWY6dej6+2SJlEDdjw2ZAMA3pQzT6fZoQ stMue7oRZxKqEs2AlPykYaKUlun4ql8tNnKod0yQiF++BZ1l2waGfrkspMJXs6DavvxwN4EwPabo S8tjZQQvm7HmqSkQ4CnGYx13swipGNY5lBnqm0X3wc941dt0/UqIgox/zJK+VkVVOq5uEoeWSPrQ jnuWm6r3AygzWrwKzJkChY5/D0ycxW6AIhzffO1S5gR+rFxnmanQJFwbz4+Qd223BGuQTK+Vk8pt W43cmAAY4h1vS4bEmkKMK/jVDr048KQXmhxOP0xne9PIJJbV+eMfUGxy6onL472fGTUImTNNT2tX oBZsDOJXZsP7D+YTC7H2Rc8b0pSGzdSMxDHNWAz3BUvpU2AZ2r8PppSFfFGoMSkzmD+LWVwtch7t EhGp90BAVWV7sJyyDMmnrzql90UuHtSgxWbO7rH40U+PP98GZdBFzfhj1Q2v+ac4C//SCSYHhHxp kR43dblnBht4Ib8ndXd5q4qHcaz+GsMLYzYdaXhy8wqywCW9APlzH+qZZAk62VUzgCguymSbJjRT KIvtFriEiSgb8VeUBzQ8HiiXka5VnzUAbekIspXPjdHquGYuFdSUqZkYe6V9LYUyRmgtH5k6g3/s a7xY3LyPRNaruERdzzs2KEj/DLXl8geY2zh0WFt0ian5DyaM+oONGRGiUKQRR8CPiQ+wrUzYSMLI vipFSD04hJDDxXbXakl+mRZtpp5hsS448z0KlvJTCUFK5/xSrvVk2sGp0T8TfttudeKJ7sj347Gp VhnwjQkjMCbEsJElIptX7iErErYud1FcSPwpXSNqqxobhCCDnQbpMYrlyG3uCdyLMc4hh8tZCbkT 4dUtqCCn5Uh7dEbYGeriGzaj6y0jgmCYPor1YC3I/nrJmElxaqvRAp2k0fzWCRfwI03oJmu8VFYG pNS5Bq7xZxGi0FwRbBUeKdOA0N+3aD7/P2BoOD7ci6Ahgc3owBiXrsCYpMAitUay/UmVqQk2I4Zx W/u3OKmZKOWSmNUADTNf9UqZHPOM+SYYe/OKGOZhLyLyHAZOv0qxuh5I+TLIvWIX48Gu4YCyrFC9 D/KevzN8VRfHCVuRJPnofHPkROb+YyGwKGEZA+TwuezkqUxCraADSrFrHLIZrYYMs9rnKpr83t7V v45Kc9mskcSBScFMm34rm8UztyoymJ0tEUojmInfl4Oahqz+8/78pX2fJuo6EDp9vFKfiPKMEcZJ hAm2yhnkG3fPmLxJsHPYni/BAY4mpRw8oxOvLbO/AeB5EK+piIOV205/T/cgcDtR+rVTrWV36yU4 UHMSOgeNCih/bSiXR3aOXpcyuw79Z5DtYcs/YR80y9ySO5bSpq8VCJHK8IEhmV++AnXW1jxXG6O8 oPFjXRK9kD4Y/rkOFy5QuZTjzB6uw/vbglQcmPCYRoBWwyJWyzRsxIOZYDgxFZ3l+2MAp6q2Z8Cs xdLFWtzPJMCwb1R8imwyq/5uPdxZmW7sG87dNCecnQm4dESuPDe+e5WUUiqEgeAhE294ARZPSdAR H818dBrMyY+A5oVCMtNT5HnYYY6MV5Ia3G4NwfLeW4kb+dIx49hmgD/7uC3VXxcWyROuzgCqr02u o0QUocCEcNbLa6uMCT7emiG7WBQpksLn4eiUYNLPeKEXLYc+XawRlfxBC5sHUnDOCCnOUnluB0cV IjXe79gaezh26X2ZF5Uyn8cN/72sdi3uw+p5CuGc58nBqEC67ALA2fPaHdB8KhOatqVTuBlDVxcb WS4Lt4uGZMkCo+zGATDFYuWAOUha3ohu4DHFMeA2NXLXRiMcfhNHMxDp6LMLgT8reVdcMxWTZRU4 LglyiI/RqJoy1PWNLUaTnKeOVrPmKPnRTjl+FVSZSi1Q341D4cmASJWBAXOJKFQzeCwvrPFiGi1S gqS5O0kkrjJd7e9NZxGG/x88R36CVnw9BmSrYsVLDBzRgiSQKwg8G1t2NfKrAQs3GWWjFVqcleph HszZqkVRMwgKQtuVqf9HkyxxZL0jI5xnHUSK/CehP7F6GQc//s6AkYR148qn5TeP+HP8vVg1t5kq JtT7o0/1rgWWZvVCqp27MO+6ezh7a8kXEd8fBqWNdYW9nO6tUjnINivpXE0X0otb6g3Cl1lbd8qp X6VhXsNANiMRC7WZZt3FEsDp5fdIQKJJdmZvm7OzYttCXkYwLkV3A/6Z6Vlr0ott0inpcbbvPWxx 2HlRs1mhO8zUcAk8P8uX9jHLGQdgt5Hgcskh53PikGXFOomMRFikvJ21G7tvAF2blPI54A3pnTOb ZFHmrhqI1fj7RxWEeXUqh0MYlHTJ5y012C57QiWONwsSzb2fN1UQrksaR+SvUWImiliA8+u64bQQ OsmxRM2E30l7Q+53gM7ez/oWHReIRViTvyFaUy2mRflCb6y8rdYBViR8iTQxx6OJ3WWdItxUYW19 5Qj69lBIbSH3SQuVJtrx6IR5Rl933Tdlf7CdbS9rY9V8wHT5M0dWIlylQ6wGR6Do3iiajad97I8g pkAzRDlYU34RN1hKugbZIHltV0t2XCt+UJCk1RmUBvQRlZYv+RXSqbqqqDez7WsMcjppBxWF9zOT Qtm6poe63zsXaKgBTmWnFE0Nc4D4QuuNlO0F8LGrnN1XJqKw/cXWAyRZqA82vC5k11PO03DXaprI emmXD5uoxwqFLU++80SI+cMHl3d7WyaLgHsFDLTTt/sW7h/GcU+rqHGDf1bySLSpK1H9hJucuTfr bI4pVoOLBKyjaAm920ZpoXfEz3Q68SAJOzRRF+R5XNIZWvTQeMffYawCVLlWVIHLZRTQAuGbYezO Vxl6iRhwnaAD8EDlir0Rv8ili+9cGpQnqK2BPqnzs/nUdateTMChQma9pMCXWG93oS6o8OaTsjgI HMr1UxQeMazp4WarPMfkaLV3RhvRcXyFqsetTtQbViHErY4ONYEqzqVVcG79gSRQAKYoJVYdxXwc dMU7ha406EQLpBiAySOBjz4u1uKBE9D433yZa5ClsL1C0+/4KPG46aLUFKdJhSjtan68Azvt1u1V w9uVx/3GXybl6AiTr/XVVcNqRgSBudrweR8iHIsLpILYblsp7YCCubW4ed8MwXorHFC7vSgaVgPw +XcY4jeIffmS8SHW/noA/fgyYLICtAkozw9FlaLKgSABoDDOZhl0tO7jrW08oiECO0OaFHLHtJnK 4fV9ZA0o7wPh6QCx5zpu8ecITwixgtYRq7fhQKOrfGvy9w2zWWzGIwQwElli4sTgVojRaRvdce4B h7IVcKwXpyLx7KQ2e7rulv3ask0xl6BUvu8eK3DqJrJeZ+CDOu45ropkGBRJM2tXpGMt8FdZ4osq e11TeE8GBL/ofMjdPXW3heVdG1aQBCT1KXFcV/5KaR063x5PCXU2+gpOuCD66zFS/5iP892CGO0V 7bqJp3/vmK5Bjv1zptydnrAU3vIuvxM9jXy8+w2AOlK/9taS/asTNFrytTv2K5QyJdgAtfBKiTjH f6gysX+I7eWCaHZw7VsSCegsxP3ISVDabg2ESYmtKTMpFQofFuyGS5CEPChXxV7xdLoyLiFbsjIR 2kAKMKbhFMBZImZJdjRm54e9Oh+6iJotMTdJJoXos1jr0jgPJuQjp24OZxL2xtwJQXeLdAe4XrTJ K+59vzGlTMtzZHf3UY0bZL0ueVHgD1s+KLXIiwqn2sB0g4goqvtReaHLv9jmY8dTX5mYOw8PeFsy 8cwYjKFH5vzg1fAjd8/SIpUJSTF7wstglpciR5QuIHR1oBzz5kFVn1U+aS4bdvjBmli4x15FuhM7 HF3ur6FL1jrBEVgMJ8QZhm349FGMBEqZZBFfgDZpYlAPPtLzzb1HBC0YygUw1cDek4bD7fXs9gIQ EtMlye+DVlpFDZfIJESVt3Jz4kWNspkrR2ldBnQOQG1PWUmBPIi5lJVP77tfa9kDLVm3KjGM4HtG QzgVAK4LvzoxXNa4AeiTOiQTfxx5/9Tlk1gtXeh8RzbPoqt3m4pLeTvtgXH5EtCFbSqqR0ZPbsCU J89c5aKgvyUs3GrEzQZT5wE5210JElOVPsl3vPrbIRLHD9OL5mrCXiOEIWfh+aZ1IFHPT2PrgKXu 271n0Sn/gM69FubHCLcRJuc4EWzuLXFoPB9kUEwL/7yiTQduPqSYSO6mMx1/dSSgCBVmrWue8XjJ L4faZbrKdYDnGWTD51XOnKLINeC3TBpSo5ymZwWBTioNignh+D1eVCE0cp37aTi1nNpTeIXP1VGG 6AUcLNUO7fLQ31gUcGBwyXOju2gAaOxtR423LJ634DS20Q6f3pYwoaDVz/20zQRHWyUQIeetFfvI vePW85mfKXzYnLcD0bccFQG+DFePNGqdGe1loDspACQw088B1ibx/OZdlMh1HuQvHy1nG/4RkXXC Cw+jJkQinRb2V/hgTUdzhqqNDjcvX0Egtl8nuelzGA8M7UTJD4d8bc0YqZ77K0aEsxOH/uLH41mf y0wcjtldfuCYpQ08iqW8Y5JTCPVcW6X5W4gss+fE/eYGgWZmCGJeMFLpCdfQz/VSIbLY8/NG1xQn dWR0kliOY1bCc5gAE1UxGaWo/Tmsi8VdHxJXtdrfjs6i9n2sDsuwkI+mpQhAzss+Mb/QVT3WM8cc 3eeEVGYsTPiREZtw1LMFIhUGGGswkcj9nuA4c949LI51/RhCnb+ZjJkWV6o2616/FQVyyN93vn+q djVXinVudho33hTtpAr1J1rkG+RK9aKxW8TNzhARrHGDxbM2r34pTpEl6VUgp8Xg/NCD3W2xA3hY vWCf3DCca4nywtB/KqSFEr6XelMD4qVHzPkCF+HfffQOx4b8yQbaVy3Gmzhyu4vsQGDsDyzbmCph jaokwUeX0ksXGcCL1lJ9Ok6YLAyjAtTBEtMF+qPm1pIRL9/7iV7MHREsLy3BKdgeo28VdUY+/8g0 HlaXCeoNUcyzV87Bj9CSKSlAlnITd99R6vJ/Q64rmwr+NuO6N7sV/fou8C9c7za8KEenEsHZiVwZ Mi6eKeF/Om7HdwTVhdkXRllU8DbDuvGcAlXf0l43GbUEkT7XaS/Tp05dZM6ZtRYtS5qwheueq1aJ /DaRs2X22yevJjHkNF0N07QOR6q2TpGDgyk+i3xw/xCpYtSRC9DIQHUKVLtpP2Qu+ThgM0QbufuN fWi/b0vFMy15LucM+C62qErnTipnTijprgQAr4QyxF7NiixX9UV9P9/4o9ZiVa4RCy7OY5539IrE LJXCoOr8y498NAdQL0ofWogi16ifp8VWq7V9FgI73YYGCtYZFub57AToo+n3Nj47WTQj8V3zh/8F Xl4lZUKv8W2Xj4UjSzRXUgsWIOWr5xI6HPdVS2+8z48QTvfzuy3i6PSq1SA98n0PyC2hw2twhVI2 OkFXlMWC/sI++OGnL8fYyRBECEQJ9+cvZv81pMzMjq1DMGV9d01t5ufvGoRjUebKGDEIJ+o3oCj9 U/YcfIYwIp9qZJY1nsEYoNrEemutzbOnue7cjaPMSb+QllELxQtdyGNHMaTx5dsq42aWopGQV+wB 6Y8uc5/8XbWDBN42a5PDCLAWZ+c/Atw0qnyT/wM7HMMXBlkgBt/B7nCZCHAQiSrXJuGjCsU+DlcK IaOzffcLLa/DduYU5a7k5u4IhwQlKUUlJQNKUcL2LWzmCFzauTp68wnV8TVkNP98PPqkXrGHSZ6E GEppFUfjZMN75N1+1O/64k58eD2O5igWPTrOemrrnsSO2SP4DVfA5Z7b+fDaSiixkxsoSGDlBDVv edGEeSvLAXxMhvQGHtD3Laqd+NKghzbmPQi+E2Hstn9z7KCG1noRE7AWm7ZFuiwT1dAiZMsNhtpF wP/3arLuJdUO8AyTmMerwThgFqmmQbZwhCpBTrSaW0XibP7O6YdHjl62VpTYgwh/gtZ+m7NhwTHz KYPoVi8TLNvUeszyWoEFk9JVcxtAhplxqbA9w+PDUuJa1Bp17wV2FO/9ULslSjRYM9FjV06f/s3D gMZEYzAdf8ZKLdCF94MPJ38RpvJy8AiBN4p73KYdmzIiPvfpG+Bfl4O2YQfYYNVfIKC1P34yaSuE hv+ROSSyixO1EwZivZ8Xd7IbIMebIPkUGP8o6sWiTLN0JczWkKwHKUBsFYuHwXXK++xZu3brSfCq a6CZXQEapAGN+83EwAVf8/9z9AwmOjlK0KiHSDuolVATK0ON0H/3goev/UMNMBtiCRMl398ZUm3S ikJ/Xf0XAG6gUmiGZV8ExekxTVU9pfH3ovhSh541eKeH9Fukvz54O4EaxYz3pRGw+iN5IC/Cum84 eSZeUkYO7vwOtrkR2Z+3g1BqZKaToDY5ACP4P0JOJ/jHcCqHSdwb1Q9gkd7YFVqGVhamGtmzFBxG BNhNBuCvWI1k9qRI7cOTwcIdeZpK3WAUqzl6TyPY/ULBJv9nFQHwTAPOBjmKjUm1/9kXjORGJP0a Xdl6LMH6YAQew2UbOI8o8RyBVuOGBoj/tRWU59tA3G/InzRbKJYAx/PTGXnxXN1n42/UKI3fNpMx LYkR8n1yXbBGrL0Na4jmAwXEk6oXUG3HMiMN3cucKNB9d/nl6TJpfmZ4O6Bz77z09oFbV7sU47YB a59DHlc8lj9i/KOgos84ZRmG96wRtEBF1CwZe9SL7AwzYNmAS+huNApw24BTYAvtdMOBeKDpFfgB ckIf3zCB8QPrASalajAXrSRS3KgED62aFGVUu28P/RT+qdJ3zXdBsHzgZGmHYDZjM79SCHSgr4s1 jpJD2YLcS7UH+G7DNWtYnk4oNAFVNwUhvaF4ODCFex9PXbulb21WceNp5eFx5jn7ihAClkTULtH3 LmkYVAX8cL9p5WgaqoI0VPJ/59fDuyyxkBk7OQrZHAjcGN0V5K+9FRomu1OLrIZSLLyVpbJAzJT9 Yk6scOGr11RkGQGzxK7JjWFSlS3m2hHj+br5mqZ7DaWQddpK+T2I7a+Gf6sQFLbSCMpB7fQYt8pi Nn3CV+4yWShcBu8vwV3GPI0G3t8GtbZ/2Ot2KJ8ojFG/5Ef7HIUrSYi56mO62YUiVjqYpBP8x3dE zvuZ0AxK2OEKOT4BypzTQAlCeqyvRqoynwv3z0agsV04M76lXssYmaEBERHRgOAyoj3EkDx5omm9 oIcoqF1Z4BESW6jSGX0S1U6tMwkb3L+WPN0HE/nl5QqmWOuA8iTk0Fb5SPw7dJER5PofOqy3GBIw f0tVV3HIH6znACnKJLc/QBcANRjmf0W2HP2LmO8/9pw4Sz/tBa0tfa6J90c7LkcJ3+O2CjSb4W7m js1fDL6L4yaqtaa1BEwejfdQ3kTC5EO0hgY+nqqgEs5NAczdLlbsrKQKU4yt8TU/+/lPWEb1Grcm ZM208V/QC7rJOWYCtA1OCGWZVhQzd4YgKQeVFz7J7Z2zfZOM/tA6xZJwIS2X/xilB+CtI+lNjgRc gHjNDy64YHbahvVZDfMnyqQveff05La0c4M9T51joHoySqmKnQ+3/uG2u2i3V6Zv/mVPFWXTFya7 bz+XUg+cLpBRqx7Owc+LHHnVYPWsJPn+hZyEb1pBHwwr3TtljsRAs8m8FgE8ovOlf2RqCf0cJAk6 qRiKMK6G65ee8pmbPU/iv5Y56RB2l24GW4o6zyGoXZVXbsJsAY6bc0RRze1/AjtFSGbnUSmpDWYy EENYUbje4GbN0YVBEJ4w44ipfVtaHcO3PhUtir5pnytOvJcLPMHpCd2pztg2QSSvzWTKRMiytKYF /Jh/vkouQ+DLdX9BO5KDKSPSkiEiCoROAIRv5pW/s1DPTSjZJP2EP8DRmJfM44U15M98tYp5m2NQ Rl04y68okezElZp6ud/eO04XdlQdieBjZG/5HkSuxEnTao7yIEWFGrVgWKxEuEzrBYMpJu80TA9X Qr0swXqEl4wIXSC8J5UFx0DR7enUQSSZubVWd0VPygUJGh81wn1Pd7r33OAkCR4M6skZD+lScFNg KN3H3QOHPZ/IRmNZ5KlrmBWxKo/T5IAdUsexf1UKi+gCAWMbdM4xWchb6O/PbiSYwXRGVeZ4Fcds OUVG9rHSaTCHy3NoMifzyEfgAU//XoclC6amVtMlR6kWAr4P4gWHsYoJSj8fCRTR+fmJboPKwtbV bYc86depX1V1jGbOvm0unN2LX3PFdK2QJkTmw+1EviZCc0laRHJPl8DxwTP4fKIfGhX9NexCXnd7 p5XuLm8+yjBtpbndfTzBNS/06qWAqg41422Sh5KRhg+tejGzkgX2SbUIo8/bSMLZtTnfeG0NwOY5 YTEPeZsTH4PS8gu/JqItfovTZ4dShKnmJnn1KvB9GjGoUfTN+zpTXZpEVoXQyOm7D+K5gyUAQW1r 7mg2v7QL4+ZTNhPPddajPmXpOVOjpaPunqlGNgNrZwSvkp3BrgwT6et/dfblHxTca5uwTmnekcgX 2UAUEHPePiONkuejycKVuyfbVjXqq2WAsUG/hJyj2OK6pePvUEasDoYRgaUTkBf75+ZlLMDfGpo8 yynmJnHjDe1ieO4d5F7Ro/qPQyUefPAXSHVEO/qTqfVUcjsk8j6EBX2TVxVr6Myv9WUZ1YCXvBbv ZIPLkPjGuNnr5DL6mvBV8E2MaapS9zMJG/Wb/NMCHoPugwER7jb0rjV7dmYvMaI3KYqJ8frRCXFb Y2UaFOP+Y/cfRS1lOUyLE5z2+h2JG7uc4+HL5jEtB4CF5AG2gIX39P4XozUj7vkWjiLaYsa58nFp tzITV9p5+o90w87YhcVAIqoijdKA8TjuoSLCXcbi3gVOsmui0b4eFMbImN3UCXJYDIeXegRIs6f3 BD3+PXKnHTBhR9GdHUAzVfCF5HE8+X4XcNWwiaSMkUDXu0ibV6yiLzT2NwKjpL7zdnj8Ps6b7UzG lXkPKkCaXgycbD3p96hpB5HirnNGDAkxrx+Xtfo+eGZRM76EhmvhJqPC+qrNoSsHhViUQuXrfLIi 9Xwg/wadybJaFFx6bx3NBbdjcrwtPjMnH6PYhFG2IuKDFOy7TRqIqsHLr5eJ7F8AgnuJD1kLNig/ lJJiOd00OECmTlCX2/UpFoIm7x1bBzh/XXOfoqw8veWJFbLyRRIgkr0QWx+DiNDN65solSplzSiL 9wRv1tIZkWoYZzSYUn5sJ40qc6dLfi+LO9Z/ld8ToU0BUMUfNruprMIoAlz/1VO2pRC7G9pyERy7 JkblMlF5sVuca9Mu6A9JQ9iH77+dXUhgaBDGTYNaltemdFB8WJMolFngRx1s5MhmOPNrv3JVWPaN +kXZU52/iT/dWtSMAAn2+BinO98nZUXE77WdmF9pmx6BxRCqkfQN9cNRDgw0R/c/3quJ7KOfy6lG lpddQcFLgpObUa9VLr1tEswhDq+FldrwjUJK1eoy8TOv2XQfFudo9ktz866AMnkvyVF1QE4J23Jy CtdOkeR3mWguU2Q5RLWn5mhf+cU1JI0f6+8sJJpuXu65bpVU92MbJFF2oz1CIGykYeuYCHLz0TGD 7bV93VosXI658aAqvgNqMwNxa5vXu97zOUD/hYj7sj3Qz+LfM4KftzEiLm3kwb/al0e2QVYUuljd nez34CCLy4LocKYz1ZN34WaV2UgPS2m5h8PqZnt1d8qnF+3CkZP7c1xaXtt4Y1Cir3KUrA2gVzq5 L5LIgpni339YQpOGFWSu1T31TL+twxMvgWOlulDBaX1+MNfwZMW1Ak/ZELkYUvtaHkoXPcsocgWk KAsmYxR05/TI6u+WkhzVC3cbiD5IRXw7vq0Nz4r06YYxLsqDmdP0soD2F4sAVcqE+qvKDJmU/LEj xyLmBEW0Kef/xPJgZ49L3PKIivI2Cn09++UA7TYePfPRpaiHR1n2KLGKtHmdSdVdp1HLy/ifef58 kEjPomPamxeYoa2MxMcwpRCf61yihD0yv2xI3mI4fFHOoHeyL7X+Zmffur0NtRKsR5Z98Izn1IS9 OSrdivJBZ3tqv0nsHmN5DVQ8tKn4HaTi0tRKT8AsEeFcqtbRbkqbItlO602Hu6BoC0cy8wQ38NP5 uo2amLNmegPGVdI3OAfYkdA+yls6SPmdNx3VW0L2XtT4ObZPsx2NcSXyEz+XEeojhyxg6iJdXiiC areauEiwWNipe8GDkYtftjdBs7120OiUnnR/ClQ/CVbe5nxX+4wj2VIYCOIe9exPKUgVyII9EgPp IOKSD1ZmDBa40ika6t9qzfvdkyW8ySljhuI5k2R9nwZeCRy5PITcipI42EWbLW6kEpLu83UX71KT phojbzasq2OXSYovZkeIU92vhofM6VM/mhUr2x+/PyQ21omPr9r8l31QSGN/B992gbRMawqQEzf5 vrmYisxn9BGoIbXupsND8Z8jGqpzzo8cZqML7BIC0GxAkZHt7OmIjaSTGmZjoVaSRqV3yBxL6DiU BXglMvTiEwxIiOSvBY9MJ/d8TxloKm+ZyCiAhWV8XV/CAoQrhyXBzKYTQp4w7beU4vOS3OU194cd NhGqM/t2aSL/RC47n/z60AgBVMOvtIgNN2zbkoFb9aoR7olL9G2S1HA9eieIeFw6hx64Rli1/qYz vJI7nuffkA64kXllu6DMR0LQKvPUBApxkr8KZcJeJBl8aktidnbw6TcVNG3DTBU/hTsrDIMQCwg+ oQa2BHdpXk8FO7H3Pqbthde619gtkUayz5AMwz4VXE/9BYa1UQOVtLYF/IidqJx57IjH9zVqpY8F 6oi+4t+EIunAYNo1Mdoc8Y95XZvZjjgowtXJ+KRDEW94aSRrs3XlVXHMJ/AQGtvNAoniNckQ5MFG v4Pube10qneA5nNPGjYutJ6+QNVxOZ7Lsh+mwdRw3AN/Y6NkUlu86UY59STHsrQReepJNvcx8Yuw B0vJB8FWrn/hs4NS5zeXICgNW4ndsziWfD53yugltJE7VyhB9OAihE777Jnz1MHwaOq398xsnI5t eLm+0gGMtwwImI+yu034esJ6VSSXC2xf5BjZm8X+jHunGmwYgA1wGnQXMKSNej2JzeDsRZA1le9Y SI13MneiqfTHUyz/YCh5BI8sT/cNcTxN2I0H1zj/Z/4yr9EBn+tfPA6lz1kyAA59sGktdUakjROA NiyN16wwc27YndNXOADjoXufjRzNmW5rsRtTADoTUveKORQrVMG2m/wVA/f6PqIIKhNAKQT1hQQZ gZ4DMhjukOtNv0ZopoCI1wT+EFs6rJKy0kLv4Q2rzDq2Lr2vL9WwTM8k9trdpkn22YR5MwdUB+XQ ocYcZjEARHqDLeLTOglMM1aONdGTzcKc2nlDXFlfS58iKByQ01lfRgxVkkpspDvwYVhM5xHnpv3l mtr7hb0mq+N5GswLMilH38VHRq+3tx4g2pIL1vf9tSS+1tvUIxNbWjcyV1Q9noRzM3JomguxDyAC pxF43/zISE5WQCfO/1YXm2pJy/wlBH9zvGdGY/Uk8yfUZR+thdKcnUo4oJtu6hCqs5aiwBSaPt34 KlD27ZVW7Tvy4j2bglYB6HrDvfrrPyKIe1r4ULsprOBxpnMqDxKb/teTc2sa+n/ZG05LakNkYGmE IlzZ+8lWyWw7IsTZUaaFLeDJzNW6JutQig0m890etbxyhgEZLyYh/GQa0uxAaprh3Q1Nhv1HfX/t JqIZUHSQPmVw/AP4zbDPdELokvHdwXOnrL7SPXW7qL0wPQLvMdf9lmowdma+YaOmCN5sDXgzogui RyGngdUawNwSRdb3NQGn5HSEvBxyYIPhnUPvcKjrIt2ijcrahmdINx7z5c1tyfKtvmF8YNpNU8Pt 7AqUzgA9PhiXUx5TQBVlYTJkqqJ1kjTVxFV307i053WKOiqDMAxH5PQyGN9Pc7pY0+yIrkvH7VbX rYRYa/lx2ApyX5J8ZBq+dI5tlcsiB920ox6WzWrOjeJ79jLwCqY1ka3NzOmXGY3VxhDauZvaAhYN B+7B3qq6Wyu3wcUjUZaneIG57XyxvV+r0m2gYGYvNB+gyIo/ns8tsk05vbaZ0sFmHCIpgiO8jIyy t/Ef4oH72FqrLMLAnEhyWXmzYXhj6FKRT8ncwIeubYmzbwmjhYyT3zv1VKW52DXeHuvS5LBSsyh8 c6f80AnfSn+a5qeGKwrjKcXBvAZTm09kq7sasrI59qCNWDJQ34nJReAFhqvIlivSo+lNBMw9e/YX wjvAkMfxOYV+/mPgksL76YQUw18z3YmiBULjHIr1RBYEkCa13RH/MPwcPe9nLuypZB1Dleeamt74 3la2gjQLN1p6NgaH2jnGkVZRIkuY7/Glrut4GIMwE4Xp8dFdAKwpjFYM13NUolfRZxHH1KruI73c EWXz/qUlwRlQdTFBi5rRqQoIHrF4EPyoFVY2VVCwB5mWnzgybYlRkiUxKsUEAPFQz4/uO8cNmEjT Q1HihSUGc/3M0xTr9tE5g2/mgYY/zzTRR669AYtke78LnRjh+BPhIpx2DHJgUEVkgEU6UnMu8hqc TA81qvDB/zsREGn/GVP6H0Wsh6DtRgFs967mpBnAmw46/BivuxKmqY7KwrnEc+7FZgU4TV6Y+8Te GQdZQ5TylhQgDZCsnIA9W9I43HRB1n/RakYXVKqGqkaieUzLBOXH3D+BU7o8Cv4txbDzSAT+zBLm CqEMDE8oHDSc09J8H2qA9nOC0K+aoXzkYwj0RnfXXFISsbKSgCEp3Nsqo1UpcdeO1oZezpkl+e3v 1fTfgNrf7N0s85d3uBsBLNfEbhKbMtQ8KGT+50Bi27gXERwQSLrmQJNxfAwU1v6bD2cvbdeMLQnP QP+JaSxghu/KfmFoek1RNBkecSrcYx1jU1vshXvARkc59I8ei8KT854FZxZlt45Usy1tSAHGy3Wb BGbVRwQva+byoVxgjqUFrQJ7q+lvSgQlRYmELPoKwp/hv/wZeiz5QDpN0sXYnK8ylzmFpCFsS/tu WOD5Ghr1D5rHvLVGXPgjNXNQk2k+SiDg5mdhc1nXDEbgvbTEQyODul41TqWlkA78ZeVGppI7TxTX 19mgRHHae+P/TAlSTxfEO7QSfssrKmW3I2UeZ+zXN9twisfH0q+jzRb02OIFXMkapQsWei0F+jYD jVfY3DIh7aVG8duLGetUwguO3Y6YUpX920LHcEG9kKjadZmpFAYY/AL+3PvcVN6GXJjSi317FutJ BW6RPJ/VmhDozKhrTReal95e4TohSOjtgOEk48e8PbTky1BxbawUVDdEmBDoALMG/rhQirmW2rph EszqETyehAxzNFd8Lp+X9V3lti2v9AvAy271yUYA2hznEkmFq300MkWaM2nQZgkuRslmqJibjsCy 1ehOqC4LVL7MnmZZ8aJ37Tk0CRGQ5VMDLB02jIiPy51YHQ/Xe5H6/rwKQ/77SS2Umw7dES6xML9u zQSOVtQhQ20u2n6e3xW3GNQSmIJvn1gFKnMjNg1E403WPrUs//kdUmzP2VUWhKmGK7ilvwCeJgjN YfA4AwBQbCuWebeALYxKmtv9gQX3UB0HJp0/0rc7HbXNvplDZwLWtg6Ld3VjGy5bqjEB0RtLHLhZ wzwdgaFxO3ML8VfJv2DUVGt06MpflDXbFZ1ryH3ff1caB8doUl0hg+37AU0K7RwQCRnvhNdWmJw6 W537E3ZYjdm7sEGOe5D6fWx4I0CBcKTNylm5JoUYzkS+JGxkMNHw5URYdijH6RfE48QjcOQwHEq/ Uix5x+fBXgm4GFOXRB9zXRYPLPT3wDKb9K24w54IM8fc+bhPM8hBLcNmeQPh9njg1WlVRcBiq2W1 DyOry3pl2CEe+Is4GL/pn7D6tsROjioxRlAmrappKryK8K9ffy1Rwhmw/R6dqwx5JzyCuwNhnjyn ysuMqGYSRwTCBPZKvlIFpiIXoAnNvkkz5BWiWFZZmpxtweltYYqLC5P7IBoZgIaH8FCmGdTt+/L+ 6G1RqdwyPlg3/sKOhKQlZgS2OiwgTsqel/dpHJ8kFyiZz01g6jlbnfq/mC3g+EJFsEwv8Ai3CfPX DUMZ48AZwcMWpZ2kEEGr56pOcruNUEZf8qfMKIzXkjASJDnAZRSgbulRqi8ZicL7/pYBkzHXd8eh pbjCUAtVrSPBtBH0Dbx0Vl3jWjKDo5hVGBvugQfVIrSY2ER2L5qiSdD1xbxSf4Re/1UXygxps64U r3kenu8IpBoz0IckSvBRaJS/Jtu1hteeKoHuvZv4zC09BG9crqkUYdFM3sGdyd5ci5aiPQfogpLx DVrTP4pM6hNDJUOB1mgdam1BQzDDzOR20ma+6ZC4nKlQQ4WQTMWB3EGtTciWz62sJRdL6d2uzn7/ HSGmkL1iEiwXtdCVeDOSjoHwHWKd0S3sqVROtBcTzgAGFs5Jpt2ONzvHGJZJz4690WPE09ib+WoL akoQSeEPQ37YSMjlcjkVx0AWvQSjj4J+V5FJiuodz3gQNMjUJk8AaFdISfH93ml+8nIxC0GGp6TO pnbf9mzzTLO4KZoAUC10L7XWqK18qNeN35fFDa/g3W7/YLsqd7OB4wKjFed8MLjpo0TqxWy+n47G unuSv5ZgN7mSz7ETsQFDi4QFeM251jbPAFDS2jw1lNDf/5sbr2+Pk9nPN3FXWm86Uc/ZW7uK++u2 hpGUPxy5ugpWDqOagnENDbgL2tAaKtBVJ8PEJHkMx7vbKbvwzTGu2e6WR6IDDL6eHw6TYPQMu6sG zupmtYXCJX3A6VZjnL9AAPboaFfQuzE3XgHD04HFioctBVE2o7vvSPPNgEmqqahAvT/u8XfbIvWA 6m1jA91YH37QDFSXDoBKREMdXP2X6LsMCTWd9m+iYiaA3JYZMpiS9QERlFMQWZoAC4GDnjb61UmA jO2SxsFu7OVZN+tykKGJXpCNwEqvMu63ArP6gArCf4yFEEfAL4b66/YbVdKJJ+SfSJSK7O8vkISe dEMLgEG6eHxKPdWoTYdLVeVbgVFFJOmDzV/D/bo/SbapCEaFG2OhbyT9QWKyX1dxNNwIkRC3bAti 6G2CXMp9ftt8x1DFpVNIOfkoraWdeJoOJGz3uKoelLyqCjyodtFfJcLb5K/Ua5ph/xhWR9Cj6fer NcmQI12M8tMbkhdTj2AUl+P5/fyXxg3eKlBSf6XpMm+Lk1Ofoxiz9cPAk/KtJ7wC0tbg1FVh8X7w BMr0dLnyVH0+zFiA1snV5qfcxF6/OX6SItS8hI1WqsWR1bTeH8au5Z38TWuohp+5q1amoefATdri JSVJRUsmLTO2OqiT1F7G6NH4xv+B1ibQkftn7iPsXZF4YMy7mX/rc+XA9pja+woG4P8pjA+cRZzc cHHs9sdKP7FjF3/PsKn+gKUtATQwo+nwkZhFfp3xZJ064QzUxZty8I1wn+dOdv4P1D428svOyziY Cq31DPKxX/Ekj2p+GrHLORNDUwAEDwSttVmf1YffxYsZrhTeYp42mAoZ4KSKVOQ01F3UI0lsklxU aVp+VOZXd6Qg6YebIT6Ak6lPVMNAoS4d4mlrkEw9hU2Vf801pXSq11oQI4UKCu9v2Zo62OzvEunT 6liwOtS2IK5wIX1QJLmYcvpnovn3XFQCSG05f1sXmcbCLr6g/V93ZK657WzSFsxuti+RZuWKQzl8 h1AqsWAo36Kr17yMvHpkgJEUVTgiMLfhyIAHwkgzCmW39kDaVEkHE6lvWrg3sxqU836kd46SfGh7 VCuPOA+fhO+K8djVGMTtNYKvKIVRg7ef+JOs49wXPSQCGKs8W+74t7P/PGsiFK/rlzXLwHRkB69Z zT5IJQF9nDp+yFHPa7I4gmX90cZn73dmVcuDoRbWrQsm9v2C5XGjSBlUsTfacbLlpJNghB3lUkmr q6gjtlBpr1K1jkBClKwrSSS3prkbm1/DngN7q5IuQJfxALZryL1KDu9ko0pHtNJs9dcvg/aq7gy7 b9YLj8pG8CA574iidWwcSxHSjApyFWQ140XnTc6+FTXsWgxD2iMkzkjAG2mWmH6Lo3JH7sFPzRNW ZpwqQEdjfRJgn8Ovvrj1PrQVVK+nJtcfElhOSyScEWZ/cbCdqDtDDeRWOZIhba1F8tBNF7o54Qch IWB5MQXwmMOVZ+VwLO/lLKMwhgyuQ2d00X0Ea5ak4LZ/NyzAezbOVxkXXu42r4md1MSbE0JbpeSS qYMIxaLGqVMqAk+hQinmxelAr32GBdG3wcwK6euf/b9nZccmXOhtjjR1ookAzu72iT0BQGJTg67H RjF4fi1U90gwxiYqseuwX0MWseYeVvUJPNTslyYlAnPRvqq1YhVOfczYiP5IKOudBwUcHtWgt3vm ndtLvV3mDjKQ4qbnCvfUw2lqf9nLFlfGEdmH3RGRal+1Ip3+1cI0nGMs39czh/ZcgwpHImq5mBuu z9l739uDeiRHqz+k+6K1ilux2MpBAcnXVtSid37EjracGHI/EXw8leK0V7bQe8AWo5hh11ARUDpz Jtf9Nxiaz/8ewJ/Mb8ibi50JOJgGbBMkSHSLtGfVpJsQXFDlPLYtpf7YxBWjw+oT5lyFq3YeII/C cplU7vbU+rg5y9GZoTsr4hV2A5tdEBud7pf/CDaJPhkfPuUNtFn5WMccJ9qg0ziAgvN2EDVQiKjj adYVyYpArDQXQsD2GwIuWJPdCMy5yzdDKwa8b30oyd0iPgDF9tim2WU4JVQiwqzFY3npGJNVRH1Z asawNTO0tBjMzkuSOCCSqBibEI06CIEtNJtgPDVwvq7iRV0kRmoOhFOwI8m3FbcRyFknQPd1gAYC jGstOA9l6G04ASCrZbIPs4ck6rHmp0eqXLsJUZodbkDEWxaBkg5gcwQ9kPj76o3aCrtnwHTAmRDU HEvKBnezqKgCVoigwgszhPblNMrWX2/9mZjgEj5LG5Q68Qd5loN9AXN82rKyyu0c0EYN7mGKl56V mCI3bblJ9dSWbhdVo3fgFe2pfmCQw8oQbAPYTF0ZolBs+IqZt7v6pNRtQTN+KzHpw/sV+EdJM+9J CgJ2AJfI/eIAAwh0EX5oPKBc6Fm36nzNH2VbjBK0cgPJxme6bAikW0sTGV7J62MYf/uy+qdkQbsZ MchMELxxRVyRv7l5X6cC1Q12zvl3DfZ5jZf0yR4mmAZ40w47WUTvZUR4KYjaidfbtZydK22DgLeo 1hoIGay0PbOJcmgbHC5//bzDgjTjhq7MZvo6fnAvqF5inEMf2yL5GSQ0gracidxrl+mYErLxUZri rWEzJpzosD1y7052q6ytd2NrdQhqBwm7ixUl+NnKpSroUYgoj8ussjOx+inzg64P1M4y87w8B2FE haYQ6vl8YlG35lj0Ns3wM8kEY6tRIdXqKPbs1b36gXH/WiJ43EOYi+ExZ/KENA35rVjnAY9VZ4qo mqIM/VudATUK4T3C02AW4dteidBLAcfwLyhAIv4AhGANf8zY1QXjB3k+elioi4nOoaEumNsg87Er Qt4F4bgVi/w0bv1X/VePug1GcIr31YNYhBZErzbU2kmVx6iL0eITgi2Q6b/I+GWiZ8iVQoSf8WF8 gajCQ6TZEP4b3rR/imXlEe9p6hJ4eb7xvipwokUW3gUc3T/uHayoy+PtH60Yip91HO9V4gC9tZTO d0DXKS7t25lEQ6eQlBIeLAhGYZrdr1Yr53SaSTdOf0MN5RHTU9o/9X0I/tTMejNX7Gw3Dho6nVui pHfMIhZr8qG36Ph4pHakI17QIh3pkExv3kv9+WMHI1l28iQhCMgkAjSs+M02zzVdZb/WrEirhzv5 sJxcgcx7ZiiQtfAXUS/grGnARMImwj3z5ZgVD5alkzDuZnSore2nhbBT0vBGJbYHGASi6l3yLVCB xFa+l+C75v9B6185inXNSSRbpG5354pN1B2MJVCrc2APCrcs/3/Sivx4XiUq4neefnDVABF5WDw5 A4tOkmb5IOMWTogsFIq99qnV1jkjaeMfzTTUFSxziOH/DUDLKY9h4Aus6hjO0KMJHUkJiTmGPRJm SYPqN1lzbmym2+ZaSNWy1n1gbXntFcNrA+P7Gsv4PiJjMUPD0oHNqUp8E5ZMh/GiAvKDr+s3A02G hPDsQMgbHDa2tmqjq2QAKYJW01CfL1cMlx6Zqr0b4ngt4htHfl0KZDddSrsittW2XCS58Gvd+Wb5 AJImOrTqaLhyIF9oSfaamgs+pwYBMVGUEIFvhMk7cQZUJfVAHojYNiF8HXocNRvEO3v3MXnMDbJN F3YD6n4vJuYkm56BO6FyazgC1al93TWpx7PLAjmyfiwHmm+sF+OmmxWREtwisL+81kWwmVia4VOO DcSOSCS6B/97OjO9WFJHoG75usRfb40BKrm3EWbKWnJf0TCnYBRTPytt6KvMHYjl1p5fdUmuHsM5 SAMmUqj+ixiQe0N023fOfbPR1PqutDFxxlMJucjYoRZIA178tQWPLRLVgCQzB6xNZ8t8MrOrkitR kYmwYw6REq72bB93Ear4pULqIqo/TfwNfuenvQiKunNw4MznKgLQTrgxveiLQUumyky26wLKyA/t pqak9cxj/aV7lQjnWiIWY5mIhwgA3Ha3FGQn8fxXp3IDT317eoSZe1zpsdUyB8iTx9N9agRrPzhO QewpbiqD/xg8xR2Gjlm5Nc5UoD0XXtQAwDx/3iReNJL3pnt1lOc4PniE9P/7WEYonFjM5YiH9poj BZ5VHEwYX8sxP86C2Nz9dY5BRVW1qIhY3KXhDUpWZEBeo/mi0EYbDNT0E+55FBGUquljiXAiKvIq Mv66WgWq4h5B1eHdoaQ9KIKgqRwCtrL0khD2cc5XappoKoywe5PpWEYjmTOEKDunvyheZ9GANADD FoUueYSgMZ7eYb7JGQLRbz+JTlYh/0HVEmx2e3wmw1o9n94WdnF7cvygRVqeXboe0iNY9FMAjJ7s e4uB0C+al1cAppp65TeFm9GEcpXXsX/hpu9Yj0zTlkkj44P9EsQDVzSzVWrBFBUMuPiq9skMPPQX OXW5Mk/cIfIWD7GcZecqHMb4nuRuMRwZCCnVwneNxNmRW2v4iSv/i1LXKPJ7SGHyAC4J9npkdieH 6/FrTlSn5atI8xXnz4N0arH92GkNuc+65z1F4l4rlxVS6zxxEkw2GBnxLTFrhh118Dtfoy+PdV7h IoUy+y1VkABLYh790gz1PeTruB8BqIESbj+RmVJRyHF956L/9GZtD97qUL3F0XUS17feOMqruxPg XZN7s7mqc+cSLzmFbcl7GyuZG0x8TLBGZuN4Z1x3mbi7QxiYcgEc771GR7zc26F/hWdYrf2AiKF5 Cl/nNs0jEoPf2BfsesMakVUwX8UtXEIOv90LtU55wiEJ2LCTWDntT8Qso37k+9FpkTnAJtgGnFMj I76DrEi/3UFxKlR5JDPznkO2vfc4jgssnB4uPB8aeB/m0OCHH5QatAJFosAlxnnf9BHZ1TtZdqQZ 3YyUxCv0NDMKf43sGHaeCDrHu6l+rQqdmoVARHLFDTY91D8dC1MdEWBeB133WzDeGo3vLqX0eLn3 KCJC0QryeAYDA2RjfxEDVykKosqh+Siu+2ysSYBJHshO/FkcI1k30VG1ksq9ZTXkoBxlMlLiF9MK UZcM9B7nnL0AJPXLMeHuqIG+NI3ZgVV1VYtwTcQKaZvbYOHsawExMb0SH/UkeNHJmYGc6Nb8Z+eH QlqzB0D3pXE/QrouYmwp2PHP5+5MHl9pzYwWiyXBSY6pM4/ZWtWPI8kJaehDswZYaIJoe4fixtKZ zaF22JNxF15KkGIY8pkcLVIhHFqlmBwU+TnxBHXfznSjXb1D3ZJax5YkUwZbDnVNyWRvgzK2Wofs Exv5Fd4I7W2JDh5HyieO0n9EL3d3GyV4dC/Judym4AMqzFonR74fpmgj4MAMWWMLj4KR85xRKybJ jXoiLcNKwIko3LR7XcbJ+RM+8AMD2JvNlfjwB+Ok2wlPjNODWx9dWYYaS8v8ZN2/opj1c7pEMXeh MwEYEQzyx6g45DjPldRmVHpeBxu9NdWcYPevW9ux3u6fLXFnWOC46ukGHyOIO57kyjyKb5L8BWen P0CgBDkQRWWr6fJvRymYjJdDHASUyuvVYwN1Rwx53VIHMOWhhI0A4ifXEBW19cVcfMrVF3jSDoTN Y2OakpmbnCmPncWwbMr4AWw4lqz91UMMrZrPDA+ZQRatnEeQ9pYzBSJ/YzXUeTvRhuZRsY+cTlHo FtiHvbmRckLiZwDuBVkNZxaUHuirPLV2xQabCs9kVvy/QCr4TvypyPUInKxQoW1ovZ8kgXvhikn8 35TKZvhOnFfo/BeB6KrZJodmdsJDStVvgtUp1BaOdgQ4blXg/5zt/6fx7vnwPuVXR/cH00i8WTY8 VUo3rHr313UH1Fl5BPEYRJgOowpzZFGJujkJYjaPi1+bLkaiA6Rgd3LswAu4pnLUvACKM1QV0Ma5 2CgTx+UvcSAfiDNcbr2hpSdHptWvxD0vTnJudr4UNK+5N5ycFUoAlwXYplz4GpmflFWsLSEGZ239 AjedhibxfFfiM2KcgbDLcDyGKXfUdrLoj1r+Rtf1bMhGhmtKS01nKy3XbcldIe+HZxB2Vf0r4m59 /cXGWx059aTOgDJyikXR54oSdKKIMJcUP6w2OxvR3q1U2Yv/ad/fu5K8PilKir9UruDKK6T2VqhK ov7tVdwpbemtyxP7rxMmpAEQGIQjhIfHhUculRuQuKPoqDA/kOhXghbKnonQyZb2/ezY8XEjjKAu +NEvC6D5l9p6eD/x22ldHxr7vOeQtYESX8y5ZRoGiqiWuIn1kQLwho0seHAEUPvNQksxBv55iNn7 RZytVv5i349XdsnItYX5B+OFtnIPmX39VztPSic8j2GeQB52SMMO5KZyu7jswGSlwkfA0Nu06nO/ nqbk85lOxXzYoWGCfFjjB3OBmFrCHllYxxSjzn6NpYfrrBo5jv1vQLrZdVfjP9KN7wg4QqmpnW9G CA5i8Zy5V2q3TKpZ9eRiGUuYsn6wvRqae4r447NziK/NkmR6xGf1jQ7X67VDcIpm0+TjF7CXGJTa RxBXUnqwzd9ppd1XRdLvwBKUdmR2UKxhtF4w1gDerhAOnfAQyoqkmPMrg3L59KzqxpRKTSsXjwRD lgWs9YUpyhr/eOhkCL4tLHMMEDinUzPs2YzK7uFmz3uPJMNUIg1RAZKPE8jERW8dt+rvYqdokCMJ Sy7CU4PUOeNyUbL3umrc+o5DskVROJCxwtMsXLlycnQkhHX3IdPYTRRMTn8yHFGQxHJHMfj73vdz 5ZtJ2v5SSX7qNBzBKQdzn+mTmiV7/na/NbR21X5r6GMjcrwtzNQN8mh3sZIrW7Ok7Nj4wwplUNtv eH83hOt/l5Zu93QhsMBSuXfyJe+jw2Uy5mPR37gEzrsL2/pXUbgbMjAIOSEtYQ1IWLGiHPJcAD32 b+MdHrHjIo5G19NLFTnxGoUIZcO2sRWQfPWKedw//L5igcQ9/samGNNDWfd4ucygMdkWd6cauNTM NQEDsF8sFY534Y86ml6L9+axpFoUtm8f+rlm8BR92Jg7esMzTdUQufqJ0nEM93VUGe8k0tvFTEIJ V3p1zHdfUnl/4HcypyliQxjGFnoJPcfIoCYraHnXVJYbRr/VC4l3YMTwYSosMS1dLdbE/CTJ8F1K VifoO2y1vJsdMo2WeFNA9y9+iJyr93lPZmRT2TZFMQivmjxOZqFbHzC5EW8SemBdEVZ+IacaYQc+ RaxrMIrcyzMIkFuye7+F8ZQuIQjzOvNtuk97shOtzJIxV0RIjjLcU2zI0koVdpzd4vhsf56YHocJ xVTlpHhimh9J0eHOV146E/hru13zAMlucUOHu8nOzXaIQoRUKZDsf0ZTLDuY7bTsRUSfohUiCwOl VI6rMQOgyH9vkxckxdyVqZb3ku2m70DECMj/hvq+VTJj/0GCuX1rS+QwuLwTzSpSOkFCWSb8NfU0 CJR6QqalpdNpZA+2K5+48U6p/wtWwsomcxEmvY7xdKoE2HvY6KyM/OfTuaOgP+6tC1o9SPNp+zXp 7no4ar4WAMKZvuvToP0ITmTFQM+gdFQEq4B2axqU1XNyRTcWlmd6J0ond9ASfi1EmP/CKYZ3PckX RXxzMbiwrT1b9XSHtLYUfoAAzQZz/4lkPVLol8taN95WrjzSWoTWPpqrEPbUWwJFw9I9O+C5lsY2 avqCE1L1Ytncf8Xse5/+JDKKI/926CW3cWKPdF3KrUxAXmaa6XGkj+r01JAtldN1uN/WELcX+5il IDG8HgX0wz0zWRi3ioCB985FkF0xD+EZlbw0IYStDixd0dDtI+Nsunakr1eLI5DI4RQC48duKH7S Eh8xg+i8RWvUtRgfLdRWAPrFv3ZYeAYk585JTTE+Sp0gftdo1FtCaEn0r0qAo27oC+sfvk3urQhv 96Q3llDRmmycyQiNiXRAltQ2dO71fmkOgWONqZkrp1oN9n/6Qzqs1vvfp6sWggSCaAZUWV1nrN+C 7Rz1bydk+sCVJ6mJPhKnXp6i8qMOFmKXJGEJzVGHbHUTSsSePpWgywlnZbuXf8VspYnwCskMkpOl QdJgN6QOe9dKuJGQ96x5VtPALCfStf3+jpUlDAw8cs+nsVKJ3jxwoTCLKOBw6erHPUFLrNZa+qTG Ux+cxKj05gjYZO5UPHxrwJh0cyVwZKmcW1LYJCOhLGiEJC0E6itL+wlJpnCGkcljLYRcS3RbYdVD 1W+BaUqB8WFZs0sz1Gl0i+GO8kLE1FZh8BI3IMKQZZmEyh8ecqVBjubZeqp3MAiom726+qok+i2Z b7HC1CMGMgCBg8jiRk5LjCGieb+4mRWiHsnSkq6jcGH19miOdbKBjpi1/OmdqdgGdiwslUlfJ3dw deemhxATc4B7LbFLz60CJByl1kWN3ep7u84taA2tRH4+hczR/XNPZL51NBsLNSQDGPvBdwd246LN SMG8y4XypV+ivI/sZIWHWBSer4QdN3RYmmED6hdM1d2R1Z8NbSZZe9Q5uKbz0QHVwnBzlwATpS/o jI0IgZvK1YZkbZNLGB4DyLQYn+EfEk80ryRXyYAwUtZKrWLB8QZ5YLthY8k8KFAmRjmMsHzc95Um NASBIDr6deZQSXfJ3Et1mfoUVM+CVfJ+gs6yoN7Wc+gzwOaj3YsTH9BMO6mBGblonCYRVDcC0knK MF7rgR92E/ApxtqvftOzX3grBljvUdbFiHw0vU/bPSh4R02PTGnCj3GzTB9STR8qCxm3AiAnPSVO t6FAbtWcgOif3H0D1q7yeUacfcB7LOifDm8lZ+ip8/HSt15bphHiJKolvmrBJvz75YzYxzkLcip1 Uy6mTUMx5U4i91MmqXXuO/Jje/ymwvs0Q5X39xUnKa5SdmcAZREn+nRXwlZnYdrnYerKGtRk6tA9 ps6n5pU87mH+THfTSr7//98MQVRXsEjAlt6eI5JyMCImiEWG3XPDmnm/nZd8hSw3ZpRedfrcU1rS GIBJ2FT92rtcocphO/JKW+LXtcI8Hji77+l42VgaKPEltAOpwWa4r3rKGkbWSh4mCcVXX3qu1mJp q4biXsWLAjw2vAsoXOPUf2Rys76Ec/Tb3CcZKfTJKeqq3bRaakwCFT7tHI57Dsz/bwue9DcJA0p5 IpVvnobTwTCigZ/Id4p+3RSh1lwRhafRFWlloBoaDr3XAhv8yC41aCx8HmYQ1NUjab4KkHU9JRIv 7KgJqvvAu9xx9mVB9pj3tJ2NFtE67wZGkD1Hut1hQpOABOrF4gr55OTTsOs3hdwcK+8kuNHQsL4k g6QqT3GqTCxYzBNBIZEX8qvMmNB2DCM7txxwbGiEFeRqywF3zpF5nFBG4rfy7C8NhxM8tOUA0us9 t9QJvFH/vqw/UxOLyxFCZpbQ2ChjNvTOKpsKIjVbxYS/fL5oPACZnECTf5brkfVikHMxvDDd6LRc aPJcGapPjOblHhJ+aSDaX8aLMYmvv7AokidxXZaynjKID4U1zt88cppc6x0csfs+rF3nlwcS3Opk MJ4upYTP7t4U+1KJA/GHF50BDyRVqVAIoIH438aeeuEGJMj8mLNP+65qTt9y+cJwHJ2dbsrPecww rD6aAl+4O8+S/jd57kbpuKFD77rLZfVuwd3ycjCZKhinkFdO2aGX2ooRXsXiAVomOAGUY4cQ6WOA h3HJGm9u3OFsaYRi9Ilf3Hbc8dnd3DFn1Blj4qacW9C+mNVPU1FMh3Me/PGObyyKskAdFF6zrqiM Eo2slrjrLOgTobuIXKVAhiX7G6/H77J76UIQvdNNDlklyvlCDouwkGzuxCj5+Y9QeOhJrIPTjaVL OFfF9oqfuq4nmps9D1pXsT1XheD/6TpU8C+kdiSWxHLuCfztAwns49wQxIh64BvFD1qjkaMmuUCx AV8EL9Y0JyFbSopR75CnqecJHRSJLDk0rerp2oA+FjNjVRGGj5P/gma0tLW/zYiTNVIyBZdiy272 9OW3yJD6KNnm5Cu0cXxp1AtxsGxG/GanlwI+V42vkZVHqFw3ir3D8LfCJFmPuFBkCrjZYXfEaCkD kzblO+DWoP7BWNFro59/NUVYtkymIiRIvpZVqDd6k9cUVddw0W7fm7MtO934HtNf7mTfdGhhwN8G R9C0Roq4PYS46RvykBGsYZIyFuVZ19xS8vWO3D6w8Pb8j0Rkp87PGLLXPFbIOK65Io2kikW2/9xq vOs3HqwFunudck0bVBl2qLANwHoqLDMNkYR7MjtgZPWKvms34bIkaqBJ7egKHwuU14vTvrGcCvgp oNIxzxduKqT/MGDVgEPQAKruDbZxp2i67hHRmqnr6ompJ4w/775sKqE6v/Geh91wxrv0nskIDxZz jnEJuQfr4Cy5ZQb+H9VLXoR9+E9AF1kR0nQXI5FVtZ407ylSufzHg+1oc2n/F8CwqZb8qcmJ1pT1 4sJSgaVD4OjrER4Hno1fIP23GxIa6SsXNcC47gSzJ69TUwZWSJBjUMNECD3mSoN3Rz1hNhChp40c w5efRY4OIOCtXPVA2/8uIBwHJeaj5OY17RixSzNyraLuOdud3vFR1kIygKaYRldyqbZuQ40Nnhwt miOq/mkpAXSjekldbcK44pMLcd5Xop9pVdZh26gU2mkW8BiJ3qtUCcaSaMmXjdqI9mv47zLLc+cP VaSamk2EvgG01llbFsneOito9Ks/YCqCG1e0C8ebAMzNfwZ3PEeJQQ/wimlIl4fY5A9lw7YBOnQL EifX2aLJH3O6wcJfvnnD+UaPQm+menp2hLpyaJ3xGau50d3fq69p+r0PZIKNzs5x3JPWDchUTQ2S KQUiXFG3QNfTPePAB63Rk9YOme5ojuI0Ol+eMBtZnpngaK4wx5C9sl71Ug0R29qo6DFg5s+q/gGe DGiJ5HRPLb3ljRFyRnZkGbvvSEJIsd+tiHbwf1Pe1eYxla5HVMLzOnV+HMsfajrUrjp7DwiVcVma nuxhUdSi5kjzWiPrECYyIoM+NJG9U8bEMrfmHrBZf7L864sMwu5Y8HlfQOEnVBkmA/oeFiToN38T oo/Af0Sa7wtsGtT1675OMi2hmhYHPTjLkt8/tfHelUIpqK+EBR6gG0/0gkYPVwkeqJL/Wv/wiXQL 4DNU89wONlUwZ+EaQQdxO6cf7xFnFXVskD66ZUBqnM+vEycnLEI7ZSCkL9hVNArQo2DhI7zH/k0d 5MwqQoKA2NPG1YR0Xq/T3rprQGyAO1Qtkt7EG4EzPaLTZXQKt+LUnLY+Rb7agJmBWIsrKygBsbaY qROsZAtfhvq67i5m2TmF464LdMTKZSQWM1mnflX44vuI3a3fa16GrEmYqMOOlM4gf7r+xtRLepZB +F58QMk5h6LpI1K+Bp7wYS+vDosDRP/Y1f+wqAg/7AYgTw3OHhQjwpX2ePV3Zeb54LyXAFXIEUcG dtAdYg5oxoc9/lDo6arMP1/TdV5e4FT2b05KgiBQur0PH7sD7GPxCOeq7taqUMk8Ad/1ePQ4tCBO +mZe3ZI2R1tnBE1oBeHVAvMmRKSdFKlBL1YwzgTBWtA3txBNh9kCNS48w/0aHSSLlaNRpArvawmO VtKlguvhkWfX2jjz2VfRoGh7PnS1bOCnmrdv86AClex2rbqqlIFv/inuoTstMcSo/FjOoea2GSTm 7OzuxFx5QmIZwz8rJQeCNBbB+qhYbGqcNfmUVib7ipZcvgMcWKCZFhaTp3Hte/4x7dS9v/0EBmQt H+LKIaxQw2FF9JfIIA51p35+Bg2OCPOKQIZGk+PHDGKKeX4p05t8JbGFc5yebmsfIh3Op8+Pw0pN rRBl21ERpmZ3tUmem4CwwlfoHn8AVFFMCwOobqzTB6yyGxAWDLko7L16godeVDBb93nGJPtCs93V yzDwiL1ZhfGIf0CZRKqtT0yWcKSROwZuNSFiCaUwhrbn2Phv/kCJnVjNrhlsIvP8xPvB6CNpaRXM jg92OIlraYddJZVhggl9J/ZeTQFg2QwIEnRBSBPQyKjCOprOD65s4YCZlo24dKtD1Vz7w024DvU1 bq4bq81apH03pib169ae33yWXYdk88sSFqnGfItEfYeuFqD0DdZpg7UWkzJW2ruPeQB2G/oBvF26 fL1c+cLLewb/TjhzW5Bx/F1rzAzT9mUNieNpySXRg8HkXfQ2p725RGFo5hN7Pim/7Uc1cWyVVWC4 DbbMJM5CJkm0sDCSMqRdnwt1xZkhUPTxC2IIHCJNJmUMD4E5e9KxcXvxktpSx9s3uPz5J00wi0P3 HBtbzxAya1pfDOVC1qUzqj9H2662sI18mYfWwmmE92mEks9jDdHVcB6daF6RVIMiOlhsWxhbm818 LUjIZW95bD9vrLfnmvc6OxlJ6v4zERSy/XmnHsjqR0HY9nPVGgY5/uYQX0sh7T0tETgPiaOcVjP1 iaNo7/3l/4UoWNPuUYNaFsDhmo091E8S/mZs4F3CL7OYC3FkjJEHXKI/7FbFjoE95kb1znJINNep ZYfaTrrSmhA7adHrP4OJ/uDY26gHMznKVMAWly+uIPwxsSJUWDX7RrE9vIO9YXv7sHQW8ITRvxXS PZaKaC8Vm/02RMYqdmuMz5nzWQ1W4YtwHat9qEhuHJX4dzpim0uKlXX/FbdJtHi1NP0mBFlyjIk3 iz7dQU5Edv19M4jNXr4al1+ALfMXaPfCTvkiT2RUYemy6HQqCBfCqauEE5O9xH41riLvPjDOwV6N cJUjkFuD6gfl604QRvbhVIynxwoh2/bY+im3C6WkX6VY6h9ATPqAxKx+DPUt3FNug/FjwljXzJ5Z gJsYsi20eAV465X3/IfGUTimcHA0EOB6RJWbe2fn12xd76ecsiRyVGsgo75wemNnISN6OJtjHbW/ 2kIPUc0E2xN/Yzjk0BOX2ZyodHRIoAgbG/SWSn4S4mZiHC1ZPiKkcP/lMAv2lJ3a/hSQ2C5vnCu1 FDIEq3ZZM8L3RDjiDMtcMKuD/ZtwLYkrzgnbRTCfJGXewMWl+f01IR/4CTGRkvkJ1kHmpUrOroNG uB3WSelxnEgBwlcIcVqAx/JpemSsJ/ObejYN2Rt6ssEMiAG8TovqdF1Gd+8+NfIo0tTCspqN/3Gw iyX5tUBmSOBUoxw74Os89isgH7UiJJoVtXUiSa7zOuJskE13mBuFd8Av53DsyHMmn1UMO+oBZrya YW9SdezLb1bAgLc4NvJ7rcnrjeCCNakQabZEVVzVXUhRp5mfT6hR9H/l1wmh7BeYLFZC+oLaqgs/ bJv6P5Oi48/itrnKLj5F7aft5Nq1QEcy7yD9ZDzXM8pXzzwZZgss0X1Ct7kKqYXEInvkE1LkYAuF k8uB7aAGkd+3YF1DT9gsoqKY9tEL4OKyx3KIlFR4xuuhsfeZfG1OW0esTILXezBfPLkTUlpRANJH nIQ5f2b8blH9gD9dugYUCzNcW36OrjdC5oTW9Q5ED6fQICMsLEU7T+PqQZsiVx7vQj7iY5joURGZ qVBoubVdOgDad2xYvHRXs8GlulgOnQevofxgbukUY3rlMCB8TmTgB0GVOwG1KMu053nVS6NgV91Z AMDppGYcxldDxvr5wjikgxdjoqo5qhEFtZXSpACZUkcNEzZkZgEfGsIcP0UxwC37joAYXQQ6Gtov YbwHAWihaJCEio7+ZbdQz6CnTur170ARtodQ0tmzZKS27sQcMDjcFCTMp6T1kBAk++nz7lg3TdvN oDpTWKmFkPYkvqO5+wDyqmUxdTPuH7bcIaj2E7zHrYSKtKPyJrJJ+K7h/OF+8SZ85UbnHaSAvaxs eUrspn1M6PZAPfRK4DuENp1Z26KcFturfOrPXp+o6OzAhz64xR3VPwEEVX++81yiQRGLWI4LtpCf DIK0LtwXj9R3w5hF+HstRHJ0VsqHitnV+WiX99oeuoD36YqnQMAnY/CLV8xbBU00xK823nrPV03Y Ts14ZQnDolnjHd+ASwC5s45fVF6dRPQHq2KoUCZOFFzey8qAvMvg20Q7bfRaSug4uh5d+cJKWqe3 N3ZrXIbcWl1sae31vHi+p1RuAL4I3pVS0rmsh8ipUiX+4fIB5W6NKh+tASgDUc5TWBEtgoyG45Yc evvtxU4Lr93b2TI9IuZ4HHn0/Oo8iboFEkXYAvWj5ePhtN64Vw30mJQ7QNK5h5iVt4hNoQMg+TSx WXpjGkb/3I1BX96w9KSegv0q8lVCuA6LlBxLd7AIy6K8UVbVSwCbeXJ3b7XnMnqNI3tW4phvETEI BYkPVQd1/UREPytePsVBpeWebWJ99aU53ZFR5Z/grCc9ZG0vypFNjXJNLjY+NGqqlPlf/zRaTMPH /GuInm1C1Gi3SFuy45tEimjn9z9zGVmDHkunwxx8FpvCvvTnz+SVgZT/HPrNO/Gx/g5/xyp2dgBB s9YcxR708zhKskbitk3IVn7RrhBWx0uImPAV4ahc8iJlohLWGzDn3c+fiEVzT7i3PTxjVKDBNcoS asXqt9tdj3qGXTzD1YfYKHU11pbDC3fqaUiIH3JOxFJ0tLPtxU72aDoWE+u0z5jO/urV0n5rWR3g dhrNjCsjFTVcduCHggeUCA/yY9E2wzQqnoAnAM/Yc88tWDE7pB2y/TH0bIquLiT6zOQ1kr7ffKgi iIIYfAjpiIdTeZl+z7tByIbtC53gFYHQlzNtYPXTI4bAffizd5NeFfJymnznCLtNWg5Dyr4BW+Bi iZ7zkeafbvXSuiRTPudnCWEiuFLQGdOpAN6wCLg0Ql/+UyYz/bohUkC4BCDbxneb+HlvckVKuPH7 F3N+u7DAMojD4jvPcqzqn6JrRJTniE//5hqlkPi1jnvWjFKkJFlOmKXreKQDTE5ZRd+qOgi/W+GC xGuiR0odMt5LrlcrGgl1UbjV4kjNHTT+H0LvpZhoIqKDBSTy2LOvLpezORjLRRlHMtH4AkdA4sCv ZltKjb41TSyuOIrcJuq66MUuBM5iydw+zz1/MKlBh68vrPZs2NfupDSpan9cbGabWB6mqq2VtdDK 1VnGsorO7OXMe3jV6klOBz7zjeqorfLkDRgnTZe0Ud+eHGBv3jSQpmfg24eXqBtzMLjeYd9Q/WXx sTg3v04DGUrlEXBGo+iTpF9GUjZp7SP9QYuu239O7DgIB8phP6ZolZAy2fgSf2QnEbcQL6pbDsE/ cazlRSKBMbCXckvsiNFI59b8PFtQkuo+97vf8CvLoKJN3IAwPqynpyDRBtMH0mYsnY5uJGto6AmV ftfp/HcXLS7UZ9xv+J/YtWZljDdvkiZkc8/li9oOcLRBT5o0yErMoWnAt8jQ4prdhRM+ZIbFoD6Y fP64zve5LXU3Mp9/ZvMmVWZWRIpsnHvQNZzvksIMzz8p7P/WE15Y1Z95DLZa+H6nEPccv1IOmqOD srApkKeqCE69hFrf5ibFkR+2G0tLKQWE0GS3kWc0OBbRVHHjbRgbw/0pJyyHUIzklJyki5BPlZKW SjNdlMelZ3Kq+X7he0Yse/f/krgtU860CRBuKrJQisEGXigj3VSIweYTIJUl9xXl2Enw8k4qaR7x U3jHNXF3Uc5bA7/0fCQ35ZfXRpneFoT0O74qL8vR49DyWuSerI9o7t0CJ4+gwkMRCfwNX0xgagQc sZdB2U6tA77cqKW1dcm0TUGrexMhcxG/awygHzzEJ5gNRWwXRf6piubcfI3M73W2NNLcD3XorEmt QqiDlWKblym20MmywOxZqKZamWcRTgnGIeS7zEAzXFt7fFYzlxon8B5jPi+zQyrG2V5rNhydaKLp fQGbjHYpjgzbcqiMPKxDwx7xCk7Csj0enw6hi089xNJi/IYGNBuq4r+HSeYBnHQW/VeRMwX6TRQs d67bVadd0tAGbh0V9pA7yx/0eoLqNkygSTcEs4UytGXjACIn3e4PhCGxSqnsuYB2fLGuCkQaPBBv CQrGih/F4EHdz06bgPwEgPUJZXDIvsvTnPB5/KLP6LSdGBUfGnmATXmQ8ZQEZN//zRkmoTPaOzaF 8pvnRLd79U0JhBV1/2aMLJnpSJlqeIBlVstYQYBa/kHhXNVzTesj/p3guO2/5u13ta1ozEl+QCpv JzHQy4G2zQ5mqD7BKM9heNttYQjBbI9rOeGHqxvT8laa5JJUNmy4FnP9PmGnuF4C/uHylvUJWL7R ptAssKUBiLs0I7WPuLSL2ofQZc6VzPPl7oEdWKJnYUKKxIjGgx2G3GGCFS5EMOKcQQBOcsd7Kl4P pHSNICDQc48MQ3bQUbnqd+ZzizlLVsQvxYp8Bz63Yrb+uEDhqZH6WV6zywxSWjsPiwoRbP2p2PRG ORktgEsdBoG5C+Y1HZE6hC5866gCDaz6mYyz7Imt6DwrMEsRU2b5dZYgbH1iuYVnY7EEIDhd5FL2 Joc53c1E0yrLkYBOpHL9AwCmU5YGb2ZGY1GbwvBrunc91SH5iw/7y9Hzs4KmmZBdsSs0Y2mFm4ov U/idWr6TQccGU2ysue1rhn81jJjoM1WaEmXYreuof8k1WejRpUK8as3uDkzRXPGZEJagtrjTKZTA 7iUfeQhH8iqU8D1f62f7Rob0ZVP265v58ZasDcxr1xXXk8Re31l2xYuYxBAUAFKQHxgdqm1v+sK6 DtJmk8KIbbW3JsC3EZAoKXv7huJOABF87Rgr7pZhnRm0+Z1yPjXHKjqkmnJAjh/LKONv/9rg8i2N BzoZeKQn3O3ceYtjCDiXYNIb7VU+ve5dQwIS/1DGpohS6cNpGNZ4seisf5QmJovcXeiOUOo42VE0 Zur6DJaAgZNn5EnDfnYWEz5KIHOEzeZf641kN5TqpPz0eUHJoHDsvguEm7IOAP6Bc2XWwbGE1xg1 xkaEEKEQERalHEiFSQl02wCJSAhHptJIAdymd69oAXXoHOC88BEWwnO88lVzyajdVIZZU7HjYlEE 7bj0Tyjf6Bga96nOP2pFxD8etcNVtkHVa9xj7bXQA4P+8QXDzuE3nzphph+MyThVnhEd5Ct6bxWt 7H1b4UaDoAuB6bR4nHTkSz1oExgpwMKKMLJeXnJTl7x/yESo2uMcs8+vcl53+mQnqv5GOK9iv2z5 /NMxsiuItAnlAfUcXevMuh7V3u8B4b9BLanlaWpEZ6jiT+yJYvB/PT7qimC+TOgtC98o6HoSV1oR PtCYdf/wdK3E/Ud5kf7vOsrkvXYa1wZg+JzogTJ5ieTryTRLRGyOpkmkFz1s5+pMgKbHSYdJtanZ 3+lJM3C3rmKOugyS1ZygV4dUk/bDB0F+8iTCk14CkVDNyN+ZtyeCAeuQ234p6Ku1X+FuKbV8FEcS DCySzC3BMJvSmo4NOByhIamc66Lf3OxwSDzPo3saFR8IEpwaztavbgLBmv5BL/7bkaWKmoPfsqhJ NHv3RBnumkk4rnXsJNvL8NU5L/eMKCqkoV8Fjxe8F5bKwv8ljVn8ayh8bNzOeSkoRbe36vkzLDaE IMMux69dVRAyP3AXfyiLkyFenmquekiFNiPQ/FKnV69Ze4PgtlEYjghK6eR7xZawMnc2LATjG9XC V8DEbD0+TJqhIvUoXwE55X4RfvlE4Mv/PBLBkXzAkG8zab8oi5JFLLFzlL3qBLhaUyQKKgm1Ksjh fHkBYMxW28EAwT+r/nCdvkencolaLmx44B6C/AokhHOFAJ4CxqcALZwzWXq5J9AqM/20AK9cci9N f0ZiYMZrZoxg5WfTZgY5PIB63qqTW2nYHEVwerLSm0UYeE8qWWNPv9lqm6o0QyqGB2uTT+4fcOFr R7Q74+tkMchpfMbk5xCe9RzAIxdXtTjyeR7HGVQq47hskl0juSnz+s0T60q/lEaNT08OqX62I23F LrGvNguBIsPAtW142G/4jui9kRwqkfcjJhYyQl9VZ53p9n3ucXNb44tJJHBxgYBy1PkFArx7y3Uu wG1MZo4P2KvhKILiiXKCFi5Ead/qS9pIVIXNXhH2EGPfImitRmtGuCpur9Z6ubcU/XHlsIs+SkoW F7QjGMUwzg+G1mbb92Q9Ku/KZq32iRjrLHFVp05a9xYUrhoQxSDydMfLvQK+ICk3osGEQwN4+MIS /IgppuXFnp08Jd7r4TqQteU92hHW/eebY/ZUlQplh8Y8B4VluQ94b5xUJiCuQhy0XhrF1nvaS4fq 7FdxubI6jfWr8dPVZ6MTXC+E56vDHrOm55lxv13eQT9a3g+s+n2CTvS8Zs/QcUj1fllWBITYlcY7 0akJkML2czdH4Z+n4HkECcfe30RaYJjBlbL4gyQUEDaKo0N5AgJanRgTe1qS+vOMW5vbnQuVT22r yQgh36CU/Kl7FYC/C/djkBvisaN7DM3bBveaIB9Nt8kptGSioj/hdZ+LP0I8pUcyLKjEcOsZITe/ FGnbw/ccQLJXzPZqVf+EUmwtQADOU1HVHec41EwS4hLJXrT3nUOz1q06Zo3iy6Mqv3W5LiIQhZKK uJOd2WxiuDPwvufHsMHiQtRgOscDkRu1OuLXI8ToWYchEruQ+tW2tROdHasOvPgrUF3s743AJK2w 69hyBEDs6jdnNJLQ0QbY8NV3Jobp2GS8el8jkYnmQPcz5cR+sQ5kw0nLxwdfe15EYm9NALYdcswK pB4FfXv93AHIUHZzb7kkMrpTAGtxJUCaUSx/ZL98EzdwDJmjmDde8jCUcjHGhUdAYf1Hnz3mcAMF QmdCfeALN9EJUfVJGtmn3mn4Z2zm7EaPfvU13hhIL7dns1on/YAA+D5QOlq9JMcpCxYvKKudNlSG ZSexVk1a5gCzgTxtJRfhug0LWvfD7cFJQk79SIlJKG1at/GwmIQ0guLKU3VMylkbxua9Yrf+5l0r 2Kr5t0/KJtwKsGxvAcoNiBYRIiXBjOfpqCtGQbp3AsiDoc+nm1kgJX/qdfqK1++mGSO+/1a/DGJu Kqfc1kwG3sLNpxJrKtzYIWO/X25tHxffsB9b5Z7bLoHDQz4F8/AiTgjf02DStbMERyJ0KmpBjiCE f8CW074w47VALg10Og8aPpujmjYjKET533lYKXYqB7V5Yjlq35fsEog9+6Bwhw87cHwFcu+MjCIJ KsKABrs5uuarM4UJsf4Pdqg5cmYnHjzITaB5HjbjRrd3SRfuA1cXLSu6pRHyRF8l5IH+vgx7e8a2 lPaAopq9pimoRKr6rUGSvpSdanPUzIbmDBuBYjWFfnud8VX+25VKgfj5I9OvLbit+epJQUsE091g Fx9312g6KTMTxZST7jFuHAMsNjTkfk2vI2b+TD8Z9+D8ufoHrCUD8Kyf4RHi8V7Mg+76FitnklME 3BXMAogFmY63JK+bARacrQQjXUBMQlregNFs1wxDDbLI49/0/itv9nXcrgwGP8klABZu6zz7wXXF B60We87c3rTHtkyAujqJ1mE84DjchL/RKFCwQreT9NJLiGBCXTgmiRjaRD8YIzLpfnHS1Tu3YQJG UirrkI9WZhaGDKhNEUfa1ZLrN+U/aOSjH5SdT2fe5CnhPYVm/p2rtVdT//aetQukJpIBw42Ha1ly 3+MQW3+oQkqYbVCCc8su9dROo/DxrjJI8aBlrnpArD0CpfvSWoXdLOQkPluRLnMbfMBrLFYV6gXF YsO1YoNGly43Hd24Shd9igrBls5EGk+XFP3vDuCfHnwS1KV4I58T+ty6LkGnf6VKRZAIrDeB/rfW /miz4JsLuHFqk1eqfvoqcp/cJ8mV9AQDRtfLFtLwjX+/PIsQD03fhNN6hhA46ZZvaSRPE0g4spDx U/6nyPJwWx4cpi0K5599bbljw9gi5ylo4wYviksytSHg6jRlKvlPsf7lSp/IGEpLa8ataT98jj+I RDyrqN9vmftHjTaiURlasp7jnGm5SNZm1LHeNJrw+++I7Y7wiUyMF8sxMFRVQexXEOQcnwoNNVJo 4AiYXFRgzvkwtQAQ8heJqu4KqV8W3+FDsG2DHKlSIyEKjM0ECt3+bHOgBCl7RYmjWxYRkX+OrWHv Uu0DqCAZVHO6y0b5kPvX0iq9mTBGBjIPinatXjPHbF+X05fhY/GzK6JSIt7NyPpJVCwJGoIUeDjZ zyWKuH9bGqevWHFsoa0qTQfB1XsTeSNOrwStUoikVAdI7aeOsLg0MartAo8wU6meRYbVjYpd4DV/ mCV2APhc+jFv7ues/Yp0RMesSAk4g4eZS/5Ed/RleeUSu/VuUWAoGJBUIm+w4kuqQ3lp1cRTwARC hZIBfrwumE5PL8kHSEl0Y2Km1KA3wcurTMWR4yUjXbPEn/UdtwFGjNps8/6nRGgWx0Ypu52O1yTn jp0DN9vEkdGF3ypOE1nN/iuSOA2vl0r5BOXAZxt1NB8l4U8OOaYuSH8ByAt3jDJqkKy5l/paM4+2 7hmtatoxVSkmivJkGwpPyqv5j/+NITAWyiHvaZeGXj2GxxJJZ4DCOKFdjgCTgtOCnTfIQiuIYevv zc9pyCovBqCFMhAGyxaOmNaN9CrNY1YFtgcZEGFkaUPWUMzs7iZGztn2AmT9L2+u/xkrgQfhUYBK lgqrC3MPaBoI63lAZPevl1yBu1psy7lq5jUvajj5WBWBIVeEYgXbOFQB9qzdd7sTxdG7neBfBm2+ SMe7/R4oGGkiq6ErtTmp7GbD50XTW9SH9pPmXKz+HOVh/pUd7cMgdV/lqzRt8pWuQm5t4UgG7Brf Gmqqcv4tuek7MiFB+xzeJl00LT2Y9+uE0P5N+K3RGjbQ1hvq2As4RTz0ou61mD0eaq/qTElBqizh TU59OjG0mfvt/R3c8z6LpwabGQclfWBO+T6ZdT7s+GOS9t8snjd8zuAw4WclPd+3zFpHzqnQ4BWX YKDKLL65E1bYLFo2xn8JgnMmewLMIoDe+o04v1XZfTdoTzKOtnSJr5H6meqDA4vhLIMtyg+Dvpvc IdoZIqlpB7YBTdR1UuuKKVlLpPOKT5Kq6xzDVThYWS5FYqnHjEiYBSCMM08FCEG5qYxYZqTVkZ54 C1BVyPsr22W/oQ7GZRJJuJLpK1QO6D1jiUfiyyLjivp6rWja5euwtvA1/0Mk+jBbV6O7wNmvA9zo QDAJ4Mt1/ZpJMGT+UkFIlyldzLDGSF03KooLAYQZ7AWG7wjgqxJmF3ANKT8WitB3LlDrc97JrYEn XSN6Q9KnoGch35JW5Pa8q5hn4tq3a5dcv2sGYxLfx8IWIvgAN186H7Fb2jduVt78ZmN8OIhIvpr1 59Z91gUmv5YziASCo/K89TVwlUGiz+iGv6OYpsUKW+3o8xkAYhsC3s1tqn/QHAbXVVfVYYOCmwYL Oy7F/qIXj8y60NydhzIMWz/+Yp6AYkw/MAmDi7w13T8FxUeqPYDu+VQckckpserm6wHTFj2By+Wg 0UWzrudJFqV5+C79dVi1W6XWmO+JDh0BHI7q4FTp3dNtI5I5EDDK8R3A6p4/ZW2Zdi2CewX8OI0N PYbflCpKczUkOSTFvn+GZfJ2XoExjaTZ1Jlvf9neWy8b69tfZMqz9o8O1Rlv/Z60mWPIw/bW0hI6 sTx9qBzWNPc44UlpkqYINYE7Je/q6voJSKwciMGWa7Bn6stLf/WIH9sD+J1uGrt06mTxgKY4ksjt F5GCL0r7ewy+KdBgjqHx2vgPlYs2K4ozLCJtH51Df4PJIEj8aC46MY3ug2rdFRFPmER5sgh9tqNr w1lXbkPNW5U6e30GCcgnCgrTWaUfZxK4fs4wviVovOxQ3sgkMhC7Tw2QGGH6+PrKwqbt/WXfAhjb qtuNZmMy0YWlHeiRbnAINJ9t0GUWe8LVxT2lKGSi7PLXwSJYoItYH/SNW6PvqTTwRESlu+WbhXBH YH6JWhmxZ8uhqtY2kjLqXUP+DkmWxV0ezN+HbXXgikoH/P5V8EgBn3vuKdvxUuyUC4e5+07D03Cd rohsSM8yJps0vEMrVVVrJepuQK0CdriT2lOi8WMmWTzqSSqOU544jqtmxXbnKUV88GbTfoWlZvsX xxB4zZuymkzrJrntEgdgl9QVP0Wh4md/hmRnBaFkm4RVrsK6/oqIPKtct1q+RXFFy0JQ9zw7B/04 Lg83OyupGmScIBJjHO0i/PjmyFnv+NGuKUJoOzF72R8/+z+uTxBw30zVlUvczhJEwqvDGWX3UG7e TKBoozMQBm5/p8ledUdxOWN9b1JeX3K1h/PmhPp4De3DLzC0cwnQ9V49VoR/chdQ3pGOlxX9fedU W96UuUlmbXlvQcY8wR7sjFxQeHzfG+Ozd/MVAfEoBCp0R0BBiPnO2blY6bJFQMw1eu/iiiG6nfw+ CvALJe+aTDxlxDeUPkZourilRt2GlnKwWiikLMkAcoEiyWZUg2bs7xAAEo5X+JZX15fOZvMizXqD EzgVzJ4jjcpR9tVeA4eTubm6CgtTa+3kCKbKDRpFTKQeliLSzwQ+d+jhZprb7S7BGc9Hdh3HL2/w 7S1BNbLJeYJaUwB7IfZcY6Hx5WkkBww3MAHOsQqx31SheDU1JI3wFr+ZXEvBiFZU/W9oqafqVSGf V6SAT1LaTQyEKG0Vp9ca8NNANJQGo8NBUPj7c/ICPGbnzDkn58vj0IPs2WwBbNNE4b1NfNHf12NQ phgke6slrtDkK/Tm1m25jgHxq/P8FAV6n9+uHeH7xl3th3sudoCBejPJoAeYLvuMTfniXbm+NeFd Wbe2d8YZIIPFTEw30Zzs0Xl/uG8NWYsykv7MxotCHdsnMnzO0N3LHUEp2qP56zOk/kqQ9fvpIc8I w/nOEevfTXQD0C7qK+ST5OGp9lQDTYKyLHKTFa1oaReGTO0+z1O8RI1FE8Gdgx+5vWGmPrcdC62y qp7nz4wRFP5vuPqhQKsc3F3B8fmd+ttEJl5HUc6qSCeJgLbHzNlOejDrXU0apXGP7rVVVCI7cfN4 NiT5N0j0ck5xvE0efh6PmlgPWZf90Z1lJSlQqvs/gbKj/sPxQ2xTRgD16IPBlt8nuZbQ4BRWJFu8 WNZMQNtqrxjSAvrCmMTphrv7+Sa8K/6myyrWMbweT4oqvfup1YEedNVRf8wJTJAX9bMt9oFaTaW5 ONOQZDnCNpGCCdmosQHTwKyGKHC+KG0gA5N6TITT2sIVUPjCxUDV+cm7pDGeoRQ9pNtGPdWM29Ye /2wYm1ETcdBFBkPqhSBC2WpV7G5nNcJyjMoCdGSHjHZcDCpf6zsspXzuBIAVqoUxXZGmB0Tyv/Cd uc3w7uV5BSF1kU4m/midOWdDeNXeZ1ucR97ljXlBe+fb2tbbt5Q17vV5Lc/BBf92qiv8937sAdTE BLJomr/WcP8i6aJuamuJ+5tGQ/qvMmjwKdDsvbxHIH+9daspwskA5mJXNdYBoyzhfljR6WT/1DYh ptflKuHjeYYZS36/eG/VmvJciPMKH6YRTWQANn0yAv+nqKVDlrnomy3keRIKDy2l4mNchre7eMfn sj1eExyT9Qma119UvoF4LGcAJ3U7m9z2o56JHgAGyrkztG0ezPWluoSVHtb7vHHa/JUEaHGVKQCn XwAmkLmGjdVVWfPvxCaEx0Qc+1qun8e1V5+g0mMtzKb96nLU1wXPCSaI4tjELltLK2pQk8kyeMKA pOgp8mBINKyvdoz8NLJwnZSMdzoH50eAbzSJuniccn3Z23kHO7MsDmUrZ389Dl7K2Nq2x2fmHB1E AT6XZOjE+cXdZu37xBDNKtk1ZeUx8/vysh3lhiSiby6Byb4rFg38RIU6dryUr6xxkSrirMyzKw4Y xb5YO88ctDPk0n7ANXbm/T6KPCQJqalQA3FZXVD8DPajXMs/TqvNFRLR3lL8y3Pg9aCfFvMirSin NdOO8bhSzhMfvHeqP8k7gxzMrRgLy/6DvEWgzp8ACny01qF3v7LXMLXMq/k0wjF/Z107QUP359Wx a9LlktmTTmrLl7oyXuUKTAcaEDFkqyonLDdxEGlEUzlNwN4BgVG5v2RqF7jCItpcgl6gHcZHxLtj 6lxjix1GxLRYTENeHQfakJU7Zqz0jkad4+iI1wr69MW8QCvkyEk8YXiN/ER12QwayjnT+pz50QWx M4SkGm8DtRzQ1rjXqaqbpMai16eD3NgfmJ8P6x/+2w1QsRTQtOtSRS7e7P+0nHEyMhGDcukmy0I5 6iZry+g66ObOy+0RcnrI8SP07zR5UIqoF8qECLjjbKnA+iImpmZrz7bGD9XnwEDCg3p9J2K7pNlu tTTg5hLww/xr8P18ZqyOrkJrqpD04lZxTPtBdkqzqLHFGsOI8mwIkKsg6afvsCHOL4HX3SwaXNk5 pohfI75Ndt64eNbod+FEIypxqDMY93MxYA+FyMvscCPUioZyea12gnE4BXyO+lcnmeTKJRjMvy2F zGJaE58EMwV3RqTtFdOhAnyYgLX9hUViur6FtRGgygd2JO4ZMmP49D0IvssD3DWqhiOciwz3+mqw xFwjhOJ/lF12llu67ZbQ4qhWdGkz+afCkLwRNSgnUmIjn82jlGoDWLHDhJZ8xMt8OqfNmEAZaclR +p5K6pygSisNC16I2RxMRecTpAnIIRw5FWpJ7XHuqt+ScldmzP09mhdTW3i0Ti87DFVEk4oIIY3S 6zn29gS8u/Ete7ddJVDcFfzLAL9O6JI0L+myFbRmW7hmppiAuOO4gkfNBuKrsg/wmGq+qnGZ+gI2 eXmKAMbH1+epmiWLaXibkn9nbNXmLGq8o66xYEwDQBUw9zmPgyvQvvC+o6O7sUuef9Xl8OK3gF23 QY2ZdybAXbhHNDlXTwuRO0lktizj5oYJ3/+Sz1QEpwKioUadP5oy4S5I9GMyLFPZUyFgdhGSLmlW WAO9WScXk/YbaT4FTMQwUfJU1B0FhdWhjEk3Mz1vn9OljrubdJUtsUj0DpAwgTORGyhKxIKBNYF2 LePU3zg31Xu1jeAA9qHcGNBElyozKNYckvXhdMpD5CjUqDfKKlyTMdFarfRfj4cPPY9wjJ1JgH5f diZe2nhBwKHi6ob7ZYFz+FRNLq5lKIGhzsq1Q53pjQiND0gw04Xq1Jqexut919kgXqYTYtVNMA2B 1OB3HukJEeHDPxQZ+2AhYBHz/VT5hl/l+q+BuaoK4hBSW3kFOUSRX/78OFHKoWsSphMcaN/+UavZ 5zMiutZ2rR0zzQndbsLgCslZIeQM+sLXrxp/tp1LoHTzFCeWDqebd1l1Jmf1fDYaoS2J4nYA0c0+ NmUZmEFkSytjacpB5ibn67tJqH55aoRBryKjCtw0IDvh9+Zz1S+oriTT/BYVbQ6SEvQgvL76ZT1u YMEwy6xEhJYI39XZSVP43ZYyViz8LaZcf4U6TUT4tA4kFkaS3aCRK8qsJ5v/8+WcuGJkwphGcHBr 6TeSA70/DwiiR5DGo2l657sGybgAHEsaCBUhcBW3LjDIr0S5NKPfGviG6K6BNVB78KSxmd4BmyP2 LSVpaLWGTG/2mjG0umiV0hUzl9EL8n0mi152tlhSazu+OOCgWjTNmdFzL0U/ar60GbDPJ+2kouVt n5YWrGAOic+CXJKa78ID/9xSLk/WFLjJau3iJZBa6v1kYD7Ef+J7inv9sSC7v39NwUW92r+HQ9w6 Mu/AbRMwmGWaAROA64Vofrk2t8Y64ICG3JGAFg29EztwNJdlH2qHHOqX6pYAQM52EqZcqUtrWyt+ uEVuIRKv0pqFbPslYu6E2uv6Aw1HHAkx6nrXltoxtPGwtYEUDWARY8DINb83Z4eHXQYnwUetnCyF 0Pt6DcGaPJ07jtnpT5GjC7RtfFQ3Bet8Nk6V9PlkEXF/5pXVtsFHpizBVnDFUYQVjrECDEZAAoHX +Y0Mv6IRPe2rJ0y2CP8T7f/4mSnkEYC7O67d2J+oiLDqA0cgrkrM4uLZgR3KGrGB7isGHkwgm+Vr rbJ+RnLMGS3fr0+hDujEtYadFopsJS1IsKVYWWw66ziPmChfzZrlZP6G4TA2/wOBjY576b0Bd+1k kyWuFCpqyg36kUhCUfgGlTDaB4lOq1wm/lLkupxVYgs20GdI+7JjXH6Ck9LibtCWSeQuMPcpiMA9 3dOLZccCQSk75bd4pqknb7RaX/FDCrtR32dWSRk7RBokraHZ3JRhKUbYHEGz6tg2OPeM0J2mhJ1V vJPvoQU7TK9RCZHSHrLDn8cuzrMf33Nf2L5xSwd7p3O24EWtQr24AITRGRjFEtQaECmbAI6Qa2PZ /vJsn8H0RPQdC8ZQnJvMNqYagx0jURIkfN7HEZ7QikmrA/6VzDBG6rcHdRyBA9D/e2RlUvd8S+RY /XL6cTwxpf/wi9W2AmCukxol66g6wgmIR/5t3u/S3bNksHnlnZARZdzZ785QQ7gMK/hss6One3ot 2PCoR4ge3Tu5On13NNxeU+IQkfJthR0O3je9k9/ycqtocRgCXJsuLHQ9HLBNw34+hTJvDnlS+xMR Bb1t/D7kjPVGr/1iiarfO3xxu37Rq4WwaG2UQpHIW6CRegUUtubADGMQsYb6ufHex+Wd3JzCVkg6 1O/YYeR32rf6DjfUfZooAoP/o2zE61IdU+N2jNjahMPgWD7EV97LC8SfQB9yABi2SDPgDR6QYzU0 AXQC2JiHmgK8t1A4qTH10J15KejtYNIdZvakQzpa1iQZEQqgOUy/GXwHrnWyzg4uLQTpCh4kZm7J au3YgToFMalQ3M5OUuYzcEJc6cz6pjv9nlAVyxmkN2RSHoAf/uJCud7Ax8r8HlmA7h1RDorJjq8l sMkIbtJYnctfYjk7JbX62x1aUaogUpZAruKJl5KH6NEy7q/ZLqDLH6NRnX7pkFTdAGVeKhMULPeN DyHKykIIS5hHvm27hvVyXXlvpP0Jzn0VBApUaWeNYAOSjCgwtzXpYDEFoNPv0Nvh79VLGuOJh7NM JbPeQtHq36IafRdK3QZ1/sSBGo1bSYcOwqGUKsG9TUffGCXsKQlpTRYVeexQ2cYNKW612N8kXTqA PWt1XnhtCw0YAVV5uPgL5BqFVDLApDjkWTJCzO+hTIBu+b8dFbgAbPBGE++yT47/86YAmFhR/7Hz Tvymc7e7fxih/lVAV2xdgTeoaxF9MVrWeEc1v949Zajp7qKjb1MKnuR4kflqna0ujDTUkFliLDg9 qddb22ny/qOSFxsSd8z7gub0B/S5KBRVkc3g/ngaY+Tlt6qqmfJ8odgfGGAhUuW/SRZUF0a4ui4j O21qawQw7mGakAc4VGNTQDNuXOcs8Pihvoihva8yCKkRPEeLLMxREXgv/le9ywp2+H8+jdXDFFLA iwUT7dh8l8+QbavOqpDoheIeHvdfon5bjWCBuNA/9sye+2pCHQJ7+2BD9ZM2Zmu4m6MW+cnZR/m6 bX4s1met8kzt4NjARWqQ7vTdaF/PQIxgU4tECPodd4GLTLrtCpcpQ3EBWotAuo5pA2s/HWaM7seN uaU5WhEE//pqtnCdQDlHClxOSnvnM8IxWtpnzSBl+shhZzMa+5qrYeQ2jsQSpwaEqYusDVRdH4ga m3kwlZOl2AQ1BPU6LVr1Hza9fjuDNQyvF2KW+p0y6VKu7nnSP1PDxAAkOtyNEAyU0AfBlYoZiYsp YSBNrndUE0nnYxL04niSjudDV8yU6BxMEWm7Xu2a+ypFYAETYiPhyorOBBVHi8yEgYhFfk5uMmJu GLfcQMTcLDKEtZTw8mINd/M+Okjmk8MWlq4k/HpwlbCyyEfj/qhB2aF8kEJWGnHcp20C+uXNQZLv TYBTVoLBMXNiES020awgmyRbeOlv8wsBYxv8tYbh+5oTWFyohF8SjtIk9wO6TgJt2vPjastsULxk RQj6AlEaFitRtk/20OAIyuQ7f521NarGME5p2ySNDr1pdx+o6C4m9/MKvvjBd8cJCBSfqSzRz8Gt geqSc748+FhXJmJdp28lRRQkdFi/8Y8cbsgX7sq+dWdyuTR7IKsx0VCwP4zYC8oTP5AK/vFG8Yay 5lUQh4p4lWrWF2rAJD5so4DpufZypeNWx3VRer3sT5v0Cp2EUDHvHSyfVPQMFWeO/rMuk5m+8ZOl K4IX++ze29G22DWEOoeqLVOtCLeJ4N5JhRWxeTxTCq1Ykm6ZJl49r70HWsgG63NSnfrbfwtTjgQl C2cx262E5ASrzVywOVzolp+8oGdlQGbpmX8rJHynvoYXovXHOeQmPSouYU5hgdStuKO5IJIUh6nM sp0BhmwdCu4YR2Go8LfRzmtOsApA132amQDEtfgv1q+XqbeEI9hOirkzZh/TGAJTLZq0O/3MMmEV tL295GwoqJ1BCntwv8al3u8SLBi3X05dar1nNcMuUMZ2jLO/X3oni1yriQ5u41Oz6zJz8Xc8XcBM ASzHKgSbR3CBLkvMJvKMun+2WWGM3hUMJI8Em0WEBpnuBbidLI+NjyI6Zw4rRAq4OsQI59upFNLu B6/LzIB3ECT9CsHdLKYJrloYQ2aS4At2wvVsomB41kPajLRNTSZut5OWH4IAByw/T2592QLHSO2T yka1a6b6odbzktPTii7IOwSNoatsr8VUpgZ+Sc9mpoU0/jLhWetySzBLLRitjEvBjfaMQDo76C5j /UKMqFesKWXO7eQSp1XMdQeHUTCDkZRIZTSQQ9FsweHTGN4byE31WRvVE8HVg+ZrYEAq7sT5TESE AsfpAh30fuFW+DW1Q44wU7C8DhqnJNAo41brdC5YbSNFfn8jCy+yx+3CLdEBlXgv18fD1K1Ib8Fm ZKx/TMCmt7Mhu6v97NosDHbthv001Jn1mMK6Q3pVmq2jQGrxl1Z8j9BeYBEyOVGEjUgdGGxYP3ss YjbjsyjDc/6XrXwA4LaqnBKbuJUdY5OtydAxpO83BYJFm9/sEVYHePmMHgaEYB2G1yDZIZPUPeme de4d6oovhOikVhwwKR/2IqQlNgGOXWX+pTjlj+eNsEu6EfgP+nyB/iZ9be3/sp8t+ZxCjAzPKdXg ITOEBY5NzUv1qEd+v4nXP6UVK3lSoaGnFQiHqFKjaHT3oTnMi8zNJ+3kk/jX2AuiBKd2c/xJoe1Q VjIPWidKkfYncS5swj7NzYm/1xhTEg1cd8KVoOAaNoEjesgy4pi2GgFl/iO6ciciH342uo1zXzVF 5iBuUVOtXTZJLMvExaOdrQDGCm6NsJgjjYnjXSHrqg6/sbg0qyW0CKf8vIp0YONMs37oMtMIV8/m YoLT8ISvcw4ydSeBbe4A273GjMh6+gJLNUyEXx4vWXZiXLTiPvt2lrEhPq28H5YpPsgK43vFuUAV o8vzLNhl9GsFmPuMOXL/sEA5/8fA0PLfspXytP1po2bc9A3t5TjsmwDr6iW0hSpwEtr49SWO3DDz 17Ifm16QyHb4ToCnfsufs5NIfqLEUqdeN6I5Xs/QFMUhvaknwOetYS9HpmDbaJri+nJxuaov1YRs NQzyOeMKWNVTQPJj693+rD+zRSb130IcwJc/0zk9WVb/GVeiiKJf55/n8UpiHMgBzaxoa5iglFqO HQ4ZxBzJsVkS4VpDcqtep+p1TOWrki5LJQa8lQPgofH2opax2Mdb+S1olELCNhHiw5PIZ1LYvFT4 EAuo8ge1+oFmeLPexfAgPl/DloDfbDPqPrg3eSovwEvHV/eIWLm4br6aJNRkbdSWE8PgWj+p8mjm OrqqAQTppGf/5hQL6QXKtxHocVf1GQ80iKAQPJt1nRfeEbVJmoSzn4ejVEI5nzHfGkE7uzBUJSD6 u1O1Bp48iCXMoOL11Uz6P+c4YBysgdDcsN6uIDXnLWe65TCfjLrPj/jO9GENyvpDmF21xSrmDlwI AeHBya3aHc9Dp/iui/G+0qed6TVFdaxu9E569LqrXGMVR9245zYdyJp6 `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/bram_fifo_rstlogic.vhd
5
21262
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Q3ir87Ss8Csv8JXx0hZxoXIMCIySGSVYtnqo+6umuqjo25mlLUPa83phb7YO7LBkId30dRHV2tD/ st0L5r1Dnw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gBvadK2EP+ZGrCQXYhLJmScnocQn12Olgvqv/MLgbNyeUJmmh3evDnMj2yfMiS8n3RYit0KbAVqc 1k/Kj+BwrTL5d50bOqRsomX4+iHo3BrcmQ/GXnMz5dnFfvhw+W8Bv+iFw1vadySK+O8CQ3w4+Q5E mZl8BVczP7v7l4BbabI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AS3fZ22RGlt6g33O6el4P9fgu84QpFBRzKGZmSwVYBjwn7fBnzBpX9QMhchCsxr+kvMq93A5rATx +v8E4AhcM7/c3fTxq1DlHNyahEpWELGz3gW37NnQCol6nr5yGRWBsy+lKKJZbgWdzkPJ3OcQ9r3L LOv13DeoAl+ZFygMlKNr7OlIEJDN2GV8qdyNhfB0XN6yCFPIctCMOWnxF3DM0/M1VeQdYYaxp5P2 FEdqXNaDQsa/j3WtsxllSsFAT9wcxOOVlm4hJ5QJH/gNUSBwTxMb8msfmGTfDxjelVZsBOSQ6maS r+zVppQxDzTpuo7+WWUZ5pdjD/wZON8xQF37bQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block G1bm/+r0gxybSLHEAtR3fEp5p2DQ5zMaS10rTZImokNE+g4y3u+4tC/GB7RusMxByiNv0mJZJg56 7c91js1nn7ciPatJuIPw6a/eQ+yQcqfHvdrbRwpwMJa9sQ2QsMbEHaLjJQoDbXgbAZC8O8UbS7kl L9G7roOYQwOWCRC4T/U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hGKB//Gtat3sXJIkCT+zuaGKTsIsV86j0iAlfn3rYgX77lQNaIKWY5P5Vzmuys4C4eA2xo8syB9J Cdjm5J8UiTllKRIr4gYPpep+3MtUUI9/Y1L9/q6G9mWWcu7yG/KU7o9sZATBMNdzujHLmEiI3xmk DJes/V18hFrq/EeolbrCfFnynSZ2LDjkRZh6j+fLeeAzljhTXgOxqW+Lb1gThZGUcxTp5GYLmFkZ +EO2hSnrv4tC6bBQYCa8kFL5T4XYwmsbV1nxlpYbuBrRh34vCbOToDsV98v1pbX7dmJNgGb6pB3y moQ4QO/YHtO4RbPD+NBeX3bvGiShE3RHVDehKw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000) `protect data_block /uj8S5Iz6cIhq9qE99qxTiUZim7wjGr8VUYGR6SXh2ISWq0AhGpmDFYEMe+WmlMTX/w5Q9wmzSrL qonRpEXhR3Bby6BO4uJBbd+6NS6U8xyp3YbLEzYY8i2iJm+fLq1OkQ/PkEJBdVBj77ByOsyL1jdm Yl1w/EtpUGgKfinyNXiNm7o1E8KPkBNreJWpOO9t4sL7Jn7NdhHsyLoNVZb7MBNrThL7g/b5q3mD 7E26LfzxLR0NlbKV50OdErMXAvT6spuaprferK8vMYTOhuBcdliAVTHhbZCjVMar2rIBHjiO280Z 2XuaEtgDJkzwe7uzclA1burXBZ+cTTmiH+16Ql/Xi588WecxvJd6rxGIdsFqVhTLbnkxROFcdMmH ftUSy45ym7s3+xl/xnY2h/J2IGwOZ6SUSHZZJ8uFUz3XGtNRGhlI1j3o3h+QO3SH/WmvmmY1f+Qu V27WQ/PhyvrtdFDSChQhJSkkiw8MAx+6Yabg3lQcGNYFGthhFPmS8NUXDHLPUI4d9T00tqTCRUVF qWoLsVX93SFmR4XOqcVPAPVBy3KdXqHs5VtEBjyvywGW6x9qiU9hoCZsm3TdOc4kBIl2PAXDRKr2 vHcNZgsoSrvOcSHDdCsyCCtgj0jTO6PKlSfwzbWReDr8Hdbze7Bg8A3xHEXG7DP+a7pRVRYDaKFU 6rc3etPDWxS9UGDFwh32Yj9ZLLOBl9PhqgAivnUCWPA0P3olXKsRrywNi2Ewk62sxizCzA44TXln mZQd35MwNo1/30VIX+F93f7LVo7n9r4duo3QiktKbZquLRR1XMhFXrDrFHnAlnepZcSjQddpdQHH R44D2+RL2GT8dBnX2g7cVFBaGl3MLq4mZ3ZJX7z2E91NvDJBLzRRr7MhpdxeftPPxOrsNwV8FU8L xbcBJURwRBOQbePv4gEUTTKsiKDCwoldoErLD0HcRYKRRzeManzx24CiOt8BLh72gAhmHf2nfgGX Zhy7IVbCpXcK2SJUzBcHLKG4SU97qOZqM6FVhECvsP8N401tPmwrWw8uAMgkyGRSmmYH4I4y17Gu 9TtgVg7LJajex0xuN34XrfSjjRx8wtCbVDQdMiE1vpFZ/LOGltGmjES9pSNju9ofl+ytuBs8Q3jF BSQQTuAkhH3SjHLsWjttRSSAjIU4FEPBPLTuoK6wWUxPaVQA8h8d8Qh4ko2zSxVeVyRQ+MRcW+fL vDtLWMHn8VqOuifFaAt6MbTDsOccrFDSmiiw8DfcPSE1M2nAGAMDdZYKkhmI0NGlQucz7cIoLCqH VbWzaJ6BKZvP9XLtp7k4fCGiqYLvd5+Mrdw5/e/1X7dxJ59MrjqRtMJ7wcnFdW+SwESxycVGootp tNNofqegLvddTRka1j/RInl5dOOBTSdHtqzac1smRdrf9mlhk7lf/tumTeWitEfZcfeMXm90IRs/ Idpr5iPsgXP6Z8V2V5lcngOQIkTYBFb9ErdUzOwyX75QQvhoTgXo3Cq3ibtwQhzTwfmNLxRLviba 7emleRwwgCX/kLFswzN2cxPuU1lnfr063AUbfnfio+TsrBt7afJrQv/93c8hQKOUyZ5BpNoUzlSh aP6SIoJKpALrSWtBC6swAijUwy62WtAV2UfLT4d5MciuVsLrY3obqwJZT2St2NeiZBt+qRdM8y3p o6Ii52tloKqs/WgpwAxQKDVsZBkNlmcu1CrHTmYxuH8pO7i+xF4pW8n0/0deEbtDTDKbh/tdkj2+ g7AMNbI3q+6/ODb+Bv13gvJACd6BU/2IH2BqZL7G/N3TdpZF/uX3V2NbQB9tMhiYoI1/tnQbQcXI Q83ff8ENzxm169yop75oHTWV1jq9aOT4XTpZtjyZqESle/B7QBMP1NyfSZyjZNoHiDpGbyHLcSol cWsh/uAlob4UBJKN92hLczElhK/hnXWQOKQHePcvF2ta8EX7SPA0xYCjNcnoANGA71NP1ftIrYOU nltzVmyBggLLRDxIih52XsXtCggf1iOkP9Tzm2k9zQ05b6QNeJvSbEoIJRDGbocl5qj8mIBDtlf7 P6AB5vJFhQd3mM7WwBP0hHXFAppz77rYORiLJYEHTH+okr08qoPvLJze1UHaStgaSspRO7I9u1vO MBnJkH/KjftbnP464fltD1Q976K4vT4KkepG6ZimAG62Y/gZ9vmqeoWbo0pPfNK+78zBKTnqR91W HLXr2n/+V7DeaASmHCOOaUrcIAqxNPo0OT5fuLt4ZJF5N/Ig/GMxZr9yLh2VH5Tpx0Tih+8S+32e qUyN5omJxi/yRjjh1c3WvRq3Mq+WB+a2eZ0pvVs2crT9s6MWmasQcd2yuyXDq9ZfJeiT7mubGBNA zNKWly3xVmsDMDcbxXR8wPjnvdyxja+x1HKzR6sLyaqRluj+CXxBw48wNbkvutkgQ0SSV1w/85rd SJrBlBph2mRhE/fhXQC3M6AQWay4qGeMRUsJXRz5tkKKvGWpyXbIVj8JkCNXs3TioN4zt1GODBZC oY2Jm4SYNdIbHZkDKOCaNcMsyPVWzc8sB1N5ouHIj2BHP6JxTkHkzOiPhs5FE1rRrrfLRsd1lC6C 7T9+J6pKwCHkY2PSvqnyS1B5ebr4VzbGibO7ejCa3TvpXlN7IDJWE2vSa0jt44NhZxGT2FRklRRo WfHpMQ8xz0EMpV7f/dti57NygGOBHEFHUJKpJ6FzrTm19c0VAW4uFNaTJ7MNuCb5vnJ2ytwwZTay CsmUrAM0kbBOZQfdUVhWMQUHpOJIj25ZFvSgvPV3+t+5yliv2nphLLp+4MlcFyQfejRg0xvWN+bt B0Q93ZhfyU7JV0h7mhwbI7OdbJKVMBH/tykEdsRDj0b7GcxW+wY/mYcPUB1Jis73ELj1oXjSy5JD lRq6Mvy46dA39cqqitLKk9BOX9Uwf8SdQN6V2mNIkagWvBtAYtuMnVZC6zk/qqAt6zQrPxWZArOz fa+la9Ly3RVVqzjK48rT3ZTj3p0oEzEJMTf4xDprPjYZiv/Yv4mDWTpE1pEZ63NsgHZZXHSj43+1 2l5QGgGVo9zqBOJnPcsyCybeh9fnGGvsSlrSk61c3RyCQW0OVVDQnrUjOZ8Ks94YbvVeJgs2tvmY GJUszxTAb1NSPfTGseyQaiRMhiiB28v3JT4uNIv9wuOJp6VvzSHM2qbBMyN/uaPXjQyi870iyL49 QcSMlvc3CiS79DVEwNE8i4T5Ke+rpP8imat+Y17ARvdx4WjVvv6PF5g5MTrRhT2KkhpkTDADbyiu FOqeBVKM+Vq3AQyv9v3xtgsjMzXtXDe94h0+jmxC90S5luGE41O8ymh/8y/yVRlK2NRoJcg4XDlB tm63UtwWC477Os6BwpUZWhzI3mdP7LyjAkinaraURQqYQcDKrVbdpcdwBKTU/ZhhHxOdqx3fDSz3 cZeHtkHUwij7tc2B6BCB52eeJRxETwGAbU2a2f9PPwnufczGkWIfiTL8tbeSsKzuJ8B+K2bzeMEX G2kQakN693X0vsCNBZrm3ARSzWZLYL7ElP3TeRd5nrJAeDeOsi/c0946SV9o9TzcjXoYPnwXaIQD 63+I0VIi+wCH6Znk9PzVFmRiSo0qslr7MWO8ENFFnQIBAu7gB+dqpzCm64iUtnpCb4RhdncS7hke DnS4pDhp2fE5X3uKHdqRjizqKSaLZs0+CjAhz73OF4UEOb0NbL65/z8Sm45QYtmVCL0KjpB3C5sa 1Zoy9F9IoTn+cDBb8BrRQF2CGi7A8PCVsMvhA8sL2JZC0D67TTsYdH8+D8/VgQBqLKJVE4EYBswS 1SDZgOZSfPxoyYMSbN/epL2MXKedpqumLgoyzAJCFAaqIOxZg5GIiO3rgrvA0m1h2EMODjep8wrI ESpmgW/XRbPZcUP8vcQFE57UwhupEpMmJw78syICfnEeSUYnaNY+Rt+m9QiDa5EzOaozf0zDX8af tqchPuoVcXiW7NcxN8YoYyyD6WJmMr1aU6jANmS1mWEvd2uV6TvRepJftAhY7MGCo4I8aPN2KP0l qqxFDAP8171QMQvpEX7DI0sYgpdm3fxBFMUurXjTkup98fUl77/u/x8nT0G7aZjwS6Ze/OkN4JxA rQCTakOua7Jb0sT456XG1cN3coKUz0W7egesPHNb4APVQe5SDKjp/FwA+jDP8rzyVt/8cH7W7dAv ALUZmDPrF4ihq8O5XmlNa3OO9Yb4tW/Hsat4o7du1WBGzYnZGIsF7j6eeVlACt+FOR9mf8LT3ZdK YM7zP+SSe74PptPHYYfNmCpY1CeYLGlEgEpOLf7I6zr6KgBpG4BGPlBI5czJdBGLRfKesBi1tgOT YEOvyL05PH4wO2Qn9nk5/nlayGi5plXcDMXNf+NU+rzCNZETC8evZx6X3ouA272QozFo0WZ2set5 neHYXOkgwBCd6eMiWH0d6RIPTg+1qG1sFGTziYcvOZnSqeMIARwl1eHpgpOPBTcefuTVxrp33wZL 4niqhDaZ9kQniH5ZnY55GNxlGJVQUBhV9p8G+Jd1yDOG1hejQXfA1HcB51Rx91y+acbC+F2V6mf2 31cn4rlYYRnlWzeo61H9U1NeiCF6L97uNTzRmkENkhhtV9aBYCOuPMBP5tFnfw2CXs2ttTvmlAzE rUHmsNpj0PHwgir/EtcHvJOqSJfcUJyT+yup1QgqmfsMp5FzwPyxrv4cOPOeU8PpwWxMySJ28YNO 0R3lUl//tJrO8pOlOzGIGOwBY6f4LdgF2HEpFFL5Sf+5mT6fY04vL3XOM++QiQ5ePx1qM9EUZM0L T9SG2xYpq+iR//kTWZ1LTHn1u1vKIdip61lqgjfDbdRJiAgq0UV4Dpc32n8+R8FeWYy9RMf6yGO4 P7ASjMm4zMVp/rBT065zOKYeICb2U+AJF/1JP9Vg4F5Loth5IQf6EhKJjLXPZlIJFdOwfjMEKNen 45Zc2bAkmToaLQ2o1ps0OfH00UbazeFjWk9mlrE5MQAElvpYHdIVKttX/OcQyZ1bK+uNc7f90f+3 xt3oKmsEb6/fPr4GGFa7041R/IA3f2xq8IeeZVQZh5KuBVHcvqJ1GB8Gen+kJs8mkm+fxF9hTue+ J6fjNU/Gm+QoPX1wt2kGDN1Atr4kArXpTSQOwtcNlpjb33aCElRVpEREoq6X8/Ix//OdE0+mikxp heV9ZB/k7RYYg8bJtjJUKMyL29iSd2XGnKA2NOAo8Zia7pi+CQWDiVksrSVxY/eUnHtAcLujUx3M ACsNH/hsf72EZGOdnLUcmhQJY4d9xz/7btX8OoDPEf/XpHKmKYFl+85VNVfEewrvk0zrd8/daXr0 jO/n9KtWjdzXyLhhMxA41/8PlLD19NJTY+UdA0wxHblvvrzsgc7zGsRVXFM/kVtiu3pF5dKpw1Im QHvro+ivwT7sJuZ6pyMC7L1iX9q4p7aH1lXcZCsAnnAZxvkGQSpLKz6JrHph6I7R3HLyNGJm95c4 BWMWVFGDh7YDnZSxauyreu8OtNpVE+Uh33hPiwxs9I9UP5mY0BWYud7x7dhbBSGdpbNoMYzARC7V W8QkZPQhwzgudChSuiEiZ44iR/7GSVdBw062eI7/jOqrGrBVluyut/6hy0Cee4FNrZ6ImOyTltgY +s6xzwj4TFNN/1AJJs3fT0WwZGCDl5F2iJUTju4bRzHTcr6pGYc+qkGK4Ot5jYtGaPdbLWfhSilt 8blqosmq0eSS3w1TunyteS9RVeNhdOaVIS/PsChLlEnGah7HoBR5uT7XdvQYRG2vdB7Zglc62Ajd uz+APApkUZjwEO2smZQDCsg4xjo9PFaSvDrlaUJh9W8xe82/kQtu/fmIlH1vn0qBxoWIOJts+O8g bg25uIhsh/mln8zpl3AZo4Xq6OaZObIhTvpWroQf3TQx+Z9lJ6uA9J47zPigCeHbrZY6CSWEn1CG KYvVpKys/22WtRS19tqE3cs59BgoBiUWpJBQ7GiXSsFPfMEhx1nnEKo1DThzwRDsxhjNoga/gkig rg1sBHnLoe9uQvQDp/AYXqdrWfHcw7UTFAuXpxwM9f5yBRF/gE/swvnkwQTbfcwB0EtsJ6usfL9H bcv91GgPrGHYPGhEW97NTf3A+MI9wjxj93MRQyPGq8BM4vIsZaZylkEugo4rJK+of9//75jtbVe9 Nrj3HtbhU7g47qDz0N1nnSrpPo2ALIx8ink1HzL2qAd8nE0qOKd3qJTn5Ccqt4q/YcsXTK7FNCKu wC9w6JLjreixqNKW/zHxwfIydAHY29lTR6jYOmbfhTYpUi0K1W8RLdlAZ/q6NE1k1TQXoio4ba4u 8jv9YQ2z6gY3hdICho+P5omU6tTKj2jAEAKyrzUoqaPOo2E7ruADsUM5hFVMKyusVWgpBW52NI65 xRNDxyY/dFzzjHUFPk7O4XaFLKIJ+SZSwyD825icfPTazVNCE0LNAdDFI9AW/K7mMsK0YK25GXUh NAkySBRgiQACg3VgR7fF4rnkP++oXcB3maV+ThakLXThLLrIPPjtI8xNbactgXmctfVscU+4Z2BR AmDNMXmVO8xfbZMQ+DZJPO6GW6R3F4m0aNGmoWjf8HVVlGvdkbyqEwjDJbqapOulpC1BWXyLwn88 Y4P3UF8uU3jYGFrirprZYlpIbkZgGvct/3NiDhnxu2wxvrAUVkaYhvAnkbH7jbggqQLtb21r2756 OXOAy7UCeqsNBXlpjPvMrNeLvUZ0OViaEp3481H4JY1fwCMvNmXpc7PwFzU3CZXWdYb8KwfTZB/S dqolF/2x6GgL+2BdFAz1qoFBWIzVuCF85LNTp25V/eXdv2jjpb/WNNt4BJEwjCLN2DVIv4z/2c0R 3vCN1pnblWEq0AjSLWIt93NMEstiWdgTgMdL8DBcgLqmvfiiGMjEUylWy0KV7vxGyN2xNi88zL2W l9YdyR9Ekw92yR9uMQD+o00reDS/wOJYYhG5JiwN6WxVc1PXIVKSECkaxhP1xM6r9ER5qbzL3IC0 aCm4+DAvA3DKyarCrO82g1ZzOPjA2JXksuqxWFO4xc7ZDo+Pa1igIFILtbD1dPGgDd9jDRd8ncmG gD+Kw2XRxPSecNHLjmBlzJ8LFCSiuTmD3Fm1KrUX/tUcm3O83bRIlT1ml6/F6H7g9RHcwoHas6Ja mdtPhlIZR44Adezd4sL6lt37ugNn93mOeyr/hYJ7rlsE4X67BBcZxP4xvcJ86PCpn9rg63UD4gGI ewGLixYd0wuQ++WPYeX9qdbphBXyyDz18fBrtgBcj7ZFZhhYYEFTGGGod5XHdoEAi2blDm/xGZow xaPfYX0ivdOjhl/3R93/uUHpsw3ZFxFZdob7g0uAEYWg2zG1otWuubIeSlyiPBd+o8RQEsJwbRmh zHhfVH4TSdIbmHiJcHiQhAXTjmTlyjXzCuslmeNAILUlKitvT0PZctanME7bzBkETJvvCl9DFOh7 GtCoiafc52tAroPQGXWoegSgakOw5lYZaIE8ot3TCsKLAXfFcK4DXX2FWUrvZ8XVrZuJt+LVSIEx RGCfYC6qDRA1YwRLcJJ8tryIvDF9bM2GdSBxVMoyLW7Hq2fCAt67pV+U6BIe9LjpgMcL8uqYfwh2 s0h3zEpzkKl2QkpsEUvDdOyJ0vUwrf3aFhW4hN+FwcF9MzqD6o0UT3qLtELREntckqwIJ3DtzOp5 VpyU/dDi8G8KqsA5V0tjQlcCd3hfHQPoINuN/FZN/Fbk+mx/Vqkw9HHdwwF4LtcwDACoHN0bAH1p +H6lnSEajcnC9jpu0/Kf1h7e2P9ByhlbF33xF1YTGvARC9sw3LlxLmTeX1fUBpz+SxJXMl1nf2Yb thmoRVhIOnDdgx5KkOWetZMDYm05cnJUNlfcPfZvDnSSBhmuVMYYUcMqc2/joSzpqMZPyMPrJpa5 r3/Dv+Vb7SHxEh7Nyx54V3L/YonV5/i8D0cjGVxqv5GaTsWitde6czKQ1EOD2MSPrKpSqouvWFVU 8Lrl7SxzhjoWmE4m003FBX7byEN2j0tNe3pzvw5jWBmYdR9ICPFHhwABGpaVSrpRiAGQCQSWLz+d /Re7wkUHauP+qDY522izzr4OUubHsu0YDyStTW/qtRcXoE+IS2GvLw7JVkEjJGCz5MWiPOFTXyy0 OlviHA8Z72Abh0qW5FH/FP+R28flffwkDk8xOyM1KetsZleVAlAg+YnMP0xwnyxPwIU/J0V7b+OF pfL1DByykbYJVCxFSd1JyzNkrQQKyPLXxqo57Y10RG0w+NL29x6M5UkTsrBK0BYIAZ50KZn5KF1O GdbpyOCCO1WYsEK+9o0kAhlWya8h/g/M2H34ef6Xrs8Ve5usy01ICz8gXGYzAQ2O1Kur8LU5mSwp IWtADoVq7VtlRL0lVVjZnnMHzlIicsH5OCKBWHGSO72hg4WPUfz885cArQPoaWa8Hml+1Sbad/3G Nn7NdwdGEmosx2yTpLsX07UQsjowCe0CP6C70UFFsphz7egDBKKfPNaaE/Ryx5uRZhpU3japGecT HYUniYzrzZxx08Fxu2pwjitvgRSCNrFMJhvqksOTK9rtDgL3CY2MwVZv+eKTUuvzpXmR5tEu6J1N aQiEhAb06vnu9J8bYrdI5S2lFOcoavPXMPVPBHEJCz+iWwJt4DqZY46bZr62p0cPk7R2N57O5MsB 3G0oBRTVCimZtszuW3val2JFe98Ylen/lj02c/uAE8O4Vykd9jdOvHqCaVam+/cBHuML7HKmb2F6 0nY0IA6FXXU3Ms+vxruWGX7F4ifhvOL8eZl5uUoX0D9R6X1vNG8gd+odtrDQyaV3bmT5EhsQUYJR 36F3bctqgpYquQlX7nygnW1pmfQxBTXxfnFrRS28nYxcmAnOwAJG/uEeHWAGIvwg37Qm83AMgmT2 xZA0FQdw1dohjtCg3oO8RYM1Wz3qw/Ju7TBdlXQmeHOjKoR5DscdDRb14adB/HChFt59ofUb7wrN F+C3lT6sSNl+7pzfkFOHy62PJX4PiVPGZcgSooUum5uBrHJfTmHxNcz+ZitJChm31ALzMD3UUYic Zpd9w9T9I3t8KtG7wvUYrA3lTHB1VvJzDZZ3D0caUt3v6CW9Mpaj69zGGt6jCU9TO/5mspRU4+4W c7W7BG0O4p6YbmaAOEU6cgyQDqGfaMVF+VwWmpRHMkkiuDWEC89gbqZXw15vz9Ese9ze1pAE+Dvg 6qhjNd1rLxYY7BgMfgBl+FTX+KV2BfM7sS8waIrDNUz7DbCqpPBf3btzldtOmueCm9CID+A2I9SU LfFEGLsODODSy+rRBQNCYck2yzoVd8jntXH94Vcael4+dywcPEiBr76DyorLxSqb0ePBw6wTUEzo qLq7qPW2h57Sf/QETXjXp3XRecXpnz/LGXwOpQT3/L+opa6aVD1ph0uauL4V4m8e4O7rmoJP4eQD BKOJRhaAWN0NC8frcLZq+QaQEQ9wMtmzaKhRxD0ttP20iivd+KBmcjk8iNOKbtC+uMwAEHHcsGQW NBcI7TZBU0vxMy6YXsDOY8HXHd6WskJ4+OQbP1h8pMPbdPNGmKcQD9HL6cP694aQ473U7+KlWBO+ 7bp9egTaMrcQ9+8gC9jVS/52CKMniejuRASUyLcvItaxxf2XfW1Dh2Dhfp1kYua2+6VGww746mhK yHOQZ7GlNKxrRVijdGQ6EY2WTntM+bdFZCeX0YhRxfZRkrkJ2OZ2w6tyVndlkZb3eG7XacRxje9b 26m3Qpu1oPLTOT/lNrebUDG9IZlCEmHY4eN1UAw+DayM3h3NARIznRlYIsC2XLCjOUZBDWdRbmw1 +XnjQdvj+IILKekdyP/BBcarCHiHqUR5xEka7GqXKULY0pvfiKgzqLnUZ71DAOUdOB7XaFNFzjyM 2k7pruc/kYUUAWKM375WGr+AYCUJBqXw6smH+ZYj+GuKmVZMZ+tPaQ85fnlN+r1rLQdhHy6onLxr wXH1VxYeS/5++iqa/7jkTKRF9UOaF2JMqhYKrMjjkDAXZT95beI5HiWQMdAA+tdwgpaGo6a6ZLbZ 44qMtj6MGw/QJm7XO6Hy9HsZLHdLqZ7vKQ/+ldylDpjTAuWKt066rORyCPkAoFMvHYWqWUdSPZwx lYi98VyxWLIOL+HWqO27XxMX91Zm8zHvX34xJu61sfoG7CR1XzegxFQERJIc7cMR/TkGj/WzHqua PqluyaO5lD1xFKpjBvCjVh36oOFRypvKgwK/Rqa7Zraes3k2JcBJlnwUzqVZSU2uk9zFeMF4ZEWD DebjQaF3aqARm/aHmvcj29BwD37KQdW5H2ng5n8DNPSZp8zDZ+Dzj0bHpGkiAsjzFihFMp+B78/h /0jeNnxpU5upuovvLfcH6UfgBS/CK7lMcHY4lwq8O2X/Aa4zxcdiH+XxzBEgOjVOJ3ox8AuJHfyP 2hroRGjYU3EbfqzEGrSXsI3d3MbVrSvxE7R/otUO6rbJ+nzt4ZRyHuKkPWiPZvL6ryeerpSxm1Bp ltAXNYtvMl8RI2tvaSUkWmYsNvgQQo5ZMw++AdF9os10O11RdLJyv3IDGwo+22sXJNuU/4U8SCRt EutAla3PAFrE6aMoRlmJ+hfq7rjVtoxUu2BrP1VoU6W6weqICXU2dA7F6YRMG1QliUWaF2h4F2s2 T4T2TTYiX6VaqAoF9v4iiDv4YmmIquNDvzkrwz7vO3u4yg8tR/VVNT2b2uVarZQgjf7lyu6S2MYe m2W/3LpWzfITwOJX+DHm1XuqKAhFbEfb5euYIaGX5eADqiTfSCHEUSJcOm5Uejs2u7vWdl7irlAK LBumHGHg181oV1AwXFXCQVU1bDFBxO0mirF1gnMCo2VVY+LbnFUlzVb8pC7ofrz69xctaABohnsU 3RYh5BoO0+iL7cMcjO87DgPc5a9XbXa80HS+ICZZWLC6HZWhjHea0N86+j8udwxLs9CX4Y7ALhrg uXqR+iCBlM5LW2Ihf/tm2nv417BnINo2tc8NdYDq7GSoAQM0muxrJ09S7SMxSGNJT/1yXPBlFR6X AaOPs7e90AY53HrtFroWcgUSWk7SZEvmYgaC8Q+t/22OGbCkH9jMtq3/XWL1+Jvn5L9mxawxcKkX EQxIHNqHpprQG8GTzuxzGSfrtbXIvo40tj36pZeI9RDTqDmZwSe3zyLTgpE0YhkZcy+ed17vnYlI dJSodDmDLw7t2pAg1aYf8wZHdRNtAp5xhjJ77sMAJf0L92ejnVV5fqPrP7gIsIEHq+l5Hsk8fFkU Yg40WNIno4V5sdccgxCDPZ0YSL/0ESd+4SvK9BtlZxR01bCgMnh5+zkAZmD/A1SvUTrkzX4R4L+q RYiXnmJ4+dlqd2voCThmfluIrEFrxBlYQWbcuCmygqzKKcF1Y5yyCZwX37GXbzZyGEuF4bTg3T0U 3JBMqSRUXsQ1WSisDv2e3lnb6C2UbgDhc0ejEENxs853mN/XrHOvdWkDNckdxRTNPJxgr5fRc3ba Qb4HedeWSlTS89NDIiMXxqY8DVVprlg24HVA7/DR1NiKwaz7fCdZtZUmDEmPn/jhfxccf/H9lbjS MgcCFuk9WVqgeAsdztRrETqt4noAFesUfxMvDR8hdCb4IZX0wKLr+BL+h9K3tsnivuQaf2vwQxkp n/c4jtteC/5hpeVEQp//UahQ+hotvl2ZhbqAsHcnc08aNBDAG3sZlgV38wYruWd38oGtiFA5tFpR I0Cha/q3u+uvyybReZWiKvnx1PbWJTLRJUK5CcrZLejp0NWnHHOpcWfpsJJocNEIaFPXvghFqm79 6CVjCqDsElAGDISu+ID/Af7Pdyogr0gvdGTlLgIT3p81x69xFlrmURjlutf106xyHaELlFMC+RKM Aha2be/AsYJutMDaIJOTOCMeFdJFySuJKwVLYKylv14yOqNGSFBP1gM+Hw5c8bxvHvIRynRVwVp2 UFFzGgqtDA91ClYaFHIQZ18v6x7PoBeiUptX2kLqqplzVp1Io80HPqt6c3gMa4AHxqTfhy4p9tsf 1CMDIzess55gjlWWD15oRblEo0DiVe7WeAJRmq6u06o8281OIfegXUxTIXWYD07rhRYPpF5WKF9O R+xoCUZTkxb6VppIlVWpkm94Rh7YsszYqU8LdjDRZkzJb5Ez1gB3u81MLqVNWQNhCkvPmXGH7nMc XHF1eXE26uhV/9/pnde+wGjID8aTaLW0Fy3UZ9u4KS1jJakwEwLcZsbWXi6NpsjNe1p2avR4Hm9x Nyqxr2TRzgJbdtCJ8p9R5kcCHjSvwIbGP7jrejIHvts0bEjmP9J53eF8iTiqHU9C7J+TuWOlqH0z 9E0XsyO9rTEIvI3lIrz02C+prcTkEDNKD+GAlOwd1dLPP1nbPLSQTUN2IxFmNfV7Vo2wQVV6iPF9 Vc/Tt6Xvu4fHB5WFddtAlAfd3Id7EIk0mDHgVZV0RsleQbs7pLB7UJQ9KxaWv96S0jB4mbbjR6u6 7zjGKzd5XXCF2RPydKZ3hwPSU+8fe7U8+vf25DR/xmOae01XCKmNP/9SBc2T67BRRfiWSxNCTIow mThxQSy+/xH7f+SksoYwAY5Z6t54EqAKAqmpNX3jyQZMeE29D0Kl2ap1K+4hkHRs62pCrmGminrK eOHOm11CrPvoOplj6Tly51h8/sv+sFKi43OzQp/+pkoMzXYtuuHTRrhAWCHf/j5bYD62v1P5aFR9 7tCJUwOvh/Wjk+ycCoj3IjZ0QqGXaFvvS2947uOBSKkfHiih4P409adDQYg27osYKK2XOVHll4Fu oWd6ASjyx8xqx1Wfxv+KTXTSKb6r9CzRDcg5AO0pkzzckMxOf5PMsWEddETmzqmqHrtKAp5d/FaP sum8riujwLx5mXgGsnH6Ch1IeAlW9A9M+WBqtn85C6MAnCdOP1lY2ZHgHVUwu3LX40leuzA6DmmM 1AyNyyiUKk7lSWKxMKa0AT+wd+n9p7tn41khqC7+KzAEgqLScbZVE4+ieIbjdK56xtxeoEoVZfRM zwKyInxeczWKBee80jPCYFOT+nd4B6GIL1STu+Poy52NvvjU4wdy8Ok7aT4F+EMCBVn6+RZdExxv +9sWB06m29mwzK5gu6+7ZEzCgOrbRUU19Or3qdAXfSrk+mFTamcwznh/QnwaHOyq8o/a3JI3Oz3l LOj74zHKfZxa2Qzxv5AovrN9d74OTb9lHQaEGcDhzJ9UhgzZHr0oCeXbX0ik6jcE/8CCVQvN0Off Pl9Lgfe/2qyggCRp6KiT7fOMm9OoV8eUJQ0UQTOrO3JlBByHy9TNV7RcxbzHPG8Di9ciQLJe5dQX pzD3AKtL6dIaIF9LUrdaQTr3LLkJl/CyZ90f1LOAAq9brcm+Vy9O8iggQAnJ8lLNmrxbyDUlyFC7 SlUzIEXtswx+UhrJYzh55137Yd14BXp+UIdmZy4HCVU+4TXIlPiNySEaRHICnuz4vN9hozXL6b8U gtCFzwjDMkv7WoMwmw543u0tTn5BdLRWSsqNYK2f6gv+uk3UQIz16lgP5bKpnQy7yyLUpPJh8nCo I6hWnMr5xFTwKHfYneA8KbacIcz5ly4YzygdV7dJDs8dyftes4pqa4406qFPCgHWSMgkPJYJvZzp /f9yj031lUmn1YP8CFXG9H95qhazlF6oviiXadQzu1I4sx9d3BEkA8zoDjNrfdm9sQ8GFaUozEyC 4hXF6OLLs9jSuIwMM7OKrj62pSoH7SGyHdkFqKXAPh7y6JLNgattpR0zUPCNuzEAaXI9TenW9TBO 0tGnx5QF/6wwq57ZAb2/MkmZaWXZ37MePaRQYqe8A5DLR3a18YA/5GfHdZiJ3CLLe49UBshckAOm dKNoLdsgRq1uID6h9mowyEX9tGItxVIDqfyKWPqld1aCb9EuxQr2+qCPL29/QsUwx78kZxS7Lyns b0V0d2RVXz/6gmI0//UCejxHwGOWVDnug4a8/UhDyR6iHIQeUbJfN4qIKaZzZ1S5IgpkJYU7jKSh 2ipUozhlZRsyyWaQqAk8X2ZvmYIcgpEebljMP0FKaU1uicTOd+Q95v/2kRdTnn+R4jhtepJs+Mif RPngkJjM4gkGy16szdy2IsjyhwLXNC8wE8nUDnUTHcb/RwL7bV2tdjS5URoUfhGNpxeDwijXM2Lf JeKdxF2siMXwboq4vC/b0mqaTYzsA2BdDYNKjZL/FsVkWfxOryrS22/O95nS185y8hmNqcu1F34R fqvhELAviKqpwUmYLOQEUe9Ca3uNcV1W+215cLVLal3ErZM9gnrltTmoy4YLit0+ZLj6TP10XFkj ojKCMgxjayg1DHZ2EZXhPLfCwSyA2MkVomSSqeOygvOfpCJXZizYUNRkt3D4i1kp2QNtru8F8acD 0+R6Yv/W57JnED4nWnQzD1yojNjeehIclY9ar4mZM7S0ZQKdgI3sv5CVdzxWxjnGlOOTknQurype 5Qe81BNcMWhykVs7wAQkbuVq6LHHYgEukTf/fU78oFc0bLbnF9F6vQYxl5i5k1iYQd62Gf4OTvpJ TBN4l79e+MNlg7PIQUGOKmIu0QKmtucuBA8Ir9WHzN7/rttaFQAjOD4X2mp227uBxthsH/H88ra9 entSXBDGcsPgWwG1imdd3B4dYc067jq+7QHT33kREnkDvHjGDflAzICRm+o7a18BG0SV/9z3AcrI +t52JiDk063BNTKmhrsZXUfoHymsqTFtLxL0l6aMG6czSoM5gGkzrFxyyI0gQ2wRucOs4GItDYlt UpyGmeWAJPWVVX5zh2gXygn9y0h+rsVYwwgjWn5Sqtem265Uu/yyXGpDJv/zxyjsZOHGwBvk/z9K 0UBht1CoelfDEnY7CIvigSwLUZVgvwZeStTC1ouFK6ZGwx3TTmLIRVs7ggzZ06qYOoXbFMhYpWuE HP52S4r7xtx5wzzMjqBm1SCxpnACsz6oMPaoX+XUy3D+S0Z1IgCgXgfpB2jNGn0TJtTsHrxg1lLI +6UPoZn6ycDZYM7y9Xu/Rr2yH4ATu+wKCBtztEsXQq5RNwO//qN/9D/gPZeMzjKVbwS35ukqBjTr L4ViE0H74FLZtyV5s5GHSyStU08WQ3LtAzOSePfYTIbnDOyz/9NqXaFuViTJvLGW2F6+8qqBFCcu cJk+Gk8XLUr0lwbj7Ntk99/N5LJZN3+bxTuCTuFuV2yPHPDe9nfYHu+pM4sDForBGh1iCmMKwjCf dAJfKfLKClvjmPkBdnmpLaW6af1HaikhWgGHErWuy0Q/RHLXx/jIpEE2EPj4BDMsBF9Ibqy/PBkk 3APMRnvBTgTSLSYrz1qVH3MuB6p+TuX1OPIMOuf5Hju7LohJrIYfL1nAlxlJxbhUwElUD8S5NIVy Sd7JLlTiCsJSymD3fjm9CXWPlGdLcBWqRuf4f3JP/S7vSjQHvfsujrUl0vGhB8sz4T4mtpf+I+bJ VIPMdMlACfphYTakCvwCRNyKka5BIkiJUwpfhqqD3Tw48DT9NtpRL/jwBMB0/Wh0YBq2fUC4pvZI jiEIEOtsMQBGQppzlqdadAVafOoQ02Yr8qSFYXxmM6/jfqBeGfN3pAgP9n7l5UIHmk5ljL9TArr1 HhKI/o2Iup1JSvkYyEx9EzFosrtqwMIjsZiGvqCEYHAm5FaTFQlvCEZZvY5FMEemTdc+HfOt4Ub3 MzCngwkSMGW7H7mjut1WHb+laKG9d3PfWi6pKyafsVSt1lZu9nOCOHCNjIgQcN5Lh2SCkId9ekKH TVZD7piGHDzb8X9x27tmqoaI98CPispH8gTp5Y2BrsBw3scEfci23/ApPYmYFxzSdX1e+AYpNJMz 6ayUxiwFBENT6k2hRfzA6M0YoXfLwpGvENIu+BDJGkA0A9URdbUN7sxiQSZr9uaObAUkuCc0OIXg pF2rdxfS1ErLAFSIw8zVWidQSqcK36H9y9p8aehRyVi6Pp0hqWXXpOMHe759qsAtd96r2anS44pu PPA3mnDTvPXn3iDlhECrnQtb8KI6U8GTk0GCrX8MprTV4e0bsloT6WbCpRV52I4vBAoX+Km3R/Au a96NJP1bm2kfVt0v4xy4WWXhv9l8WM8/bPjFmRYaNiIHtKoLiwf8EofCBwN5AWat2lIom2vjiMmu s4bHYAHEq/0sznMbFrhPBk/ipviAsOufBNBJBK2KC2n4YzFBiWC+xki/xqyX6ExZR/Yr17bAcBU5 3SX6twwsvz+s064JC/Phglgw6dlmextnfCf8Ij1K3DjAEb+JuCMGz9AeBLF0zLuqcY1BRhptS2Xc NHASOi6Y/MRfzi5sBGSmjI7eqmmrZY/TUkl4Fmd6P9Y/09aVbW3L3UneSFD9c4Q0ojFEnNI9pvSY HyCo/CzpKaKpTGIWlGO4RiE7L/U+JNWG+bCKUIXXsxLwU7Bmq5pHso6aIMPjfQtCMfcycubSdkiy 8/KZm1avb0uYQxOtEdqUcJG3MTFzG5iTOtfmX2dSJiCSbTBn9ApedXe7W1s4qWxZf+vYm6AqZLrA NX30LpiUIAmI0KTUGC2MiXvZ+IDzq+UwN/WaWWoyfYC/lYEfnKDYaKk9ipfozVVjP5aIMPa8Kd6s kfeFIR5/lFP6K6XqyRnTumipHsWgSJgGLNuOCrwkL4D0H/xvhkDfnFn/VhhaRlLZlc4kjLD11WMr AVhXcUuD5N4c36O66eAI1ArngdOU4gGh/KkB+CyP9kwq1q1QMVg2t/vfTH7rIu6vxh/ql7sJXqEh ByegyKpQGFXTBHK3nYMwuZyl0DC4GA3gVXK6Nw4xxeM4aTkfqGK8ojBLIsDr/B0gnA59/n3PSIBT gR1O52KO9xtaYj+1v59OnsNhsL0aAOAn3tOxtr0IcC3uIpP+VXVS/bxN3ko8j9usvaReabbmSDba z6Rho9wB8eOMpV2DJxnTfE+YyxogPieD2MofGAA8uKu1wrTJCfm8/Ti8wwciF/354kbSbNfDJinU 5vClWcrvPXdLnMU0jJwIisVdqCsi47p/6o5XyIdbxNGtE94rzc9JdLFuEgwfIRvrxdclHkQVx18h tzs0osIJdIiycmKx0KY8Zroi9rNM5JWD0MPL0UdZbKajkoGC1VqYht8ML0B5e6pPom80ZyydFzdK vHrwaQY1C3RBlgi9Avx8y/MMEANM98E5M3bIohS1onSGD8fl5bR2yugY1RO1MwolSVZtB+Ij9XIN dn8IKJ5q78QuUeTTp4ImOUaPOtVIkRvYVHXsaKxlCQCX3/ogDuls8vCxPxJtThNVocfq6DPTAFrt 3hXKFQ7xAhXsfLBBcnjqzbeRiDdJWSDLKlyly1etY6PjlOt5Adv5sPuqiLpDez4+tDXpAFb3o8Ag Y9FOztD22eyOqAPtz5Sb8Oeko1HxxzTGsUmwl7k6e+Tn0TEsxUEUxXaEnCQGIPSZzIrDDdmt+Scp RawPKlmwd7NZauFmSbT4vOE1v/zSFW5EBe7VWQA4yU/ImhHw5ebJkKwFI2TuOLQ9mSpN4JWWmLMm GRVg6UZyzURQINMygm1eDgDUlzaQ23X7ruAQF3945O4cDaa0sJ2/QmwoPRPjTVofexdP/had2OlI +AGJZXM5/fessWMzfuRgWBA5XJrPRaH3ATDIq4xXg/vk2lRNDrcr1xV7TN6st8tBxRJgaAz/1j8S FfRbWuWYgqqfYgPWcgnFOLRx477eTBtSjtSCbmeijsOFZxx9zdkZE2xA7d8F1T2NO/KuvSN2qKQY K7MDXVRdfyX+KwcQu3oLpqItwGCXNZmaed8rHri1uaruzMnRDO4WIYqYV0NCZrDwVvM9bCLcM+tr KX7dIbpez/INlvnqLuVtrcJJXmO7jLmCSWLGcImp2j234VPj/PcqObG0UJGbr52+xTM6VrWPp/xb 1XCON/uUt+RyDVH4HtVMqiSRlRirLvgn/D+tQwjiihWlTFe12vTVbBMan6rUwWcMLz+FkMvrJKCZ Jj2hVQmL70hhUFwBrbPz4ywJTwsu8lD81BdLlYMRtiF6oVACOJ733yrPOGv0qJjJjqTNl3JCsap3 ekpEukLBHxMw9KShMNiGUewNtR3GKBQxjAsM7XKDoptxOnt+FCGY0Ii5wQZ8Rr5sPSrM+FzIzw9k 7ffjGyDVwHGhfFyWkaB9iqZvzr2N1Wg3bsVzpLiaORj5VVGhP/1UNtssSvfD3I9RQs/JzfSPiTad 0SC9yV6M2GsZJ09p/VR6nOS98ONrI3BdiIbHIi6U9NUV9HgD1RQmFEVR5U7wiVCFmTC/fSqpS5Jf 06AiPaQ6Oe8SGcP2rlRquTRo6CcZGLePMH8Cwnx72W9SeBuZBF8HXPy4etR2hvkikfokisDN5FkQ 12VT3bAWjYW+E5ZQ/S83kiOxRoraVXp/fYZ6473Ga3YkN1yIlop1QCIU6QXA4AY2+Jx/44KKOEuQ g1JhuO4DFuAplZ20pfGusb/Thj4V3iwx3WUFtYq4pHMeCZGYXlTTNY1LlmQ/9+7ljrWG2DJUYKd7 BvBvMT0LRG06PpZuIVBA3EKNLP6yzKGEVw7J7z/HS5/nHknI/Mf4ZqQIm8B/xMhMfUhvBzFWIaaQ bq3PQcNut16Sh160ioyomvxS2OYlJ0bWL18V28W0L5M2NahFSWCFBPh1opDZSPuuZwV1zb5b/9F9 ulGvJ8+BHt20hz9H6nTNCEGt1QEXi3fNrg1/OBSy2BYcSUM= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/builtin/builtin_prim.vhd
5
111502
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block isrHiAxWVRJAlvHNm7GjJHAxGt0pyvtoxxv5t0Wd6WB40cmMDqHcfYDGYlRmbGHugOVsmu7z94BV Auhxq2Oopw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LfGKz8fHsYhrbAXeSgdX7Lp+9ocoAXBoY4EV95aQjceOUd9zgdFemn1D7cm37K1fF6MBnPxU+1AP aaozaItnzT2wxD2H5kGzPn+OmTnoTh2MtnqxILq2A9lQTia94KFlty14W0EXM4uIBmobMY9ken3X dyVbR9QtzLt49+JbXA0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QSNOHEeeuUeqpji7ip+lMNxlARVuzEUc1G0iEzT+ysTlR4XmsIueBaX4wI204pG9pp+VcDVn2Lz+ T/wnCVoCDdUsF7GcP9LLytmEX6M82+LfPvevGX7uw/qMAJ+kpR+P+RqArfg9kerVKBClVMJB/TQP h2FGRIXFuqKZbH2AajbHA3a7wlkfkiOSsAg30PRvuCoJ/unw5X/Fag11j6PNTTrrijtuFN73JNyb 5kOC6tKyZtoafGyO1Wa/36HO6r/82r+ll7826V+FzmYGjc1MHD4miPT8OQhGqd8dU+Qpe4VZF+J+ mMz/IA+9yJp+9K6m6chI8mivkv/eF9AsT53saA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mebZ5+XGavNib0tp8857kJ2AQvUURTal6lOC2EqBJ7vXqi+hVSpgqZRUeltrJlIthAvWQ8FgqPqF ivCcoPFtP4OhL5E0Z1AYLM7+nD2+6zwjnHW0aFb325QmOVjLVMygQMWoIbjoKCeX/MYlB8V/tbzB MmIVCJ1HfBLthyBvS/k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e3f6LzPvgF3mbYxynCmrVOfzDIctiZTHRtxeohww7Sq52zZcIggfkubbw3DzRxzKZla2HPNH4Wbt egBQpYQwzhFPmLK98gpt8oA8jvC3ypM8SlvAT5gjy7+WMuwfqW6D1WxmJ2tKx0ArN0kF6soS3xdd dtSmkMPKh76J4oTMIKku1vkgPbLCDgD1XsVAg7adQVo2n9CuQGvD+2ILrnkzneyf+xkMdEbcabAr VDxZjRuGh8lLgBn3LLP3VbGhM6QBB8SM42+MlpGn0/56QcbmtnqhJrTJBTFalKfy3xmxs0MbyOwz L9EumFR18SOY023UwTeSl9pSy8GTFEeyFAK4Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 80800) `protect data_block xp++znWXFMFd6ijegZNckFOno9DgDMDjQJqV1sUu+r9AFS1J0bcdCRvq1Cj3k7LEMjzs9o2KfiAL 1QfXjlpY43Vo3oDXNcBWpvqcDXYH/NdJsONG6cjWu/qzyCBXycz/4VaCCgx+Ia4c1lGZFMKIjj85 4jtVGF0hsKeDOmgpcQXZNdTBrhuwq9bV4kc20fxquhH6ub3lEFxzcBA8DwaT51NhbCZk13eie/jo 1eoemBxS9USX0J/bVAHzVlU2xPSa9sSAP7iuRgwPhRrtBD2Br6sO66CAc545sD7l3ccCVu7U+Y4+ rzJY5lwc7Z7HqAoI6KaCcclqBUW2+U6pfdTsqMK7xeSnjeZjZ/GPmjvEUMnYBBGrqYEIDuFbdnE9 UqMbzM0S8BkbuYeUSiZmaFkO9+CUWRB0+2s7K6GAQG6KAhbFubcQrb0OYJKnt2GIo646ft3e21Po P4ihK5uUC1Nh6kfprVmdRIbYZMFepNMJyC98gmC0sRuRWbg+G6lXzlIzoQOJZVTaHKduHxHkBDeu 5Sm5E2ij+/aSry24QBHW4ALGiB3uwyKP2aeiN/bdRiQ8njrRR+KkiJ/9PmNGq13shy2YKgN/eCuY FfDxixtofZuONMMqgNFaAPFmORnpSNgd6dVKilMv6PxIUPFj9Qs4AUaQd8K3nV4yNniAtlyqrr/g 1HSbxJa52I9cgSZPBeqxZ56DhwAcolrtSOKU01x59vcxV8SBr4S9AXMqJnIaDAL1gsLBMklwLS12 cZ824xPfXN5MlNu22m8PZMOH2ee23eqjREllHPy2zuhAhl/rxRnSvPMaT+G+1ADtBXz4jc9ViGkF 0hcjGfT6Q2YSqiAF3FKck7/nBDdEwY7+CwpGFopE0gxJzvr9K0z40+yDO29SsaumeRBVwVZxOuRd 5nH6iCZnzwUxO3r2ChpvH8rxbWG/gXZ2wMfSq4COJNS+ocKCWcTIAFOMX6oqldNliW8mpv/3wICk gnaYhFO8E1IKqyZmU83gnRLM5Juv/3k24jyMm93DzanklWqyfac4eMp/Te6LBNUoacHyTdW7k2Ef 9JyNbftA9aO7Bs0Vw15d6GHAhS056RHcvwZ4VOi/vi1J1JqY/1TA/HSMUaTxVmDo4h5eg6p+K4VF cot/6Zsb0xpWdtS9jMfZiQe52vc9krAyJiVdG5KkeyFnlYOcgww4VGo9V8qX9KrAiOwZwTYIL5ya qsdO8jJEMm13d/O5QLR/EIDRL+T2oJxpNkLfAkoeKV5qo852DbFnpwEfNu7u86qzi+O/KAX56jOx mjMwEjf3l8W3ZYPlTkb5LcoTLBCqHC+KBL80ieE3LkBHh1Mvtirrr2YeCgV7Ik/SmCBvRte/scEP 3Dx0bbXfz6yjxMFUPWtz2xGmNGRArraGBNrjFgbfZVKgbJx7TQD45c246ZkZC7ba9si9/qjaURJU 1IXxM9z+kntzXOPIqjNuVVyx0mHossgASNFi+32EPucPiw2RACG7i0MMk5vglxPENFpM+AbrEp+c wvQtjZCMKajoW7x0/ZxmSmdF00bfDVa+2afbvzUDKBLKE+QC+mtJel2wH8ayZ3H2Z88ho2FXFcft OqMm8F25tSUBqPOv4VVKgjTZYKaQusudzXRRL50R8vSxJe991Ps68qUXkwHkVKNAPUV762ZAB0qC dKeedxMsFQjGpSL+sH1iH9IJpWyf7Cb5vZuyugyqgrtSXC8aEt+HQy1dKbBRcxCy6UAFPFheLV11 EnYZMm+aq8NNL/QQL8n6KG+iAi+x5JqoJOgvf7TQWJvFvO3462EfJzYlDyFfnrVilVsJiAEOivhq ABiPk9W+21BqI7nLpNCtgOCCeTr/BoUcFDqvS5uelAihUpB24hZdr1decAx0kqds0HRFUJIXIfYq ctTTsqKXst+nvFE47i3JAkGRyb9d5ll/XqYJ6g3PSekrvVmL5dU4LqlVN/IvEyPXnoAbQAaM3fVe HqhkJzAC63N2GJErb6tYPSzERkRyTiMvCJAPIlHniGsM0f5vZcfAgST8qGzAfkOw1BP97/eZS3r6 V/Vjzyhy4EepLKVPBowPqBCRJSCcoD99hRD/LyuMfPH04zLdJf8Oby4fPGTfILKMxnzDFQkprdpn 6HROJw+wW25QLS1ySbHIM96Ydam0eo81uqssRNMEuehxuzpqw8PY4d3D1sE7BDxJzGG/T1C5LOtA /T9Qshz6sDCQ6f109e07GZNt+hVSeVQ+fy5H5+49ZE06Pmgkf/wn1++jCvJIdR73L+S0GobAAldI FMLlfmCSmKqOd4/M0Y75+hDpfZFjIfbCe6nElkdJP4VcBC6U4Vt3RVnaMXXHs/kptlaij80mlKhn hjph8ri63ZhyZGg/IovB1c2TS8OErii4QNJyCRLKyBNSQEIgM/w3q6mG35bLa+iaw3Z5K5IoKmhX EEs/JOESNUs3Xty5li06rKsnTTSGAySp07rz1R5ZZ4ix9SR/rrvQmTks5TvQ2BOjwDEwXeD5HmTr x2aG1nBgBPSmZZx8tHFfhj5FGRw750QS54Qxepaf6A/iAHlp8FkRFENnMuYeU/QJRE8jNKa0IBx2 zkMyP5FUvTrG8lvBWvD6H5z9sU3v1nFvSEZuchDrg3qhVDBg1o1enN1raslEu9kSHoAX8dFc64nF 3USU1GilupTqXNZUv0jTFqcgMyDZV/jCLQUDOCBgLvwkrwlJY8bOKz5hnuO6MLOViHk6xLprfJm/ lOyQ1sVi4yoIZd3PqDoEy+iQ3Py25h60Hr4SoYH/Y6+0jQSe5OiK9JfscDZFyCm09sbjEv/+YCdy rp4Si4SdhPVBQwVCmZK3LRKpufWDKVem34MgOQJpuZ4RDIMvYbVpYZM9uTKS5xLF0Ti4OsctPI2m Jr15foCnP6TfFdbnVy2AsC4Yb38weo2mbnxq9MHdNjqpi+a2nQsl3JcDSOl6CJ2Sf1Kmi71yQ+A+ ncV7VSZ6xffeOa/FigrDjfV3cK1wEyr3FPNX+S0W1pDC8DZTgNNl9p1ha6sh5jrxBNmirz/4trFz HJGYjZcm0XBymlcA8b/k96N3dbPStlLHUN2ooxekIaLFhcwzGwZ5lg8Q4ikBHApZi9R6/MjlYY8m sCcFnyCdGfsTUODqNg+iGZQctbtStNNhX0TtBq/5Nk0Cihc2mDnZSgmDKgFXtRjMSdLQO4RftNuP RoV/V7JWltOxC9Dui/LA1bVAP95wPzCHpWhOzDJ9mUW99Sro/PP9IoyVMSgm9osPIJ8ggKnWZR7U Br3dbyRwNyZUKVvGs1Ig2lFxw+AJpVjl4cgT5R6wb7/iZtyoSqw9YDjzStVzQJEY00dTCHlnUDmi tuQUkpZIKT3cJeIngQm00CKjLEXvpNvgpdi+233PqMBszRsjwl6r1mRF3JY6awkPTXnanFdsQaHt mNPdUks3+OXkEfG63VRZ8Eop/3QCcwAH0123/NY+atXoxwhrIfhoYYBAtl1pEmQhlPm+q28gXz+/ BtDRoJ0+PurINffk6AgJrKaxRcrDN6Dto0UhsLuPb2JvbCrhQpvSGd6j8lrwMsbA0xsXd5T/zLMk y7wtOJ201KwEW/awAktsCffstXKzwAjVC/qyAG9GWVQ0lGPSRSbTXXUo7u8TEseCCvtYQz+y5Rkg IkqpR9kK+zdlRlN3B4s0HTLZIk/VlQ42YQco+STgkQSyAHce3NamHJVybaJ1WsaeRc5X53uVXKLA 4cIKXyotaTkVbjA8yNrAczAAr2wqcqlcaesLSEVkxEQaNFwO35Jq9PVwQdh6SEvNF//ilWIo9shc vBeta8dL4z3s+uQmDlIYU2i2xbLhUcxuYNmDrZyJoIIg1QNq73Dpk1QeVEFo7T4YvzBBXDoY+4nU thhHVa/XVwWdp3IX9x9VVi/Mge/JSD2tPOV42gb5F/N4n70NMtSTsXjYM5LNPYPCUqKwbFZAPaXx CoOcG2vtVdVPJHbfIMU8FcxEHZzdlz46/DVonOlR5dIY4YTmrFY60tAzpgbbjpKij1ur6IOs52qz CLi9yWriJUtolKOelguCw6ggGSekOuu7eQLXxlutMLLpyhoutXKp//Yp549I0nqpmw6oZZGnYkd5 3ejr8QPxzLsBYXyL21aeefO0b3maleqehkdcyQeeKj/enlNAf9NHO0sonab/dpUZ6+wdPMN4l+sb Gb4rGus+1gjI6gt8niT5wA6xNYlxRPLW5eDdOhOUTuCh213PEMChVJOszMrapKIUEsdEzvxPhFfE 0IDY1anJzAr2CEK2TrwSeSOBWK3ksXulh84z9TkbVZbDUgCLP2el520rMNYB/0OaRt13nu+Ojmhs GOMdmhLR+K28AvGRiTnVh896OLr3DsWf05wV9CNBoPvFek1SfxB9p4/sGTJMutVrSKW///G9J8QP ItNXLIN1A+nQ3qQCwwdWULMBDFY9jwF1msnP6HJ+chnqKeIYf1SZqzMhDUiKvvkWSsG/aouwzU0W wuGzc92mkjWEJUkwp3CvFfsyf+NRLZLNLvddQUwhrWZI+HvEthjpHsG86f4/vWn5VACGuo1iVC/8 r3G94AW9ZjGlkJuiHeIa0D81XnbO7w1xmHO5BK7BE84gPf2vkHFk7oAeFTZlbCafEejxh2jbEUO5 gF7Eo9XSMk/F+xWdKIIVT1YeZPpNI7mF+EME5xyGNNkG0mNoZmxAFya9cbonOSdnKyoNCid4eRL7 zR0vTBFo2b3mmwIVc8Wk7QpT5AxTGBbvUD3jU5NG88CAC+KJyJPjBPbqk/1dJb6ssCWVG3T4NVCg v8/T1aHFNzacFwe73IkYwDXOr4fdYDz6png2x+zGNU1lWkrXtLVV5/xjjVMXIjBK1DL5f8ukr4Nq LM+Eal9ONYHH9ySC8X2ZA+R6El7zwOr9zDuA1S/L6xzZU/OVowtujqW9MZ5q9MQf9mK0DY3VttE7 Ors6fi0WzfHdkf7TxiBfIBGitS3ws3YneCBVjAE9xIsi31WkkSCLIg67C8KqSznNhDgrQljr10qY UpfhPfckQ4e0sBuKMuXvG8lfcu9uUkhbYt+RxrYBFHzYqSDwATCpJiRnEVRBhOwz3Y1sKhxT3L5v mLb5slh5/CdxI+VxBBOesn65ulVN6fvnHtOe7erjmEc+zYiBBYvhipg2YqwSJ9XJcevnJUBA2/Wl caFCjBJkc/zuNfT2nHXtzam+GbxPyDtW8kap67ENaPIyyKkVYx2f5rgriBjzKRoQuLG6Onka18DM uREz48OrxrNfRvooDyqfmaKZzdS3+t7VmTv8jTZ4XCs/4mmzwA7c1R1osPRB/RylG6DJCeYU44fQ dAABbIKI7fu1X2jHHRQOz5wy7N2Yd0EmOZPZaKLtmIGaPTLTcXCZZR1QGbxC/6arNEx4HyVv5L/X 5cqEzXeAe51bO9QPC9jjlm9NRD/IdBldskoinnwWBUM7+ts0NebAr9GJH6umUA/OVLSxyo5Sa0Gd bESofDbOxhycEKEZejvhHLPJHBh7ndx4I5AZyMt/gEFRcXW49+AjZUg4r+b4/01FIJhVAjgKnzp/ Dua63tNQ7A/5DU87XYKqB/Z4PmCB1B+DZbJvihm40cNKZ8pihqr/V48rGOQS64cAUCNIlY+dLSIZ Uw7wgC74BkSCr+zGwq8DTwefqQiMtLtsEtvNbJN9xcouj34V/C9A3zUdpa/90+RUWRdzw047XMni TYMjXXo+gLBKobvJmGo8ydJFxnt6ayR4/DCE+16+SINQn1YG77QVg7txHn2Yyxj9a0O29r+/iUXa r5q8SHmAjHSL8Rn50LxzaC/ReBdSOaDOIgw4N09/cDpb7z2xsp7hq42OOIoJs+C54SgPh6cUFz+6 RVQSwLj0twaITwn7sQFzPwlspfvud5HYKTUuxv5HsfrA0/yE2PTLv1irV5uMuzzwViriBsiPQt0j HKzVxvDwDvdXJghrkY2SHa3xmCJKpO/rkdPkNxKmiMGqtEIuEGHxqdcpHZYEgphE0SY4W6wnUpY/ GyAEkKR3kuu1RtA2MOwNsSWHDDwjEuKBiO2bXud/x3XcscDn1bglzxJL+GWtiPHtKw+YB/a4gpvS 0AsCVhJ1l19awaHjjlh/to2F5Ajr/cAC3YOFXM0qrVu6H3mPKf4rpUDqbh1PeRjPzibbyOtv9EPm fyixzCtDS4l99ITQQ9NHQvsQs8f+evqz47+n5Hp0BZcORhJ/ZhoeoAnfNndWXUHpHjb1rDRFPTn6 SI84/e1m9K93dR1BB4LychzZZ81bsP2WFVfFt1cD3GhF90b9xZiOaUVyBw5iAjg+tigUfw139siZ JRjQzLh7g3AVwS00ZTvH98VYcLiV6se+owWS79xnL/68zem0dk3rbvPZujtoPMZKkmbqUZoNRbPT 05jv8mPq4rW/0QwyUQf9TfLdhi576aVs2RxIzs9nnyEGTQRaNHS4Cv2otlW1CRl9yx4tA1qRRyXw IvugUDuxhMi2C90HDf6ERGiL1wxBe+0u9LKageGxMS8pQXYbdypbVNDyT86UrlbXZ51e8wPKPy/Q +7qMIOCKrZhHq8sOyNZOpsShRNItFbypmUecG6P5m4dE4di6Fq3okrcE/aw/q8D5U6oM75EMdt6v dlJ02P64MdpMZHV07JEkVUbxFpzY9v8llmVH/1JyKFH1EsVwvkvU7vCtSRQSH/K7Vq6dZONamdvX BpXUtbGmSbh0Q5zlqjrJWzcV9Rq/JKTtvUhsDxBSS2sF/9e17avhvuvZr8T7LGoeYOdTFQqPuiAw YPQlBfYLgHIvOZcAWXx7q23vZZCJgGIry4mWFavugvylLXzVOYdAiXFPrw9lwvInOnxdzBqw0RdM OU4m2G+X2hrL0aC0/YkyUtSOI+oxf0WOX/X03bdV8hgYQ/PnOT0ubH04bsZ9ZuGo2EKk/B/E7v99 kWIQtUJ5TLAuRYE/kVgiv37XKkWJ2mqNLMAmYPFp6XSeZENfKIG2cEAPwu+qwYFTGo8h/FhafPe6 ERgncUp0hhKnRvPT0C5Ky77H5ieikI4p0Xgaul/qSz8tVfgdR8lEs6kLIvWjooGcaoGKfJlNdIhb Wuoj3ydHqIvTd23pwMnd8F6f4Zz2olDH70ZoREZjfj0JoCJ5jtvIXgkU3C5TDOYrLOsAZTpwy778 +tcWBEHm5zK0l9SYWhxldAPQuXb8NZwdy+ZPkdow60wSGJlvdRbeD6aaa/ffZ4tn7VthkXJSReHF wbFNmrdiiNOpEp8LJWuevsNCc8na2ccdIYMK/EGtDSn6CwTIVF2rX2hiRBnlN6BOM3XXyy6IQkL1 f96BJYgRCExsYhzc3aoPcBYDTrvy3K9Bgjw+gx9wunLqvxXCcXPLTPdn4Eka663PQstIdHQac1L1 eWg5bZPeYMbQ0oLU956LRS3VeT4/GdNRy1S7T4A5w9qD8nCSOEKCm5vUEAAG8D7i9tqFzhaeoUKz Q8I2G3woNUYXSdpi67IUhiHIkbfMkoz67UXtZGEDAtgM0ohbKBB3O0xepUCinu4jSRXmF12+8haO JdtTxn4IuqG5q5BzAnL1My+IsynE3P5Y/yd6/OeCf3z4s8qQyif0DumUGuLnyD6jCAaifZ9maLyn eswn4FlQlpVIekPBBJEkB/pRCctBwIJuWqvUzl8Ms/SW/592R5TCYUo653aFuGVT7IcBP+qeZs6G k8p/wxAlqaFSvMVSoHafxbGVc6wNDzZGo8LcL92rWchjWRpcFHXc5T6O+lRStuq9av97ZbI4FOJn E4Rc3sB5VISZUpoGGet6i2SgH28OzIlRJLxTPpkyM2b9yjAxstpimL0pqD/EJlrIpZe6cbHCjHQ9 hiJXYKT4/Z591bXHZAl/5wu/rqIOc0IhbyM4SDQ63/wSkBFGF4AGme4P9OtbhlSF3Pj+hIXtwPTq CdonLjsQfFtLGsEjLr2vjKyvvi5/bb+IJnuyXviTJONbvFuQzmusAnz29++1drA9TcxNVaJdcJiT U9SvJ/AxHpP0S7bdwb5a4SmZC8+jo07SPnGHgUyCU6Uz6CLJVmll4oQmxoEqRODW3T+6Ai9wm/4Q 38jSPXRMYkYh76VeKdUpk2k5wPGrGQ7o+8Gq4NNh4/EttZjg/Hdasr2vFPwYQQDKssYESWhgB7fq 828+iw5ZUB7NHtXz3W+S3WK1ZHSjv62ufarIDQaJJ1IPXptmckunoNZR6UZEGR/eU9psHfx51S5V CqRE/n5wDP6wOEN1l4z3hzQV8jmVoG0CAk2ofZVefsDmi6gxdJ7L1AGE67waIgqUX9jqr3aLaH9J vQmtcgKXE5GTPNCjSaPpszAToSEDeMKBidLyXQPWoU3DONkDbD5yM5VVW+VLHRVN/IDbvhW6A/j/ KMRHz2ZJ5GTdI+Kd9YRJQSZ5b8pknWksHaJmFOPgWNLNuMEZ8Tpzmvmtqt2U+9EtU/GL2tMNpXe6 2pV97ZkC5KGT793s4qJNPmEVVoLoO1HETXkvUnDyaxAe9OVah7TU2QS3ZPBWi40pPsIgmfRD4zW6 uY5Ae7JeacLCiSvkGazFpHc5abdDHPtA/YzSyR2Z5vJvgn/dpdgRCFPAisq1GIIJV0c4WKnEpdns H8BlSXowQpf+P1KOkQARS+hyIV2PqHcsdVu4a2lrSfcmhzcpDm8FmdUOUlQNYICy3JBse4CyO7tE KBNXnPeStoJBO+tyWWUMhfMYW2ycIyzJ0HUgvUqwNG4DuWNFCuvjFVSNdnv9sw9Sq5mXTTkOgtUC egT9nbaOuZT21oFDHUqAG9G6Qu5VE8zXB4MRHsC6nZHvl8F2XOb8lPAHGPDkWhlZQX4eqSjtuXHz Zk0Scs7OUVcgkLqAsy4/z5cEeHppgS44ZMour+B0GZWlDNDvJs2oup4vIZNabe/563o6ge7iynGg xG3XawuULSzDQa/9fTX7MfEt6Tni9eR6SSiX5IoqLW/8JsOAWvySO87vxxQnbhntysG7S/9ZsUCv 6CSBTHS2Coc6tQmTzdTFww62XZLbJUNtgjBU36LqSXS/FVsO7WMI/i1U6ZlJ11B9JZAW7QXYa6ET U/tLEKVNdp320EitDIaPxH4xh54KeSjmh4ziGQM9aMO4OtYNwi37rsUtYR5RNig44bJcjPpZpPat y/wuzJRCNu80wCxng29Ru+obQeDy8wf8uZoyaXhGcMr6Q0Qff+2Cls/khzg9pYFYxFBTvaUOwmBC 6uF1ISmJoK6oDGMjUQ7Maw3P63v7qW0hYkVR13tZ2uUOMSwTrGdJa+bNMcc8pfLfFbqN08PuT+RP Fn7JvFEdaSlPuHmgu/N+yS7JW23XXX2XG8h7fsW9dAc1ovQChoj0u726Gz4KuFqE/o677qTYWlEZ 2x9jIf8IW2kQ4fB8E5mW0ZDQyS2IC+p0dSTNTGTmlCDHaYrNK19X6by92WRRmKJhtxJKU1lZnRoQ Zd/ggi/vadqpAoHHOFZwonKRig1GxksLo5TvGsCX4lX+kMjk1E8OhIlm1cu43feWmKYqDsxIBowt cbSAaT2ioUwgSUG79DKQVuHXgaBPRbyH0cvPvooyJRxaoHeq5f/bqz5PadMeAFVMPxGlsbSsE22Z zj8DMzonS3eL+Qk+sot241qEkm80KzHur2qGP2icB4OS9/o5AKk9VPj0bmAN9TGAG7tUMXtn221u SEPlx6Qw5kHrY7kk0/AhcgvF0Myj+K3TM4JgTJiQC3V3uEdDvCHiZRgIshfDciGBqHpy1AolT1UB 2knrydxWZvpfy443WVYA1J8nZe25sYxnyY98yLfgBkr82gJu4R2cdcxIQ1d8GSHpEMIW0UyTozOm ekGY1+we6GoezCHig9GyUqkAwaMuvwmCYaQwI/HEidubPfsAy/m4VM/PbR0j/BXk6mLs+hiX72Rs sX8GfJPq/hQsNFw+6L+WNgjGurF2BVBBsC7TRNo+c4KfGjrJVaJn+2eDgmUCOY2ar+G6Xqlw8BFt sVVC0o/AXRmXKa3p9WPffdudF3j8UkC8Tclt3edRXtR/HcIvdvBpVw/vpNaSoCVfZXGxVI5KBlJc XIbWd7WXbBtSa77hoJTtZ6glbvAk9c8qg2OPUr19+q+ihX26gP7o+hUcNMolaXcD3j0t59kPVJIY it2JlhXhXxbWcZrbrWbuGI/cft0j7f/9FN5XivpD9QWzizZU1EnakzbMdWobCu3x2Uj5aXNwtXT8 oKXbpptXAo1n7ql1VyLCezFN0wnzi8fF2zxqOkDHayVcOexs1LTchjtNXUfMs+4TCw1iKyuhWNip 8yuoNlJlUasHomkmZUFRekywawZM1Ogvy9EKZBiIHqci7E9dV9efifF0mVTeVVz9Kvyv1rlJce61 huQhToOJlHHxBOLabaxGWK6ILCTJy8qw+MZZG3Q82/KIXYzjXUEcxxRd4jNw6JH1cHobZTRxA9tA QSbKfz/ByeAR9qf3aWzI0ePV7khBBJwJJ86g0w8yD0MOVYEJMxagsxNeft+tphrfRMYGto/UdK5K UuZH2QZyaYUDS+wG5s9tn8xh6ezBlw6PecdN1uXaEW8ltZ6iCqKp5GWjPwRK7wDejoGb9P47HdFa Nj7fiWAEo7rDDyj5ydnXVxFlRw3P/gTnxzgJpnB8xzxJ7jo+LhOsU9O/RoAfuz37eKSKPo+t4dF4 xRaU5U+PBU07hLaRa2OW97njSn/Nzrmv8uAZD5mN1eeR+gzpi/0bdhvhpuk9ANViDCVr6YwiQeQg yp+p2n2JrZz7oYPA4r2PFc66cWcr29b19t2bBTGpuDySJ2Gadjg4NtIixzYDV/GLkZENIpUuRt3/ NeyJIU1YSxIB8HBaLR7gT4o1T4tpe1vAeDhpmbOsYBuBLJ39fEhFHoZW+pxj+aGBV9dCE5DFXCRS U6smIz2PysnUGxZWqLsZAqM0dojoRWX7SFaEdfVeU03rNAVcDxDRdYXxEc926U3IwWX9CE8a4Jmk lrlI9Av9OJ+EyJm2t3glbKW4LejkUds1zPDFjsIJXk2B+JOcekrjuDoHiQpwd3hf+48tAu6gHeRR 6FkJFdjgvu+z2owEgG+rPjj9csON1/oE1yhBt5QbGH/dgwIU4j5ZqDTzKzNwmgeFAV3BSm4ECMsy szzn19hymII6ljL/zVkFzUVz5l4nYXNhWVP8nYyBKGZa6q8sQkpH/TwNwNu72s04H7oBjXvbBZfx TwGtx17cZCprT3BFOg555U9clgTgv0rJgr0AK3yon9Mv3I3r+meSxGZbBBdv4HixgzSTqNMLOlVL VDPHkKKLHqzqtoBKXNn4N5PyT7hhhV0pqeiWo7xcexEnLoyiGwszo0H7JfYo9LOwUKx+b3q41a79 TucJKKI3DNApnV87EhRTLB5TmatvaQRphHUIl+NHBOKQ0Yoe/Hxo8fH6K0wnQA3upzZTMMIbtn9N fYqyVmQzpHLDHUptc9xp2T+LRqowQSAb1/4IAv2bEo31BAl/f9hFo4kwXE5atIuQqs85tnKabBNd MMFk5pHNtDC7nXzKfIYtfuxSYxIa4nR4v4Y4Z7kF+rmImxVxXRUoo7O8fNAVmECXz+AdHT3dqi50 Yt/S1jReYd9JfElj9hKC+0WybqCzz4BO3YeUZyCe4gZFH7H57OUg9cYFXrqvEkZPzQ0oWWfCxvKk LqKODLjZmFjKjhhOJN4si59qIw0DifOPVoNVE+7ckIje6/OW1l71+0EdPqzuxjeomKmZHcWfeNcr yBc/yX3YcS1ArmxN7xJHBB00sB/eB0GoW2gjMuPijCsGMy01R5PPpFFxV5PZFKD4uOg0P5g6RHUC zQWnVJY04RjRbaT5B0ObBvPccyVGyHw+8J0oUwy4MqFPfuf1+JfnrLj3UKM4OIv8GAsOgwn1mcGA O2smy22YbTUnqbYpkstp7Wq58mqvVe+Gg5ZX+G6xv6YyBlRFekJggzkQ7mGWk5j8fLiDc+d1opwb UCPmiy64XCSNstvYzZaeRnoX9g24rMVE8wbNJgrkAOHlPKTS9L6L016UmKg0k6x7aQGJaR8CXS1L MQjbdy7Mlhnsw8h2vq81D1Stj/U72vi/p1Cdr/COT+i6TTWfGMUZbAaupbdF2Cbx3h+AmOPT51Y6 WHqQNGIdbbBOhX5rHZI2OiMb5aihR77KQlzr672oSA1ckY6tWkL9mGL9l/LKa7j5AQUteNbNADHC ciOs09zNdPB1gtr8NDvTT+7/sxpRKFTIMmR2DZNpwffSq6Z75OJqiBZsOzzn4Hxe0gGry1kGgtrO OQD+FGzfU7VGi65j7OmKFmMtYwe9ZZBrwHVesJClkr00lusgmP0xiFIrepvK+ygSETSVCU1JiWCa 6S2RPlqsctnwsWH5z1bhxN5/BA3H76z4N2ynlNJXL2usI++PZaiFQOu1CrBOGXlWnAxo1kttGL7l ayePPVeVgUFBfFSSTZSgUn284C1illL2kiqcKcibXgfuLmTQPUvJcwpSbQxlbB7Gw+0aY1OQfDJs HTbV4LZ/Hf0WBsYlJmOV9/mBWNQNk161WoyJVp/V5Q7VqrSdy8TPvA1qo1v4G9YYP2jUVsUfS0sj sk0IIkW0GdVX4mJqHiyE/rspXzazUqbsPMw/k53B82SSgJf8FoU0lSw9S8svGlwbk2qioL7kxkUM eN9KRRbBjl5zAG++Cw1tEUIy+uiVfIYVYyal/7sBLuNigdq9f9nIrn7Wim5yzPIsRLngbpUkxLU7 GA2J/m4GG2niIRuKdLPfwbW+qCszZQGKHNXMTRzk7wNmsY7UkA9ErHdFqOj3FcUw/ebQ0yNVPbva SEdYou9GGRyzsuprq5FTlT5Jj5hwf+H7YQB8U7MOSeO8Q2Y/oR3+KqDaMZWYmye5PyYOhv+RCylD IOwaNrzXRiPcQsosXwWNkhNQ9PGmGyCWNSZrL+rl7V+DKxMBp3nNFbWeOkVBoWnwMXJEIaQDxKdF Pynd3uh8uQGas44XVARqgoJWc9EYcfxpuxvS1Rs6Nta0I83+0hGDahceezVDD5150Xwax8BNXtbQ vix27ZUmhROKk9S5W1NzMZc0AFmbhPTkHmd5m9wYXSk+Zoh2bgXun8OgihYIKaLSP/LPafLm5mmh qsgbNYnEflC1X+xw0QLA7X7nQrEnmWci1poqBViQLCBOrSTkzv9yQWC2PrNdgjGzzKPBotoioEw/ u5CmE064eORvy2kyhiP1YOLtlIUCD7ahX9Z15RsxaiRkIMfX5gZ7mkrRZidlujGb4cu2+wGFHv8a DyN5jb2qHn67Orb4mqKx038smiCUxF1tHLavZUL2xPRnWYIW8NBTT5q0R3RZD1+xYy+0liKmUu/3 1RIC6njz/23zA6/3ZJz2HNsnGcWNyVlTZZk//OMwUdjbn8lvUVrwxXhmHYu1ePAztdg3PDbOKfsn KolzDG+9Q+VflkuIOB0GlCm6IPr0Dc27JFQpzkeDJk9sGOFEeMSeetpgK4RIhdyO+vZIA5COhXe+ 6s8x2uPAl5CUzDA1SDxScwT2g41J1LgAa6F9jmUENeTdpj/GuroPt0RD7MD1rH1cC7viSXLOykIe xDJ9YYzFE3D5LSOFXFJ7IpwrEQdKnvx4YJq/QjuyjbuB15Yv3KiirppCuI9WzZVhffph1DHix3XJ XBrzwSavxnuEI1+VUhvD2SzkEW5VCc3XDaTbbcSr7KSL3lUECyhVPFSwzQYhYmLWnHtWUnF1N+2J byc1sL4dyc1lOb3Zm5yR4VxOuIOdPzf/+7RLkgWDdZfppQl9zePPsVKIXuiowlyFMuCsgR+fikYl 6NAJhJk65lWjwQL93rfeA9BxQM2uSxWXp52F2Pbb00ImRkJFJmLoRHd08VowbIWwG8iPJtz3+rH1 q0YbLWYyW3SSgHpNUDopC4SddsRQ1IkAU+UGGFiWEYAnyo8nmd34f6JguJ6j9zUkRt4dDvC5KVZ5 ZLVKLzn/chZR6G4bvd6rQIaSfptVtyeFsRJTtzUz5q36cIidHPBmF49n5dUN0Kouqd9OrcviG1M9 eQz5ID3h8HeIe57omuFUyLIYf9DAFF8vMPHJkEe6KOZ2apv9uZjNKiG+gP8c803unD3yKmQCPgiA v2I7iVn4wGLGinrin77ZpmdBQ2ONWXEUS5r2PIx/3Z+Xq4JxyLEbkdRpK8THpvqx22WR3raynpUn MGdjWvqQuPfOzRTccvRVkHaGqY2SuriP8j1fGqAoLYKExnixQJjz4+MYz8XcAGuwa/NOaxHuXIKW yMTHUAyRCEvR1i9/9v0wnVQzF2iI9DZHrcRjNhqpgym1hbSO/XTD5bfgkTB5UY36S5BoGuwwyiBt IP1h7kxw0/1dRLc1uWxD9cQKwoP1gBAp4/pqhyRi6LDfmJAt4vfETsFi4U7glwNP+aT8v3r/eu0l R96aqjz1TBT+oi2Feb80rbckXnnFql6Kjfil/vbQYoc1KTJNS0hM1QENPunUp7Daez2bbuOKHLTE O0l/KmRbaLC6tQJKH2i3YaoP5kYt34cVDZMjt0sv6wTx8NKmslizeTHgR9scMyIIbOt+HqIEVZFM PygFafwRf9AML190DtlKKIranXnl9NTKTwiYcfFh7m34iPMUYLIQpCM+8KLKXAXga07/RGwEBkkm uBQ9zWC+nUAsNn5UOjq4rYhuSpfxwRoULC+EWrza1SSvcWm3Fo6mgXZAGnrwEGmZ90Tv6KXHurGB DrkmkHace7h8wn8h5m/c3KuBr3K6sgSW161qIzgWS9xm2Qt0K37yPUd8PUAp+m4i3zurQ/WCO8U/ e78gOy+AD+G0GgP3NksbfpCs6vMl9Oj6/h2S43uNtD/KejbHtExnEAZ2urwOcj2lY1gAb/IRgqB8 H+k4IbpJzLq8W6CqBd88P/Vo/9C3wDE8M56tpsqpFo5VuJwrQhBYUPt/AG1LNM37cNM0Xlks3jhq dsF6k8VYz8OKPoOsphrBbMi+7l6WYu/UIZzBg3yaTUd89/Dq0B2Nc5gm6ewojDgzoJT1WBEm3gyN W33TV1Gxm+VfAPvadrIv1N/8g1QlN2/JgX1JC9jaBlppLWUrUo1Om767LZei45qTvHSMVbdnXrwr wZJX45TcUIfi4CmFL8cB2E3xCSoO2HDhhG/2wCM8JI+frfLra9CVBWct2E0YRxCLOkn3FODTcuRS MKHLEeuQtJ7kbTeDzYdyaOb2Jzc56DkAX+eL4jqdraQ5qlG/A1ONp0glkfI4zLl1V0Pdd5vJYW3M NJ6awdjUcLuul1gipt44SmZTbDlAVx8Edq8VfVomuNDnxdE6ljRylPV4+NDljxCUUEjEB7hnr8Sm bN+qKhGWISV0RqdBMk/TSmyqcoXODJ1uoRpXX9SlY8H36XI7UNh8wqvU7TY7sqqZC5xv1B07MPEA Kep3FcrSWQTZeeES8ndNhhmHmB+v0uoJHMGY1MSwmFU1sp1ZAO8x9AmOpA2SYHhvFdUzjTXRvCEc xLVwxtoSG7Q+MNMU5X3Z/LQj5EgVAJ89xV+KyMkO1lI9o8p7NkgQvz+IuBNc9bb3Hfu7vcsFR77n /a+UuN74VkN7YCdhjT1XJWtdOY+lsFbvdAbVAqdJe4kLMfRV+gquREbyhqDr1/Zh5leD81ctoHFV fQXmT7AH+oM6xZMZ2aBPg2mJ+VH6oiUKqgdt483g7inHxjFqbn/VwJHFpeUXGeUpuA+1XXzayvOl WN1B/gzSnIDgJ5RC6gpeupFtOL7kiy+wLMVXRTBHksojfvDnRwT9moRzPGzCBotS5IRaWMjvnhTJ wEyPYaZUfxbE1YyKvtuRknR7W9MsX53qlEJybRbTuoQGTqenAW+OJlM/stNwZsJTxaoV/G52HBy2 C+/UyJRLBtEEL2z0fSOY8gLSQxgh2UTQLVa4iEPnrJuFpDpYfe2WMwxfshz7jgV2iM/+Nwk/ua5B QiD/KVBuZj1rRKhUAasoFgWhUgbpuxfhfMGc887CCJT4N/w5Ib/C+txa1ww+9EdHK/YUf0CCzvV9 Gge7432grZwYULyPbHJ8y/KAQKQklrY8BjxzgFI3mMXsrc+gRqsSO1TIBEpXU1dBvINrhoZEtt8a KTmh+1ML+NOQDLivTARDzGjA6xbiIlOSV8mC8p1cjZUCYkYS1+826CSa+HFdW5vobanfXN/EwVPn S7+HtBnjNlNM1JGFIcDBfAd9MSpcjHxByRKifASFWdBmlo10fGEgkoX+khE4zYTyWhPFfWaCZhew RvBpAh2F1PsiaXms9Al2nDEwYeIL+f5x8/iRt/1ofQPEaaC1Sx7DvoFwNgYWAAtn548TVqUHfl3v hpMNoeA6FZuhosiNgCVTi255Pioe7oLpwSs6lSPOvJGbEguZZXRRcs87b5cV21jjnOL/Yp+nfT7w 7eUiC0OPdcaP4kubIjYdCb8kByrWaiJb/9ubDvXoTY/JztnhUU4dnHdwkLbV/KwkkiajdtXKqDPR kjImY+N+7OE8lZeYsfchs6DWVyuEDKI0GAjDDR5sRdPWyu+wMrCW84TgI7/OGhuBY7qZ6/23DKuF +pgHyjjeGWkUl9m23ZOITOFaCoGYm1FZln+UakRY0zMdJ1+LPQ4fajetwTdT8I/CADZyl163/mTs uOITtdQcKWNMUE/qhVghuKyvcjjxk2+eGRipoVXwRmqtZfRgPNU+YLwoWxQwdco/4R8jrzEYE5NW yyC+f5SZbAcepvzsxJAkm+kZYgAHx3F267U/h2uKsirdnO7JIwahzdyF0OvNn9c58+xvhmgJos1i 1jmkPWxMjBYCnMb5Dkm3GqGgGsLZhEdWi8/bP3Q5VwXJrRTJwzAmg99LW6wd5IyciiXnuwewxIrh Npt81x2jqZexRb0jMVBvvNB0x4sPrNieQYEHbYBBS0xqQs4LG5gGobPUSD6SSsCQ5ofGbkxze0Ue V0/ALVzE11OSBdSMqQtsEzr8qQBbHRluEtbGG5RE4Y/H5rzTOloyPP3INBKJHmFFBuX4W3OMIE9M OcFkCmWtm52mK/h44vIaHNinLG4Eas6W0MaaxV8ShUMxu4+I4as4UvbXs8qOl/KFHdD+Nc9tbkbD Vp9jU05CuVCakiZUZYSxNf5EYOH0V5CP5f6PhN3686P8UmeoBJKDvpN+uZijDC7xavJ9hBx1Ud07 TgtiFf8ZRRvDlfBVn6pAuyLXEEBCQwjS3gQ6W+YSRPiyEKbusW1neMtpOwkweFRZmPP3audyOnMH j7ILLwN35n3EEC0/Fs7I5y6gDN46hBLNCVBpCQzzg+jzAA7MwoUdXdqYwvrnyo79hU+pHGgUkYFZ S76v6KUgqbtBWiU9pOmHWYUSPnXYaHYY0eURZNm27axTdo9vQzJ5r9A1sryRW6PJ/HkGwGAIsqet PdG9xGKu0kbx0wL/RbV9btbmrwdcrl2xusmit73nqRVOZ56M5fp9vkX/WVSvX5oWenwzGHkgMX+j cXrjkaVoBCKAFmA+oeKOZwbGSpMJaDxxsIn3/6Ra+VHwAhZ/ZY5cjuLwVzki1MP7Sp32xVNqzI6q RzRpgJRGn1Niq1PXMh6z4K/iFeotDzFJ325s61dUjXu+BeWChYRlwgzc6RdVjouKBMYY1Z7Ut/VA 545nw6m24H5FNy7ZbBtctnSzvASnrRNhuATQhRsor/pfYkZzlwsCvqBWvAG+DqbDdP3PzjXwG2YF qAA+L3IrHearOskrNwA5fEYKSYxTPPML1AICbeAet9PaJRvwnO+w9WNeqlQcFMdII/kXgJ6hNAsp TvsiGXX/WeBGtLrreR055RdAWpAr49r1xSLrH/xzwT9vQ69SFbsv8dq92NoEDJBdXq4Mb1P+DUzi TQmLjrOcNsAr9STcEXs0x15Vd4a/zjpQXypTQcUlLowyYFMFKOKstxsFhAkROkhqXEmWlNoPm6BY Hn2Zrrwf/V+HC65kqsJvyZ+bEJU358ft9TMMBGW+3iSRtrGIbUQWKbTcbhM0CNa+920fDPQMB5sY C9dUL3cq6fHP8zaIYyVMMF/95TxUPGMx2FAOZL7BMCH2Xvxw027Ntl3Fmz99oHCgwwagUW38M2pB G4rZwl51QcbNFeUTtixEIqcHWKfw7R3Q9lGbBjPL0AxzC16buPRdPYW4tw0DBC8YnPXRRLN721HD AYiwkpfhU/gOfA4zmIOU3Xw3bDBiUXazbeTZQdTPhTPclnl4wifzQQR6gSDm2pZ1VzMU1Pa6LA1Q jy8r9AY/Ld0M9+TZTXfPxDqFDfJ50VAj3m+B0nlBOKtZs4kIIDTfUf2DkLcCx3NkzFYT238iJQLL FktV0dg/EdXGY/utU69KLQTIyQ2Al4cJD1LtQQ7kDZJ8PCH5//JzQnjlpNIglzY4A2At45MyicU5 gVzR0o5upsJLB24Is7t9Jd+IpD9qzVFqaJYBnY25BJSDE8kUILf5f0eIfcsuo6UdUSX/gD1YXdmu KLPJgK2+AJfgk3dUyGZwFL02VgcEAa6FSI7AHR3TVPzovuA3XJtk9WoOHgN1EShgR5PXFyiTCRe6 OdE6ueYvUdTYGDokCIcBJsIFgQr+5j+3RCeYHf87nsc5uTPlrTwaBnMSTtSdxonSetgF7415GQME FJvX86kHHZ4Rp9KUAMrSmA2gdD2jEc2MREpbJtDeRTeOHrXx/bm9SQ4ZLk/4qXNm1wi1chabHo2J O8WeEHq3H53yq7T5T9XPf0ZIBbZ3Pjy8hZAABsNVmPHbuHe2/1jbI1FSbEBhO34IiPrhgE07LgoE jjDF2jCUTF0ziFZlGOjGiKixGG99pcPlUZ5EZw/U5cR1kirlFtyq1JGJ0VvEsZZOhAzsxbJ6P4/e uhfSbb2gcI2Oed2NYXzj2BcuNqZat4ZD5fNyQzDdc9cEax8r2AgVNuO8wLl3UAGJGwjzUAJ/aawD MiVAkYafXZBI9Eawwl9VGAxgQ2oxsmiLgEWaGnb+R805XMVTFxDbQdC24V4JZSGw+tdHXUwiKR+J ALaMx1PsyXx7nGNLxoaYvEo+S9fBXehv0/qyoiJhPOlN0LRkoC/qM5DUsKvOxwLbCeD/ykBJGwDQ tfBe14AQQjFrDlGG3LlUBBEyajVdvS0Kh19xK/JSOdG2Lsf4lcPo1jotJgiaCsNJ49IFf8wK1XoB OCbyP8pG6uKNwUYk2jadyQolBlnqtLewEu3X0VjPZfmSbyP2zUozEMnx21pU3ahHcW/H2V0p/xUg gXVouTqOJBqV4QmKVqdpais8exsErR4qoN3XhAfoJC3znQ01cNKQZ8qQJbTpLgVIKh14UyLoczDT LFtWinPqgBNfP+3V5DvWX0bOngAzb49ayCBrUayz/T7ghbwJSjVDAWA7YHccZPML2FWSQusH5KrM GJglUZJH7vx0L4/gQUVZMSckx+e7VfD63LWB+l3kaSlrAFzs4oLLPa3X5jaDjd9rPBC8jCPTfpsF 70Ri86iRPpUzPmuUTCeVyDWkBH4QG+DHTruxFOyNR504pc+uEvy2TrakSwJU5kAYHPCxd/HZr6f/ n2SM3TBjvomhJZy8HsmNure+lmueFvzeEdQmaVqCqZ8dWjXXQ6cZaMujweL0Tmztxdl6hD5eeX2v cLDNMGEn0w/RhhPf40Q7GJJz2Hdv6kd15/bCetGMJsOGqUkAkBQdMvw4WFB3tzGYGOQ9LNZ9gupx wI1VBxXdnGf3xntO4jidS2TyBtXJvYqAud/0n3SW9knvF0/M89EfU57+tMcM/aAfDYgK3CwNzikj +7eq0JM63lQOFEmZDoUDvKGyIuDUnrcWaW+jY/r3Jz6txk+aVtAlRuS5KOUdlgq9nomDgliugWwi Zrh+K8tpUMnfFC77H/cRJKJ3PIc4dgAaf7vW88VqzThsVFR13NC3GUAI68jGBejRMIznc08GO0YR bkomFJLPUONkjpMcCCCInN9wXUzmhyqq2MvOk/VTfmvoZpMM4d7Wd1OMw7VJjqICx7F0ivrlSZQh dTxRFSfvwdEL6AHEZOHXEBYbOuoCYr7pi+UQFUZiw0cTaXrg23OzvCXt2EDfy7krjXUT8d9km4MU opyNDNk1pii6/ZqMtHqXkVAjDlmaJf9555DOpiaSN/HjSPUypfUbSRz4SYyK7OcXOjmqH7Uz4VHJ 6J0Lu66UoJIOH/8VTnU/Cuao9WBuDBKj0vUjc2rEggKGn+qo3P06bbhAFffvJIEiJGWm9T6bplOx 8B0DC9ZuoQsKGLiMcE7crRp4g3JJFi4Ayu//3330/cg6vsB7f6pNa+Is7f9fWsVDSlDFSDWnyOY1 YTmQ5cBpZNIrwYlWVPn81SXy5rW4vT0voC+mbQH6T3OSqFKqugZZlHrKMUdNtS3CgSDhx7zpvqTP BiWzEg5su3BvCeyGaTnsnBrV1KlxNoTakYm7/9z1dZUKxz038i8Iit5bghvnlCM3KlZjDGzLW0Vv C6ssmEVa+UXBv26zq7F7D0sqD/XhkfJmRr5HaAh8LfUcbaoHo30DAVIkkTq22/JUeaW4wkxOaNjL XMkSjC1wqg0zukLl6TC6mSvkLf/tmKG+5rLkOKuRO3hPTxnaqElDbQKGXH4uzF+fkiVyCd04b0p6 8cuj0bD0FDwvusfFfWkC0zr/BeJvy83zut4Zp2KOVPcgKIe6nokFIbrr2VFQPSMADom8t9XX0EDn CFGSeJZW3Cv2pMiK3lfIHcuLCGkw7WghW+ASxQ0W0uzhkiabQBQhzO4CE9EJ6U9dC8StALASeHlR G1GDd8sbkpNZxpyi1CNdrDvyPJqwpCiQgdYSd4hPE06oO+lEl22O0EaIqo6AG/rJBKhr+ngR5oyJ VP4vMgTC0xv9oP51cRAv+T8jTGHpJhbVSR98kDtQZmVP89LIFyKpurEn8qBTVBv87E+5DlSt/aC3 Ds2Xj0xi3Uj0QResptNPsWLoeu4iHa7Nn6UUPhd1bTO46ppVP9s5HosI9VK6C3vn9mFCDhbmwS0G 3ds8nIPDnLhAnNZ91lrWA1NnetphCBBejPFl8YVuxPz+unYfKt8GyWLJb+eYfKtupC/su5EbV2Jy ZufZ5Dy7r9cMm+Oo0jwBfPaoIyBfZkmr7PY9QXqoTIaMeai9BYXkjtmPA9ygomgMQ1ssvNcu3/AY hh3P5sPgfV0/UqUXnoxIrEZuAJl4YQPd8G4YNwVCQl46Ll4eRkpc/QDA7gzHdqGKlRJGw4H0Zl2p Jcnop7jcdju8uGIl1Pd4hNJRbeVTA4PAnyrMnAkuaadaTdz9guym6UuYP8I34kW66Vgg5ATVM6Lu W/Bx38GARbL85YFoVhwmwRXb4aBnxw8+cWiTG9sJzgLU89mtK76A5t1P0qOBkKBeNyuU8udXGipR Nr5sBcVn3woodnkEVtrQRN2pWRbg5vLyX7iiSHV1FrGDbAehNpB+gPTY6hYOH5y02R6lV1BTEA9v VgWou8w0AZ2ZWEuN13V8nyg8bdvHs9VpQQeTvMH9BHCHW4HIwaIKZeoJ23k6s1yoecCofQbNlD7r /eWcDr/bMwubx5yJhvWPRoe0QrmBtDgdRHn1u+tyvN5VB+M+rnH7JnnvDRa5XtlkrS9+2HbwCXXC LJptFEoumjqsxTm/iRE02pttrLO1GFtzCHHOwkFLOFkVwOwhXnU+5oIGCKpka+DqmrQDecd1DZEr A2PEQ5r2P46FOXVh1us9GaoLt0JUhN4HpziNubfe5Hg51M3Rl2To7efmgXB2DPuroeVcCcsNhSiU RGRvArGDYFeQTe0x3y6Ve8ZhDNudp0gBtcmu1xJ476vBVlcPpuckcp7QRJIBAC/Aw/C1jryH46C2 XlkdKV0joos7xgnrzB8AkultE2Qk6V0QO86S7k/2oIGWuQ9+j06rNme5thYvRSHjnLDnYGzxxiCh F8K68iz5+Raob4EeAaKJdLmM8+KbOoLwUyukYrrG6RiUtfFLruheOrDoYs3wpRntDZ6ztvF/VGyt 5KWteo6HwbfSKAyDwYWXUhUhGFMEU8pV5YLSlFhfmX4wKFeWGHmmNlXN/2gw80wZC/g/HWFKFMX0 llHmqjtLOPb3chvdY61AlYonPqRG5mJLfDRXkBEtakg5vVPEcSeVZpX10zQfZIP+XAJYMLIwrfL9 6nJpUfj9VHhvr78LGQ64ijCW4dQgbnF5sa1yA50s9OQqv5gKmJi1R9ijsy3exqvcIPPai5izasN0 pCm5xaf2kPUtr0JJgFOu4CW8TOzXyQyE59dNXUyrDM0dt2/nZvtK68kppW1l6PmJH7JKD+jggtgJ RPgjFrEDMgFPEwbbrGLep+GLP0cndYlXScnPAc0CK9roLrTyvXT9uXD5Zv+cIV2Xc8n/Xna99LfY qq5xWfAqyrbYkUYv6TO8FkeItxgMKDDa52E+PM/F52fJwsSMX/rAcdwmNkzkairDdrCgX83jYGCl 3WNk4wZcVLMWAxX5T7XSHOFsjtxZOdTphyB7C1eazWgL+0eq070vXkFHmGLY4uK6B9hspwJkwaw4 uV25pPN5+8WHQ4rlsdi05BDvOu4wSjbECalrs0gXzjwk06FXkOZtRkGloxO8UJwOFGhc8cGHFvTO 4q+nvTmwpBGaMt6eO48kA+HZMQQ2XGFlSeKXxutUShdKzshm5RDyMnI4XPc4BaSylx3cipn1Aw0S uZwtnAc1T5gv0U9EZhR6hMPMSn3px/AfeaPdpTMQZ5qMLmknWTbtm3ZTQ+MnkbgsNT3CkGTilFhA QHP3IjfJBbVkY1xYvAA48cuAMYk/dScNk/EA/awhIrF0ICh5yIvKPW8SwIZbtmCaSvA37fjsLMar a56vmvhL+/PKsrEeZ58trdF8eL0+Po6KMcfay1fcNpbrVkzm/OWyS0hgIgbck8LUbbxO3C1+QeVp 5+LnzbZZD5iNlybla1xfyIc/zhhDiFa+mALUWVqtv2rZ3Xf/OEwebBrYn8e/yauU0jSCL0FJp1tH SkYWxieiIwGknIG4+KidPJ6JV2Thxqi2vQS9sepH9vLqECniLzlT1zaJdOrzpcdMmYcoPFJapVHM /rgSTxyTfdP5DPfJ4WGP/tGL5aaupTjY9sTEDSo9Jl/Xslb0qIOeaZVkCXMthXjPDCESLrEIWXL6 /7V217vJwZiV3C9KxOEPwU24iajf0qHNgQs+cZFwdLA6dleuR1UjFEsDbiHUY3wzbJY9XqRxoB8S 3RcHCz9M8nyXUb0vvM6jlOt1mHQMMst+Iv1FT5O3KsN+ZmNq7CxspoYfsz4FvPV/U5Gz8zsOutce apN0+Wj5jGGaTmQWg6VrVtA741MXOBpinvqUm/rUo+2VlD+5A6LxZcjOw+a6F+WwGNYumG5q11BP pNPobZibtd2HM3AFZ4QyrjHGSGnXPJQwRcudtHxs5G90hCQUlUQkL4anUCGvlX8Ru6e70cnyfVJN 0X9pIx7JFKtMWzO1GA94Y82fPG1E+itmtHCZrMgIqN/4ncex2X6f+puAvSpQNx2/zjMLoNJAZNlN Rk+C/t/aAMlOYQ5xfKkXrFT9gKnnVKApiRKuKuUhNWw/+Vw0egCL+j6ULNm6Eq8Lo/HTSbE2BHOt Okd1yfbenO1b3kvPI6RowCyZ/THakTs8C3oe7OEiTIiiBt5HISvi8/PgdCqogYVdntG7OznvgQmT tMuif8Q1jZj6oCk6aa17BNVm+p+sAMQU/jBhsSGwA9EwqR0mVbkEwy/zsBMekEdMXCLhTDrOysdh B4gBtnqqlH3TthAXojNvwZw1XYjw51oHuPJpVoA5znBFBfG7fZdvlQITzswKyp/sahj62BIofY1J Gm9GTPkwGJaN0KKAnVJsHuDGYxypmhdQSswQSJXlBzE7b9tJlR7o44W5lcSxQv8RmD9tS7C64Ie2 TuJtOzL9WHy5+AbfRFm6e0d0WkpuA2Z6EKCaKx/qBlXkAjtr4kgDbX8EsK7OUtY5dz+WTVn+SHRc LFPBz3QuOsPIboqznJtHE4eV/rlM1yTJ+4rtX7f+EgSeLRZ2mnrlcmT7rAoVlMGR60nVWytkGP8x 3NnqX+H3nMs5dxMGtyXy08CKhY6QtGNgRsGoQWeK9AUGXsIWQ1ypHsNCJH7JfjqB6ZWfUii2VPGv xVSrM4hZMeqZ10acYxG4ECUBQCcBfhd2BsB7ygYCOe8d9k4mmuJAUN3a9yWxQe8Fy9zDcKuU97sW lCPF2yfcnhi9FZHl3SxyCLhOpl+HqmffITmVZATK1A3CwT48Ly6B44hP36IkZ1BV/+2uQYLqn8U6 XYkQzzkIoTDC57R7QXKxstKITsmM3FO049H3pzAz9DbgX7e2os9xT89R/O7p+yNeYuJvHxS1mCqC MEV7BcoyaWlAVd4+X7PGFaWcxF4Ne79mEubysQDUh1QLf494bFyWATwuYyNTLWhVeXAMqq4qSfA5 nJPDM2qho2+lmf+Nyz4ce4UrChEcYUQI37fNtlNaSgsZyAvbuUeOJCYT2WCFaVF8fxRLCZNHvsi0 M1mkPsJ6aD2NAUvQqFMZzL/WauQKdhXymEOGYYkKan8Ls0iauf7Tvu37Uc82MSVOp8csZfYCpTNc 5aU1Gg/mW4ZAPkz8+jPpzeTQpoGU/MY8Pa2ce49l7Gbf0x+wkwbJzFtd6O+xwRH0s7GzXq0Kb+Ee wWr4uU+diSHp9CzTXmfTEOAY9U/HtZd1GrKxfSC5gHA1xYWSyUTu0HQ6fMdtITRuybMrMeHrb7Xx KMo6GqznLJx0MfLrW8n1G32mv+NktVzy/UrzcXgSsv3LfoSedlPWoCyQYwXp7ikmaEV0FwfL6Yi+ 2izUpyVLCIr9+JuBnMKtSiclcskV7htGE8rB234EWFHLo9RrsUiwoaSBlcAKAYTSbAHxkCjZICtl yemZGfzLFUkEq6zhTt6Yz9qcMP3/eRTZ1Bc5aORGUafTOTRmn2xD5hDZZfVq17xYlmnqhY95xKlU 6roLiQJR9KAfrsqzVGUJfmtm5EiyOq4QnlsbOd/BGocEgTyIOu/rbAgbKFjUGKP9y5lo6r9RTINK QF6bRoEskyDCjREJ90vI7XkSxml3UHLRxIIvc+mky4jH2CVcavS8zPhIvz+ubHKr2N94hxOGJHCT 0O0DL+DzUEO/R9CwdAPnL5P2r9f9+raL+2KadPy8YbHwwbQe+Z9uC4eJrXBoeu9XlBIR76ZFu1jG bZGd9SmaqUuNuI+7xMFO7JuB19h7QYwdElA2+u3FhUTvhvVGte0kfSt+4vTSpR5LU+WtE9TZu0Ci CvTja6GkhUQMqn4A2AR4H8UBGMO/d3LCZPt3JmTPk2FSwgM9//94Vz8XzGJrpIWao+e7nGGrsP9x y1qcBXEOoHQgOIV4TAiug0qkYKEj81330oOP1faMiz0M1GtHvhcD0KIBopM10IRjesEc30u6SoZr wWT/EfKLCGBGq8TfkxUFhNrHUYEe4PB30qhfnevADtQk0iKVRBWHS6aGtcnr47B3cqP/dkCqEEbn lM94y/u5rWL7e9LdC8cTVeDtIacUxwG/y2NbweMFPanzujdWIE/XBtE71LkKpX//M6j2YYBEsVC4 yURgxbtzzWpXUB7g9i1fdaVsIHR2TcEZSO0ib3m1l4g5/dhQqe8JVj2aqvvAh5PFQ9K3BYgoYQpE zP2rcgULjzZ2TaZxXkOIkiqxRM9XOn7FpBkh/L4zkiF2o3CGIyWSqr/PH+VRtu/8crMhJhxasHyV uMlOS2uwRdXm8pWhKJPGR7/2EVNqWikWuMHyRuJWNXyrnhanRvUG03086C7mrPlxp52CbY8II09p V9arofUIBBSbUUsLXL8yIQfXCgUxYm06a+m9bLVNYBnU3Hvk6o98pfkykSc/bsMmHd0jpZTN++lc jhn6kMXpFHgoAQf761fYMlH5ivGDrjbsyjElyLn2e8LMbgSCXG4uM0zCgMjEV/EnM76jqe5P27mJ 9i8rYENxBrizmkRo4NaQBdtgjmfSLI3p1ic0Yju52GZ0VVDMi9N5BKfjY5kOGFdazT7Bt7Wqy1n/ s8dQKxdQ2dkjInDYtomsQzlY7NLQlLe8YGbnZlJjYo6+9BpQ2WlnQC+nZT80miICVl+FUMYhE8GM 8p57NoO+6204ce8dKVU6drhMV5HHqtsa/dtsEFx8u+3g7djnv5h3NGM70RYCWRK2EjqpxRjOTxSK BCa17sYhCpI8oOM/hR5t+YUWFb4S9Yih/s5Om59R7hm6gvrEQknzrSVSP5dBrwUoS1ou/Mc3iqve V1yVKK+mWaDaqX4jJQpAkBWa8cR7cgmTVzg7jChRc6Nmr734rTaCF51TUh0jDK6D83cYPkWOI/e8 b+dfTTSK/Zu6m1osgTRLQohFDzaBwbiN5medh1Z+1aGxDv1MA6RGrDvAkJKHM2nmI3eDf9uWcvUf aYcAdmxEfNsJnUy+jB4D/inxQZ/IxtL+P4C5F8G198ZJd/YhW38JyDEkwww+CACoICtl0T4Gih1H MhcX8GpwmPJ3ypPeJxdMzDztGcTvwC8qkrwvzZGKLbp90l970PMrRvftImhNA8AKrSrRdg3X0jPk Gljh0OyZizE+CDC4xbBYd90wSkfjIXeDRMvGzX59pPKGkW9+0VXeibqPHmdRyg4v5Vthk0bFoSyM S3fhc25IQY0sjijYbJ4pHuQ3RW7RbHxVn+ALkd/qBPRRT9XsW0XEB+atPSiii5YcbfwZtn9K0V61 BlGQggf/E1NRgFn5+RCC7H/YZ7mRu3ApaGK0I7PwwDaqHCQWdyJQXg+YazW2+192SCOtKv5uRHlc MMtMpbF/68ABNukg7zOk4Fr72EA6kYxQmd87MfnmoBioGUEJrAoK3MtWmQy4P3ruNdsQ6A3ORvXm g0gr4YDoWPUERols6RcKnGCQXUkMj5Khalv86FyN0N+6H/6ehGXpxNDPJYSYPh8owyFJ+dgW1Z55 e608btkZUAS6pjaUN7iWH2UgpR7R3YXr7ZZcbwtf66SpxK4CDR5NBV3zBgYFHQU+L5Wm4FbHBFZH sunLRR7Djsu/rM3sOLdrpptE7m0jzW0BYlcZb1DDwVoFMUkfdv5HsPEgY/lO/UEeFtbDddlF9F1V 9d2nkiBc77LGINwJXeGhr7DiCvKmhljtIiRzO+odSRT0cx82D3BkYDdAIRexxa585utUcOd38D7u NeXLRFiqcPbOxlPIAZldcNoczfqHm3t1g4SPS9lP+u9YhFeQ3X+uoFKNlmq3+6VgLvd8/hOAyNLS EkQu2tVcDzg+rIAhgB8uiBEPGLizRIi9GexcUQ/1cgiSgbZjIsDj3SYOgeuOScbzLAdYfMddhBTp YxlmcWwQ0m0HwDX69HfdtlHFSCpq+iLMfH/ozD6AHwo/QI2eY4PVPLsgWC7Lurd0YpB7YQfmb8Ym lOkLmdivt3rMn3+aOsZG/lUXOxB15oDEgTgtmNGqe/SlSWae6/vbTYqz+Cy0jSqB7YfLTYX8fO/l ks3cgR6vd6PIvM8pR8yypB0moCl/SyDrnbTKFTRHbrSSNWT/1txS7LtZOQCCejBPtRbcZOLjq+DW ntMw2sGlh4Ahcawx8M7eMMgicZbOZimFbmhtTVi3F5zpo+f9Z3lxBckaSpc/zgCnEqt+dH7dOlcQ kpHW8okFYhgWVX1LqUKc8rrmMpKoOlKAtAruwfFYYtmKOjF00ILetHkWmXyTCJRSnGaLhl6rjMwM Y2COpzLvw3g4fWoDOrc4p2mQ0WBD0aPeVYzYkBzBqWxKe8RG5UeHEekZt0+rmqymgHwgtki1AInr 3mCJB055QAFyrCcDvuB2mPdt+UDfZbEnJYoTQ8Kx9S5OQGhSzceaQ2QH6H+d0ylwhY5xPdtR4/XZ ZQUizC2Q56UNOqPzu8FBT1NcYAlPiyda8gCM0mBTDPbbd/6bNaHYwEvTobCviDGDhxTDyhX45a2+ wTGn8j2QVI8xRIzZnt/5D6Qn0LijZyiTNJsEE4JRgOHLTMVbUARANcDxiuJ70j9KJ1jMQbD8xkO6 kX2rarqXVqhJ1Y6pT3tYHXFHC0gX3hWNSTcMvPnHgjS8FctT1qsVte4J2rUdueJ2litdJwOpFOsx ibBPGZbad1P/GkKiC7lK1nzWqgAak30h+dzqOvH82am9R1uC58V7L8VWIPtlb7PzquoueWAmE10u X1iZxVIBt2hmryeGhxVDLxFdCeMXPJJK8jdY+Xc4xB+SCyKZc977dn8OBelUlbtM+qnjhyPRBxYf cv7jplLzZDEDVlUNpZJJT+LVYTzNNiWMi2+Of/XaDbe4Saafgk9dSTbIIeGsv9a+JTqtdSGkHjLH nG6Z3trLV2/8EH+IjX8LqzkPIE3682j2gBqVj6dLM+1uDKLQjaB8uHKuOYZgiFEzTYmqcXcy1+35 qnS2pMX/w5cWxSBTJzao8Vo5G3Y57h9Zf4XeL1JkfrDaO38ZRNxzBhKVdi0LTEB3n+BIHZYJnS2C Y49zCQTYzIQF1OKowQ0HoFQH1209MS7wGPm3A/DFKA2WOa1ABJi+rGMhZJU2h1R2AlFh06e6WSQP VtGc1JzJ/VK3JcEBvMhGIYVIndUOurKP5hSepgviM1yB0wRzVQ5ESJvJufCzPmMFgdJVH1bs9jcC vfNzjMokZh07ifsMzEswpUHUFFAzoOeqhhiv6iFGJMt5ZTANla/BeFB4yNOtfE4/zTeb8qrQEc0E Pii41SfXHjiDxhm0ZhIN6/usO/5jZEhg1r+Y8ZqPHxmHwDvyyJWiRmUVq8H9HhC45PVa9BbVZS6Z Y7rQA7a1ZOC3hMoqXGoO2INmAoRVtyTYlefzdZQjw0uyquStgkP35LLAbnrww3zXC/ZQqf5ytGXq IlRjrIkAH2BSYMrWWfkWcI/7migLrXmGXFrIdoRMVNoE1wdYXd2x2KiQcQCecgzXmTvfroCdWW0b sepy6tJF/oETd7M8QKpT2128lIkOjgLbBbc9vWMDVoqrIN+qKml8A1bD0/hNu3urTPT2OOjnty7Y 6qdRTG0QdRbjsabuhvyGVNzcmoil3Odl/cx4DrHXSilqbAtm4LLBaHo1rIz3JJ+4s3ivuSS/Ceyq bPJ1MGL/OgL8kt8JLScrpe7oGyBxxGbxTMJV3rAr5bNAgsdHTIPZdI/R2zaHtIuGWApaGB4IF9y+ eLrQNXkySem6swyc0i4uR2UNbiyx8s8CqZ8/ewRt6ou6tK8FwtM1HXU8jTQAANPCio/cZZzPnHLC eUykiCY/NtyBly/6AgdIAEM6HyF5MV37JZ/NthSqDB3LfwrVER3EiQRz8ILnnqs+V16s0WuMaFAn tGJkU9TSXnT39Cc7iZa1tNsUqLC5toQTQWnWciWEC6M9ZNpRM8I8o9ilF6e15WfBCpQu8qMkByQk 2Yr0aOgVJGdNCed+u/jKXZxwZ1UOchH2JatwX4jEx7UG7FgiL1lsz6xgzWqKsdgZ7fVLBxfMZgXw mwswA5czyrsnwaY6n4Z1IyNrBwB1nh20bHDGlrVMi6dZfkzHD8QEg817oGEaCQkgX+hCYAH0He/z vZPer+u+dqvDF0ReZ81gSezhEdTSfCa9OKsJSHytujtEPzof2z9OmE6mAj+lfFl5BC6mTcgytgZB HwGBKEMjkuqP0HBYwie/k7beGG595j8WOBKMbjebIJfPU0HeNeNC25n9nDKGzDW7MLI+AqftcvwN Oev53IzIE4cZRHw1WwiQvcW3SZGTxWpO1hoFAsWZg1E5stNepAFe1IocxNTvYRAJ1ocQQzqF9wt+ XhZs1z4tW23APKe16t1N1yPEEaT4/f6/f+0NT53sPUm+u78VHFdp0y5+OP3KJSAPKH5MZzcA5mtK seT+xa0QnHTw8Mw7vbi2QIqCuID323QVAi2gO6r3tkwPhH/wQ915t1SeM2m5ClgNlRr8nlWXPHJg u7rgI/HCFNKPNnSKIXWlHTzRjzaV5Y8LSOAQSgbSyydrNjEkWIJfIwJGQ6HcdGvzaP8YjyvfTUA4 Jrj05ZTR0D0VUjXaRXFb7uZ3ZnbxqQEWcOsGbPC9HRMymcFcZVSDO9o3+e/Yvq5RRa9WqgLCePeQ sunJ8nYQxReUslw52khJwhm4fwJPrF8gPlSwTwWYyF6qW2yUPNpgJZO5VVe2oyV6g1OTD/bSyPWy mFUHnUgHzP4X7iACLZEJ2i2mgxKS4SAgAQgnrhzLLp4uyXYjVyW1tvDN0T2lGXjhPlM7MUwl9yg0 aI/mXv+skXSV2MJFr3xgYbv0JZK9RwaQ72WI/E7r7wNY+P+yRHVjt/xDz0gZdvQDATd+ZOGSYrVv pm70dZcZa+HLDSruHTc/cwe1ZOP9WmsnIxEWLCupqkxRwd6JW2TKLRPMcHgjvMCPbplya61dyVGi LeNSSmPbpqPFyO8HqbYUSwp7qSoJHdDeHLV92L5ayypIw1e9G0k/S/9TcXbY605WTSQFAKqpYm/X htM2cdiqHPg6U6NQMwEQ7MSFt+YuimCSI19KJh+vWgRir9TNLDP5T7SXpCnGLWUNOSGlpaPvhYa7 OmzdB7VkigA5WBHa6Dsju0lJn6xGVF6u55RZxQDs1/eEqai7SZUfpFcn2a2lge4oCduMGx3xfUAf QQriCn9jJS92cDcfwpMNLxi14hS67ua0c9/sbUg/53JFP/nrEFc5VIKRtA0OatJ2PIaZPj0xw3N3 WM4H16I8IgsxUN+jLbAAZl4J1KS/B50AurEDVdyD7/1deqX818DtEJS2KVMoDWV0A0pd5SL5Lhcd T4uaBq224d+71MLWjG9Zb4Kec0fZ0idpKTyvFF7nT742v88R/mmQ19FXrE/ijNrAC7y1AhXe6Mxv TR4Fndavv85QxLJrkNAu94K3uLtaRmvH1COy1RgC/laLV2/wYfgbct+FzKUmdBIQA1ci6DCYtbgE VjJuL607LS8Yj1H0w+qnvkSHIfgZKxFT2Vjm5iUQaavo+lRP5DMUbcNuuxudX1i7DZIC/eRvzNzv MjVHZyf7iYzfEioWeF7fd5Ce4AcFJdLTs/oBDWTUGc2Mh+rd4tgFTUST7Skjv7l3zuLK9C8zIDQ4 HhCkTlVcbrxNI9K2kveBeBm5BG9HlyE2/z/Ry6MtwoSkcAHg6pLLTBuhdWNA8wG3+/3CWcjgkWEB dkklFIrRTwu0uz2HPy5wfxBX+RycMyie9g+ObD9xH6WL1wF9wKJZy/SMjSOh8oY/aXCTa5Rr7bD7 OxqDD7gt8dykFRxwy/fBTY8TpR+nvWNhBpd7DcahzyQh6qRBLJ5nDH+myf0MJJT0Dcj9HVLj3/M5 wTqNVbttrRuQV+NSt5GsmARwivNcnbxtblj+pZHj8cwepOyYEJznHRDDEGd6GMJG1R5+AbU99AvR U9jUEoB4XAN9skGkTF99xH9xSJBlsE4q9SeezzitHp8z2co5Qnkk/e3Z5B0C7Gq58qa5Ni2vja8z KRCmL6ou2r0ZsCe9ljsZvjzmEYzH8wKOCbPkryaNfTw99niyVvUc+s1mZyLQMuFN8A4IZm8xHjgt KbU//IcXEO5utir6aigdLhK6+oNs/O90pgD1+Qck299TU9ISBjUIhC8pAQfUr8Y+mGYDc4dpTyQ7 leHxzr30zAwikgPcO/MoQPIMbP2jnBfxdKI1/qmW2GPm9KCy5ZRDsBDyupeGWdpNbch/0EY6s5s+ E/KEYunLLeaBdiokslGUBeodaD8iu6b2M2RV6YaMVvj2xzrKl9CNz1pisUiWxjPmmBVIzRsYk6hX 7/Ju7wGgiZJUxqmYmi4e8a/gqNXrWn/Vm9FtJDvUxSPZ/57kzifZ725dMXjnJcSR7K+aEwKJa5Cl z9pI3f8l6JfHvnwX82AvVeBEGxylTHvr3aRfN4c1mOqJYTJqzX2tFlEmXQS9xC1IY7M7BmOHEuM5 eUZb+BBqyJoOscFqtS2wcEifd0le4fujbr2jTERh20t+p/QHoHodkJUBupkEtG/hmvELkKPKsLmv dFjNkDdoP/FEBvCpGQ48VIa8qyVyL9rVQBsDxwFsYhlE8A8gA1iSRP0pYZ9BuSp5AZAxMWUNcSeS fIflXJIinJHHM4H39cYUKL7GLfv1/4+d4w1KD9j1vPHn6PmCZGANw1KL2kZncaPUdu8ZKCgC0mkw 6duwAVD9Kj9eKyIL9763Fz1mjgftPPwRxn6g1N8BVvuKccqIPC3qeI8QjWJoNVs8D/vl2lhldhD1 KtK8w3OEOnRa33wr4T60vKchrx615UBRcqBxRaPkFSk8FP4ZkhkSa8o038NQDGdZqOmSNikTqviu l6CPbIQ7JicNif22ggWB+qYABgReMGMi26wCdit66m3YjdpFUncOpv2GJn8T69ui6kAEQWmPeupP PF961yJYTinQdN1aEkHU91r12Bm2I84acCuZbEKA7J448VBl2N5RK/WMag3gFrgr2i9341qgDb1z KctZpG9plOM678T9PQOgAEwl1XAlqHaRt/SH/KBY1H8JGw4OmOi1taI6+Z5kZ/t+hs/0LbD+wPt1 6Eycq/uuL2q1kSmmGIcyEsDekhQmjCcFVHjj4nMPQlqYyTwh5ojecAoexRPT1OtncZ4IEpTgGtg1 x016MpXFq0kPvENy+/OaUCpi4OE4Vmp+dquF0uvSyV67HEhr348krdZDmSez4Tw+6MuwXpoqaFXK XHNtw1sGaku7h/N5mC4j+PzV4gB9PCI5ZIcqPzqVQfhbbaPgX1H5svguQRShqUsQHvRL9pz7N4LY fOZcAhoc70DIyeYMQdy/jz1eLQhv2WSVZ0C5n6dUxFzqAfzSSDrfkPqFNI97MLLGI4prHRECxm+a 3fF2ZCJaSdcVRHYMF39VsVPRiRSKIZDqoZHAqP/nR6Bbdx6Y0zf91CYq/x2S3fQ02vHNQkSUDqqf dlxGZnU2ZYIq/6VxgEsVrktekHKIiX26vlyNVXHSe1FXZZVCktWosgCIg4ONXgoVPDCI/4mI7oEr VicnLblnKmF7qea2bsRViR9Dh1RKxOAKo1Ro6GwQpArzcBnFRskGwHV/xbZ/XhINMPljLRLawsmI ieoqnKlYtbd5WaO/g9I+R3A9Iru5MnCAsPQ86fGDCYUN0orzAx9qPUFZsiL+Py+aCp0svEDrsrKR opPRFKR3qev9RUWddGXe7T01WQDr/tBPyfc9ZJKQwO+4VfaaVOJlg6Kh5MYKa0hQJaLiOEs62eFI uFuYow0xseOhzRUQexeMwn8fW2stdndgLoeRKeC/3OpWbTaYfR9UHNkvHJnm/GY44e0yShs7jdbU IBDNjflNtB4T35URQtUlq8iM20Hc6GG0meibiifI/B9WqlKKh5uF4X5ZAoc1xiu306q4xhS2KeK1 XON7wCsYZMYMqTPDGvPtwqhvBstTbMYuRWNzTzWG9+4+cK+KEj4o+XmJ8i91M5MHIlvBTPIpzr+Z gwQNw9BNHEuKogPtPA8LPw7lE5N6ADK9zKgK4hziKBEpoX14xfDnxG4bWXw0JJz86qp6mkfiMwq0 VJwjsRJ2cb+IxWvwB8846q5c1oL28jlq1VCn9Eg4HDw7OADHLe2eeKZilRDiCp7paC5mYt4p0cVA mY+mXZ+JTXybx0TyggDddSsYu76+t4huZ2+ulUHHU+yAtA8VhooKxhrkZ1/GlWoo5LmYvfpYnhYA 4oO70l/1Ox+66v8ah1/K5swiDC/yia3LynBtWOjutM0udNe1ryOdBDTPseg6gSUyzTb0gIV1IIW5 9MAwuz1Su7ahSM8NIOnWbWmA5KHAi27Vsmriuu5rAVtR7+/46eqcZmpnEqJhCYKLMFr/yqnEQ6c6 W1RVrzDz6mPeLV6VP40zM6iLtKlL9iGE+VfhbzIpYVxWno/tUGJ2wn6QIRB9PouSycEbXazNDZHZ s+VFjP/poJSNONshk5f+kK5gDEagrXJ9xSnNKFaOuHopMqg4g9BM48VVPGrfvxv+54ka/HHoPI6g fw6KBAtOpoNjPcbmshyO8a2l4SnzGFbDz0UwnzBmB9VQez4Rz7/0wM3JISNDItI9R25aSyqRHj9c ui83J2ea9MP9GpYb+j+z1A9hSxUipIlpQrN2QQhRySlPhPGmOgY80ghbXwAj7lgupyP4Vrh4wtgA B7sizm0d98PSiQHWupEmNK8uuk6xHfX1PI1/O7oP/gmMLfvJsBezg/rlZAAdyoW3w7WNTylVW7yl hlDoM/bNybEC/dQvVd/3UBOM9ApLCOptaDY/rg0iZ/2lUh2M0bwaWsoAoOGMAa/kFJBlTbqPvDuv SNSa/HMacVHwMl8kY1ZGqolv2A2rN3IF7ePN6MkATcj2t4eWZjYr5BsFuBorOsYo+5C7hT7xI2nI J5+tkFVohVZCDyB6TYL8UzHDPNBBMncJvYmdoLu0qbNGBCk9dqHonFi+8sYU9+iy5D+WGN7mTA0i hoaFgim40E0qfOQmb16cn89dseBOVGVhwAJLp11JNf753DYI9Fn73jUmGanCYp/OuOVAQ1IYbliZ I8gBhJyje5GjSZJqvZv1NMDa1uRg72GSLTlkeZ+QPh50WgK9yh6PW2EAHPW8L2v+ObTOkHmMO3fo tjp67cEkmkjnA6qiydXkIcXez0AFlM/y6bLl13g4nxkD0lHhaoJzaVbSzmOybXWi1lf+oLTMNeTJ Obv8qfXO8CBd/u6QYHGgoO/C0jUNJ6Ib2nnsEOum6BqvwyfU/A3wnZ0OlnqcYrVmVKy3RlFsVe8j bzVSo2D79etL0uzRAZ78B8Y4E8qHzdz+7l/px7RyQ1x1w4u9QvMi5ORZkg0XypWLBuYwNT96TnRF YclKUvqKvrxWyRjvJglqPOknIb61l/Ly5DmLCQUNEyp6e/ACVCP2ZP7fzNyCw60fUkOuAdPC9m+j 1QVCH98TmtdK6MVlnlsEO9ZuozqPt1N4zqHv1rhGKSJ7WrEyprMiJjkEmc2yKdjPFDa86YJim6qO p1YP2+zltpXmhRrGKTWuVeNRR5ytDdvPWdqo8b9HC+4oMgqDC0DddFbEGN+D4/xA+IPT5+Jd3PtC cnfW9XrB9D00a+bOYf8pbs7oZ7LYu6wPaDLSPg2hCUuTBtp721ZlA1ZIIqgIQ69xgNCiXG+lCfo8 wUoeVEYHsTEYMSRiTIc2t93Z8ho/QkWe+62/3SW3lSy9ejaSTMzIj+ujYjJWeGBzNeY/4mK+2hvc O8NKvuvo4K5VWeVqtRMF6+N94ywKZ179j6ehEkQBPOBHYNnfm1zAhd31w4MtqqOuhlHNhH43fchK UFQGyYQb8sVEP7oxxEC7YLiqSEQhustu0j/2kv2t4UEbRD3GdPwqACB6HXwvZcwP15ORTEADJKiK ZYEEHdR+gAW/Td9KgrQAm7J/Mh/W9+ep0KLcOKHgHnuZGbmfFoPL531OLMokpVK+/LWcwbqufLNO +P6u4fLB/lZ47x95qVD66S0q5Vmhvb0AEfKtAw2j44qvOMOFT34lnko7nC2Iy9jdwbd8kJxgGyhh GRLxIHQvawXvGVH/x0sQYogjSkg7vem7/zfUumUWc5fMxZFoA4tWJIWoqBRIwYApwxQiHoJ4/nlb iF/UhkOqOjgwIsVr75HvwY8ODSPT5guK0VruhHqDXPMYjoxZeOZvs06IhcUE2j0n1iHzYX/S3w93 +4TumXCJVWJNT2wzERzP6sF0KwaYKWaYYe+MMG7A1LCLQnW+uHtpsAarx/ad8U1GoE3Fau37xFyJ cf4DrwbW0b4HAL7B7XUpax6GIPjFNqGOeMdKGzIwEUiRUaBOXsRrnJwC3DlfPWyt5wVjljW5XwW3 DXY98IGuaV1TApcocQFR5j4SJN6gP3uSkdPVNrNXLGaIrWQTv/mCWk4I8cFF0nlk9koRHAczkUuF l/RtN1fs3rLWOTh/MvfCH0yvEkZ6iOPqf7zhONeneMrrOojqTb/d2HWSjitYG6CrIS1lPf5bRaIT DwKb9kGvUpV1oVJD/TjqjkKMTGX9aGbemIJL9hrGEjWQcLvYk75P4OS9dDGyB+Gd/zwyO+0naU2H dnWXTNICMiw8j4wCBuY77q4KDeLhYGlw8618Blla1+XFSTY27NIiJTq4LSwjw2WAEUNPYKEtXN/k THDCfIamW7Ju5RUS0X7sJoFwoBxd55hf8jJEd1KCj2suX8RpcEpabcqeVzmXPWvUqi/IcpYCsz2Z cOROreaC6kJPtHjrr+0D+pj7LARpzVSVzDSVM43feLvPXTyWCWFpV1udfNHFr9ELne/F+E0yHoVc 7UShjpjKBd28Uw1brUcxoby1FwVH6dXJhTztSa2IZevvtKWjOU/QdqrkdXe21talGHaQticgqANP XSfcnTseIgZx0x9uQ0Lhz73V10Qc85IYtch87uASuCdX1DabQ7lHBFyUwPDZmEaJSegbA01+WKPC 8q51BxS4lJpTV3VdvIr4TAaBILvPgVjJVdTsv3M9enjNlGLDN8zQ0ROt3kYoV5Ybh6g1sMfkNfBJ WdteDOy8Ocao/SSfjwFwZc+6OH2uOPvOLiFoyBxG0EcowqH99GqQuP4oJj012ORGGIWkj9kNXbbd 9iCy2b2jOEHhOcCtqkMHMjDg0JEmibmos2a+19RwfOUCDsm3dbXEwAbd6b9LbjSkwjXiJuttT3j5 VFne9lGo9w5K8CQiasqBwm0Yn5r0iwSxOjTOZ+yBt84s5/dkEOMz5Noy7YDf/m6xUewD4bPWQNBV oecxyYC9Mdn8p8ehhkWXKFr7e1a6z0bT2qHT9NFwnlqjM6wFZEMoHifIvZgMtbh8hzpXuWMWA3DJ 6zO/QT5r+dSBtMQ2yz8HZSTp9/ayho8bNfbfTR7Ao5/FCTWqfsbxQvRpJO54jW0yPu26blHg9m2B jm0NpMFxtxQz+NO2IlKloiKxBQcb2UnKi+ahn46vU4Bv8wLEk6Ix3qGQ2g64ZAhbYPna0Ildbf1X hPk/ldASict6aFZlRsm5xC/cqL5cA6vUopAjTQJc2yf/yXGBAuaUUlap1PFBAhrejiQTYulwr0Gr ioVNVKmlOeMaopQubBtUe+or5zCa47ZFFdJkVVkfb1ULAI/c12cfBh8wCxxQYbl7WL1M3MiQTVSA /NxDDWS/Qmi/hCA/+3+TEovw06cEl/7VuxgJMX+xMWLbGjxveNjtLjwIMDJfyEp2yMfvGyC+gOL7 Z6QvukNJmzzco665f4GsZuTVQm8CN6zPe2ojlKvfVSh9src3ytxRphsTLWEV+emgNvx+xakmZKOb v+ZAByk2mHfBcX9xd5Ws32GP7rBDcwY8lH6hb5wnIBXwg3cT6XBOslw+PMUXnX7ENxxanOrsaZE6 w609NUwcKKPNKmLR7wt858ix6Y9aRFMcLGR1vWEIAJ3hx/M5NSm2f0a+HJm6HUg1iBvE+N9zSUs+ ab8mnEsKRtgtkeervQI6+xFBlUMkAsuX7OSTVOCTQdxsW3ds429FFfmS6fgJJOms94+lIoIaolIL pGt7vtf1L6sfBGfc0FVIoeaKYpXv4Ctde0tro3ZAcMQLdQQVHbc05+fiKvf9Md333BYjpyP6zjs+ XZNkz4NovSiFgb94lo0Z2PExg5fM5s2UPQK+fRgGkY+X3kaNN4MY1ELyLV5i2HlHsXzLG7pNDUGb x6FlYVKLrwDcPBTn8tPF8RiDqIdWaB4ndf9Uqe4OLQkaZVvtuu8rJejhtpnAwoUWRFSXK5ShGYtx SYDfOhiovJwhHTlH7X/eLxWbtEemZ2/wQYryFb4uyR33F8h5+0k3xF8s84Kt1/OEjRYvo80FLqIG 5j1UDhAK0PC/4feG1aOe5DC/V0UgbO8fpqEkW+UMOPaxNPjgk88YfUjl1GsDIRO4Nn7iBl2HWJ/d ApqrHkz0zlP6zCJvP6CPH1/EJhgxJzBH7x0erqZMUqJ+nuWjv9+jvgvKUxpre+iERM5VuFkFe+Ui ZtDWb3Agwsn3jgaGMNxUiJ5B5aYCbaErwcv9wb5y50HzcMIVj2obcwm1fjQtxhIBhCaAur41FRpr SCJ7r3mRUa7YXub6flc76A5fDirwMnojXERpfjf88z22itxpZwKoCG+lOAhkF1X1nw7dOKqHCdDI JNoRq0BUjSgVWjaJ3ztJYYIMLS6DujIu+zJYQoY7AqA3C+gcJ+5KSDgoMqb3dTvsjSKm+Wt6u4tF aGbeBKKXO8rnZZ1nT9got3xftECb7rx2BMD5Q08wLkMKaBEv0RRewtGlKNUBpiXpV5pNUkHq/m7H F/ZObms9lrczOdcDIb81gPTzAwdIMf/FVNUVU4JX/fltJZT9bOC4gZ/uO7Htn+vr4ETZbCuwq/dU ZnvzddmZFLxjIKhDRfrlKR+YHb/CKPaSYHCd0047PLPTkfPMLEbR+3VLnvnLLSQqG4QUcm7pO4Wx 1f4KlMEmdNURPnmRzuEldoGsMhq+xsi4dhvaP7rWpQZoZe/L0552H/SGaqOllYNr5c5pC9z405WL 3cvpBJjP/mcTltBgYd1xrdXv7VFKaGyB3shSl8JpGp2LEmYulJd4wqfzqWinNycwUrXY7PDzKkT9 TtO3cfk2aNVzJmV9urFDV/NGNoamAbJklVL1jjZmNcUNLhsny622JEymXJt1RITPn+N3rTktwZOa LuKyjWNElk3fv8W04uyeNMv54nV72OPDOoupzLSHuqMOhQEi5bRXx5SAUx6UraZK0wZVrxuHF3EZ y/9Hsmvq9ONf4hyZXTZFS1YQCvRdIebSpNjRwJIVeCAwF3CVQrjJCez/6mkCjrwT+aF/qEjIKKk/ luSsl4NOruQFQky5gIFBJs8Q/74DG381VxkWm6FGNLNead28DoYR4BNG1fv6jLPfEqk/b1JBqvSx JRtM/NnFLVk2/r6Ssp3svfzZhcn3/rQ8+fFO1zvH9vGa2XgbGTfyetfRu+UqGcVZk+Gy+S79+RL2 V5Fx9zLpwSdF99HI5U+Um7ptj/CHs+7zwFdIFtoLIG3PD5alChh9V7gdTfm5XSqXac/LcyT0dCvU xjxYvL/jgcAswbH0HIkmzltAXMBbJDNjmeDSZVGtowdNML2TVBTu9EBjPaSgd/YkHhc2RZ4lXE3Q xn0sxs+SEVDSDukMuMH61Tym2F8e/RTTbWfo1hO9y1jkT3tWEqhFdy9UfZ3X/vg/Xz7ONg5k8w+b uDFtSu4PHMSEnjvIoxv6e2KiijbWkDzkb6gB4Wip6WNBW3o9zeuKjnY2vFjb1xM4sY6kpas+jGLD Jr5a9PLvmm5r9SKGQssztXttITTAQ1IXTM4BaekwI2P0MjDjqfZdZFGGar3/uxzPx6FFkIaZWYEz GRX5fjJKurn0fuM7JKCCFMgBlN9GSY+5EXhmBpukvZw8HOTF9YapwtCKztfJt90JDzeylvfsOyg1 Gqn0oJ5n5xaz2cP6QPyFEFNdf6cgNdRTtwukE8ILZ7Eca3KnPoUp57sU/H+xQBQSnU7hwxO6NDHS NbKT4chRxsIBu47kbwqrm5uZ/DaLlwnSHaGPp3Qpjz4OjKNUSGjR9vjyurFF9AgqexjU+C6OObT1 7JqkfgcpppBlxNlB/ud9E12g6EHUzU9fPY8pPPiX5rGZ3plzUU7yglAgnubhReL7s0pm16tPzbOS I9L7Z7dktyDg4H9m9xInujOGhccduMVTFstRrs/BCjwFR2RiXFYKWQw1LjoPGIVB6rrVH2PDBXeV 89h+9ny2BEF9g5zCzRPd2X5kYgqONgcwWvJPX0mLy6AhNFatQiDFwGgYa9BbZNddcKq7n4KAw+wM PNh9q4z4GkM88uPsD9i9AGo9SeURmEGGiUpjXtg95Rl588tOURO985/IshQOCuBMJcVVh3oGBOse w6YGUILPkvzGqUExOV9CdpUTAARUHNKVaP2FDzUHNMI8mZ2AZgUifY0QGjuBWNk5P9oYcktnq98x jbZ1nklisMTQ1VzGlupXTcCx7MidRiIayj42bgIip3qLupbSbmSKFJNEqlv1HPKIDlSzztN4JW9T NvvJxtWI1eD9ogZQbIx9l0sWXRDMEhavSn9+EJlEmY/kE3W0HFIbJb/RHIsNbqPOQourDoelANQ+ roXv2heXGg/2YOOT0RiEOl8Rc3rpqTbSiVoCsvy3v7I6+F8sdPsQ78BNu+vjjbsGjQF7exiNZcoD DhGLN8shYC2vuQa3T0aOhkGL+LoMrcdqZTdRfByVv/EB/dDnx2nVcSfuoA3IZs3JTeuQs8CvjSv/ 075tSr4OkLne+2WzbE2hOtum2ki9Y2XX0w2sdCrb9F+sIRaHUAxWrP/71OBiG524wchKSSPjJl9f IYm+8rfT3rDvZ2xLM/btv8SG8wsnh6MinvO3o7Y1/75FHb39mvkleBKjXL7eLVntut9r5Ya1BkRn kmEnBVNcN7lXtC/PdW0jfsqqTgsT9w0DpomXffGgl04CvaSVFY56J9wXa2dNCBxDDcjSwKr3e1Xl J+c/Il29esXGONluy6btiltBNRg68w2oLOfIs8mL64fWJ5deCA+WkFV6tjLGcNHHcBVoj1SZIpHj ojWdpDY9iAF4y8FyckcTE73+4UH0DRFXyHofeIPmu7DDXidW0xrYs7VvHe/6eKlIJKtRQhxDOxdU uiUwvbPbDKLMMdpa8hNePKbz366WFXpaM+Zr4/CCBinIuNKu89zu6cRuirsRj55mqdtP4WMIpUPG srWgSDbOyBs9SMiEeLwjxROQaYTy4/Ni/sOB+cUL5iE8i+d7xO2mZxNQFHBhDDSX3UTLKBUWc8uE +ftzmeNRjGJqhL9YGAwwKx23S9CF/8D1vJdfjYdFOBySNE8eC8Byf7fnpDcSahRTQOY5stwfBwiC fQHlI9b9DtlnEdGu+o6bXp0ox12nXv/Smf5AZuoi1HGjONyaAlIv5WM5jGPkfbUa6qyeiQX4OLV+ HNv2hZjmk5hnYjjbnkmoIeZeOAWp9p8iLdpEu4WGkdmbDdZDhE4mjtxvQ0486Vz8rz6xh3ZBpsWW Xs5VFMMj2j/7XQTOLE0F2f1RPWIm852pA1Vm4KrbracTz3TFhv4lLwWTx7jknk/8zbay8DhsY+vz /gThzViI9phh1qd8uJCpwtrgSF43yUEi8nQj0OST/hGweb3ccX7XsETcVVQRRCiXG1r6rm1MidbZ k6fkRKFv/fVx1qaz4VehM0c4eqkRwqMydz1zlrWblyTamJrITF7/eQRJNH2c3zbZn/gDBO6/5TTD /IZYIJNRgtKPGb3pK4G9yu780dGs7p3Isy1QnIGt1dwI0FP+YX4PX0PW+8VYcZABm6lwUXZFb1om j9THBl/aoNK4RAcvabz/bbyocNoiFKfdNwAujQQNeRlSMpWfeLv9ZCWaTPYO44vegQDPVGHTQeCa l1Lbs2ZOkyv3vQv4Bfl7uvK1K5cVYP+6unVLtlC/zFlCsuAn1L+cHXS30JwLMEAjp2+WDuz4HMSg rIA5CdrjzodCuHYgXKs3m/5XDtR+FTWWbINpSvMYWgd1lBc3VmwJkQAlWggtxLAs8jx2BOw+CWUE SbKTtucUhkLKVgP5RBPWKGpExf/nKywijuxYJ4VwOc/rfOQ86oUK1slEoPUY4LibfWcUg+i1uGdB GYh+Z60pyaaaAkYONFO/P/io/yCCjfvKr1eszAcOWssSG47ZeM1/AuIRU95P8WDFtyHexpzrAcjL beWlQxPDaGFyhmKMhlYs7ciK6fBdtjqUXGkqR+W01cWY4ACKk6J/B++cNsNHW5PXiaclRdtQ3UTz IfcwyZ/iUsOuvguIbosU759+oGEzYz+rw5mtCl1Tgr5pEEyEb1qu5fy82KrdRKTzpWLEeOb2Kzal GJu4GZi2VK6KmbZcrlqSBBUTF77sLAzH4WJdH07itZLL7AM+6UWp8kH2tK1IUD9WhOydj9gaz171 gQ2S4WWp4UbNghAP5pFCVQeGBD/KniwWiAo1wFyXJsfhRgjUbRB1MtwlTG1JGue4aYtrpb87j6Io jLn7/jbaTiYyzGW6U6AgnzARlKGfDjsZUNs0PcfH2M3CXXabtpXu9hGIAkbBqVEyvh5zWCt98bs3 zU3PpptU9skkIemahKchijeJXFFYnvfzQ4AaCAUDdYGx0OAMTSWRgdLfH2i1tAnqW6I+CtK8wsPk Coj6pTeQyiOCqFYC56HSdyPo/kSxdStc41zrAyBGttC/REMcxqKC8rAheb1id2PlgPSmhTEE/hcI xlBfJIY8e+f1xDlNonlbaKysEAS2Y68wAXshnDFBTgbjI2Wd4qv74XlfmZu9eZ6JsMNzMKE6hsFa cSMPeLBmq3tFSQT6m8ucIGn4/RWdQliXULfOwojzvCP77OMLOIwkk2k+iF2wMr5l1MS4U+X7qCLX l+fV5VWdGoi3SKNFj5u2DNZKO+TpE7OEnWx2b2Znv66IpDXp8zRtO40u+epyDtIfiB9KJyznKRNb v7WTgcP9LUrGbB/SHtxutg7773HU8nfHt17IxuwAXrAYuUE5lzW8St/ixt508QBpTR4gpM5phWvx kC650xmLWhK1rdeREpJxxfsXiu2/MjVgC2cYldeajKsIv/kmdS6UXNUG29sUXG3xuvxPGDwDW2Cw ebKHc9kt785CRNFu8o6ApAZQXFmwNGM2NNdykciCcegj12AmP31GRM/EyYVYqCXqsZq2gZpUJUQS /+8+92SgFTThId+6NFNW26pY0tI2/9wdwYKZQ/I7UtpxPBYJLyAxzZWsQur2PoIfmGKR9+0hbVBa rtPKi18rhnj6klUkZF+orx1mH/IdcWyLutW2qwnwPRqWVmb+Ub0l3u2T4/kpAE5elsfO5udS7SsH 52F5A4IkrLdQef2Gd9CXpkZ1zWbb8uYn48nGxAkzvsgxCIygrwhD/WK5lSoK4M1dlt/LpTlK5fwF nDDnqaxlYSuFmcI3F3hSyu73ke3ZyuVr653g9eOnHJD4imYSfWhoU+jwKwr/urpN/JIKpFbdqNH6 4YiQYwGBSzianP2jj1yE+Kxb3TsgMTxfsVlrDNlZmqcDxzXjc3hMMZenOvwmA6rA3H2kjLO69Kx0 FA42GEZwGvwXZA+YRhtE7ve/81oWjJ8SwgG/yqz0wNWEAvARJUvlYS0GB1FfKvjSI/V2ydhEjmHq HGYa2QYXaDO5tuCMBhB0AQ6YJna+Iq/ZQiStLuqOAlrC+uN+3dTKorurfj3gCb+DK8utc3jXzUUL CHyAl9/OybSTN1Bo2KIRT9N1rYTnCDWZgqSqI1wzkhX1nRoe1JWtkP0RgYO/fHHZ2xcIunAzIN8A JBiRgFmUB/HvacKsBaF/O5DJBV3J5+ULMEGrRGHUodHAIw6aJxun9X6EgrHLNVfQ1OCdgVd12oCd K9Ore8ipMDedQYfcMD/1nHLdCcIJiNxp3i31v0BC+8ENgKXKCxJeJ3b5ITfSnju7GfOgJtp3hHSS EMDGhjw4uFMuiXuJigQfZWZ+iUKNyMdnNR89+IxLuqWbgTe8aEUc5DTIPwxbsejrQlGieWp4TgRL HyDUqP8KlLBiIXVT8ixU6QXKbSijvrC/JONdP+OLcxJ2QFH9yRLL5WuZVhHxcv578W4ClwO9bB6c v/G8Cpqy8YuNlDAZ/UsS58F4tQ7YXM6+jV0Nolet1zjGTU6ps7fkxdA07Bfr6iJ9UmjyYZrrntoE F6suA44WImv+xxbAxZMc5Ql2pwG77pOEAioInm6fdvvVapiMC+MXigi7FIv1Z6mywkWxm+vivb6f CPgKIkQ7O4PJk6sUpRoJDlVwiJkG9MZLSMUusMBOwd30TSIVFOV2xD3/42sUGZiG8kZNqXoJjHEg QosYZVa13Inf5v2AwhZ0N1X3s7BDsioftYh2mDX6kDAaqWYO1GEYzxu4O9BpKBDgY7qliSjQlHjI /V6gE4Gc4kbTEWQIF2nFQv02Drnp0atLedjbIv4E0GA3eb/j5Il4k2eLYUPqC3FVz4uZkcSpmume sVMiM2PYaW3p5GXWZbAw/xA0Bqx878+GsvxBgUczw8F+VZMaJDw+elut+VShUUaGNHvZEg8d0JCs mEDsflwu3RnV1R54TYh1X6KcrP0mcfuaymgSZluHcmHdBC2igp7YORLr0rCugr6bWEZ0fAoIdSGe Kw2AefhXzadqc5LfIPUvbfXTEzvuFWAKFzUzNBNNi63X/gp3XjvySVXYJq5b284LmaF9kHRttTWK C0M1DF6vvbCNe4k/psHE1zei6oV8SY9ft8lFTgB9DkUxYhYpXcFMSObHzNKJgw/s8TQOQPRYyAT3 UEYFNGLhedn0v6bglAKV24F03abm0I4h062F4wKsDOHVkxnHer6zXzv72y9NZs9WZgn1UAvmzUwb H13H0qtMVh8BVi6hkGPqvI6PLNXinwAcAHdsinyQ0NYRGGorW2xyRGBDBm5i5kKguR/XADbF1axD 2Z9TxPhR2U/6owQ2NqhhU6+lcFkMcKo3itDnhkerX91ga/TaBGqkFz9zE/nR7VMPHA5gafWFdSPu AhzwLSdSI0dtU+q55TRzDeNB5E7yNA3fjB9CZzyaTI6wGgw9jNovu9PJO0O814/DG4j3J/w0DCAG 9mdPk8FRFfXSCvYUmERx9DlAffm3v5L7hBJLOXMmKXonB2rgNWx21M6RvFay85iYfqcb+26Zl6Oh OgIZz/SZMMhkgNoHP+glLC/Cmjyrl1a8crJrM8T8kusJ8ATbjMgVqFyY2hk3TT62F1LryDHfd+j8 E0IClYrBfytN7vTieLPSIcL99qAaT7Tj3aceQQ4fmICIQ+oAZvxm9tDhE0WvMvirKMhsB7huFm56 /zlP0hc2Samo4FXVgwdqPa/3tnbAwUogB9ke79CDvXj9pJL1fDxWDL2FMDI2zl8pBFZK9k9sGh10 CjWklrsjVhu8R4RnD9SjDbTcNwkrMouVdyb9oH1ifCePz3wPmQsvmNmjb72CUF0Otn0m98V74O1d uBHO0O0Z2APbCvG3blu4NLgG/vfMNrykSQLXG0cxX0I3yuCOTbVLBPq/ths2gXjDhUx7J0hPuIXp SubwC8Gk/gMXV+FavkZusk8/ssZbK5ocsjrlukh3KHzfqT8ogXMimLAVhNtfA534zKr/0EJCPYHo D5wCGJ0FiFMbaOW2FxxhZyzf+zaC9a3YCcnX6XkCDgiTk28gqlFkby2AoImqr5wYndogNa5Mfzvp z4s0cDdTtXvBIBg41ND8aU4iW6JdZGzZWjduBEDy82sW/eC646kMya4zOeXmi3SsA2t+MzltZwMh vFe5tw14W7u+ak+zbU5MnTZayZi0WrkdtvBGV/ts4uxBhqYnalcRpiyu2W9X3OWbX4oFr0z3almH 7MciRh7t+W/u7+q8w4+bZ3+vMSlnPNI+o2lNky2zZpd+c+ECxkj4Mzehxo+KL7NHC9exDy4gmlZS NeGq90HXW99l+c6axZhdISOsTomCSPxKCU0jf8XwhGPXkifYzWxeWIP4FvpjhMC+1U0vLXKCwdmv pTb+ywedSgQCFRpr64wW1miM3VVviTCPF0a41GTcMDhv9+CFbhAloFXsL57b0snhAJxBTE+d7IfM ksogj6l+SMlJaUrZyGb1iyP5AWODpuhS1Cu6L7LXpjBS8jh1Kt7wtCZ1Y4DaTo870MwK9l5gaOJs xKFcEZSUiVio1DRn+Pro5W1q6MSy13QkvZHqWDF4jP4xJDYXOnH2wzwMPnVJqTXgUUrJ5HbgYp0C hXU58kP8NIkEbdQXDlvu+DIWUL4yCpma5ZWcfDPqwGnztn+XyNaS1tiKzSU2yletjnZZ7gDQi0PE r0zcakjtDXEUYY4QrvuJsBDqGM54h+eWrertyA7AwqTdGU8pfvawf0ZgBj8KGp1nvYCpKXwKeoJ8 umiIsiFpYRkNAE134CJegqMZuP16W9xzh+QY3lo/GvgweS0e6X9eIrs0476iCr8hwsv/qdxqf/9z 0a7jtaACK9T2r446EGjOsMQaylxQd+g9we8R36b/ZzapSxIoeVnBFSI8CjagUyGmgU4cnLOull2z yg/YsTlI/4mj2/xz//3DeVojvCOYAWs3CoB/WNRQHVdAmCwy2V/3oywF96ZcikIuZWUrDa/APZXS vwNrmHZ8kpMas2LxrPAQpTO1P0Ibmkii838Wz+4BdmNJmSCPkQl4rHfdjLXEALMs7oPJfBEy10iM x+BTtvkzCRr3kej41qQBuhdhOtbSACdMHaM4i41pxwKLJ6BqSahTOCArEW1aHAh5DpDd5Cf31rME Wv0BtFW0q5HXMfQDjywQeL4rocDt72RimIcFsRw9lS7DoTAwksDcB14u0ng0SHUxHYUyzlIzRKqR GlZzbtiYPgPM2fXNhET0j9dA8pwdfBgpYprMeytFKtvut5QrOHWOKDOv+tAFiWGCKBi2kVrrEJJI yCFVy7LN3yvyGdLGatOWHA/IoMUL8MiYtmKYFMFY7up959Os/2M1i1qc7BmEzkTcCzY1RTxSJ/JQ oFn7GUAYHBSjGTdeucBBsTZXPZbXQqCVVXGH1ms7TPw/NmdjAgoMQhlI7CQIRAtIiE5QTJFyCr3J nN3H8ziEY7/ImPHfcGVqP9ra21BtqnzSXYsy8+cuC3gVhrS99w5nn8ZszbZwefbPtQKuEx7BJ6kH euRb6VJzuslmiN98gNuTAUYjQI3MEmegzX2McA3Z/ZEDiBSrW3STTHo2I8/84bKIhDBiwyydwCeY 8J/CjPXqM1chX2S7V+AoMGzib9SY/ArSKf9IB0opuKRhEBDUySsiYwksOvtz+XSfEXw9WIkm6pf3 ey5qTu7Ka9CdQa/WGwQa4uuJ0jJKIqkWkeiwmziKh52fnH0FdHPk5aT7c1lsknYjtPeQ/5QHCwOH P5btQjG3mkahROuRtKtHa2S4y6XibbPa23zepYEfis3rtln0DoEyF61iSgAiIgJN2OaGfdpkoB4o lfLqvtFU0Y+BPDGdRIemW9mb8Zge3ZFWlAw0DH9x7hDLjyOcnT6qUJO5QgpIMapob+TdkoESAG9a V9mZiPxG535l4Pg/KFI2bgH4mY9MTaUqpbHj7+ZEhCxLJ1giV4dFVA/D7QjMKedcQ/XXsNqZEMJb dwOhzbeOMFDHZX8MupiPlm2CcBH11cFtG59WZ9OdE2EJVVgqqcBc6/6wbcyNyEmspSuN0UEHCQ8S dnE3joQAW40y0YO3AeBkuaoDCWx4GPLlxLJHKcE4u+0AUdXvNp3sYUgqp+Yob/urlUJ19vA929kt tTGmrP+J4NS4Ion8+TSh6rNFj1vRnLDIEUuKvx0K7nHQubOT54ysklLPgXalEa2Y4k2MJLq+WSNB qhluwmjGUGAOQ+jmQT9W4ceRQ19ivfGWJCqsb/B6ezlRUetGryJ/MZ3RMH0YWkY+72TReZqh+lik DJwibqmK0qxLcy0CxQSscad/1fGBOjTzuBI3T9A8R4adfFx7+ZLCmhXTa9pnQurkD1EdjpL5lyXO ptnAzKCiJ+RXlomZ8W8HHSgmDZrZmvVSXJ5E9d9YZanPJQntCRSqzJdsanKxFXU69e5zzhjZn2dX NXPV4oEpFqeabkLiVcltCtI7CmavBPRVAtzlqebBmjlQd2bDxSRtJPRdNf2bJ/4W+fNXlh9Rs2y9 N4/m+XQjeGmdkmv4drtqPqNvuaTg6As5Ut3GSdauJ2OyrLlqPtcFRnu/IRKwzvtYqPn4PJhkiIUH joxFTyx26Ez5d2t85Dq+KHXG//kfhvV9IM1u44CRrbe4F21k/huI+KPE/uPrvSkp7mya707QNqN8 o+jGv+Y9HP43O8AM7O8ksrdnUfw6mIEkmrVJVni05J+Cp1M/o4xxnc90AQ8e4ZUPVdW7vQfMKqpv KUB5gnLDxsnBiAyjUETK640mTGkGX7rINbALEi4tnRyMOOvBGUicJrFwHEO/S9boXaVCXCSqzqG3 lY972PFx81EOi7GS7o5kELj2qSloE/VF1CQSBfMCK+kFQCmCoYOJ59JdIlmx04MspHQO1045CZM4 eyhyWbICnkoXK71KyWHWiUEcHSWmohL5fyPVxzu0g30eCVMibA/CV1V6EE94fcmiXj2GZAo7Aeqk IEpJeT8vvQlUDG4sDlXw4nLwDmiG6mT4nrwKs/BMEcLkbLKP/ivGJd5hsTQZovtXm+oPk33uNtGT bZqaGKfjWCfNUcY7membRM6Jf+Dnsf7ivwU9Ahsb87HvPU4bucN1Gan/5cDBdpwtH1jYUv9L/4Sl YAbxZ+qDBl42YkixtJHkNrCUN6EgXHbElDfTyuC/d/MJO3VmDVc15G1gNMYZcltLcFNI02gxG7K7 lc8lbLkOk/k4/ZKAoimBWDCnUi822CxovPLMMNUudkD4+Q9S/39eYHtsLhB/ekRWeBAh6jaDtiji iEb8QTS0qdV9aBR4dmfmzNRs291OaDfYRgv725pRGh0M9Ox80aMYFtOxW+VNeZp9rdUsAaBJRoQx ctQF63privdRhc9/O9HY/1kF8Ju9PiMeVQh/ftNA64Y7bry/pV28s0hnUbt9C5WKWuHWgDQiNdEW Dpd9FTOtItmGmcaBOTrd4nDYp00GNQ7FnT7ejsJvS01GIksjPohPF789zF53JDNWhM58RkxmE6wt ly9jzrKPpmKD+gZxeaPcEwvTHDvj2TvNlTis8m7DPkuyT9HTRE81qIR+byjgEDfihxhqimNVFXR7 g/iO7oWIA6T/zXYrpnKVdqMYFm8uvQnwR3hJ8/E0lWaTIOfSOCtdSyAb++xIpXNmfPcoIrbX34hG qwkm4JhJoeVwaJOxSbKCDbJbTGNC3NBfQtMz6YuhxUExuO1Eb+U9VjPiAwEGGr+p7rwsDorXMsFC hSEqCcRa4LiDsykSFFdCReNtNnbY7dMQtowYRqW/UQVQAj9pb3cUpvZJa+1l2nor9gKs3wdvwUfY W/UsiDksKYxUtKbRYgjUSIk/V0DGvPZ52tjPPzyWwHhP11nAN5gk0mfX4KcC8biXE1ggkr2Tqsgb JdPt2u5APUePy4/jFv6rzjKXImifvnJ3W7BAVawS/I3KmVbMzNHXciG5D4lRehLTkNJFoKv6C9Fk BTn+W2j0eCZaxBxMNEKJuJM+39DiViMBP6b9G2IUoIotYi/w1xlBFuhugMNKK+JceYaxRksKWWTc hi9fcRApNQF9doB00vQulck9fRTG7HGdNOHV17WBhX+K0Wy6lh+D1MFkqeIzmJv247MlQbRIB+WY KiSKUMakWXsTXoFBGN8lqo7iwPTY7Lp4Jkas/YhyGJvGoXsGq2LxKj+WLnaisnvVbwclRBt++5Ea uZ9rvE6jWsxyaDXSc5kw5Id2CFo60/DuL06EvQiDVp0gelhprB36wf04diLtaGqo+XYYluvnzmWq NMP7gvEgFnRpneuE3TJxiKSJeS9DIekA/YTEXdV+lwA9VmJg3vxquDQu7QXVMyL3Y9NkmJ1gGsh1 MQZoKLJf4JGB6xqF2wsOqYPbX9Yk3ao7LNlOgbiy1NxMvmBydI7nPDDc5FBVICxIEuR4oPyOiaOh 3Zgho30R/eyuOb4Ef762J8FHvO7efJGbHs/a1+UipXR1v2tVU3RdI0qknUI5femyos4nWF0G10/I 2WFr4kdRCFBsa4mL0mqh+3yWd6Vob36yOtFCRrDrLDY2XUhK5FByrGuh8XLrRxGleWMmogM4BzCn whFe6V6PZST/L5YjLkn2zQ0Q6LMvtVJ6fEoyPnMyM4R9YfYIfH7zIk0sVoMVp/rcCJr4789q9C6y 50A4sfiJzFoGF5ll5NtbWAj66YCnWllUbSc/CZcHJE68je2U3PazxpmaNHHc+sj+7zjrwc9Lg6IJ uxp6RhHAyLUYSqZkA8HXUjq2y/68wSmzs71LycxDQLyjuuAgtWESFFTmtci7CO6/1y9JkK0vFtTe EuZk4ks0flBSEM66C8hNMTFh3nGn1EN/nvguFevl3CfNBB3WNBc3vX38pbV/Sxt0vkJEc9Tk+KPL bCf0A9YzxHWQLxcSLa88EmiNX78v33hPzWAeLI5v9TZAsfpMHrj6NJv9OY0uEN8yBaVI/0LWOzB6 rzx0IFiLWuIg9LFZA6y2djjYadm/OPQDpShqXE89sgFv5D9vSKr1Mo6O6T+9mT0A4xJJAX192pOt N0gBPBGytK0jlsFFgS8K+8eFzE5r6j3pYYl6VT+uI3wLwe9d4tsy6VTkThAtMLmQxqSvggqE1NJV 0cp+56P/JJOZi7cpHtuKimNTfRTTVCESNahbLAYhpjqWKgwDZhz1C4yRyPS47WuhLJfLyXHBNmlD PiaMssmgaNkPYbqjlDJkkNiUE6Bj2z7BBaG3/eotCJsp8gjE/YQ8V9HdJN4DunAULSP1SEviFKO+ J1N5sdam7vmNCmV/rx1YIRTpFBXks+XyjwdduchIDnDUE5OI04nJotHTlulXsLoyj/Sqcbs290zr sUcHIi2jCTl87e0W/7QafvvXyWAah2JGWOZoK54VL8bqSwO8YnhJhnzRfnWWpOfyznkKDPdmghyE PG4IVYax9Jfw3f7vJxEpvHXa3BRty0nJMycWTTWclPOx6D14OboPv9bW3n29uNaGCTl59R3VLyCM 7FILteXQ6bXWIn1/3mI8+uO7fhxnKzF9NCHklEcgzFj9lj8sPzFlmXvfVNOFRe7DrV6yu+WeDZCE jETPY6Pn7UrGfPFKK1LXIEUKzfbb33B5wD7M0OXqK0Ws6aFWN5wPJXCb9rZtSovVrTEyUMOhsJ9P Iwfv55wDGIQ2CbmL3vk9AXJB77ZLcDzIBJJtFMxeiiWMRe0SpMIfkTLR/0OSz0yeM4E7H3nd1Imd TWdcLGxo2a2t36WhHtu7xwtD61rw+qLVM24aowNL9AkutAE76l99NWfnZ7BIn+CHLTvzxb4oHlJx R5UUwgaojx6YP7kePxUOELx5LF5djyi6Nxs2Ga3hTu2Yr1zBq6kr4uxw1XGeBS+inkcLUtPWDc2H O1gLtgS0nsguBcqIC4O7jrg1aQgeIdcBnRgfgyd8w9fGxzRAlq8/BUPppWWqPm3fBNjp6XSlFXOv VvW9azUcfcg0VLV0ucp+lnKbOVsiLxKMH88yZbvJRS93FM0eyy8LDcCIHhYHlkzKgv7j0+Z4Ykln lpmigrK4yBNnY9/AZzVL449OJDWLs9/mZBbLnDn28iRdvcETKpjq61B3GI0y9hD9w8M78/IH5YZR 5g1SdGYq9KBrNGQAP1Bu5nAzU86yaj3h4GK8VQxPXAN3pslV/8pCZZtZonsU3mQF5oC68A7lBiN5 igJJCNKvCc9vwSfmnRq2nMMFvs/VnmgTHsNndXmCykeYBK//Z29M7nGDoGe5agyOg2uta1/uMUoM zpXufOpDrgBFff/W7IMq4dO5sgiy/oNvfmAByj/ZUH5abmMBLVPyeGW8hR9XBQ6YWGwuSqLNmpWq YzzqW9+RtpIOwDTEFYcPgKBntkBrTiSXzNHTR5dVT5bKRaq8cWw7XH3g8g7lvF+OYaqhugE6XNzb OuBlt94MtIGCW2qKzvkJKd/3h+toBDNiyo+kupqqCIZGghTECI/h2BceWq0sjuxoyqxmWWSvAXZF i8atHF1nrNawEL1hcpEfm5XWs0dCLCaXTk/0Z6GdIoHt91YCH7dwFbV7ogr+CwpLfaPVNgwzME2t w8TJ0AqvkFGKPt6v+Bv7k8rh0A8vRF9vZxBD8xapV1dAOFbWws5J/fE6DuSjzCiXH/EXKl4XMGhp Fc6Lo8DVwMX4ZSmTqKj7Utt0A+d/QlRkj+pQyoFPrX8e0gcdUNG50CQXdyNZHxQaWyIdvlM6eWD5 85YcDe7YhWieFBaU959qVnWiIQ8yr1IxFGiJVosme49y1OUtFoLdIuZ9/bbU1M2AYOtTOmWvydL+ eowYQE7lNv+kmEBj13MKFXT6RGFNnoYjF7t8ojEHvScSBadf5S1v6yXXIdTjOjDQwtslHN/ywb4Y hJ1nHAlhn/X/m3tGlDVeyOGfBdaWAdWwPZD90uROg9rZsA1uN37QCYhQJKVWAp5vcyGoJDcWiL6V wlm9cJ6OgMqOY5h9OrQqEW3V7EmWs3gb2/dccvUSWdbIVWxMw/FH6+ES2T1MZiJx61i5So7eoaBd Sm9PAIHbX4B4oL6GvizLBlTYT0ViflpMASAgsqnA4jysgMIMadN75URvJNkwsStPuU9tbMGgvwIs nqwFDFyIrJ+tHTnVFLpLNtHmEZsPIAJX1+OWvsCbJ1ngxbTdBkx2H514hFdH98oxzhB+3HavvrdD 7ZtFdoz9fxCaZPtehHVDuWoFfVVCoUlX9QTMyxwVv4ThkqEIw8VWyZiGL5oZ1sFSYdTMirVMXnAB atNB58ZjZXGYt19qfyxXBzpWmLBdUy54aVQw7t0FJK3z9Kt+9io6Wj0q0YtTGSNtbidkiDsJC/vu JkbLcOsI9sGbEB+uZo3kncWPMHD2On6rfA78HGej1TXUyON2NfK2k3GRnBHPfrpxXjxCozT3nhtH t9gC2OeAcbG25Z7bXGvPR2XPuV8PNHaalUFM2O2GNHHi2UAhsRY53H7tl/e4lhACVephTyQochKe KD74XQpJqyfxFRXeAhoxyVR+zzsWtQGr2pWtAHcfv9HgcKLPrMKOI8wXSlV6o2bLhjGKH1uzf9Kp eD15niOV+z10+P3tkd4EJR4YWewL384mVt/w+tBKiiTIwdsZmNyPgrMow6UvHxALlFSIUwNiIA41 lMp94Y+TxlUvvGiGhoizvE7HRQJez2xzh4KRrZVzOdx5mUpCfscVslOyiGyRK7Lrpbmlhe6lo4Yu c6hBRIpR20kTWIKCcbmXD9qgW05kpeVrNhWeLhc4z8xVZQjUW4Ndsz3bfvOaBNUR/D4rBlYc1jXT 9CBJDtGohm5qOysLFABkGz46RXmI5hgxSY2cKb0mZT6YNpjsbKf8e3+tlgCL5kx4UEkjaMCSePkR FC8bbs1b9YJMr+A5rk2UsVNLNAu/nU5n7cz8NxJe/2oAbZh5j48STGAdG63dia6uHhlPUddEuHyV cR6ncGQiKgnxKcLlgCohYRn+WCnK1CvpR4f1nZ46tIEYHQPrDJ38rekFnJ1W/5cf/lR7JOvRS36i v/BJaoorDmQbWRaKRxI3+vKHBM0piOzhlS8QyhVn4I95shZhO+0BST1g8gKWaS4Z/nelgUuxx+U5 t3pEZYfHOqbFwmuhMxdQRIBIRkVBIOTDJakqVOIk0XqeqPX8BNBuobPH1GQBJQPprEmPx8u+/1iU tHnY0q9VuJaf2zxqifqaNFvbWG972JKp7t+nlMpXyUZ1UAWRDTrwA1mM9QxeiwfJMGmPe4DpruHG q74JLYErK4TaXpHKwn+g7JtJujrCLuxAASbHbqdvh9iykjLQJbAt4HVaKt0sw+gShkYImu/ZV500 sEIeyhKSTzvFMWjqtENCraOqnWZ7pMTWHfFEDB15/1qrKJ0X6teMSGKJBtfhKCTw9g7R0MaUnliw luZzIP1zVTxSxgLvOe+hUkTi1YTvQDf060NMN7ueBQNhIGcuAa/HpIlFVT/yXJIYJFVeWFoOh5Vh 0Na7QaJFPvqKT8UP5fQghCP9EaWabETTplxeWfLRGDvTReB5QCkBe0TtbvG1m8Ss0EUrcoFH6aGT upJd+KWt2LhmLYhWWWFSdidELBOLTUfsIWhdk2nkfzlmys2TfjaqgTUSEALBB7ilNgYw5n4QDFnv cEHjTcVZ7Kbrevfe7qzir58rksURh464aQ8zRcLNgAh8hnbQUR3GBp3pH1PmeTZ8yjT+XhWQEIAQ +vCdhSEtfxUGfs5A2WQdXpPDAtjiOU5esS8PJdNzndX5MS9mR2d2v4Gb6XwhRNfiCwk9MVsZtDrm b9jyLOkWR6k1IJSxaue0ESBK932WCqkzsn+DJPkFTeq/rEAuWTfglK08LFOjWD2A7PE1cKR9V7Gs GWLAPiwHCHB79ZSNtjqNXcZ/T3TaePIPD/4IAdBPkFfAFV+xsDLbcJo5+eC9mycsBhkz4FN0Qbkp H4aNfV8Q6Gckwg2cjaItWd7HIYoQFL8IgraTS9ZFajltyM3vZ4R7FQ6osV6QzI4hJZDFHdGCyz8S uzZotLAx+7APz8ePdEU2ssKI1FoUrXYhkRUu8Ko/OD9szT703OJKYyMI2Ught58mYIk8WTnWu9c6 nQLSBj3oI50YyM2yP7gDe3Cxjk/12gRkV/G8X0BYQZoxoX2sFz63XO3yXgmcUDD9mmDvJVuKtwDE 4HvNceiQQU2MYqIx6HRrkmGviKW69ZF4oZh/x0zSzUTNdSzKbaHjQpM3RmbiXSu7vYrMGaTLV4zF chj0NF/CGbQg/j4RTVj9aSAiRJZmxs3BPzzs5Uhtqa++ETO81n9mPPqWlI+nriFW7mxWOlsGr4zj 98ljviVNYXdAjHcYHPRs/NI8MHDXE2TflDcywxf6kJ1m+NtqotL5h3dInOXvTVJ2jsqyEq0HooQ6 WS24lUfLq1TRMjRR8jKmLxQO+iAtnQjDZt0mCI3swJl56I/Tb5EdqowFFA6OHr/NVMjbh1GPeG0P 2ik7h8iI27ivfntTXzcJSEF95B22v8fY/uHV7ilFVkbYyiglCLaojJNtZAKkRMWAAKIV1wt5pn09 1Hj9evg8cBCviCOuJz11FVJWxPBsnll1mukfsWeteCbXF+g+4h0ShsWVGX4yiH203R8hW49YzRXk J7jdL2j1NESJBPjjXzF2tQU2Z93NAoHomnEgEml8br02M94Av6bDegdTwbnpHDyFOUQ7b1dCrjpS GK1bqusb7z/4OROLrD1JC0Y08Bdy7CuC1h499wg5YvI222ZiNPjA0OrxmXm4Y+VjJLnGYsru58m/ uflqNQrDiJJieOWEk/Y8nLX2tf1gKQ8Kj5e5lZztOaYn7zbNETJqZeZeQer0Z6MkhhllOtb+2NGj ZqRpdG17VpPcv5N7p0kkzPPIWRC+MuScpGOi0s6CZZWkji7rMSlObQJi/VvEElSR3OGjICTKoWDR vHidrlp7Nv6Gz+G2FgdR5GBOUp59R03HdrG7kAmeDpUgN/FqyHwdFuhWUofYvewxEppNitjVQEPW sGXbQIuWdfhDT907twBfpvqZ+egHA4DCViHPjEs5B0XRP+WVKxvAysea/sVKFNL33fn/gEPkKXxZ 3Tfm4ENJSp8cV2DaKfrhKhQUovK4AmX5EtxkdlnHuvcf112bHqn8FNk/8FIyKtAGi+O9ZFmKx55b 7GGpHZYazpKd0sadh8kUGleUUPldF7bWozdavqNmLKmdV1X1yM55q7umHVN/2nplvAF/mODN24lf YQ3QHJl8wR3Fvv1YyZMTvFpBPUOq44ZKEQPEdRPB/WZ6k72eWSzU3+DzGGQPYKrbv4azVLLgWX0M hSy1mtwLkgm9wQkz4cze4cK1cbXGhI7qk/D5Is2uZ1rgdcbiiAlOnTI9C6YcrWlMnXvGIMz8wOfx W3gFGu4xaQkogprcj1BkAwF3/TERYrc/hk8eNh4lg+u7yWp+1tIJL6y7tHvDxi9LBZFnpw5ZqmuI 52BVj7gft0fOUYNy0cm/hBTkOj4v2n5WheI5nmf92bTi3o6hGKPm+Yjp/Pkve6LSCTBEUbW99grm Wzo8DL+pXAbPQ37Mw/AP3KtPqKcMURAprnDDTMexHa79pnK+Rrf25VfMymSWKzt89gA4CIMrgQTi geGHrtsmzRXyDbWgIwqf2PQC6GA/FpEAk3leWzMBhrpmQA5hUUtnfRGC3fqdsDA/wCIphbFsFssP G6iGibL29PXJLxhDVL/2BK5zW9rqg08ocAhPLqq2joVTRFn5xnPHJ6L5oMkAIT4vuv+ZcZLGGsXE m23S2tNkduCg4ykfQU9Fa46RnBJB/uF7nrQTEnFNPNcN237l3YPb775lyjROBdB+MpO7D3vNaUU4 qWn8nsQJS61IBHX5QRABRjPZHMRsoGBRdqW3Sjm2FMWr7VEs8F4vGQ9Q9gCIjbMA3ZLRaQwdHJR3 +eSk6sbpCoOWIFe+yTPRoNp0L0myUDgMFQ+pRdp4KyqIpPOhcbXcvKOGt/andxfk15LY7FHRpiE3 7R2HPfF2GsCYLRyjPPptCn58LyY/zjnfdGtjxv21J4I2zXs03U3qiACZgln8c2FH8J7OLOHQHaNg 1KC7jAZHA3nVeXPOkT2IyZy8JdWBbeK5s/uGg5Izzd3q2V2/wYWwt+NrKN3TtVdSsANTugCzv4M4 RM5rH9vdcc+gtdSnwvFr0rlmj3PkGS3Cm7I2VRN++1x5qy85LXsdL1wuQZJJXfJxC92O5wCSK8xn paNlVvY6ua7tyBuE7NXqSx1qMUDH+2o6O8LsILM86pCPdto1/JYpXNylfeuItYRiGPR8mOLMC3dI MboAd3sRS4Eei4YyI+20DiK4G+8vqW9DnT+VShtlfOWAdldxKfjbPbFqQ4M8wYK6eYiVDRHwY4eD vrHfaqJXEVoK6wy+/0iQWPyzbUTFEpdaRojRk9GhcKQXWCQEGaaDsXL1KwchPk6Uz7cm+4Sz4t8S gWyWuGEBPUAUdVs8MAk6yvrNsPEmzQRkT7GSFSiG/cDDI/TpMEJU+rM4yWvytMoISkMPcVYWVZqy rngXjf/Gftv6oxHZlueecvwSJixRroq6Zq1yE0REBIhMIL6OGaW902hDeV1OzncQSHihAr+gg/gW 5PFsI1Sjb+qqMNoAWS6Y63zTWQrf9vDzFbLYsaueDlkeBJpKxAP5exPygr0ayp+yuMzZL5TGY3fL tJqsK2ACLfB2h1PN6AppAnrh+OZrklXwlyoMqvSmQCrJj0WyDz9pMTv204/fAaKPTuIn/r/lBDNV yMi4A0KSXWUkYyfprP8rkYZovjJxwRSGl1bQJmaBuWvbPFS68XrpisrPPFmZsNDR3vtgjhlL0qfk jEnSfxfCDmHA2tCgvUPIchctqZf2N3pyXQCFoiZUpnmAtEihwnQ/ysR8YmEwMcpEQ7TODjCBagsD vzzqj6BtMioe2DUspWlcinepeROrJKmxihJ7lnfm7eQ6vDzAyV5uOi0h43Ie8xGhQvdKCWdmT7KY Q7YlUpjN7UNe6iEVfei78u+AuYQjJrQQEsA6VJS24yjUUf1SUBd+HBLnDF/pczzXIJpHhlJ32Y9I 9+f3rmzYxfXIBVYtDgvVvg1vu/eAwhSmXqgH04L0RqAuI1RwLI+HS0Emq8+gsepunEVERsXyj8eB faIpwhLzFqSvU9YxnW3y3cICW5ExqO3Ctn3trZwWtz1ibbqUIrwVOi59aKL7J+C6CCt6dhOQm4Tg VBElzlE8G81LzBqoa9CEmTAC7hUsO9BZN9PHhanSovbVqznfb2M7cIItBpt0TEFTHkEymaYW4Ftz IcPCanvWxdnodGuSMlee0CDEVl4T3qjkbEuj6F3PmNqcMXfDeOTTLOL1QbNZW6n3ocHpKWp924qu dHCktWokYCKapcSaV57krWGEJou4cJcjNZLl/lFN/CleaRwFCvFY8CRKwmhhYGZ4far1p46zyxCk s3D4ef6u83scuWtlDtngps5pDG5QpvZyAFTdOJ63OnAqWj25gH25rjdQZP73jP5ySyWvfUbLc0TM meiNDW+E/PAVjk4/peHrDaCw3+ggbHpmFuIgtncYLaeTPlA93ufFrtEuXonsskMt5Y7ITXnbz1LT ZH81j7wyF31x8CmDNiZQ4c5AMu4GvWjk9R7TRkrZCTjIO98w11+x7S9frmMKHYZxTnfrs7unWr5d xblE3JsqNj00fsmX6FCnag/ERB5SQlsC3ANj5d9n+emfSUsmkgyzMgOEY0nAMgUdqByZhACGfjc3 Fp0J0jW3fEK9iWOUA/f6JMFfIzbNf/I7B9c+GU8lqdCAizlTduJlUgXgDoiBxiv2XQpLu+AzjH3+ iNtnsJj75LFRgiUCrwW0IigWMh3+PdqnLaIsppswJeM993y1pBJKzJOFtOBW+wpK562AL6XIGhye onqt81BI4PsXM6ZzO4tOCee3O8VbbFqlhzVF+T7VVl96gu3L+rQLJtLKcYws7mx383Rz0t3Xvudc oTgsiCTn2CuN575+aF9aD/8s9dvD1skoYIYOo5JM0mpikU+Rir+dy8BhRz85x3aOVDT5ARObI9E5 RhT2hoYHrYmoKn109IOk3H5qX5oVaIIKsXy2xVXSHpNcP6hQM/vw0GdcGNkNo8vonnwcJ86TeDZU IQWOYEVmLTpZ6zoFpGWi4vay5ajOvil1jiaoOuXprSkb8NNrOseVZUgm519wlKb6QdGNfaLKj1xH /EwMqELvr/lLuhwdSHSIsL48zl6APtLhTOa07ZFxldkTc6L/vvF38V4PKoQjfv2oTooA1hOOZVYE F7lHFd/xmx5VhQAanlJAexyGk1wnfFDo2vFEHINTeI+RRaQvt+1d6QUnJcQ5U6QwoRhhdLGI6fSg D9haYwkY1BdH7roHPv/UwhbskAkJV22C88yrA0XYx//gSf/W87GLLRicrcsjYUmjHqNLzdiO9KRC vHaDZB7grkVYrt2MfAQ11IKlO3DYb+UeNaQYHDR9EOMli3KYE1ErvpIuw/wo//rAS/s3GSmYPFrN 7eV/dHcBbtgoFxekVZrCokx6Up7g2MzrW5bKZtB7RdEatu7UqYSuSG9BSyJ8RycqmAREThBrtEJ7 a/yMkZE80sRqat40/10Jrf7HtC+cfCDlix9LD4bFpsOMNR2RXTnlpS0o4Y9yt+b8bqVsXsF+nLZf SmXcwOx7Qz2G5Tz0l3xeAJQdSaZxidDvs4c+BqYiaYbOBaUAMjDnMlTMKyn7UAwOPfZL86mRJPgJ Bf3X280pZSwHTW9M7atk/+xuL03NBCPFmKmAQbPZYMAlRUablLQNCbEHuicK7C5vUNU4IqHn+flO pRNDI7eXt64BP67ZqrZMJuyXT9mu6utAt8M+4Iu5Qp9uE+HQIDTgQ1IwPT8cUgfR+7HkWzkzvd4w gfEeZsWJt0BXp7ibBar+FG2YK72/4nDwMVnwUI/ic11LPeaWNOTLfcIFwvoXd95sFWBPrAWhaxzT dcdCwngh/wP/EFxoTvssBxgqbtWE+AKLpEt4G74yVLqwZrFP9j66I32bJcv3eS3RCfru6iQbHArc 65gFF7mDxeFdgtIoZ7yE8sqCLypfDxV0o94Mhr99LujflBQo+XD28qZ54L3km9xe8wstCJdp6N4L fUW1YHUNYr9ZJxz/2M4x0e395/YSyOVA1HLax5wH5AzYY2zZZOFCvFoVBAl/mUB89XI3r0d77NAP SWQFLIL+I5HaHvVG80hQ82rLKjVzzwa1SUEeNA8oYK0OykEnFlv5oEb87Q3RtW7VcwnQ20j1/Xa/ JdzcyuZAWggP0rQz7E3BvyK1iO5R5L4MuwFCssSzXmpL9fPp9gGT+53RqtS3aCD9ji8sben1DomK Fi+xB57CHZFOnOqSWwG6iaV/96XVhY0Y1URScK8fWYUBcYL8OM/iwX9Yfti2PnWro0stNtgHD0PA +NkVPOBJrQBY6/wt8j4IsKOcAB0va6t3eNI1fdSV+/YPIuQiBoqdPHBU/f8ztmjukQ456SVzmvbH j8w6i5jS56kluEg0H7FX0ObeDjVYZGff7p7BMG2dg2lugVv9QgwCd84hzacrWq9LHDM10YIMktyh rAfMk3mw1LNPrNZHvSvjhkEa7CI2JZzxK7q+AT9wG3961LIvx6MDwc8S9StKzn7n1B30ZIUlIpRj KGO7RGUWj0EqiVxjkVIMWTSNDhUUF6eMqAUp5ZuyaZQga+PKGh7QPlg+XWwVyJ/mhmdNK1lQu96B HDRGC6wNCaKs95/85njSqgJwf4C66SmOL/t1b0iy2gB+15+KKkrloTvNoWT2AY7qJLqMAPQk6yuz uN0CxUxFtrrBSzv4qUOq/YUVoXl8NOBGdrtl9DUhTQKAIdjlMwuYrqjmfBjAzg0lPqF1eEvco9fu S/0eGakxBGZ3xLNjUpcWPi2J0e9lgg3O1pJkZRHVS2+L6ea+ozg8YCNmXf95aNS7ZxPimoFOH5JQ Zxby8rRyzsWBsR70urLyvLtdhk4n1QvEMmIqfXHxeyO9k0ANChndzlSsDSaJ4kXz4yl9I2CkrcVE YZOWE7d1xsYTVoaru0sDVy2BwZSehtMZKrsfDCP71N1vt4AmRCi3WBD/h1QCb8G5k6pPwHEIdNQd zlvAryo3ej0p0rBolMrTH2xy4sqC4HrJkR86XLtmi2UeZjN1dP6OmE4H8UT0LCXW70sSoEMB4jkK 4ztRc6tqSl5Umks3ApPWXoSVxYWCh4R4tZKA5CkXa2TQWX4Wx1KRrvPOYRIutMTlJm/52++npmpP QtGsTvnhoKqGf5kgGfJTFj8PJpghDvmPaR0N5VqkOfN8krIFs8Zh4x4NSkLs6JQc2mgZMx+nWyvj WgmNj8756NSrE3uf7+qDM8OUfqpUY40iYx+RdHZfJ755qle+1o5IZXMgbtLdhcF1pGs8JW3iUluL EZLVRRcJ9//qGKWdwLNC/QhUwQZpgkmV9keo8YVpZJbH+IYYL4ZGNbt/gdx0rjLVFvNGfvZ3ACtU jzRTELnNw1+BT1zkj0G/vypVL7/o8hUqTO+vHrXkR7qxcNb/ReMa1E3jXK3MI4VUY00st3ukoAnH XRrecIotqUbGXWQod2f1wzFVzTidB+H4DYhjGgqAJLgTBUZZ0HYUGCDo+T/KF3SfrFezBqaWT0dt J/hbZXLSe7THCW2hN/dgf8JtfxJdOOxtnmyBpLz2X2M9NBXMnXZbzAEjJxw2apJi2FtkBgPWhLxp 5IE1zAOsWM9GMk5RR+aD9JOMsvV/sXCzL9fO8l854rKpIqIwFhyNvv5PxbQA90CJvDtHecsrZ43S ghU/dryJd1+c1A+IHJNyax7IjODihF+MyL9cYSq7zcRopdGXezV0xL6nikKH9PEWJz9KvPyPonsY b+93e9//oCCi+/eafCmA72jxA1fgBNc/8lglp25raUn+Ko074Xbw5nX1UFENHYLIPj8F5eFp4Gxy 2ZmM+Kt9ys424V7XXuaGN+HiZWD4GYQmN2UxsTO0kKPNp5zG+WvoXMGr38qK2ROf7e1nJfjOVmCt Te1seEQVpYoDJfjZq6Vx+uDI0ParwpmrZNeUCN8yxrjLb1ViG4RbxLlcuWvg22aCgPtYxxvWUkCO WJTcjYVwkfLbxXdqBgzO73SEYPJzWQWni/yxWDUtEYA71vmIegW09JfegNFgXdc1yzJeGcNj7Vn8 sVz5HNkl1cpwYBBdWKbv6AQUUkDYEWNL1J4gMScierspRJDwfuIeA4a7RYLiobdVp9PF45Tp3LNW pV0s1D7v/mVzfadtBe/vvEe0dRkglzXFSTrbsMNK6G56Ef+0ARZ/n+9/CYJ7mHd3JkoAsrGBm1wE zKnxcVV4ag+pEl2f9GwautTb2sg1X/kZ5lq/YN0L1iKHoxh41RY1ak2H+4F9LdCk1jeXPcBSmuQL eqiiX2CoF2fgEQJmz2EGm8lFRaoAU6ZZMajcpNenyvJdt43yttv067RFMt3tL5/gcrmq69n4ZoDX 4dSgPQV/5njL0BDQnM5boqH2NMlfTZzRr2lUrPsSZfYNDcTtUjfxqeDKQ4jKdzqs+I/ANI8bAWoG 9mTXqqDtCdCJCgjgaijGJfiQ7YLT2yvf0GK2+5Vi7J0a9aMwkbxzdmt+cKJVSXP1H4CEzBZHXx+R XieYFG9A7EwHWib2r+wzvgHt3umC5kf56qdXCG9BoZJuCyiCll9a6WmKcPZcS8Tdng/7Tt2YfjZz ET2xd2Qog5ENa16fK33rPQKGOT+S4lW/ThezKAbpgtx5QkMVHNVQ8TdMGTlq3qoPSzrRASjnVgDU N0gMTFQaSmB6xORIOGRxcs7RpZCH1iTkyquYnLmfCzYijI6ryQw5IGqKHo4YR/DasbWQYKUCNSS2 B3uRK74ZoCoYxL+r1UXKbwW4AW5KlVHMelby2BsPjkKOQNuxOBfrwIIlRmWkMtJ26zg6nizxlMEp SziUVCjcgEojVG4yvoUxxoIpkHjuyuOEZcBx1KMn1m1CVFWxNtC7X+Uef3O8/Z3bvxr+bq86w167 Q6T1i4Jf9kBCAB3E8b4Kg/A0DqRh3DbFX6Ez2vdn8eh4p6Ucs3u0phBQY3HZcdwrFw2m1qpcqam/ q/rJyN5oQ4WPKIj6o1hukDkwjUD3XJRSZQurqWKGsxX0QVhPHstxJz2ZO5xzllV4yUG3KNkhCA1z 5j/QzgWjDyq8F7qJI9kxzADZ+jkW39FMOz+SkEymkVm16752fI4B90axGXtU4YnKgbh924NXQgaY nlWqwQhBC/qEVFPMFYm46F3IAFHF6hLiy6T3qyck3ucZMSq4jqazN7S+8gkTVX9+oWT3Hsqg90fu 8LfUwFYvLYkTwNjBSbkmHBlyh+q8RSlQGulqZiERTwB/1Kl3Cf7GRkssVmWvttAMIYLO6KXlqFPs A56FUYWPSOBzab2OyaFHdpjp1JDlwhLFoE3OWKbZg/sQxA9R84zcfOHpPLoL/cboJj3CqRCo8QUB BtquaE8+wBL2UO6zhoQLTrsi8Y1xet/dc9bYTTYyPepm9JsbUiDpk1PP7wyxIKZVQKdikkXj3Vdi tAurXJbOyVcZCijmBh3ZkEJADKvfzLpNjfrAFYM0P9hRDERgh+37Hbm/gEnL+H4BSW/0bjIB/Ou+ 4j4boonHn83MBX4j5OnfZHIX7Rfk9/GdATHgkqfyWcsSM0bwqSvEpvXue7EoHzRxM7E6Pgow6/mF Y50S/BSrAKL0TgsqMFu++ObvEBP9JqzCZbbi1Nzmjr7K1Q0pmMzdcnDu5mPiBimS3d2FtKaiI2Z3 /p9GeEj4FYiWfXnNoeXAdPqi601ykBkZBCz+puGDKN1L0jLRI7VbOf0+6FcY3j+/onVc+KNHnzr1 qfNt4IaB067QSVyo8dk4yK1LMYF/nKb4aHo2Eypz4acQ5Q7e/emCiyMBDieIt+DSOJXy7hyOGxNE n9W777hgSrSYWjcUzSAs+EkrQZJtQSHEjh6/e9rplHlkUD96XqQToXGDUrS3kxEdziA7x8JhwEF8 eTHSamTU8QbzU3DyOBuuNDhp3XWxi6IEA6Gz7nd2gzAj4vDWJWK4jFWyWpOLT0ppG+zKNi5QbPXL /wNmmxrQ1GqX+nRlAAaUkcvNzeG/yDTxiMbBq45w0DPAeGPNc0h6JgMtPKx101gn8QLQhiajIyxV d0+5D3ymc2NmlO7cqewwaHi29o9qMEOWjnXSxJvz3CMIZut6uVjko3eyOpHhNziDhtQ6CMWrX6EE HhGlNr/lu1Z879j08tJMLtDzDK286oM4Ubog9EAcYSSFfbZy6ZaZ2uUbKK9Wm4DSSKpo8aQMqTQT sU8Da04EyUbU7DSbpKNyWMoDPc2rDCD8g7NgxF8/z6myZojimIPhgr92YuWtWrpngMNilu9qqkTg huBG7fdqQj7Pjw9Bo/BOOvOP15ZWfLnsRYoqF6RrxU5VNrpFcmJKQOnHoqKCKZ80Ji0rvXeUdKg4 FQfH1POpAzETtpWl1c92s+Jw8YkVomy+W8+c3I3hV3rytMvoyICvBlGLC49nGsCGySuLPAYcRMcV uHEATi14CVWtGCkyEptIRIFU0kc0sJGopBz6w0X7Brjn8OU/PSRVIErWch1TmfZ/Vwi9e1HqDi8c tjtNmz4h4yKN7sdMoOQ9v2Tq0iz43F9Zg+2PraeS57VLx68pryur7ElfEo3oAmOY3j5AnU/P/AHe krfDxY4Qi6JM8yCpBIYVd2lT+kl/BW8YKuGJ40vU4x2Wy4bm9xXs/gUO/xLZ1Yf0XbUZE2PiyXQm 3sno2oENo0UbH5tjfWzDqRiSHVERA3wQWplGUK+mmAQmwP6nRv3/gEF9RP8YwiQHdsOq+Eug1dXd vx6AdoT4NEB9q6eBKvN0NkuTF/JQ7QjWd/5If99qZGxvLrrETgYBBYcBI29hzd20V/PNvoAaPy2C NaFcdJBCA2qmeABxkkMD5xcr7p1zvUfjmR+MJXE+wyvWRS4etJQPbZHpLqwdrhOK3jQgZIMWjVuh TTV8gXWOl1Wciok587ru8/MjTb1gZtNudKWU1ZhnBD9rkh9GW9uox0ncyJue2hujMSecKstwlF6M ehhZcviV4yq+bvMSOYRk/83msSTsjFxbQXvOyLDJA1wg2V7K3zNViqPEgX57YHAp7cIsZv6K3M/7 zyJ9SAuvYIltzBVVpeGcAiPg68LRedBhv0t9aCKPngYkmmXbShA63YJRkBgGPPH5jZV7fc2MDqXC CR/PsX5Sji04dFBqcVT/2wS4d11bIw85Tvwse92U7mmVSj9RimaBEJBQwxu36yDFdU+BM7oo8/Re vmS9NzRWramaxLWC4+b+pQf6ftLIX5L6iTc5AiPmAGR5fjOngPPa+VouBpqd+XQ6i8LErtOQaKZC bwnjDsMJQqXp2npScy4A3lGVpH9CQQNUm2Osw3NLg4izhr0e+ORvPOxnELScznwOe6eI+lB5SW2t imAEvkzGE1KOdLKnarsN0bIQZgFRhGnf1I94GOnhg2iiy2hx2FhCMLnXwdZl07cvMZ/3fcvMrwOW A4JiJMc8T49WOZXQGQzT0fnReD9JYrzYCSB/ds8Xt/jLy6MqLxZ/FmAXtsPsU5UJYvEDgD5CR96x Qqypq52nghFarLKO84VfH5hkEQIwopd+KX6NQqqOW6rBcv0Ak1FNQXQzX+8x4nrZTQf+/HtTFePg V7z0roiRUUTISwA1PPUwSUxH+lCHruS7MzyG+EEKCA9LnwrmfV29U2iFhBiIs7oaN4LNGZT6W1Gn LI8LLnwmqyemZ86SKWXLd3urdR+ZiSqJ4HxnPPTbxiAngDIEYNNhuwPgXktyufGPn5r2rIkMl6Hr Ze5XdFQCVRfnghdCrfygCvvReJY/Yqy+tWd6cJeCmQ+sXzzJTQiMEtxvzCM9QbGrPnYTU0ZwXYTE dsbxAlx8OHM7Tq3BXgcdNzGgpE5CPb6rC8Sxc/Vlp/SunE/8fgS+pJXz3LRXpmtcu3XBHUm3AIFD AM8+lllWxuPqNGKvjY6/qo+MUpdW7+MGCngfwz7D9Ay8uPGeCKqPVWqJmXKS9gtKbjX8+epg4pwv K1pSXx15DNUrwj9yIblve3/khU2e/wxlQQ5CowmLrrjnOVOdUMTov2yHYuETd2k8ug1U+/flfXoj 0ZPbTaW69By03RmkMICBkXzAzvtFY2ZIe0QBH3CoVJQcE5RkZ2U7tAAWCE78LNgnpvruSiSt6m8Z lT9X6/IInqEB1ztao5FiLw1kdQGctEbkHxRrvmXAcV5tmVRVy7uNWaUcyiUEnTIcqLw4TK5DVnK6 Jz2AytLhxSPZISQd5Yv8thLqBM5WGGhVXTPuUWoTyo9a4fu97CCG50gzKAUEbBjq3ff8utFNBapu J/zdyzHtkVF2hvS4q5agbrcUp2go7Xou6ZqV0EMWQym1asB9RIgZNmfaXTpboXvJpSHj9H+e0WYN kyZdAJ1J53E/3cwpVT2Nw4HGi7rD+pSEsdto5Q3tNBKc/CfoHvetTA7e94r+gmnt+sszUymMJitZ dywaTCpq47/aWqPahecutpyHMnLlzvZUMk9WtPN7A+iL2eBRvMdDXhTKQRj/a9Vm+3hEVuZdhbiq KWf3BbVw3rYJZ+yw45ZhE3q3eDurIyL/1UZ1u2K7z6rW1jYSBG+7UhLTP8i97B1p0J+3eIfX4Ez9 Loqf7RtvSOwvoSKOAOzsvlmFUmyYLwvDIPeEtKVdhvTGlZn8h1Bine+AKc+C143UElWDavd9fdQe 6Gl547lR9JP8XWs4Jkd6qwStJaWgTcPw/+hAbEuJKr16fz8t6djvnkIiF5owWgGl2+Y6ErMTALAj SwUJcP/QaYgB9D2l5BrLdkD3gXW9Ajif7rsTNn8o791K96JVcllgl2n3etO6Rwxlh1GdQEGOSsWh KbRL2rapbujQreCTxXGI75D3zyWdlsoKvpz4TyROsB9Yrfm0A1vxiT8GNfgfgSHa0hbzL4OflT4f t6JFxdaNt57DJ4W4MC4yp4RFVHJ0taXnlpxO+p9Xft7mmbIAsCcpCpJWk84hoHqayXCVj7XTwz9w ZXLhW6hwd+OocDtjrqedUPXFRIpq3xRb1dAEyp9AC/o+3b25nRF3R9heIfLgrYUBCfoCIFK7a/Wi CnUm8qixr6xsNk7H07SeXjBiUFrn1Y6KS8PB8k3aIph45h3xKDIrwE1wg9JCLIW2KUKLRvu/Pqpk RnHMq3NIUzJk3szP7xxy11SkgvZpWBcrPujRDUHpNZBPAIyRQ5pMFSajPkhiSasygNJndFiWHmMR DGgiHv2N5ZiQF21G4P3iaqA3UENlu4wNFJmIgEysBrIdhZFxbhz+uHqZPbEkRwDMsr5DaTyKgknl VtC0gNXdf320Mr7F2ACFsPQKmK7RWnDSO7VXM1OSszFdhnW968RhhLNSKiv6thaig+SRhaR9PMrG rV9Aso/UGB3rFbQsCw0OFrDaU2sBwH1ttqOLxjRMtXsUakJ/pdY94IcceGfLJu6OUSIPGQ9Vxwcy zcnp+x3Ps7XbN4ciM5ImowT/J/DPV0j2Kbiz1aSXOMLImINzZ23eqRX3CpwqyB9Wx03vf3FWpnJI UtAh+7us/PKkzNhVC2gE+Y8x+78DjtxSz2x0tKV66eniFOQO6oQT3s3LuYy/H94etyomPBmt6XJg MutQiLeWJUUILgwZhlFtVwiXXln18nWP5UyaO/Dc+QU/qNcQW81r0f/SZZH2h2rJGyrIpR4c0XQ7 XJEHu1JZW4+A93J9GGVRBBqW505eEgjMgXN57pSj34RAxckod4SDTf2jHCyENVIxzpPQgSqqREqU d5z8QdpbL4UeZYn2TwUbytP2TeBeo9aLbXun8g65tH6JaXbPnHXhdf2pAEwDqB5Dpm0FlKnEvzpy 4O0fkZ0FLmEwzwLllUtbUktiP8wuKl/8+ap0dFXPIEdpAfTsT57kPtM6+vQVdtj5xlGkbacaePBm SNO+LGme4m52ioG7jek8/kGgf2VKOddhMZ609wQLby82O+u7MHmalc3LJNyMs1pomAcfRLDnuIOq 85WxjfH3IKQBJwPEoknQI4irLEtRxmf2pA4ioLxDjt6NXpicIbIcKOPRmOSk34jUuXuXEs7UCVZL 92DI8SIVNUA5bWvbYC1s4MCDqjHBbzlL3F+m2955gNlpd98Aqsj5vx1BBvlwoPD0l2ZI7EaFnWQQ Sxuu7WsvGxrp98NwOpjxu+7aWDsJtJVKI6J6XQ7ugr7kw4roY7RX4XdgUR/5yhus71N07RQ+99B2 ExwVsd56zerzXfTbHtNYlqcXVjNR7oeiPycaUk1rXJi4ZJ6F6Ejh+ywin6sY23Lf5uUPFRiP37wv pqtQNo0veF1BAQGMNGRL8+k/7Nyb3hSveYjq+Qy4uezygq5oCoZUn/ZanPb1QR9USVjUGVdRSoFb QQK6SrRmjmjp+JmO8ZhwnHEjYnDyDYbVuY4JIrR6Vtbya1+Kay51NjX/xjANmsWGCdPU2HTvg8sZ B7GIMJzNh4uGVPbnE9IuHzQrgVy02LaUXPW8izD2wm1YRGCVT6BgoCu5/+p9sc6xcnDa4G4n93my NVDgqHumN7whauL4II8icowd6Ihu5xT+X1Xl5JmoYTDIH8XVBh0DSdVR5eQCTVkOsqP1XBWKQqbc 8pvjYfxtjBAG2lja/UF1udSNAPFPEjCGgiBJ9LMb4YJ8p1IE6nZf/DUQKvGk3qud+LRu7k+uNHB3 BCbiD8sl0KSbeEBLVayvK8+rWcrq8OOyFGpFcm5hiAW5SCHHrSrkHtbkwkYAvK0N5s/W/5u3ZjKv Df/PA40TUaFNCKC5YSbN6sgkeaSiGD3XFfwQRq7KeLz7OecqMv5iOQBmiHOLoBzdTCbFH0VJyUMk KnYl2DBOhchTKTtgmu+wuEoC6afLRET7QcYk7kyGMBW3dffYTL2cpRT2VRRa4fhVb2JU3eB3FUvX FcSHL9V53AlCCA3Ob5svBdZOOStwgcubMZwjMIUi820OMlmTxbtafYSUUm073RbIUa9h7N7AG/Xu umYZ8MYr658W10YhTMJHHUnBAC2drjBR4vTG23hzBMxCrAb2TCHurgHyxl9PxqXKWCxSoNoE4yTU aCcDGGQULAQZThRorcuO8dgMgGjdjAvFAEggeAigHVqu7NjpxENlhIUO0nK221DbSMsAIUKqXpbi KHGrHy4zsOdVLfR1MPDRWIASe3khH543Jsz1RcziBPY/7aoxgGqSu0qtvRMpsvv/sC1o+gFzig16 D7+imW/Ty2OAG6zGVCSMNRez8rkATIWMXh7xq+4RDILOBHysJ0r1FfTnZ31v0uxTFbtbvjljkIqC xznHqjbn1KnqgLRXLc8/IYevje5deuERkORSuTDEbHUBQPPODciBmYCx3U2eVEyNKovRnyA2e0/f Q+zMRogNhVjmDN4+2FNpFJTnnboQIAu0mDiVj7k++aR7Sqfh9gnAetx2CT5IE25PcdiWa191lebz LTqdxLRoSxOm3EVy5iKS4c+xJlFROpnzNGyzewezD1u/Q2dNoQJ6Yi+dCO91jXn6KHhfYJTWWQZO wcjRtyhz3BG4OS76bpPqrTk01yj+Zc4CAp2eWyFgG0BsPOmDHkxyK46buSF7KYlBRNrocQx3Nwyj m5FZXWc3iBfqLR78FNYYnqsy4uPeinptyj1UPXNanol/fqLOV5Vt+qnOtIl6d0m5XgAJtcYrUtjj 7onL/P25rmAf31YMtcJ4L4suSBexIT2jQjhUhacyAeiXEqVKQcvn11JDtgADRWBVN8CFWOs/dgkz gmrc70N6k9/kUmdD61TDjnDR8kMoINGJngq7zoi1wImcp0VvAC3UYEagsHulqCK+vbUnhhkHUKNP C514dNf/WBYo6uxSkvcH96a1rRsQtekSR/F+APf5oG6DVcviKhbk2eiUghwJeiSZjDuKG5VZtyuu Now1VdEEEaXT/x2Devd/gLm9oLSwTaln1S4fEE5ydu2kENWGoL3F4kpnX+/BiEOSiuOyak/JINDU W35welxhHqqDvGjela4wDZyDGUCOphCvgsmTWG9W+2X3tRnyHf1+wKClT9/z081ZeYYdK21/ZhR6 hvJAuMbGOtcr/PWAglwd/rbOr8Nmfp9D0HfBsmaxLbjK9j8KSRlOn1cv/BZIcCioJzlGLitjlkgg UN5QmILQiQTdWZJxj5sfDSJo2wcj1XRIEhRdnhZUm5Z6Qrl1cyZX6z9Vd0dehYj38kD6ZHrCaDgS bFUgLjIvbzZ8zR9kwX30G5YI3gNGtqFTlwv9XPpYGeqaYbTr5YXUTrtnLXOkLtR2t9YOrpN50kp7 ZlA+ep+yfj/ACdL8q3tdHuuS2+u+zfVYYYlcKPs/bCEXxnTMpfQK+6DXWiwIxHcr6aR9VLPx0r+/ /Z/uzRjbWFwpLpALfA2KbcLyBRiu3bL66JyKXu8Qvl+sRgG8WmGPMhaJVMmSNuzmD49x07rk5ovM bXMeskmB5DRMum/YfimXXtv/+iQcnqKSzCsQUfaRRSQwie9dF54N7hqh/8gnSXYj6Lsdjs5CFkSq SO9gpUmyiMzyiEdSg6EyHor0T5fHLa6N9ToBby7rTNcWc967L9Ix6FD/nv2eFWelhTf0qZ5J9xQ9 DrIeLbOic3xT6UTxHF0l24sHQ4OY34nNMe4DwRyi3uhMalqVURAinLMWMGLB3ZRmHVRO1ZDnC1+T tKXgvRFbB4KNVKgwL3eLijIzVmRFoU71RILGWKTbX7tcUVc1d5y72mTWcSnus+MJaw5pCIZ3Oc2K rVV0bKpk/vtxkftUK80zRjkQLmCVFLbznktgoH9wg19MZwMee2LFrKfdfIm6xzOXWyxmIOdjfg5z /TlF8DdgjkRDj3kIcTOYZ0Lz30bvnhzl0eupfg+7/cg1z/7hffiB+Gw/Qv28GAoiFH301IXfFHYk ZuXY6cq7b+R8QgovA53AGFYYAlSssRxYO8QYvvL681lXHB5XCToTvbo2gg6Oys20jZWnIcESTAtC LDD0RnNOiLSpEwESNZU/6qxpA10Htf/j1UbVP4pNN2M3e8zfCCJqhKZOC/6JXuqn6IQ9Aib1C40p hMlsA7H03y5DloK8jyZTKU6eQ8lOpjc7P3ilhQ/ik+4+bTTRHAnUyijmmaP5euOom1PNubOMvBC+ rdOcI2uiuEBIld4uPm5BO/TlkeTmXl6vhAeQJc66wKxA7OlbjyodIA8obyCxbO7+iWeMAibjzdoO FaCeJEKfbxJdl3FezMpJ+/UGgOiOLgwz99D5dvgraXM30Gm/6386HVL7irnhe3M+qA4hrgcC8s/j rPFoNo9T5Ns/93m4qnLWT4/KpeXEwEru87XnUI74qAzKwTZUlbP83NcLDzgXTxuVP4uzZyA1SLwU KkS2BDwC5qB7APnwp7SYpagw8HhvTCFSozMkmcPEB2N7xQSf9N4l9BG/Lfzb0hpb4UDvysNtPCtV FBMWIovSeHJu6UwTQ9PPO/8bdRM3NHXlOM/tOum/9sXXyW/b9jwP0na6vnKX8yVc9QRt85995nHj itkfkr0ylnH9IfmPH47ZIKeWteiTo1nRLXVOK9/WJCpNJqGd6gb0ESzzRp2JUeRJ9AF/onYp4PzX I8sbqsQcDi1mPfaYQCmk7kpKrcy7gsNB6icifdaFz0a7/2fGPNiQvw49CNZNVJrwps4M4/k18OEX OW+ijXSGUDDyCCa4d+byT5RIoowJJStVhjeKX+zPutr0yA8lNMlHldkxTC7MYYVYYh1fmSk9NoJX jqOfH8rJXVkgcxuj4mhp3KU7FPN1zZ5Zt8z34v3zSPf8LdCjE3Dt7cjlkdITW5Sj6vrqNlUk6gqz thY2RLqQYyj/CGvPChGGCVcdCklEAQco6XmFV3bL0SCd8rOK7btrFh7a1Dvo/ds+0iAgtwc7LCga 8crSvC1w+xFXhF+zUJQGTGID0g94/eWZxEDp339eTJ9z3U5aMbROJq3zy7bslDCAFQren/CmGw1h 8PHf6rc4hhKWAVxyU8w5eGTXGMs1ovrBwrW8ViAYQZUyFpCzfdDDLYyr2kOM1fVD1jGPcaL/qlAT CttYOECZyJ2CEjDmNOFX3B3I/JXYHv/nX1tHsP8mMedukGUpmizpvrVJdguoVBGJQh5gZt6+qKeA o412dUs7aVAnDCRcovdjtB2ogTS7gleTPQrNlhLc3enkLGblQFgq8pMQwB6fkvrbqzpaLEcx8wwk izFodXMPowXIeOe+tpWQbhTYsQyDAlwza4ApgXPKxQjmNrAsgm7TFW/bV/Ht7zjN8xXjYLiaQfBb 5Zj11/IoDJQ9m47DJOxo3XprzbqYNyIcAaqnwYW62J+/foHAcJvhJTSsO4D1NBwMaxwdFZoNjTCv ikqliD/pp4GwoWTz0eaGNfK83IZNWLrW1dlM+MG14Jq2kB7uyOHDbu4puwilBm4WCw/cPPjcLt0/ HiP2yUXb5mL4k5EVFIZsC1CnleW6hk3XxQJ0uVnHL18sh4KMNxbvc0xxnm3WMmJWeCbRNv1H9/z6 6s8HtLiywMPp1heTAdRenzY32VxKtynzZUaIcQcQdCgbE6dSvTllEYuOLRrsze3jmP3YO8yB8NEc BlBOQb8+3LL5LVUSD0vLwFbXZhjY/SIZoVqAWsjPhuPYrUHP8vQPwdYlBxS9eK9519yf+RQqWRz8 Y1U2+EZ6QN8Ev+gFx23DJ9j4TRZql6daCF0XTcbxeDEgQsWus4EqEZu1zgshaPw9MUrKjpsVoIwf 0A/eHlsPk8A/xxxahZwK7J6coE/1KAstKBYePlwidXU3SLpS44m2lqBX0dffvkeAnHstGyoy1nPb ZKSIpA3+LAqru95U81Iq8supIz/V43t5c+H6YU05baMGiWpchcDUku0TKon/m9pdwh1ixtWkX2Bo Iw1sMFdqfq+76+FJyR0pmQAog0BUlqD54nUcGWLOndI/R7Xc5/MIo5T8tWZ2OaVNX1NrkeeFgYIQ G8Blsw8xOJkEq9YobBI5J50gWm0ET5wAx//uSLhNcGgbx+edxKKRCHlbKvKR4jxboU8M1dZo9hSb 4EiUd+qOpO+lO8AW+DN79WJN2X+cIc6pna7jRKpu3aj8C+0HnL6+RT1zAGmJBeCQS8hyoJYSHu8R Kj3qIksfuBMEK4/9EQ1NjKR4e0ICtA7GQNmBWZ3vf4p8Dyu2prqM7A1c50ZrsByNrn3EDbgVnDwt 7Pl/Jnf0nKMfcMHLPEP9XO5KrU7pSEsYEA1v6H1pCIRsE+8FPJF9K6fnvToffGSjC8i5EM6pn26+ a/ym4ebenBSe27SggKsAmlsSI4KpNLPjIihQ5NofPsuGwfjCCeRAJ1Tx3cLKhyZyg/sriZzlnDum F2yrATBiWM/m9Tt7B26+94f3JqGZl/2sd6g8BYLxua89TAKZwD0jPtfGQ05U246P97M5sYs47nwu WdJ+lGrcQOdLoFRVYd+mdJBcKeHhT4nbhtGXLuBN7y5lrCBsJpXpOCvCNBGSVTraQncGbQ3aXazZ OrZteWyM+yAonwxQFp2GIEbS44fPrsTFgjI0k27URSYz3P6TETj7T81NQp9rHWC1yWiXhKc7jmye W5odsM/r9xoq6w0wfv5iLWCQP7fnFxCxJQcTnFyeN0jahgCgqlod/df8MCg8SVlqm6K1yPc8XfuZ iL87ymW4gGNO8nCmOZh0JZn7kimwr7DBv9Qvgh6AserJ0LEB+gCcp9qlopo7kMlQ4wgN6ZxrCcdq 7qK/xaPJrRv8qZkEj/B/dL+Jggo2/1AqIUWnfmeEeHX93/uq0/qKnkG6R6mGrUCDY/gcnvAyOw9T QiU54IsFmTgFkh2v9XMLaUS2rl9YXouDRVo0AZj1/3ORMQ2uEklDxeb7fmKW9yDNqjG4AGBne1nf vVb6mwZeshmQPvaF/to35PAcXKtOuDxy99EFhHdvsFcphip9/q4E0MKVz+SmEqmeymOvoAOTcC6q BOHqu3dR+p3atjrDqU9vbfJ+TWBaSpn2KiusMGf7B3kbjyB5v5T1SoLXOhLLqBrkSDTaKlVy1O/7 I4RkwnvldnwpE8z2lB0zfwjoiDCdXuidpoZB1Zwx1EZlRoukPXAavN+kddTZ1n/rE6H1HLQXBrp5 6iI4J1yR7kknw7U/Z8GL7rf7p7v4/vRaZziOdadGi0pvur3n5kHbvDyk3ecFvxiMycNQG3/VTB13 aA8q707H0W2Yo0EhhCnQcQ5GBibjWkKyDCSMkUOwymi10UrkXBgEy3qWyYuBxDuCnLGamUAapsLX zt9ugVyw2JHD3u/oofB3rAD+NDhbGaoyrG+RPVuL7nxf8lqH0pot9ecG3VupJshrdj5iRDhs69aN gnHVyZZlNUN5JIfsdDTeQNPjQ5eePJ4jPxN3Wu+BvHkEb3SyMAadJy2WeDuFfLnk1LOqL8eXQqg8 o5zrMOPPel7xDGMU+HsYYB+LDQpRIGoCirsJIAXVqBfgKsslzHai2D7NOtNVg8SvWJNv9hxZJlnA 6t3ZjZf0SITyftqxN1m7NJDWGS+5ukdsmud1S6s1TbKcl3uWFm+8KV1PeqNeHV9xiApB94sTyLnV CBTFVc458NoMRGU0rEojiPGfSm5NZrlkQxGZ7NXboXnV4OMWd0PHG+YBzIgUYg1lCc1pRacbiNn4 NYalD3ybadNgMGIw9Qyh753bzkpKk4sVr61t/KA/6FQ6kv95YGcv7XabzXcdCX2J7dpdALyn79Xi 8TPQQX3QZNPbsyaUGW5JH4EOUZ6bYwjlNafJjh1hkH0h3xULbtvCPj9bZJqBpUvoOpPhmrdJZunE aKa9UeMyaov4A4CKNRZTiJKxfohu8WQcyF48Tsr4pRCqFpMwJKCpBifgMj0NQ7GLG6NaoolMeCu5 qy1SLfMOvyFP8Ck04S/yunD2hb6uOyb/CGAvBJ7TSqqx2f7Ocrr4UV7RoqyDuh0wAJKelm07+P8I hKRxeTSb+RYMzouDmdGLuOSgei9bw6K/vC64ZYXpzIEWvgEOpeOb6K6fOazENffiGKdjudYbarim v7hSU78OALX15lfFR8kb1XGNIWNnJl+GAm5DF3/ONwsI2/UnLJfE6beTP1LSJ5E66SIfFVzYP3e3 dmtoPpE8qsZFBDpF8b17Zb4dEF9O2A2QUtM+a6ZIMv3BArwy/1gE3L/csuNHvZhJH2GQuZFRt6aE 8LRNDaWL4YW8NWBG5Ak/MX5Oppf7cwKestSPSdqt+sufDoGEkO93LY9707KnRVNVL2SOWPWh1nCj dHr9ihVechPzEN5VXL6mUiS9X7cYXWr8pfclRt+UEcDDo2PEao5nCrZlOagSX3wsQ0tD4KAulpWW Phn7nL/0SIQke0RLVSwiM+/143ldT8a7HDEQwZI5jtmszFz+nHhOgpcJcAlpVTwP+9N4+hvfE4fZ Gao8XLd8sSL9a6g9JoMoYIIu9QSqEmPwPEi6lKwytb26GAVPA3TmLeZ/sFDkNeNHWdFmA4nSoVY0 Q21W7wOH37E7eq9RnMwP8O+BWOJHmS2AMG8IlGDT+Vx7AXvrlCSBJ7HM4r6n+MuLu+tj8bHEoti7 Aku/PHYFysUmmPxB6I2zOL6rtUmBgQJZ7RJo0wvuV7XN1Gk6zOxt/EsbUgvTihx3/sn3cxpLZfv8 nvrxc8aXwWdNtRDxPTUZxR+pTndH5WfY8A1XvOLsH2jVvyVHaqIzTQhHrNH9BwS70VTQyKsCmGLe 0QvetzYVu1HE3+GFcyPrWuZjLGnKAKZx39t0Zzfg8XDhSoyyLUIgJ2DDHWfdZ1JH8oWNNfK9bMIM 65L88UmwhZLfQ7rejaT+Mu1I89Nwiqt3e8frVf44cyGned7NfmJe/N1LAsYQX55rIQ4mIfPsZYUW eUiSwBDTQ+/UdfjFHMNFvHG7RUSzcZx+f4KKXGs5QXSC4noX9D8b6Z8TvZbZgcgeG4efyyFCNSpf DTqaq+vF5ZmnMFc9wnVGsCfBcZk6708S1PqbFAJC6Mz6yJZThKmDA8hZxa+gVKCapL33MElecrEZ 2y2LRTurRtXIjL24+dccS2lZKp2njStaMPz5Y0T4wi7pZTv/U8y/sxaY+hpysq3VZJn+hihyYNXi ZVizGzoND6D2kCKaFI+/N6+WHkDb+AwzA2xnx4O+C02rTP2UaCk31hqEEYSamOmk1g7DcjfWn9fo nXbevZ8S80V7Ad43BhJq3I4hEDPJPF6oo7CM/oh9eEO5Wqg57vx/MxsWkQPvRL61dB2hNm0QeZei zEYrBy+46KG/0Kf46bu7DtvWQVeJHUa9+fHDR8J+tmrN3RNnBzz+EkV6d3ikb47qIsCG1RP6ySe/ 5zmunWMR/xJsaaRqm6NQC5LnKQyE+V0uvtpwK1cbQ+f1Nd4mPN1DOXb9RCnafJJ21YAm7CmD6jR9 Z1BvBsJkC7s6p7OynMkqydvyzr5JLOFKswC/SmP3k4JVOaw8m+BUymy6N1/qiWsSdodzT+5aRSSW 3oZOqUKcO7L2DjIN/DhjpuHHBE0yfDSes6NoWK+UBpgH9DEuD95jQZjU/AT7HACE2MMkb3SGjh+Z 2bhGw9TvtaHrT8ImuCv/7ieupHP/vJY9UAXBgzYi+E+aQsSNI+GU/c9u06SdoEZ11uFmOhJplSX7 6iTxPZWXmLPz++usleJiQZ8sbY+ofZ3Y4yE0YTpTuUTRHw9Z/6RBZq+9s753Up9D/+C26nqJ1HYf AaU4aEDGgvC3R3VBHupfcWO+Ab1tLm+aFW/LcrQVt9JfFH5ydlnXsJ2pqhtqAGiDHUFtxWNy+V5q mxrQYsSFlFdFcd+8YSJkb2zTE0rBHcHOZVKYFvZFMnZxSbjsu5sf30wrQEdmJHqyU6Sqy+viMEy5 K4EDAJcNb+LhrFqPqz55iqmDWgOR0XlUUcacYHzqYD0xBrGiolNXbgT90c7h+wOTeOnnsXjCvp/+ 8XAgKLnwnJ/zBNo5CIGvcCYAlGxhuVa+3/FN/NNslToOv5zeNGRg0tnatNMNFxbcPanhFlt5r6iF R5IWLYiAYSg0ZdqCC+n4hs5a4WAOPckGg90CGqYuLuDQToUhwuFw/fIKVZi3Bb/KM+j4t9uhpuwg ms5EPFgnIlwdldb086drtPKvgtovc2mcaaQFnaY/QU0+lwGoyNpZNaaktLrxMkChS+pu96QmQ+uO eFiwNryunh9LTUlgt98BQXamvpQSiPCdVnqU4/EqeFMBz8NtBP8XsXneYlK7IWFY1UTyl84sdtHv KObrp6XlvMizG611M6nUX2LO7OZk02q26YmfTSEEXSEGb4zwTYqLW+/42yYAYRW4zGVZ6O3JIR4o Dtbo3FXgNM4brJ43I60R6c8pRj2DTMNh5g6etyrE+yaAo5ET1Eh3DUNik1gYklideoO4FfHJonMR wRvMt71kek9opToPqaeqJy21/FUU/aFu5XqFICXNWiwrxXqJ8nOrptUlLkchHtx3viB5n61GMtaf t6a82sVgZn6AUh+IqjbBfudl0m81DzSHXxIl4n1iPJzHlNYeui0zjY1+QrSAehLLsDX/YxG64U/p 98n6qYGw+xwS7+C24LH3hVgUivRsU4+DHEK7bQ09q++4A2REEgb8TM+Pgqhte2SIXmzY1prAFnz7 vI5S4AHJJlitzME+EchSu4NcSeke/LKrAAYlvuJPKnHQ3FYI1SKhd2OWILTlvRlBpm6RQHSHw+pg vRYfsdVSfqQ1GXgBxNfzRt+Sfe9lAifJi2CjeGMK96QQCW3IOj+FDKUEPjWwnFUxGRGbt3PL2/oR 6uBLY9rdqcQbqbUviG06pF3X9xHpsLejlCLwo4lcjOCVFMFunGrExs8F8sW79M++mkatUVQl/Liq H2X6lu4dglGC7Cv3gdRyQZMsCA9+oU4Rzy8Ql0KStHC+PtuwHLXGUsW17svCau3I0nQSqYJzVh2v 2jyZo/wHI1nobnMrWOYpfyCGLpCOtHoG1hsNd9cttspzuQl5cMsnExHpnuvgUVFp6fsMmEazehlO YFZAoavIZUjwasm3i54D4Wd/IDg12FwgAEgaTr1g09ypstfh/vS9FazUvBM3/6QR7JAelitO8mgX 4Aa5SOWzzBitq2yjaxFmyrfoBG6wUDux7bxwIVlJKQ04V3HjEXZ9VMZXBOkVxmvs0YpcxlnGD+kK CcUcV+ibya9kXrztpOGuRnJDvACLfY8mYLxWE/PnwLSw3C+X6Q6xxCVFOCbPYp6jSmjWtVTxRPcC sdWfm5GKdWQly5M/VJLAA5Ykkthpq6sj83G0HuME1X0Rpk+l15EXR4O+HrwhHLqcVfvQVz1mhpIp hVQVCg16V76IKY+mUoOMYRBLDTAUmzwn3DgUQepG6Fo5HdMAqL3Pbtng0/1Xm6Mv+1lZa6VceyaV T4OnEu7J4GjbIhypYRc5r/rmBpfi9wsHREQK8JY1h0sQB5wB2UROM/aVt7TBg5tzAoFsH03VtG2C PLdsSv4Q2D6QWQbWfZj3UIiDRwfVuwlJNUV0sqMrogxb41ELKjlNk0fyUPEYLWNbIJXZF8LL/Bsc V6/qrtcw/2DIpcyvPKdWh79HvEC4dEzYnoQ2SjWwLbWLajK33uPrtPFmQjf+Rnxviw/qTLxbt7r2 p88GT8tYaC/xDVcfkgwkCKSM71vsh7Qx/CiJyt8jJL884t87n98+Qin238PMgI/cVVFWJAXRz6Qe 5RNxMgYWiUs7qVeDBbudsKOHmWSKVgAkWuE9WgNdy0XZp2vS5C9lx64grS8sjLlETWzbK9Tivm0S uU+HG2CkevUD0kSAhzJj6ObMEC/tDZ2xYo0RUi2SVUcpHPWizJnGVDJboU91seVbQCLlWGSM7nTg jNu/f3PFdYsT8JYtr+OFirE5e9SQPqvrzxY+uyRGPcfEG0n/h0/kvd6dpEpi5sVBp+BLaVPjYFhs kfT8230sG9M26aHJpwU3mb3q6ukg7a1WucGN9xgPFcv5dmPcp0p/EuyVJei8DUyuqJr2HUr/iTnp PhyMdBy7EEZf+jbQOJNPCowKKtq6TaYNsiO4zV4xgXU1BaxhoTOQzFBaAYaWsf0pLzH/TVi3D5XG vmnYWvxqNo+fBKRyC9RtI21oQ3i4oAey7YDh8pUc0A8hlAssHNQLPxf+h8+pmQW2ja3KfroNHHXO sI8/5R0MngI3QeUiCCCmimzR8+uoFu0xTqbaEZ5GjhkTIHOLweks9ZhJtAwFeyurtX/1FC+giZF3 DZ6VFNTLGn8xHDSAy6GtVX+U+mtrIrOdN9edlbkF6fKGak6Ao95N6SV58FBjdCx00d9vcdySTLRr ycsJJLFImLRD0CkVO7ywJra0MdOvKjOJ16yOQUggXJzqKe1Syc0KNFWmsnEq6lRnz7hHVgjTPuZ9 Mrtxg5F+pTcYH2nzWWk3+JNbGRrbGCrYW16UkTKywm2zEnAF4kKr06dC+Mv7ag2puJt1RP1LgD/b nfb4+835PSSUgxYEzzkXtRwdG8TpOdBKfUUi51XNVyQZ+t9pYvGtrdu7pPdue2dYNhQABXMeayAW w949wgCI+upqkY1cdtjQRmttcYafMIiWVCfpqcMzJINqJ//3asyVQspcz+bLLtuh8g2VPLnGSqHS pJ9EXE7x8K8tj/cd2QlMUrS1ZH/z2gHyYdu/do22Fgvj1woT5g0ck3YDedJRriqumAwcZkw4c4A/ /9YyPjydO7I4MAKvrIg4PGLDCyDOzTq1h6/xdBHIDNexXUspI7UfY3HLqzcvBcHMzlSkUBjiHB0F XrvWguBVK+vUvwOKnF2DGajzJEc7RzaaV6le4PsEOkzn8xU6DnfAhsy7iOEutqLdZPZw9rkutt8j IRlaMmOZCoMeEfILHuuaGVCyB35pMveCuL48tgE0PAMhgEsJYvMJ3REKaTlno4UVGv8Y4xhyXBkK H4VAaRD1PWH3n7XyLKmrVeScdHFrm3PSukEqu7TLVYS0Fn2yeprUbsBG7c+2/ZMcQdnsO5IIIzU3 rB5jbyGPXkMim99Phdk5n2sDOKmTOwTKYG/b0jgb7t4XL09RyPSU1fcURzzobqETzJsXQg+j7Q+p Dygcet9h6mTL5hMwu274WMArkbH/H+etuulCDfy24SmYsSpThZe/kB95ml5XbhPEscdZBTnQ7aNN 1eCpfW/0X1VGDJItKMYsr+PFORPrbrJ4S2BiKVj/0DCW5fvAtGJKn4z4x4gtgF9YB7AKVE/oOOKv Wmb42PmshgrzJYqV7+hMQY+pc5wAtOwACa5suCyGHM/LamrqwJnu0s+ljSTLbhsoM/vMCobuubIv xx/aEBFV7FOq3PRFgirGSlkNhoPWSHWuAa1eN1ZWruX7fN+O8PMpBzpTi1rUr9sfh3A1L5HQsmdT 9IW0QkkwyLHUKEVQShyhvPM5ariwYn8L8/6D3PsPzuxkv5N3jJe7YE0VBEQhjimKQycB0bdOSMFB clNXHrlqzcU3JCfc4Jp0cDllz0SAsi8UY2OtdluqHFnc3fG/psHE8OiAifwDkavf+xFQ17mP1arO 0QPlp/XdFQ1qGuXhX6KZRkgEWaPi7n2eVbYn8hv5cqy9fefIietla4Pc2wKeLhFNW2tG+IgmH33e CfVXdwSX/fLYeNT5tlDllFokJllTdq0vs9vne1etd3m8Ozvt2sjL17ZqYHI1umMNcwOYMCSriq/6 6GX8gFx5G7mRtPTT1A5rI4N8oIYpnwDJjWIWep1lA9gHrrrzkU9tNPo0SZPXQpQI5tMCdE/yqson T8eJzumKGzpQ2xE3lMpWxxNUNJzOQhwvwpYKpijHdXIwY29NmNuue9NSo+kuAgfK9+jeMXWtWfts aVq81zognSZWCTQ0uTQXfjWwelFBahrlPQsd7IJcj3BLO+99ZN3Nc2dd6FF0AnJaqlHMSeuFyvw2 x06bMhGYSUVT5P1/lQpTrYsQWvJWSVf3YtKdDXYqrHN5tkv7Vwcg09rrtUXXb89TzHB71WDtd1wa 05IMRPkhgdTd/1ePHv/A5rE4l8yikXJ9rRp+rw1GCIo6JKbZ7gbd8bJXVhRnRxNlhlCs/Mlkj21h ytIsjx1rhxLG7ZD7OGyZ6llXf/joV5Pkc/0MtyFiPjXu1FVwNZRvjcQjaDnBDFWYUeCTfFqg1sse o3jxC3T2P2gbAS+orIpefDhbziL8J7UK8HFjLtPrVounIYXXm0g1w6y0ZBllKxPPCObfCV2mUz4M t4wLfYGOAaoq6Zs1NkXAJCp83F25UUZbpjMom2Hq39MDMmNpOAUHPIEJYzOyWWNSFwkLRuO6/W4j +wqJYEl5PVQgFO/En7O5MlrOmRUt5fAH91eHgU2j7VW3TnPYTYFh10Ru9cbBBPGIQ6y0uMpjUEJ8 sN7HnxgTIG8vF96DPpt0rWu5FCFdSWGzfKMWI0WV1zRq+tIdFNHVJkFhZb12Q0lKxbp1LHxv9CFs SPa3BFurTdjuofs/U0Nx8e4QvHy5+/o6/ZySgORyRVC+qAArBHwuVHDIklNoIeg/+Un50KhQ7Clw dKZ8V93PFpOTrU7I+zczy0pAvMiYEVmhp/AAkorW/dDgDgsgHk5AVWXtDrpkXnRQdIIxwEKJMTVr ggmUcMefhsfNRybsAypMfsTP733ToPPmPV6Tz9BNazDYchvSJfdKlXtuy9aBGwuobQ41RwRiwkM8 F7zTtybWi0spneiV/U7uBeY9F9+6dNaJOjzoucILhU/xKqNHkyJ2lyWTKZegNC3+AcHZgv7ugnUp yc3qm5OJRvfb1WCUrG1X0BEgwwkNAx/2zpJNrFC0PjHJm2NCKH5hXxz+nH2A+arJl7HiSKwkXdDK Fm5UlovjzqIooCshbeMtfUfpW5FkeZv+8OlK9NZMyFfS3kR8I4vZxbdXSKbq0YgAAmKL3LTP5MM+ XfB7F7Y+zXSJVHNrR7HUxphrBDRhlsmcxAY581kBE6uA0MkSg/T1dw8zYCyGIKP0SCIDcYBPHS9g nVYjwfGnvzXfHwcG9dt/Qz3pUk7Rc3zZCD/K/mNrukQc/S7Pt7SRi9IcPecdhtqBs2x0A77tTBp8 lHBmvmqDXu6LXkFNu8gVHkBlnGLJL4KPbdZiq3EdKMN1D8voTb/bOnFvGy1EJ6QqMB2EuslF/JOp RceIxlwLToZ5K7AMXUJYR1/9YMhcNqm5TKDfBlQyTfvNUxI2EwfAILPRd4SAjKLoFsKRao27UalD Ci3HBdzTkbXMJYQSpk9u7lmCbTTkT+s4sn7nTAcg1Z+08eoXXWovf/CfXVNRLvazvoNl5W05ysB/ xkrv7i2e9ORxnJgXBsO2W78AeXdg9RQ/EVd1fzUc9k2bmxJiKoQBdl2ULcVZbHQHx/MD9C4B72t0 tig8Uh1G1y0bTsnHQS/5878ZJO8sYSQsip1yi7ytWLrHhr2OyWztilfITjyeOL9Pm2hidF015g/Y /pA+Y0dxdW6XJCYdqcqE2Pya9LlGAigSb7FSiRBK9xPkqPSFfNYYghVefvVeaMz2BWGhQEhcVCTL MksDF6MT6cp0x8xqc5xwQXjaPHBgiER2T4JA6TnG2lFVSkk1aZXeCtuhCLNxbHn0JxATxMetYN1f OTfx3wcQoNtESwzNnChVV8S2PyeX+rqxXKMSb40j8iJlN5cAP7tt994Bvp72MEoZItLhnIX6IN4O RqTiW9pLu8lRBIy+p3CfEAM25rY4z5nfLG1hQLJ9co4P0z+/v7iHv09g4bS1N884mJolArJCKvjA Klh5osmAHNhi03xQF02AACztb2YUpBe0W5tNlauIH8y2l7D6V4RBHKI7VwmCYhn8udtY3uux1YCC MDJ3egDUmR5pkqOqDVbgdv7aw/LshjIU+xoqsyOTYWJAM3wwaHmXe16Q1+n+8LnKh56VElYudRxT GassmdXJ6FKJB5F6UqY/AO1ZDl299NkkIhNumKozaLpRBW+ZiONsoQ8dSlAMxrI6ylReqCGXEc/W zr7n6WanzCRtR+MKLAs5qRTUuN0+1S66RdWIS1jqtS/PIjfq2b6U1oitBwTL08WN8KUsh3CJzMK0 NmfjFthIyVLt6I2KSMENmAbMmWbAaX6hMVY7OKNgKJTwNjde8UeSni5Sne1nfPUdqLcflay7c7+5 gNRuOAwDNWINfy9BRlgkqh/+xFMPPn7/TuJKYv7gVeP1Z73IJ2DBdG1VAN46miBQAj45X7Qas43C KfVcN+qPL+/HC+iRL7f/+WCucBn6Y0+3/c+4hX3FkcQGBRa8WMCw1veYje9kC91aGLfikkb8xSNd D+sVuntxyIECdUNuke3J+Z4t3CpIiGnYXiGgTATnsvizeQ+8xJHGJVVnYfjnFS+aYriX7OPtWBTL REcP0YHQ+JccJDPkotOAT3l5BVYk/zTy6CzkTh6Y771HTJTvIla7I6Qvuqu8yrohYQ6TgTBz05gK heVU4PdXCJTwmDKnQS9TH/AfDYtGM5aCcMaB89i/ZP7wF2avgATmcB9c4Wh5LRhomFLzybgxlwWL CO6rGcTQfzP+LRafpuisPoSAMypue2TJWVvHOqD5drqfucPkQ0Hdhh6LH3S1bcu+W0mfFT/ca4N/ ItMFs5JBrjSkGP7oXu6n+H6B5nv1Ys5/S6jz10yp14a62Wr/kmbV2c/9XrKyuKXk+aPYIMeXkqH3 oEtee3FtwR2KNHA3XECp/jrLm9OBxL+Srj8XnIgzUj2cCHBS2+bnuAqoKon9yf8zExLZkb+wJn42 T76Mvodm0e5tEA4bUg0YI+DSwbkvUSZqTD/hmuRIDGBAtrlDGxi7e7s+Gdol/PkHrNiKZfyvJI7f /bMexmuhj/t3IkzaiAfcJflyYzMooJRmVpG5pxSSTSZ/7TEXyxXawTvfBlSKz9gXCfLD6YxOT3GU Gup7+2ZWK3DS7RpyzDyKrLbmXS5FtIsuFG6qRz4s7tFeThR1NcqiXdkIntQR+YachDhrpG8N3lif y5OckImqzi6tIG+IdOEo2/L2kuj9u9zpL+/xyx8JBtxG8/6yY3OcRzK9uc7y32bvhRaghfAJXFmc 4EH2LEiTm/+x+0JCk/6vb0md74roNv+JyJZPvkfMUYND1Bku+z2/QQsf0Sr8g+iTz1PZ0t6CLsIV ogBb1jqKt3nRE4e3j4yPGdVQQomgfViVOEifQ2D5MDctPK7oK0xEK3h/2JtELn3MTg+RHaDt0rAD N2ndvJl7RCWVfzKnsX5aLSWzwq8cAd5+eweHgTw/Bazq2J6vPJiADynBEiO5tfH3O4g7ZUj8v94c 368GH3lBn4sTbmaXOLrpk7z8ZO36iBHhL555KeQGKAsVbekNTyqn28oYYNlC3dRqkoGyvHRx8Lxo kKWeKTRAogf17kOZt0dRC+fWTH4AZCiiByWvm7PA9v2LLCfVGMw8yDLTOTe1nGEuF4LL0ihShjFc Ul+JX0E0Cud1lf/PPe4uuhKQnQYQJ8raOtsEC6lv5dwsCGFEVzfnFXtRd1HwXtiaTDTfDHe7Pa02 QNBMlER7jfxj9kcfSAZGcXFqQ/C9u+cGvJesSLDmRoi7gmAoPUhaE71AdegvlfTU5ErGglgOleRX K1SC3P4EJwcvyF+6uvGABdaQlKyyxiAtqzYhqzJznWb1qSEznZlupYzZIMV4tvYcdtBzp+e3sKCy qMBQovDTvx015odOE3xKV+xT12MnSjsYnsEz7rZ9vhnx224Hp0bpdojE5LImC2c+X+fkoJ5cLjTC fMx7bRoYgzGsXU8ZbFSIXAP8KxoVvbDPwrdBCptYtFqyEWvPDIsM53r+K6LhT3ivCivi2pRzzU8o 5lztnRgGmU155xQKAZqTFUD5yNvdOK+6QtRlxo2IV13hQcCStvtB5tQYZ0BPxgONUL6t8V/sUs1s RsWR1FPVFKMBm21/LtiKz6reWYNYgitmn3G0amCtIA/Y+lXRuXM/326KsQoeRU+Q/fxEnLef5YOh FqPbetBCpO1j4w8xH88ZfjqMzvXxg7jYuRynva0og1EF9Y3QMrMcg6Cx9d7lDS7SYkDV4YgESRcn S/m7R2A9qDXTDz4qhrPISsj5SWlcD8aCHKFveXL//NrKWkjb9r2tsVGZSzFFcf+DbAPTV60KStLN Xs3mb/doM7eN9rLG0qOdEvzWeTnRUxnb1qQ/aIHOOA4H1f8mcqHGbkQD/8ipYGYfECzfM/IvN9W3 esMA6GPmbwHI+UrW5vBtqWAvlqaPdWjKUWODHnh/70H+bQFh6J9djLCif2FfmqeCGz8TwRjHNLWi Jd0fdY7YA6fLzxHSrq0EIwskk/KOUdCIaB9A+fyy3sjsaOHiu/ORVQZMZdpgUSSa1tu6+0caMBoc UIM9hR31Bqu3a+Ak6NyDYK809+wf64aLJgG/vYdz+TdZtvM9RgSvv9qXO+YlEqhMLN7Nuaooc7v7 UeapYpy//fkV0BuE3hTsEZBUTgEcIbhlEhWHtj7//mJZPgfXk8el5bzbZGOf5iafwKaqTl8mTcg1 t0q4GdbFizNrQdwQYK4DCcAccreyQx3kAxUAm6no8MWeXge8JfESjrPO5UAiIzcMxDx7srsDSPIO Er1kRTBOWzVwVqljW56Tb0IGNhvQm3B4R5KyudMBYU7WXXrhyO349aMXENlHZ9GRmsry8FDnpDIB kyYyU35Q0e7f0e7SXzWWlQqIAwdrtpp7OYivRXX3eSC/P4pjtkmLdx1lTybQxeFUyuivNltLMKc7 qWDxo6nacNURtHbyP64mywx+WiKDtC1fYafyStX69i/d5/VX/U+QYN3Hn1/IlL0QdfszEKvpyAhr Z1bNhybvs1a6zMitK4GEYy8ZhuDb4Urjpej+88iJcZbjkNhpOe+q5wWpw52adMCp5yyG9dMtbWKG atUmOWTeIB2mDKNQzxDOuwPt7AshZ+rviC+jxn6c1lnx4npgRMVG8KsjLc8a5RDLNVuC6QP/PUND OeORmiF3xDasGtwG4OeMebvbSc9FjvyrpnbllqKZyER4SdzDGOXItliABuj2bAmVcqYep5DDwUmP qUnkrKykEvw7nmYIlzbaHEoqSfMlhllxJEE0T/nIBuz9BlvuR/6D04CpSOxy2R5BpFVtM+yLwWi5 R6T1nLpPuD91+/fWIGDUYelNxZ5555RUY0/3TLvNIrT+thOqphGrlVta9gXfHKGUrT+Z/hS5FLmw dvKdXG1foLZzbeaHZED36PLxZ5YszMCyN9RQbFTHQMSeAI6yuIQPbdoWKxn6guAViy+bB3ip0HPL 3QmL/pGd370lrLz1po7lCRZesHLrxLIgy5MFtykQayh6Wf3V5haVNNIUupv3lM6gCrY3iAgq/Czf MUbh/Nsxid9mUjmRMAhiuEPrid6dnR+mA1xa86udF4Tn+ScIY0GAdl4RXlOyubOfa/dOhoFWeUAf TTvaj3aFBGNvk98mgIkwvrvZBWrYI1ir6+o8yO91eZPoqZDUn6vu0URIxdtjLuuuWmCC6GKScTrH alIgWxBowkj7h3q/djPM74L92liVnegv2KbjmxPpFVK4BX8jB5SEyh3v+XFDmfoWcg1Rq3w0g1HM PHXxCOOT9/pbKXMZWJX9Vghf3NEfpmnV/SK0qs0oIk01YBz4n6uHhk1Xo/Ge3vaE/OWCQQ/R6E3e LYXwPg1gyWikZx/XNM+Ue4PVOGTuW0TIKj+VjQLWomwS78Q/NgYhY2XXkmxZlYyqUwwY1e1DEXqW qSHjEfToMyvaQY6q0jMGNg270gm+EY8Mhsv8lEs/4UJmSQrKuAePGEFGPLlZwx6xJQAVAG+bCdHX Fvyrk1iZFzOwchgghVvaSdX3vMSSl6FDQgsqJHQk9iXKVO7+6GUANIVgvnI5+eAGxjgaLO0ngmb+ lwRw9lQ+Jj+wTOY2XCowrlPlGmkbOh0z8b2Guw1yHf0jOiJOehHZ9tWuOJ+x4DIt/rgH0c/kOMCN C72rGk+PlkxNaIy4sQ7G5B/5e3xuWyOAIMYKaIkJe1PvzDs2ZSHJeVSEu/kDJm/7Ka7wg5UMMPiw CQeP2PLxWIPlktiUSb1uCjslEl0pCjB0yGE2vi6mg1/P5dIAvleo9bAvCO3AjId9VX3C0UghO+zO YZYkemTZLIXoy2T7YfdQ3/z6q/AAQkictohE6CDB3Krl/znKvDhsC8Nw5F7J/cfKTBfPo8sCdS8l 0L7qPCRUJ+KfMtfBtSktCeySV+bkhVprvXN3DHgMWesv3iXg6qfGctURmNCya6qrTvPX4B0nQERN Vslq2wtZvqv0jmVB9fy8g7OyLX1Kkwy64t83ec59iG7BMmfmvNqDsr95LAUD66KcC9pgEuhD9b1b GP89KWv39FTUlrl/2LFRAUfeju5TWKh/yTQvIKOygN5X4fHXGPI9Wt0zBhxwqAIKbVBYwqDCRYT+ 16Sl36vb3EpIzE6gQtY7QX18E43G0fP7VFPk029dOXmEqW09D30/03j4zqwsMGu1+uEb6ourO9AK Y7EWQL3K4oVfobwTX9jPj52pvyCA09T4WPL5/Qu+aIayi3Ns0Y0cNJK+L3RhzuJ+UU59MAtTomPh t99/+s5Wz/hGUd+wDi8m1bDCcc8eHQmy77c47Oq7gP7ll3/wSwqAVBQdjMCWQaUM546c4aM6crex xZnTO4kGb7BM3QtJB4jOLX2K9MAqQkX32VdtETI+jJbeti0XBhbfIrqj7nCHwq7cx0wUt8tus9vk z8AUy12Ix2Up3eWgMV1xxDnioI6UswYogyhTZjWnNR7mb4M7VmZiq1cDECsT3Z+nUAZaDEzqvqBT DZs9Du1NDyylzV5pr6m7hntvE6EboyUFaQLziHurmZ5gUcEMJEI8p1k0L5BGfktDwv3K4EUqkkuR T3Kb6nnmCYiLiPsNmn5bMGTPurdmr9d+zxBljPDZx2yG0uPmVQJwO6FrZu9rpXr7ZE4J1zCIbW5x 5NnO2EjQKkctihO0+4MBT9w29Va2TveGVbCqNqPVqYE1SahLqh9w//lbFwraCOCbmnARs8VQ97GX Ft9QVS6D2+GjnRKb9cZp0clss1XJzdhUUgLp4U43kImuSaJRkvg+B6gS008s9gpBwAgGG+4wJx8D uR4ujQpzI7YFKIALM3JqPYgujfM+TEvTZTKiskF/8Qty/RmXZVGWvbFSHO932WFTMqim4bXKkyqQ vasePFYiB1JhLxoJVKYDUEe1BtnzrzAxEy157Z80djybfz4XPS7laq8Ro2gALBAbR8tX2XHsi2j7 d13sSH1NfD0UsaXGcXNsrHw0QzqHcu8WAT0EcEQe322DcIxoqhrKSn4psH6Oz60SXLrbZDV7zt15 Qh2bm6IOKZLgH2BdjmyR8XiNjH/8EHFVwTJ/KyDtVXbvCiide0Id7G8tRdlhD2r1lK3QX24Q1a8z +/akoZ44RSSMLUWTW84hsDZZvbmoH3dCT2daFqMa/FS0yTTxBcAKfYpJLA9cJBg/BlBGLN0Ns1df Ze1LpWfTNmd5kSdf+MkPcPn4+AXGUvuetJIfki9bhKh7GkJqHtML18nS8Vh0uE6z3p/1kJwfZCSg haooi08dof+njo473a9b4hr0r5WC/5wAE3v+GzkNKPPAUyWgttqU7dTmItzJKL5dtTqhjJ8RxOAi 8hxkZYsdOdfPpUnmN0teMgKrgSXCYWRv3OQ5hCUIQSzMRT/ZUE48AKC1LBw8M3ii00p+GB74Yi0D 8jZkv/ZQzcr5HrTU3SBfclXfybUhUw4hnWBxSDMY1n03rvwPKpl7rw+ijlmpBPG1QpmujcVu4VYJ TQs4qDqP69pUrAlPQ+wviK8CFjdx9IKYt0dbrrgeR95k1e7o/Qfs8Ox5+PMdqUJjV/tjv4qurPHC LUsE1Kf6wfq3urtmMIfT1W0thzkPXO/D+eiYMeRVLMfVVi1bUB8Da5AKC0gGXJcnvG95Kvef9VTx 4ivLYa0MaJ08THe6b1dpEl9rs+VuV0XaqdzrEVWes8cOv5pRQYnY1m1HKfNwmrp6DmiONCMMys+9 HM6wuJVXwk502P/UFYQNYYrxIDfqMQC24edt2SoLesKQo83XwrgR9uq8803SgSaJU8qWCO73X1Gg nZAIlm9/PP7/+JhUyiL6auSd1vCknLT1Dy6wvaigWf+yC+BdDiSwtpDbGg5viLILcskd6xQv4rzQ /3rMXjldBcgIupatcg/t9b5J4Sp9/aclA/dVUvjFbFvCmhdYr2emdcSfx42pJOpLjkai9A29gykT S/Ugj2lEbXKT/htqnXGUSSaq/mYZHWoShnet3xTHZE5eI7TaPfIKkl+L6BwmwMsnsrYbJJ7gNdSi j6jl56vLVgH2O3WJ4LblU0RQHElSJby7u0ologgwsEyfZrDx2zaYK7TodbN3VdZOn3ibC7VPmVjI yKWaw+T+R6aO0gLOPyK9F7rZvHvNQW8OeL9M5RHhVLcAcuoDffjCK287ZNe14PDNG8VgG2uSn15V oBYvDmPk08qvWIpuQzc5WmpzA5dS1JijVwlL6ejeO4Afu5vsGtuRV/SdUvQqM0Eu68SJTmzlBRMc bAipVEZNpnIPsg1Eagh5sXmk5XjhjYj1lg0wAl+vt6UefADYb9OVOlFu8Oxz0DyEQCAxLo6BPnIU WVn3BPj6iTLF6EuOSYAtsxmu/EDLBAHyawSNy5j1UfN6xhkyXk1dMWjVDn/RlKcYZYJqFJq+EFEJ S6eN4XLKeV0YOPqDPiPIvcm7bU1v9+95PQc294DDPG7MB7OK5ATF+xi0kwUDpl4081smX6MnJ0Q2 NIOjHraq1LJCN0WOwkvtSi8vp+0FR9avjxHlU/RYty4RtQ1Alvt0GRsBzafhu683IlTulJoLWxOZ EUFQvrKqp0xCN/hexE20LUP1cNAsS4/ugau6Yr1/4oc3qgJw5rh59wQXXpyA/mOUaDh7yxK7LE2a oAhbO1zXCKCaranwGMdH4uboXnMBppc+HHBE9lbvwib+UZhzzCokQ96Om+qXyFuAeJ8Rj2HhP0i6 c8icfDhsQY0ZwMDwMYMPKGlgDW/UQoPt6P26kPlCklJVx9cO5oyCytcG0j+MR1zWOlQJ7GUZbXFE iM0ASwUIUklfFYuY2c8UALLEGnZg2jePBJdEo/lWO/FAUU1fvD78zp7/EBsZ3ua3CH9GljS52juH VWyGRWEg1CuOQF5DIAMK0cIK7E6I2i9j9ZKUU1LY6xFY7iRPbxIsAQNY3kJPqUoKJVZsgpg2tM37 n74iioT9VjlCQkLmBy26ScWRok2F0p459ph0rpBZHZSjCGAm4hYdZ7DsNYYO4dTn9SEYhBMGCYx9 KWCu9LelxHsXFg8jGIqHw1TK5JVJb8/38bGa7zZHDUgv9TirweKkFEge3UzRvO74w9hi83S930cg pVY0Bhy3bFc7Fk1BExwB0OCMAARYRtkho95ZFU4lCzpn2+BWPxsZxNBglj3LqARl+O2ZRf4PDb4z G2LYtAMStV5N4sAMCFluBMzq7WGWYjRfPPSf2FWlqDR8uR7QxqcyRoOpvtVLC9u/2/egTXgc3NY5 zzXoaznVFwg8pjMLyR2QDst93oZDwZxdnkONwgvdTf76u0YnRaswoGPP3Ivk5+9Y+AM5qn5a4dUB 153MAkk4FJG+TqkqqqKnC4rNeP4Mw0KGs3INIvnl2EcBls0On2Mm4EBZKz2/YqEDiVo0TrxBcSM9 j2L3NM1NUKyq8927CSIOn4K7rEZD17KGKMYB5TQl9kBlRb8I2AlXg+h32Yad33Ibf/e/NSBtSFev sAEKZO8USbFj+qLI0zm/FImM4YV1fG+cXWppmDnzVnUdtz2eUmrkrUHAszFVunVXbKsPbTf+Wl16 ELITwJfC7TyWTZ85uHehkHu7bnqfki7UqFS94yPkmzGLk/X54ZX3TEoJb+Wlkq/JvcN7/HOsJe37 KHQu6x/DxR3Cgnt+F59gM/29kC5wC/ZtXDD+BlOZ63Ihp4SPepQhjD9urYG0RYyLzmqdCGxKnpMZ UyoTLC7ekF2fOvuyjFExYWLZ4qmGJqcBVfhXpHSTJUrbSS6QzdVGmq/AnAGsuKxZDu+YwoAJszJ5 TXJ8gWofQHumhil8t+2LK/PS4M5E7xPOgq7/s15bk1dAIDPsYHLMSlsOGeZ5EbHigE2Ro4+EuQzO V76pQ3ibv+jpSzfKRmIcdTXFxv9gzlamdpoffGsQT+AWE6xKl4wJzKnYEi6AduayKmF2zrDr2pxc OClXtEomFSbHgbc1mwUq0unuJmNnnXRaysrpdqcV9YvNL18RJ3iapEPfs1QDQUkRGBKtCAAMo8Ur Q92rhe0PeJoLnW65zOzh0GDiKcRaEWA6AZ8zPMXvRNU7KzXX7xmOgJ/kIfp9KD1aLKVzLDDcHrqA C2IfsT1qoAQhh+XUqYrBVE4jxQPhuZBxve0ebrVU3+iZBhdP8Cdq2K1k1TgCZ6RhHnutWOIjxDRs HFOpNJ3JjpkYD538w05k5TJepx0EoLNMpnxtefn4cuWzXrSiGNb78tM40I76c0ekXi1+LlD10QZQ M1VKMiUIxwDTVC/a9lnJJ2BSZcci53W1rkEshNX7XDG6ZPnej5Sw8Dx782uoKi0VuQF5G6UOoKtY TCpx6RRM2KP4PASNiO7VfE7sau5eeg3x/dznNKp+NaN4uL+iuP9MVoq7CF6phZSpOFsZN/85qe4V 3UBoU9bk7m3voG/6+/jmcNDbXJC2gCbF9ogGNkJ3unA+c7cTbXyK/83kR0IB/FJaAq5eKyvQziOy q5xCCaHedTOI1Zx9vrk/0BwgoF7YphFvbvGZm0glTPwB1ojqM+Jvzb1ROLRzm+h7IWNpmiQ0DnUz 35lDWzp7PFwyZxEFwFm0fq+tJ1eIi2t1iVWcAswJf1YlG5kGSFv88UiCemRf5YdpLWIMJ0Ruc/G0 J9jaAs6Dp6eSiB34m+6QoIc7vDZ8HEb8WS3WxO2GTYSmmJEOxAM4tPLXwBEBPPq0H8ITQ3idZYi5 6pD2U450EoRdx1kGygDKpVGbm3KVVdY0rXsNKwy8+DmsJ41WzSLgvqDS3UEdP2KkATIR9I1PcMC/ eHMcPmwIqwXEvRrIW15znFDy6ex9r3jFhm6R+OlMB/93dSN/0gna1lG3qbMQTv3AOZi8Vj7i+6d1 tlzZKWcKOq4fe9UBxu2i0zBwsm7V7Sk6cZETvXP9/qsOH3OjZDmZ08bQpo6XA32leVaMILDDrW/L Zu/FT3bkNt0pHMSkWE09k2KydPTe4EgAUf65rShDfGoLQzUoq7SiVsF6rUWmD2LNv9bmNfI3EttI 9sa21Tb7d9FcDDiKnFMlBYmJTYXn+nBYp0FEmF5OtGprBG6CqEkKlw/OLYuDpBhEtwfbtoBsTulf EkhUahANuCf4rLeUo3y/KEU/KxcFVw8E7E3DWm5Wr/m/Ue/sjNXya56oj7EaWGI3fC50FPKudRTz mCkpR0KVOWM8RhKQ0u8+3/X2HhTGu/G1nJlhZJeyZ/nS0br/IAyRYpNoLOzafRznERqwz0OqcnKB Uo/hf7EiG357bZOG/FdXY9LxZ+4EbCXj29aIqFB6wB+RwJnodbX0GpHL/erVX0O+t2JQ9xu5x0+S kQNOd2pzW94wFFx6e2rR6LEp2z4pgLKM8MCrw53JeTg6MqOPJ0hw8BIS/Bc2MS/PNg8XzXCwqPMx NMxqMlBIy4jfw2B4OYEy9jNSnjyski4erOWswoZqYbhMBRQsJVvlBo5HeCpDp2z6gnfZ8cZjAfm1 WS6tbuXpM9mo5Rsdh0AMTfJixRgbQE4q0quUZRwdnQrjY0x3lzbHKJAi9gzTotRfdCdgwa5Lzojx fM0CC/Wm0b0OdjfZoRqtM4Lu9HghorICZSEQZnBWHNrSDWSVG0iz2Rx+y0Q7etgRjhcGNLcmL6i0 a7LXkupG9npIH2MB0171DgJLSFYH8Aw75J1mTT0j3Ren8/afjmheQOlse/G9wC/daRpsBg08XxmK NWAtRY/qC8MBDpqVRdSCYq5smYPYuTkL2FVXJwGgAGkUYOTGWCdit0qsY92SCINYIKVLznGwV7nZ PC7SIp91lxuUi2I175+0hdN+JndaIff+tkDEWu5aBSrbXCjsqgWnIX0k1noRTB1erWMTvZeuucxN Pa9WgHyldG0rRM5MzsKZvvIZdX1pBHAT5YNHu+bngK2U2xcLD6uPnYSN4tzxGbw6MRj86Mi8FhX8 ZuHrd4vwsnoX5bFcl1iiZq8amiNwqqPCetQatRyYEPP3XhD8OosTqkOr5YxCbgvya2yzgUmflzxM IR3YSFfPCogzU97I655aDY11uppNblEJWN4Ei6lnwcoOwEkew7hbcQANKNLH29RXtrMxX2gEtPx+ odW34jLQT1TcEAmp9sSzmWd8HtB8PA9+0rNKPdHJ5X6wLefL6GnY0rZ5MVjAOpOCV4dcvzlr1svl hDH99gztREzbhWivGom0k2DOyDIg9ZY+sfzyj6zFXovfkYhPNLyNdBWaPmYlpNzpFjoTqnir/+85 Iw+4Cj3MNPnVOZyTRjfVqQq5xtDd1SjGtdAJizwXhsZ+pkssENIMthaVdYVpH+t5YiWeMmGRvCrh hxWkIhyDtMGKXyvcFVFplgmMgEDNU6FIOUfsTXpwOwpFXImcl67p/Tn1aYQlnc/5roih1BQqF8L5 b3fKjyiSRXUk6Xf62rUpyfRd0K7RUAxL3LRh458C+YLplnzvN2Y3VptSg5v6MV1XIsuDnBmFHlmV pxo6nIDZ4enmiBaH0GVoNT6aTrfP3NjuiCz83gsv5Rs/tlQiP7xEHuU5VXhWNuN5Vu4G0Kr7i+yu TbBHj1ERtZZO7F4zCL2bThcnNC+d3EKs7q53BqKQa8fMNr+d7lR+By4ta6319lttzzZoaxCVgPRs PRQhYr92TxDQQ0KNSTjl7EVnm/0GtM/dBBBsRZ8FbWuYOTukQcwPNwfn7b6GQ/q+4E+ZCeEcimXf 34qEuWUxARPM2o04ESHChEA/NsJy/GSWRIDKcCApOqVFLNfJuC0DHbyf3orSIZVtjsl0WidwLYeP Q5p933oLuccB1xRNetLHHSg9Q5nsOWZrCrPiZ0+2oBqvzOhEMTHc/giZ3JsCOA9aPhDC/kW4CgoV s/a8n7PdN2Kio+Q3hTZaSi/Nf23D5HZ1IB8Xid263iGW0q36/P5sZFxaPJSy99d/GjWr+n86k2Xn Gpg6MeUXp46gSdV2uBPelZvD2wv3KEE+gBKowi3dYAjHGvTqCCYODWYhLU/fPo7+ismYWXxia91F JS1A85719RaEoMLsc3GLvnPmLoWvnBfqRLXGgGyL7F7oa5OfoTTBh6furlHNanXe1z9AbCO5/rBi bm9v99qPSyjKTM7b6jM7bOwSVLvC7+pFGQ+p21u5O3EyMLdhgVabX4feKJSPnKBjtH/PoT9HMVq3 3X7mBtc4DADvMANGpkcDQY/Qg/YNAnAXhDi62BoWCkcoQykfuh1m6P3f6ozkV8bZ31Zm0AK40865 MAtJaTZdE4LAxo6wMYMaFk9si+jWgo8F5tPP32GsbGvafr1B7di6Q0dje6Qvkc/7PQw/TRsCZORD xJfRxZ4okJzNEP1TpqaLoCID/h6LKA3IMXTtew3YJBB/MrXsLKr11GztxMr+DisZjUyttm17fNhC 2a59equYZUYicdU41oz+Pag9b2/x5brTW6aZTSIhLG8nOBU0wBHbWhJpsgJ81MiVDw/YsCkYE00q yW2tjsPEVOgKHIe2Y3GinhhYp4sXxf3iTsdJgF5z+wrL6WuQBcZRuIBFZNwwfCo+ENCfNAYcNU+K ONReZFjwJaJeptBJttDA2T+kQmRqYsrPFfwRi7pHrF+pjVVGeH+ZTKq/3ro4aqdv4+P8nKKUfB0u Fn/R+lhVJ3Fm1O7fbMUPTKBG7+s4wRVC1QjCrxDFmzsw6KA6s7HiuoN3MP3jjG7xDFGtw75lShlz 62HrF+Oydvi+gurAzyPtWwKNuwvDiBsXVr7qpgRk/06lI7KY3lksAkSL9eLthDlbR/ShhSyPXELy uXukL7trsuI71IvAcnsDAwVTID2a0QdG2ihEpEYVr4+9Pvk8QGV7ogbL5uwTx0RwEAEI9848sxdR 34CsRCZOyN84+M7UpXRyRLlFoLKGYjN2/7d+pY4ItuoGb9d6IHux+FkYLtHGQu+eSnVOx27detuB I8p8g48B1tFcxm8svRWh9eeslTxJ7BAbZGO0WXgCQYwwW102PNSHIxuMfdDCakXn/kFAWaYoffhV a9C29n1bejyVIRp1lDO95nTQHtKJTrjBEAzJRt7mIUVgWWDVK7p7UErWy6/CYVDrUtfeLiKF6jW3 DgdLh0kajRsmEfcxfx2ciKxSoPr5BFa/5BH2SmZrppEKv0skTwu15IaRMRaukm3HPRlzwvfxJ2AA UrzcZNBr+nMItSgQV5dL7dKlKZr1sPXA0E23J/DeFDF75FMCcNcMjXQGH/JtKlGYuY9xa9HlrG2p eoXsQU/5PX/gv9EU9VpOOZuJK9W31lFMJUrQhV3xO6ghVv95fVZfgxlbwj/EKKuCij3Cv9+Tr/K2 3mTnJZpE3y/uwjy3+QYJ7Pr55lwQiW4/ox+ym3uNdnIhQMwvelzLjxHjzu0cF6yGSCjvwlWYhPsx qG75dsodSvpdX+vztlxqt+YjD9K4oQFVBFtK9xMoHzR2KD9S5kh3Sjxz7DtHtmtQOsZWCyeO1nwt a297a9jlo2n8NLzPjXcMfZOSpvwVxPFR0laMybX/9tKGx5cao0t470d4BP17rOwa0ordTdY8Q5oO qRGtinTJz963fGoeDzoX4nC5lo4TARWnoNE58bVkXqM02n6hg4rlRI+TtQ9Q4fkFPWNzLUGEGebm EPKJKjR4UccsYEIZN2Nb8G3Zsn3l8bwrTc8Lo9k596OXenI52hqF0AAIr8a8Acie0nv+ASkPdbPn 9vOZZg8zBnlv8NFwbRr4zciW7dTjL7//MPIjf0L06midKUG4mOOyFk5jytnoCv+FpH3QbUFxo8gM xSoGuGP+a5YAoUcUcOaMrGeSjXSx8U02kG3fv6+v1AuRZsi8ol/+1qXObvfzNVLRkHiJHu0hbtRw M+JLXx3Y56IIVyzuE9e59Cm84MtsT2POwxf9XVhLipMiukhg2Pmflc26bilar8GOsSETYZFoAhk5 +bSKRJ4wmYE9NFvRbDCOVr+3N7i7m6LtQVIgT4KhSI/iqQaWh/LABsRFTKXk6ZPCeD+Hu62cutpP 2lIAwLOiqIWbzJdhpldvio41t3CfyJ8uJa20XVjoXpggP8C2qQvxygFhFIfeYi7Y7K1aUSD+0/C3 FSdKHHITpVL5zlVTFxrdDTChUzAbPQc8m16HS++q1DKbcYl2Qi+L7IQhF3AuSyAnQdnR6ndjgyiB zi/oCVLCmRq/ImqDMh7712ENFRK1NSZvvw5Anlh+oLe35/MwatZ/LZ/Rd8V5PtSQiekkV450c/XB RPJk/qzfa9ZYHDXyFRbWJPUy7SLKgB7mRXFeDHyyGODjvZZGKx905zhnV8xgvTthML3cYdGFEuRF W1Tkvs83wq1AptJ10Y1LTEOz0+E9CJoj0VO14oWDuJwDsux/3FZ0LQF2mVDmi06rE3+Vud1Qy1Cq hJuiyydE4FdgyBymvDUf34H7HDDf2uHkByrHScLVRMW5pBzYhRAATuMAjWPtpjVQQmVr66FHoo+2 u+HB8qaHxzUw/BGqO4LqNhjt8+8MhWsyzmkzP0Pi09mEeERoynOWMOHSB6k7FPHS85D/LGvu3mux RFMO3kQFUWkryoQntyxazThZsKutr2qJXkWw78XGPgVm0zSkU2qoWAK32Ac9X2ggVURTxT2Crhih kacJ4QWNSn9/gFuHsnjZOvrl388k5Xk1hjDlcUMyXcY5z3M5xxsOr8iTeDPL3/TavJIvh5MQkvEw ikrCjnjROVTfPyO8ikw4V5o0pQrWto9srSWOWXwnQXgDNmiHv2+705OIaUQjblzAjqbki0XgNPc2 z/civol3xrt/iEhzz2oitBw2A1rM1DOIllwNC3m3VTEk5PFOkGH/EjoEUQwJ94gxRbsUUWe3UAzZ 2FbVkCX9sN4qmNTZUTxBWzobjhP6kDAxf8TaLq+g+cXgWyvJK/b9QRHuhuDDrohDGFP7W3AI4xK0 VV7NSpvReTAJClAacea1swSP4li7nP3jKKViwemNp1ssL3mFwmGDRsemYjIe8KcUMh1IJ2GhjXJB HEQ3md8LJpBmAxHUdxuXoBg89ce7GCaVEnj4MbdnQ7BviAbpFhNs6TcrG9D1EYDaMbDM3te7ud0t 2j6xq9O3EtMgiF8V1WP+Jp5JsfPXQQhO1KmDzrQbWUoSSA2Gv2JcgUxPIrxbzY7yeUBPbm0wLb7b CIQ3+m/xV45KV8Rivbsa28bZ0WMVfMokk3gsDNGzaCaa2spRP52uRKaYa84KGNg/nd0pU+dJkSK1 VxYPSH0ktqMY77vzn1oCcbQws4PIf7fBdrFvChxbqPpCUSI10m8FAwHy4O/XeIHUe8EwUmjEgobS 2Pw/lGBc2mKsrwWNQ2Q+akoBxC2vIVIJJ0ERVi2iLc1xjVl4SzLw+zyZD8GD/BShsCadkQGGIbTu Q/FR5D6HV0HIX+sCmel65Sdm/3SsKdiAHi18QR4A8oR5uISW1ShMDLkC0Ik9hHQIh7AptNtZnsI/ ShTmDy+G8YVggCKbtBWEVTO1VngLgbWJaAlPxPYA+UbmVsd/MD0Kye7EUZCYC2Z+X0PW9q7TXqbK 5DvMg9hD/z4EdDOurmPnG/Xlv5k/Ek+nfHabfVPwR/avjhUFjsgzufiKA0FXGmQDOsZwdXkB302B UpsM0lzIyLsLV5SMWIu+0UMTr8GzryR2qil5tbdDkTfTekVyBjFVEJ3qLWQhckr6f8jjpjm1VadC MWQWFNWUsvYKNBJGj1ZpAtcS/byACUtwks6AqmaR0BwlbxNedDnM+QzjlonVt5eBjVz3WGl0PTGk D0qxF/55UdDnWL66u5hbI4aINtBKoOdF+HBQduMQ2INHMGw507N3t6LwX7OxbC5Egi/0ZKXm1KQW 6dWJN3MQqHHByb2qm9QrWbPKn/uUEwy0rm6zwJRaVVOl3MrGkEvfbbN1UpQ6rifkDAHkahazJnfV llaa5XtPWxsIQcijc/GmrazPrXBvVRCdNu7lHBzhvqEukOsdApWfDJXVcaU3O00+ukkep87Uxowo gDAlBkqa/UN0lrfr7OMVLvZdCge4OPl1Ag9CPHlkz7K96rBK4C1KUag328XSjiyR8Rzfuj5Ny7Xv ma3+glPialfO33TegQ0+a8P8MLimRhG7gFGZoUROWs1rl/qOxiM1kWeQVy8YtxSYVlrFUd13h+Ux hO5QW9AjEIZgDRad6Wx1e82XV/Eer1SbwLdW5T55LoOz3EevW53a7JfNT6qKgiItwntXZlwTb/mm FhBTnwMMr48T5B52q4L/7A+hExmjkSuLqBUf3PVZGjSrKk+w2XcI+sLef7zjj2KCk8eMktMU4Ze9 KwEhxyTP3ET0orHyx2fx0I0f4NstfOx2CQRq2GTT57zY3ZhzsJvWgUxe5tBZOkp1tJEfyeEj78pF y3QARd+2RVoSgIo7SzubDFHmuVnJqsUyxoWtAJP0/rmPmqWU19yK0i+dgD9FHbTeMGkgGE5YTVFF DFT/QDW58EPD8yc4dP95TSrm8JKj2pJvd4E2UtGtrQMzH5K8FSapJYDL1ZxC+rSy62myHUxux/sf bextqa1rE76HyEu7lDM4s71fy9uQjwT1Ys6CZtOcCWf5YlxW8RQX9y7yPJVOH2N+wPfOOIQnLJTf bH5mrTQOxShXAkxPBpzYDUA7L7bzQ2kbDv9434YgLQOFzrZ3O03o7j8YiWHJmFLWnLtJmU3AUyEs 6FfBgsLcuNUmRd/36zhQkpnbw13Wi9z+q+nM/aXIB/evw4YeVG+zKqYce08nDe38xOi6BE8gn1gJ 2x0/pr9rsEwjnQu3FXGYydGue0nXYpBfZFIrWWvwROFUUFzVAJpIZlVlkH7/EJQQyUadCDUtScJL RrhXV1Rl+0KU/LHjJ5h1bHKZ/yMRihlRylnhvxS4g0LMP1oiv8HfkqLiTv8Z7IMTD7Dw12QjyTDw bPh6Fr4tazsN723HnJSprBnnCkLn8rG58puuXRwDJCJkowvoJOUHYN0nPbvgWqXks83DMjpZ3GnS qh/lPmYag+CuvOxgUWcJE/kODOncWr4ZLOMUVmGI9mH0GjB2Ahz1jCittV5pZukWLdvfsBmy1PYT 0jk3uDl5omaeQknKgLBwSiAYsrjkXBpPhGyTQeERmE5MDcSji1AasgMWjJ+ca+Sh7JEZWW1sLguh XsZ0O7jcyMfncl2V6mUQOZj4twnwlt5c8jY2TxdRh57BUjhuoGwgi2suTaCPpRpKSMfDF53e4GCI hvPs3khnm5dHc7LZH73Ai3NMCRFokLlGlwxO1RD5EVijPc9mEt+C521VLl99bZOW0Yp74REh0Ppt I/3N2LOH8KZjwca1MFfioqnQHtLLCHQVsc42LwPT/vU9mZgrgBR0PdxBfKT+uLs73KAtGD2Vv4j9 966K6e6/V0GgMmYsnNopgCzTgH24MS3wsA/cDmLcbUx2aFSlJYwBp7yKaRz8C6oUijzivwCPqsET wrb9kWKxbakEanMTCBJ/RnhRMk00PAm0mbIAuTN4cQcfLZuB8NyODAVFTLxR3ZD9/YUYMKbBNhPJ e8S/7ke8avmbQhGfAw8siAF3PwFUDodZmJpXlL7Oz93m6h0omE2fuspebOOlgfYETMu3X0987Lu4 lPkTwxCfFQWXfGKu0IyI7pBRmJnZ19iQkcFQqyxuXxvETmTbanyJ/YDv5U/og+bbu3ERGcHN26K8 Bmb7MURR13gQPskEFNDeGg3WxryxZ5b2M6zoGWkEjFhfJf2yftERwqWWVcmNcyJhT9Ysm7vmlqR5 3YIQyz5BSWscwINztR3S+zw6ejue3+zkrFphtWqK3E8X74XESpwAU8RXnihtQHas1uWYHNGjCozv JOM1IvIkNr8M0xMxx/5t6L0PM1LJJAfB7n8vJJHOaTNZUl39AhbC4MsC2/S6AzLQiVlij3VwdmKb /Gc53pVzfc9/CxVn9ySihmySAZKrZKE0XxcDMbgRrOSPMe/nfn2wPpgIlN8jK/4p+3nKRRYoAqKa 1j/67XFDv+ysFFijj4KjwwIYqKWXAzZAzCrp6MSXeBY3CXjXVsDiRjCgyBkzxBYn6l9KImL7jq3c UVI13C258j5uTQxxlmGuahoPZzqjUZpwWPRw5F0VWnfR8HY/ZUdgEUbQerTQ/zxYytcwxcDDsemk AcnjHqhxP+1d7ccuGHfX+0IMzOIGe7GNLIYWetrwbS4Zl8veZIsZ7nsA1NGTNOHeHdE/vgCV/FWk Hce7qnmFu07pZRq0crgKtbHXaVdSFFDwLOf4hmBWGGsaZF0NU5Q/89I0PTT/Hd6sqybqwMY+bSzb n/5VAZk0g85ewcAHn3a0QXtAlg/r0CmGsDPtU5AYfruFvrcFhaYr2zCuBJCsLMChjlwtjzmOShn2 gViUkvno4v8oaESM+d2tvfI+3+HGAn5vunRRCI22aJjM7u/kn6Hj3rF5fEO8MOSu7V8utEmOH6b9 8kFshpvmlRmrKUhXtKc+XaHf7+fQUs5rWmFL9yZM3neL3hIMFHMF5tRf0UcHmNdQ31Hx+t128B6h pub+qM/StWt6FAg61ze0zLo53em2i4Jn7L3bKgZzxb3R7y8rKyiAwDdocCp74nOOLQSKZhcrbGAi LsecMhzouSjA7708R1/R3mdsRWFijvtJRXG644F7PRphayPHkCFqOIkuAGvGIItwc25oJytjL9tX M7Di35LpQWNoiNlnlq2DyWV/rOIs4go+l8POhamiwZM1iohI//t0cRHq9GHU39LQbralp3cYip37 CcT0rgI2OQDKVR71s3sj4ZwYLTchbcYCxrlw5YnLqrBa9m8lnFosNl5GvrRwCxVvVrHf+riLDFai HdlkpiZbD3YfOttvS76GWMDraNptsDd4tBsDud898aEh1XqzkYJ5EsP+Rr4qq5pjQ8Hy/XehqYgG nblM+okcrUqzrKmQIYeJAyDYD3t5qxdbWECE7q3eDksjzJTjGFOywPSzqQPFbLp+gXVWs8fQB+G0 xU+1MgjkxB0K8+1J7bzWVOFn1FXkRWvVkP+o6mr9usZ43M8CH5K7QBoV2heDk44djcKwoZ4KD/lq zWdKCR5fJjNbOmyl8qdjx1//QYBFMibBSOvN9QtG5UKmw+KZiSdkU9CqyEls2s1WDgKvCyldrdfZ MvKHrMhoCnBf1gOdWo5JK9l9ju0RL4+FZbcqpg5j7abBWeLqb5Od5W5vtI0OYXlKOnhSMLiRRqaH 9XD8RbQ2/6rYszNZqc6h7CqpJeAraxQCWG3NPdCkK5xSHSSmKtGQeXSubaEFAC2fTwdxB6Px3NLz ofHe6aXn57nPW0trlYW7gZE86ncggKg1dUy2FWlEUME9em5o2BIsUv8JA3G1FjszfKkhxvfjEJAf uxlWr6R/h6t2HBQU1C0MJF/LMan2RbhpNY/qNn5aYBil0+jNeU7mqzjUPAeloUpf32URE2hZKXMi jPSJft3BP7hoBrff0w06Pw+93SbNZYMYdFNe9ogN3TROW3KBmPy93J70RgmJJhldMs2UqzqkKuax 6fMfW/ro3sE8EvMyLny+CzP/7a6wKz+SuZ3VP/jJX7uqUyqmA4vxvfAEQv8p4q23u0D/6naGIxaV 0kdHGRMEBjPw+svTakplr/0jylKN1y+64jt3P6hFlEKWuUdnaGHTu2Vt2md9PJ3bR116c0yzYA+c ADHH6AuNV5IQNm/Q8G+Hy+7WLLc5c6SZF8hEkRoVbEgSxlWJXhSBnBKL0W54zCDtEIC1rwWZ9jNo WHMEnuavTRST3va44CYBGwU1u+UMilzVnWaC2RJZAxRJ6qwnck2ETt/9blAClnSMn7vHvz9f27EN 7CU4t6zEDuDHrruRrMi2Kf+L5ReH8mqkA+1NFD/RrN1d9DJcyJ0LME4B6LSpAzSlAw+b/KtWzhgf uNI47xpegteLFS13LuwdX58r8XkLHHDyy83vL8bdrY74Z2WVBpz2H41yqLH8FjAHg3Pk8bCMaJUZ f+lt4uoY5wZTi0s8qXacHUDnFOcfO5iLYal0j1mieTcgp14WYbzJscZDyaWpeDVLokeiEhBUoWpl 9blU2Guig+ifUExRcnDQIf3xfkMoDL03MF6XHAP3d85IJgaDRTXe4QvyTNTZk6CZPuSfxQJBol9V 3I1hWbFBCgR++SWEPTYRhA5hEKF8DIlZZno7nohsgaoAoV2rOvM0nHxzndthNhwo3Ivd0EMWB6kH WWUCcW+piIolxy7yPAxwoTZG0Klb003tf4sjTPP4WdR2JvuNgEohCmZoyLxiinC392BDYlzq641P aR5h2CirCJN88gcKTnrSbRSskS7tSav3FDM/KigCqj6gNHCmYQr/TBb0yk5T29PcVOwL4t2U7VnY noBLBQ8Taa8nL5LWc8zNrEmlURhZ+XPCngw74/jgJD5KGJc1vTXolnh32jPnp8fV2dEOQPEEouyQ Rxb6Tk3dPsoRq7IOfjWXv17IpywrPfEp8SckTcv+2/Hq6DmhPFpJAxmZqM+iIiIJuAIKfizilIdf r1qeadmujMFlBzQuZe6zMDU+p18nEcQcwt3fpfJIq72WxUg6T2jVHPBrSljRnqOvv+6m1UNTHmCJ IqMjBUMeYh+l5EAz6Dg0aTKjhwWBgi9RcBxR1YCifu9b2WKSDVSFiI9YMhNgq78J4dy7I6pKCW7O mqY0x6z5YAxDRqZBw/WLAh8M4w1WDg4cA7X214mg2pZ7j50U5WajLaTDdJRAMlekhp5QeJU/3tNZ eaiD9wVjSdxckepwGg8X7OnCTHQ5JRgg6OucgR8Jf/J7tPo3D2sPhTrKaMIG9ZxAGzkSEx+g+kpy G6BgtwWZMgi1ZSKQTvh2bfqdz6vVal33npEXLgCt5BgQWlMYqh7+65ZRMswle/T1ib9/f/tKxVnx oK4Hvz1OSTeAYRjI2MC9gNBMybluISw1PG3gf8Q1LPN+OmEGDlJqSB+WivLXB4TzlQx/1X7UB4jD PGvD43tY23d43yyVcsO2FocLT1KNziQYYrjA498FXaZpVY40CVCE6Jx3+5PzgzWkNiOktIr5YyVa TFApKxO8LXgKzHaMk+XcxtQVvmSSk4g2yzK49vSkCWHcJGJQHBzFiI644p9QWFWGqkBOMuxb/dvO 6sVdDnePcsdwB8rImCmEN/aLKm23jxvSXnz/zp7GLFt7npSepqhPm5XehZHNU3SUsFoDqnA0c8Nu 4jhe7X3zdSnoF/yZx0W0uNU2gwsiMQOEg29lrDAhlew40JEQYHN9u3it80aQ8HQh5fMiZUGy2ONH mb+ikTo7fttGYaeV+LmRHOYGLNg4TYH7c09QuHQX1uMkNx6drVmmAXjj37y2kpJEwl1TgXlgSXsg bd2L7ZGcpnDoTUQu78YLC0E6UyUlFz8HWgCRzLdfARtCkCJ52BGSk3DPWS2CGYqnNikLnatjd9EZ WwTSOYWfSPVRbaslRYID/yXCvijIekYpsltc+3XmZ1VycXHIG4r7ftHWPK5hunpSNGBcxJWnTUJi jj96xkfSaU5mU/1vznf1/alRS9G5yKlEco0QRQhmm4mJqwmNtTIk3nH6psOUuOLaLE1l+nVUZO+p uGVDTuW3RySTqY0XsTfZZ86S9VVmg/c+PwdSe+WPDhJm96OdQ0MN5s+3wJkwYEIozNC6mob6hIqq wpl1FoW8tfwUNu/tOSkV0fB0rOXw3AKswyE7uTTg1JuS1mdw/mZAiepI+fHu+AhtKa/MM/XypiZO HvRx9XC/MrDSqpt76IT3ZQTXLyCucqQKqy58V8UMdB8Z6uUkfz8HEhCAZflemZ5Z/YI+VRimb1yD ER1lqlTMutC2nOxHj0BJdNhmVaQzJmEZJD5uei9tr8Ae1Bis4MLurfHy0rBrn7tH2EjVKevfQd7m y2nAzU0QSgTq71acOQPaMewoHWczj8b5c7Xpx87F43bLbniEmxrSElLeys4rgRwq0yofqjDF0qpV qbw79BQZkzuGJyyAyhOBMWRiqTArFPoJ53AEGfoCFQgM1lK8titWfRN3Gj919GxM/GRHwcRuhk5K Ckf9DvDzQxbeIdAimNOFOD3bMHq3rf0e6JkxhRDHHohtszn6BLGXnuDUmn7b3ttaAZc/1OGFtwKP la4HgX0AgHM67ALjbZ6XUchGl4Pia3GMreSQL3y4ozg2iDU1lYizGV+EcbzxpwHIcczWAvNq3zGr NBVmjIlcl32kbMO1dY8OMbjOLda69qFgE9KbQsejIMjq7h6RMNpTGO+15cR+injZgTXAGsCG+RZZ DWQmkZ0fzkU7Ny+Gq6QD1gW2OZSkKNa+EOs2i65D4Ly06Vu0Y1Jn/ovxDf0a7f5WocbAWV9V8UiU aeXobyd9OW2E7wfvS7aNDb45gJzLfsz2I4l9OPtIinXPh45OGbMjGPKbI3Hg+1sgXIZlwnUtAgYR a74Dhih+jgtoCz/52YhBDFyAihommxnIaqQykL6bYFTUJOQyaff5f1Vt5AJO1vRRGtwUdvTR8cao XqHh0CApDKkmwo4uHUEJ41Qbhlxf/2mAPtWwNhHFDcri2qHBNHYKM9tnM/IEb7kxQzO00ulpCOJa a3WDvzcBPFKw84l4PpbCvOsN0/th9zKck2ACGGXjcZSdPoCTgDxI2Eu8DgXS2roENbCWfb7yYbr+ qEAVo7heOx4aQZTtIpYCo0dqzYL61p1QnAv2lhKF02dYvZrTcxjf0u/WkcG0xfj4tV0/PDf2F4Lw GOODyS/RoaR+uH8vIc9w4JPTchGTsg6y812ybiFuXJAknl0hdmRcqlqJxX7Sk8tGOJ9pr3QUVa0N qKZIKyTkOByRmRV/H30Na0uAS86Ohp6ZagSwSIrifwkNphXV/8w5DzT1dUBhrrs1Z5UNW1adEo1f TVFGlSdg5V/xFfuFADh5cMEpH3QBKFR3bdvvRUkJ2iLwVfCGql1ctXN1OwZmft7e8xB6lPqZqkRU 3Ky0pz5AQ2/cgfApqfQfxazmXn4X3ijzab3OsI1NRDhvdgTOSHOAKB9b2YFdAv8CGsvQvtNDS3F8 nql/CjKRqrHx1hwibFcP08Njd5ySb74gjS44zQuG/lgrFsSsob993nvuD5rFdKeba7XeBO3uR4MH 4zCjGHMmeNpuQgFgV6get8unizKvT07Z6XUptJ32enY1gyIq+frLhEjsb6bhaST+SgRtcI0IABU6 cJyOr/As1wt0MWB9CThFHPm0TcoHGYFTE8xHrcWMmOnN3o5tLh4zkhS/mOxvi/jsNjMS2ugApxqR yF33CgY56XqwZIyuXaVRtl7mcMIkRAWDrWPt6IK4W8UoNsMbMWi5NUmmMSWm/DwXUzU9+ypQScjF wzOD59u97zdwu/czNab1MIYgEKRCZdVIyebF2h1uadgzvZlg2UlFOyZrncmRfjsa+J+m8DUNCRLB 8L/J02VYrW8CgMejp3vOriGCIY/PQCXXP4YuCzLJ/A5cpGH0GzMQnmwk6IAb8vG6hudGebGZXJER /LDSvkkx/N7eO6CGXT7Z7PmYbAu51yrpzBpyMR+r99n2FE3nLVz0frFHuVLC2lJYp5rewtDYPyA1 uYmuywJlyWr5noTWvRicFa0T6gya0+CYSlz/w9cuQYUzB0x5MU/DR3ryU/HtHDkHthvt0X/uKHKP l+SgNSqB8clsZkBzJFSnO9rgFmGuvfo1d9QnDQ3eEebwG8E/lThU1Y3CDUTYvC/BFGghDMrlx+JJ k9pxZ3bI1UWxEqmssBwflH/S8plBglxrAYsHQxLSYCtSRLl4oowj+42RI2k4dLx13jX3+ptINtV8 n4n0Zt0XSj+84/WZaPGYRyLjt9juqQbXhuqu4OuFgFmO8Tj9MYXMs7mjkvpdk0p9kh8fYA6eAoq+ YipThJTHz0LelqXBHz3Atr2v8B4nOXcDpY9+YDrFcWz+MwFz0AsTxmvsyyYsMFMhXZ+WsmJBQaZE jepHY39jzYbO+2Qa6XvEam434oB0Fxg+FDdkTsSi0rtvNABqs32v3OIl7VcXZRFopFj8vNP/kCAC iWDcxG+b+oAjuURxYOoY3mluKJWqv+QPm0yFODElyh+UoDtWJFy2hDYvBuhfAKLNhKl0gqi7zHqw GDt5bqn7emHkDvYmfCPYZd7i03S4jjL7VLPkLuJJKdW9iJdUOl21hZLCvO6zGD8kPNCexEpXHpuI GCchSJfLxQctD6q2vJf4S7bu0NE7unly0B0wcGWmT4vDk6uj3fLTZ+1pavpBzwWi/vrAkcMQrEV6 cuWOFqx+uAHtZQOZv5zsiH5Z8pKcgtM5f1CMAqTsoNg5Gzdql0VPpesWxY0nlxqyzUFXJFqpkbjC 92uIfDhSUSjQX85bDUcww+OoUVM+i0Gjk7DHCE3vZvD8t/a58+/QzkOTTDUPzRcFy0PUvqOEmFop wapDl7qAl7CRdUWZg/4egQJN0fS8MQmAPJIPefYt2lSs0Dm6cYy3j7wvdFU92mResTS/QJN2k5NU eNVzu8oW965HrEnk1DRoQMDhh3sV6KP+lFyVneOirsoBiWTupfLEJA1sqOLEY/4KZwuUqbjSuop3 8OzKJIK/x2wN85gqLYP+1UfLkv6CQTgG7LZkG/BlCODnNVl/IRCMQ+IAcpeUzMXxY3qAEpaPig27 6ThuEP6LPRYEmIhekeUtqzvHTJhAQ02JOHqJIXb6XNdxmAj7Qzqf1ibAvmVvRdlzChaZaL+0XVY5 M/sK1nw1r5qisVuIZMwwz+tHx2lgFwVXTGuhk1lIK8W1NxQk7u+EWWSb0yRy2+HnsYgY0RCSqbWd StJAdaFDkQD4mDv/kXUZGtWJndgO50X7Z9bRMfWcJWi2At1imI81Iwafi80xGMnne84A0T+Cj1Ie 7o6LM10QTVeZYUUcowsEEOa3eZAb2s9GYMQkEKYYLB/xBjxTTLASTltzVG/yS5cnC1nsc4mwkb3g enLaiz5K0eLa8zlVGa5wZXO5L7L9nWya5jwzfGeMcvm88faTjH6E9k3mPVU4bBHHK9L3uVXaF7SI 16IA/3oUMc72rxAvXjTIYFIUXxDLM92PsvIYmDc8BX8sMB2N7dcTGer8fVrEAG9RWvyG7+7DtsCJ GiqqxieJXZV/7qczVshzCilIww+DohXRS40ioZRAKvYywl0cUBeI/DroIy0MxqjAos29bOpRD65X 04Z9D4giGBbpQzINr9/YbWprOeplBgWTnHX3EO8zoUop58WT2c52hMKcZNFTl7oqWcVdZtf5cN1b G8EZe+AJiCrLsSkV7PJhqS9czgZDeVngz7D4iDCz4EgSnbaW37iDoPWPEETgaTuQqCX3Qgv1/p7B iz1NV40mo+Gr9eJDOjDfrr3jlx0ZiNEJAK68OsRB2y23AfCOB+IQNx2J0Njrkw0rqPnSeVbcL+GR NK2pxxoU3o/YapLt5aAfTqyyVJGQ0ccdgIQKdwklOD5y6+o6uWTEmdq3ZI2YrHNzhvHsepJMpSjn FgJTQiFSjPx5IYaU4MgIJU/ex1pmP3l+bqro5ZzJaxntYf5tO68GYQn6TJfH8Dj0hZYN7HrPksjp wCIIrOBmivU/00QgsdPWpuPtUFQd8vOb1pHUzUrfU1pUy5TynsSn6zXFpNCOZz+/yAKhNrGRa7q/ 9FqWktkZ8DmNqT3tveI6ACWzA1/xoI6pqU/sij40VAW2B5VhmY0xyP/Wg0isofCu0RlnuWQSdZNd k2kLF1thbsi0yZYPOIMVhcAaJTC1L57thnjiNPK6TI/oHhIHfQv87P8WzlbFs1bn4lnivJqrxVVR IfvRAEqMF+O0D9m/JMfptTKYx9fvUMbB613ntNBsxp7IxdVvjUNoyuFwM3Iqq9gbsbiENkf10bS4 F/a9J25gKkaSSYP+XgzuWZ/JlcrcgnjN255LBbDmOqORtd1y7onh2ipSO81nd5koypupaofEnFVA /YoevQlmTPogjYh7SzZ2OcucMcOWa5ZNt5Ax14EXwJDt+aqXmhwhMnkbnBd4hg7leuhDFjkesW3Z MD9fas8wu/LK4j9eoJ/962kCUK72ui/BBSX+/+kQClhCeEXWHnHSge5wBdijaruCaD2xC9ebpweD SJqNYAkDKxapxa3wWc4S/NrsGfoyCwyUMsy7MCWSovwVdi52tsiozVtsC6IPJvzm/WQILT7vDsys SPrx4VKUiYXW3AzW7wk8UvyhGs0OxkGc1VQ48AJC41j7/ZNdl+gnTvXKJEPTstlu3xh/lrz5bwTR pBNXQprGpBDX2WiycJVBiD6E3BULeffmS5V1EyYaEdUS+EdRzDBKYs1GTNfrBUmhnNASv62Mf4ee OY7FtEvnmtRJqe7pDaV4ce8YBBGndrl2gemTJ7MmpXZJwi+3L2Z4XSp440F6H6bUjUgeewsTTpYw QlaCX0LsqMOKenBXHNvc4Tvf5Y6XYjLqfPy1tutxRo9dfoW6kRXSi7czixLxNFKLvdBkiq9jPbUU tR5+wPMn+/VTIFFaqcTFiNWBopww3+XeIueOeFeVXq5T5T2Yhj2nQWviM/+sqzJjO0i70+p/NoEE YnUyLt3PgTsLLusuXsKSBzZY+QMiBF807XOv3wg3rjb761A66B7HF+KkJ82B+UnByoPtq6mxVBBo NqzBGIx1Brg1vz2E0krsEj8sMQACAHIohUR7xvJWQYymTGdbFG42o3qxVMApCDWKsvj/bhiZ/r8Y g3TmP4FxnAcaDaBaJ85hWfUSvR6773nMDMhrWQgryxiEZg9cTaB7O65cs6DR68eLr1tpfiZ3L/NZ sfBbO1kHKRGPD62BtWo4fWvB2ufW338Qj9UC5Oq6OQ== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/builtin/builtin_prim.vhd
5
111502
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block isrHiAxWVRJAlvHNm7GjJHAxGt0pyvtoxxv5t0Wd6WB40cmMDqHcfYDGYlRmbGHugOVsmu7z94BV Auhxq2Oopw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LfGKz8fHsYhrbAXeSgdX7Lp+9ocoAXBoY4EV95aQjceOUd9zgdFemn1D7cm37K1fF6MBnPxU+1AP aaozaItnzT2wxD2H5kGzPn+OmTnoTh2MtnqxILq2A9lQTia94KFlty14W0EXM4uIBmobMY9ken3X dyVbR9QtzLt49+JbXA0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QSNOHEeeuUeqpji7ip+lMNxlARVuzEUc1G0iEzT+ysTlR4XmsIueBaX4wI204pG9pp+VcDVn2Lz+ T/wnCVoCDdUsF7GcP9LLytmEX6M82+LfPvevGX7uw/qMAJ+kpR+P+RqArfg9kerVKBClVMJB/TQP h2FGRIXFuqKZbH2AajbHA3a7wlkfkiOSsAg30PRvuCoJ/unw5X/Fag11j6PNTTrrijtuFN73JNyb 5kOC6tKyZtoafGyO1Wa/36HO6r/82r+ll7826V+FzmYGjc1MHD4miPT8OQhGqd8dU+Qpe4VZF+J+ mMz/IA+9yJp+9K6m6chI8mivkv/eF9AsT53saA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mebZ5+XGavNib0tp8857kJ2AQvUURTal6lOC2EqBJ7vXqi+hVSpgqZRUeltrJlIthAvWQ8FgqPqF ivCcoPFtP4OhL5E0Z1AYLM7+nD2+6zwjnHW0aFb325QmOVjLVMygQMWoIbjoKCeX/MYlB8V/tbzB MmIVCJ1HfBLthyBvS/k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e3f6LzPvgF3mbYxynCmrVOfzDIctiZTHRtxeohww7Sq52zZcIggfkubbw3DzRxzKZla2HPNH4Wbt egBQpYQwzhFPmLK98gpt8oA8jvC3ypM8SlvAT5gjy7+WMuwfqW6D1WxmJ2tKx0ArN0kF6soS3xdd dtSmkMPKh76J4oTMIKku1vkgPbLCDgD1XsVAg7adQVo2n9CuQGvD+2ILrnkzneyf+xkMdEbcabAr VDxZjRuGh8lLgBn3LLP3VbGhM6QBB8SM42+MlpGn0/56QcbmtnqhJrTJBTFalKfy3xmxs0MbyOwz L9EumFR18SOY023UwTeSl9pSy8GTFEeyFAK4Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 80800) `protect data_block xp++znWXFMFd6ijegZNckFOno9DgDMDjQJqV1sUu+r9AFS1J0bcdCRvq1Cj3k7LEMjzs9o2KfiAL 1QfXjlpY43Vo3oDXNcBWpvqcDXYH/NdJsONG6cjWu/qzyCBXycz/4VaCCgx+Ia4c1lGZFMKIjj85 4jtVGF0hsKeDOmgpcQXZNdTBrhuwq9bV4kc20fxquhH6ub3lEFxzcBA8DwaT51NhbCZk13eie/jo 1eoemBxS9USX0J/bVAHzVlU2xPSa9sSAP7iuRgwPhRrtBD2Br6sO66CAc545sD7l3ccCVu7U+Y4+ rzJY5lwc7Z7HqAoI6KaCcclqBUW2+U6pfdTsqMK7xeSnjeZjZ/GPmjvEUMnYBBGrqYEIDuFbdnE9 UqMbzM0S8BkbuYeUSiZmaFkO9+CUWRB0+2s7K6GAQG6KAhbFubcQrb0OYJKnt2GIo646ft3e21Po P4ihK5uUC1Nh6kfprVmdRIbYZMFepNMJyC98gmC0sRuRWbg+G6lXzlIzoQOJZVTaHKduHxHkBDeu 5Sm5E2ij+/aSry24QBHW4ALGiB3uwyKP2aeiN/bdRiQ8njrRR+KkiJ/9PmNGq13shy2YKgN/eCuY FfDxixtofZuONMMqgNFaAPFmORnpSNgd6dVKilMv6PxIUPFj9Qs4AUaQd8K3nV4yNniAtlyqrr/g 1HSbxJa52I9cgSZPBeqxZ56DhwAcolrtSOKU01x59vcxV8SBr4S9AXMqJnIaDAL1gsLBMklwLS12 cZ824xPfXN5MlNu22m8PZMOH2ee23eqjREllHPy2zuhAhl/rxRnSvPMaT+G+1ADtBXz4jc9ViGkF 0hcjGfT6Q2YSqiAF3FKck7/nBDdEwY7+CwpGFopE0gxJzvr9K0z40+yDO29SsaumeRBVwVZxOuRd 5nH6iCZnzwUxO3r2ChpvH8rxbWG/gXZ2wMfSq4COJNS+ocKCWcTIAFOMX6oqldNliW8mpv/3wICk gnaYhFO8E1IKqyZmU83gnRLM5Juv/3k24jyMm93DzanklWqyfac4eMp/Te6LBNUoacHyTdW7k2Ef 9JyNbftA9aO7Bs0Vw15d6GHAhS056RHcvwZ4VOi/vi1J1JqY/1TA/HSMUaTxVmDo4h5eg6p+K4VF cot/6Zsb0xpWdtS9jMfZiQe52vc9krAyJiVdG5KkeyFnlYOcgww4VGo9V8qX9KrAiOwZwTYIL5ya qsdO8jJEMm13d/O5QLR/EIDRL+T2oJxpNkLfAkoeKV5qo852DbFnpwEfNu7u86qzi+O/KAX56jOx mjMwEjf3l8W3ZYPlTkb5LcoTLBCqHC+KBL80ieE3LkBHh1Mvtirrr2YeCgV7Ik/SmCBvRte/scEP 3Dx0bbXfz6yjxMFUPWtz2xGmNGRArraGBNrjFgbfZVKgbJx7TQD45c246ZkZC7ba9si9/qjaURJU 1IXxM9z+kntzXOPIqjNuVVyx0mHossgASNFi+32EPucPiw2RACG7i0MMk5vglxPENFpM+AbrEp+c wvQtjZCMKajoW7x0/ZxmSmdF00bfDVa+2afbvzUDKBLKE+QC+mtJel2wH8ayZ3H2Z88ho2FXFcft OqMm8F25tSUBqPOv4VVKgjTZYKaQusudzXRRL50R8vSxJe991Ps68qUXkwHkVKNAPUV762ZAB0qC dKeedxMsFQjGpSL+sH1iH9IJpWyf7Cb5vZuyugyqgrtSXC8aEt+HQy1dKbBRcxCy6UAFPFheLV11 EnYZMm+aq8NNL/QQL8n6KG+iAi+x5JqoJOgvf7TQWJvFvO3462EfJzYlDyFfnrVilVsJiAEOivhq ABiPk9W+21BqI7nLpNCtgOCCeTr/BoUcFDqvS5uelAihUpB24hZdr1decAx0kqds0HRFUJIXIfYq ctTTsqKXst+nvFE47i3JAkGRyb9d5ll/XqYJ6g3PSekrvVmL5dU4LqlVN/IvEyPXnoAbQAaM3fVe HqhkJzAC63N2GJErb6tYPSzERkRyTiMvCJAPIlHniGsM0f5vZcfAgST8qGzAfkOw1BP97/eZS3r6 V/Vjzyhy4EepLKVPBowPqBCRJSCcoD99hRD/LyuMfPH04zLdJf8Oby4fPGTfILKMxnzDFQkprdpn 6HROJw+wW25QLS1ySbHIM96Ydam0eo81uqssRNMEuehxuzpqw8PY4d3D1sE7BDxJzGG/T1C5LOtA /T9Qshz6sDCQ6f109e07GZNt+hVSeVQ+fy5H5+49ZE06Pmgkf/wn1++jCvJIdR73L+S0GobAAldI FMLlfmCSmKqOd4/M0Y75+hDpfZFjIfbCe6nElkdJP4VcBC6U4Vt3RVnaMXXHs/kptlaij80mlKhn hjph8ri63ZhyZGg/IovB1c2TS8OErii4QNJyCRLKyBNSQEIgM/w3q6mG35bLa+iaw3Z5K5IoKmhX EEs/JOESNUs3Xty5li06rKsnTTSGAySp07rz1R5ZZ4ix9SR/rrvQmTks5TvQ2BOjwDEwXeD5HmTr x2aG1nBgBPSmZZx8tHFfhj5FGRw750QS54Qxepaf6A/iAHlp8FkRFENnMuYeU/QJRE8jNKa0IBx2 zkMyP5FUvTrG8lvBWvD6H5z9sU3v1nFvSEZuchDrg3qhVDBg1o1enN1raslEu9kSHoAX8dFc64nF 3USU1GilupTqXNZUv0jTFqcgMyDZV/jCLQUDOCBgLvwkrwlJY8bOKz5hnuO6MLOViHk6xLprfJm/ lOyQ1sVi4yoIZd3PqDoEy+iQ3Py25h60Hr4SoYH/Y6+0jQSe5OiK9JfscDZFyCm09sbjEv/+YCdy rp4Si4SdhPVBQwVCmZK3LRKpufWDKVem34MgOQJpuZ4RDIMvYbVpYZM9uTKS5xLF0Ti4OsctPI2m Jr15foCnP6TfFdbnVy2AsC4Yb38weo2mbnxq9MHdNjqpi+a2nQsl3JcDSOl6CJ2Sf1Kmi71yQ+A+ ncV7VSZ6xffeOa/FigrDjfV3cK1wEyr3FPNX+S0W1pDC8DZTgNNl9p1ha6sh5jrxBNmirz/4trFz HJGYjZcm0XBymlcA8b/k96N3dbPStlLHUN2ooxekIaLFhcwzGwZ5lg8Q4ikBHApZi9R6/MjlYY8m sCcFnyCdGfsTUODqNg+iGZQctbtStNNhX0TtBq/5Nk0Cihc2mDnZSgmDKgFXtRjMSdLQO4RftNuP RoV/V7JWltOxC9Dui/LA1bVAP95wPzCHpWhOzDJ9mUW99Sro/PP9IoyVMSgm9osPIJ8ggKnWZR7U Br3dbyRwNyZUKVvGs1Ig2lFxw+AJpVjl4cgT5R6wb7/iZtyoSqw9YDjzStVzQJEY00dTCHlnUDmi tuQUkpZIKT3cJeIngQm00CKjLEXvpNvgpdi+233PqMBszRsjwl6r1mRF3JY6awkPTXnanFdsQaHt mNPdUks3+OXkEfG63VRZ8Eop/3QCcwAH0123/NY+atXoxwhrIfhoYYBAtl1pEmQhlPm+q28gXz+/ BtDRoJ0+PurINffk6AgJrKaxRcrDN6Dto0UhsLuPb2JvbCrhQpvSGd6j8lrwMsbA0xsXd5T/zLMk y7wtOJ201KwEW/awAktsCffstXKzwAjVC/qyAG9GWVQ0lGPSRSbTXXUo7u8TEseCCvtYQz+y5Rkg IkqpR9kK+zdlRlN3B4s0HTLZIk/VlQ42YQco+STgkQSyAHce3NamHJVybaJ1WsaeRc5X53uVXKLA 4cIKXyotaTkVbjA8yNrAczAAr2wqcqlcaesLSEVkxEQaNFwO35Jq9PVwQdh6SEvNF//ilWIo9shc vBeta8dL4z3s+uQmDlIYU2i2xbLhUcxuYNmDrZyJoIIg1QNq73Dpk1QeVEFo7T4YvzBBXDoY+4nU thhHVa/XVwWdp3IX9x9VVi/Mge/JSD2tPOV42gb5F/N4n70NMtSTsXjYM5LNPYPCUqKwbFZAPaXx CoOcG2vtVdVPJHbfIMU8FcxEHZzdlz46/DVonOlR5dIY4YTmrFY60tAzpgbbjpKij1ur6IOs52qz CLi9yWriJUtolKOelguCw6ggGSekOuu7eQLXxlutMLLpyhoutXKp//Yp549I0nqpmw6oZZGnYkd5 3ejr8QPxzLsBYXyL21aeefO0b3maleqehkdcyQeeKj/enlNAf9NHO0sonab/dpUZ6+wdPMN4l+sb Gb4rGus+1gjI6gt8niT5wA6xNYlxRPLW5eDdOhOUTuCh213PEMChVJOszMrapKIUEsdEzvxPhFfE 0IDY1anJzAr2CEK2TrwSeSOBWK3ksXulh84z9TkbVZbDUgCLP2el520rMNYB/0OaRt13nu+Ojmhs GOMdmhLR+K28AvGRiTnVh896OLr3DsWf05wV9CNBoPvFek1SfxB9p4/sGTJMutVrSKW///G9J8QP ItNXLIN1A+nQ3qQCwwdWULMBDFY9jwF1msnP6HJ+chnqKeIYf1SZqzMhDUiKvvkWSsG/aouwzU0W wuGzc92mkjWEJUkwp3CvFfsyf+NRLZLNLvddQUwhrWZI+HvEthjpHsG86f4/vWn5VACGuo1iVC/8 r3G94AW9ZjGlkJuiHeIa0D81XnbO7w1xmHO5BK7BE84gPf2vkHFk7oAeFTZlbCafEejxh2jbEUO5 gF7Eo9XSMk/F+xWdKIIVT1YeZPpNI7mF+EME5xyGNNkG0mNoZmxAFya9cbonOSdnKyoNCid4eRL7 zR0vTBFo2b3mmwIVc8Wk7QpT5AxTGBbvUD3jU5NG88CAC+KJyJPjBPbqk/1dJb6ssCWVG3T4NVCg v8/T1aHFNzacFwe73IkYwDXOr4fdYDz6png2x+zGNU1lWkrXtLVV5/xjjVMXIjBK1DL5f8ukr4Nq LM+Eal9ONYHH9ySC8X2ZA+R6El7zwOr9zDuA1S/L6xzZU/OVowtujqW9MZ5q9MQf9mK0DY3VttE7 Ors6fi0WzfHdkf7TxiBfIBGitS3ws3YneCBVjAE9xIsi31WkkSCLIg67C8KqSznNhDgrQljr10qY UpfhPfckQ4e0sBuKMuXvG8lfcu9uUkhbYt+RxrYBFHzYqSDwATCpJiRnEVRBhOwz3Y1sKhxT3L5v mLb5slh5/CdxI+VxBBOesn65ulVN6fvnHtOe7erjmEc+zYiBBYvhipg2YqwSJ9XJcevnJUBA2/Wl caFCjBJkc/zuNfT2nHXtzam+GbxPyDtW8kap67ENaPIyyKkVYx2f5rgriBjzKRoQuLG6Onka18DM uREz48OrxrNfRvooDyqfmaKZzdS3+t7VmTv8jTZ4XCs/4mmzwA7c1R1osPRB/RylG6DJCeYU44fQ dAABbIKI7fu1X2jHHRQOz5wy7N2Yd0EmOZPZaKLtmIGaPTLTcXCZZR1QGbxC/6arNEx4HyVv5L/X 5cqEzXeAe51bO9QPC9jjlm9NRD/IdBldskoinnwWBUM7+ts0NebAr9GJH6umUA/OVLSxyo5Sa0Gd bESofDbOxhycEKEZejvhHLPJHBh7ndx4I5AZyMt/gEFRcXW49+AjZUg4r+b4/01FIJhVAjgKnzp/ Dua63tNQ7A/5DU87XYKqB/Z4PmCB1B+DZbJvihm40cNKZ8pihqr/V48rGOQS64cAUCNIlY+dLSIZ Uw7wgC74BkSCr+zGwq8DTwefqQiMtLtsEtvNbJN9xcouj34V/C9A3zUdpa/90+RUWRdzw047XMni TYMjXXo+gLBKobvJmGo8ydJFxnt6ayR4/DCE+16+SINQn1YG77QVg7txHn2Yyxj9a0O29r+/iUXa r5q8SHmAjHSL8Rn50LxzaC/ReBdSOaDOIgw4N09/cDpb7z2xsp7hq42OOIoJs+C54SgPh6cUFz+6 RVQSwLj0twaITwn7sQFzPwlspfvud5HYKTUuxv5HsfrA0/yE2PTLv1irV5uMuzzwViriBsiPQt0j HKzVxvDwDvdXJghrkY2SHa3xmCJKpO/rkdPkNxKmiMGqtEIuEGHxqdcpHZYEgphE0SY4W6wnUpY/ GyAEkKR3kuu1RtA2MOwNsSWHDDwjEuKBiO2bXud/x3XcscDn1bglzxJL+GWtiPHtKw+YB/a4gpvS 0AsCVhJ1l19awaHjjlh/to2F5Ajr/cAC3YOFXM0qrVu6H3mPKf4rpUDqbh1PeRjPzibbyOtv9EPm fyixzCtDS4l99ITQQ9NHQvsQs8f+evqz47+n5Hp0BZcORhJ/ZhoeoAnfNndWXUHpHjb1rDRFPTn6 SI84/e1m9K93dR1BB4LychzZZ81bsP2WFVfFt1cD3GhF90b9xZiOaUVyBw5iAjg+tigUfw139siZ JRjQzLh7g3AVwS00ZTvH98VYcLiV6se+owWS79xnL/68zem0dk3rbvPZujtoPMZKkmbqUZoNRbPT 05jv8mPq4rW/0QwyUQf9TfLdhi576aVs2RxIzs9nnyEGTQRaNHS4Cv2otlW1CRl9yx4tA1qRRyXw IvugUDuxhMi2C90HDf6ERGiL1wxBe+0u9LKageGxMS8pQXYbdypbVNDyT86UrlbXZ51e8wPKPy/Q +7qMIOCKrZhHq8sOyNZOpsShRNItFbypmUecG6P5m4dE4di6Fq3okrcE/aw/q8D5U6oM75EMdt6v dlJ02P64MdpMZHV07JEkVUbxFpzY9v8llmVH/1JyKFH1EsVwvkvU7vCtSRQSH/K7Vq6dZONamdvX BpXUtbGmSbh0Q5zlqjrJWzcV9Rq/JKTtvUhsDxBSS2sF/9e17avhvuvZr8T7LGoeYOdTFQqPuiAw YPQlBfYLgHIvOZcAWXx7q23vZZCJgGIry4mWFavugvylLXzVOYdAiXFPrw9lwvInOnxdzBqw0RdM OU4m2G+X2hrL0aC0/YkyUtSOI+oxf0WOX/X03bdV8hgYQ/PnOT0ubH04bsZ9ZuGo2EKk/B/E7v99 kWIQtUJ5TLAuRYE/kVgiv37XKkWJ2mqNLMAmYPFp6XSeZENfKIG2cEAPwu+qwYFTGo8h/FhafPe6 ERgncUp0hhKnRvPT0C5Ky77H5ieikI4p0Xgaul/qSz8tVfgdR8lEs6kLIvWjooGcaoGKfJlNdIhb Wuoj3ydHqIvTd23pwMnd8F6f4Zz2olDH70ZoREZjfj0JoCJ5jtvIXgkU3C5TDOYrLOsAZTpwy778 +tcWBEHm5zK0l9SYWhxldAPQuXb8NZwdy+ZPkdow60wSGJlvdRbeD6aaa/ffZ4tn7VthkXJSReHF wbFNmrdiiNOpEp8LJWuevsNCc8na2ccdIYMK/EGtDSn6CwTIVF2rX2hiRBnlN6BOM3XXyy6IQkL1 f96BJYgRCExsYhzc3aoPcBYDTrvy3K9Bgjw+gx9wunLqvxXCcXPLTPdn4Eka663PQstIdHQac1L1 eWg5bZPeYMbQ0oLU956LRS3VeT4/GdNRy1S7T4A5w9qD8nCSOEKCm5vUEAAG8D7i9tqFzhaeoUKz Q8I2G3woNUYXSdpi67IUhiHIkbfMkoz67UXtZGEDAtgM0ohbKBB3O0xepUCinu4jSRXmF12+8haO JdtTxn4IuqG5q5BzAnL1My+IsynE3P5Y/yd6/OeCf3z4s8qQyif0DumUGuLnyD6jCAaifZ9maLyn eswn4FlQlpVIekPBBJEkB/pRCctBwIJuWqvUzl8Ms/SW/592R5TCYUo653aFuGVT7IcBP+qeZs6G k8p/wxAlqaFSvMVSoHafxbGVc6wNDzZGo8LcL92rWchjWRpcFHXc5T6O+lRStuq9av97ZbI4FOJn E4Rc3sB5VISZUpoGGet6i2SgH28OzIlRJLxTPpkyM2b9yjAxstpimL0pqD/EJlrIpZe6cbHCjHQ9 hiJXYKT4/Z591bXHZAl/5wu/rqIOc0IhbyM4SDQ63/wSkBFGF4AGme4P9OtbhlSF3Pj+hIXtwPTq CdonLjsQfFtLGsEjLr2vjKyvvi5/bb+IJnuyXviTJONbvFuQzmusAnz29++1drA9TcxNVaJdcJiT U9SvJ/AxHpP0S7bdwb5a4SmZC8+jo07SPnGHgUyCU6Uz6CLJVmll4oQmxoEqRODW3T+6Ai9wm/4Q 38jSPXRMYkYh76VeKdUpk2k5wPGrGQ7o+8Gq4NNh4/EttZjg/Hdasr2vFPwYQQDKssYESWhgB7fq 828+iw5ZUB7NHtXz3W+S3WK1ZHSjv62ufarIDQaJJ1IPXptmckunoNZR6UZEGR/eU9psHfx51S5V CqRE/n5wDP6wOEN1l4z3hzQV8jmVoG0CAk2ofZVefsDmi6gxdJ7L1AGE67waIgqUX9jqr3aLaH9J vQmtcgKXE5GTPNCjSaPpszAToSEDeMKBidLyXQPWoU3DONkDbD5yM5VVW+VLHRVN/IDbvhW6A/j/ KMRHz2ZJ5GTdI+Kd9YRJQSZ5b8pknWksHaJmFOPgWNLNuMEZ8Tpzmvmtqt2U+9EtU/GL2tMNpXe6 2pV97ZkC5KGT793s4qJNPmEVVoLoO1HETXkvUnDyaxAe9OVah7TU2QS3ZPBWi40pPsIgmfRD4zW6 uY5Ae7JeacLCiSvkGazFpHc5abdDHPtA/YzSyR2Z5vJvgn/dpdgRCFPAisq1GIIJV0c4WKnEpdns H8BlSXowQpf+P1KOkQARS+hyIV2PqHcsdVu4a2lrSfcmhzcpDm8FmdUOUlQNYICy3JBse4CyO7tE KBNXnPeStoJBO+tyWWUMhfMYW2ycIyzJ0HUgvUqwNG4DuWNFCuvjFVSNdnv9sw9Sq5mXTTkOgtUC egT9nbaOuZT21oFDHUqAG9G6Qu5VE8zXB4MRHsC6nZHvl8F2XOb8lPAHGPDkWhlZQX4eqSjtuXHz Zk0Scs7OUVcgkLqAsy4/z5cEeHppgS44ZMour+B0GZWlDNDvJs2oup4vIZNabe/563o6ge7iynGg xG3XawuULSzDQa/9fTX7MfEt6Tni9eR6SSiX5IoqLW/8JsOAWvySO87vxxQnbhntysG7S/9ZsUCv 6CSBTHS2Coc6tQmTzdTFww62XZLbJUNtgjBU36LqSXS/FVsO7WMI/i1U6ZlJ11B9JZAW7QXYa6ET U/tLEKVNdp320EitDIaPxH4xh54KeSjmh4ziGQM9aMO4OtYNwi37rsUtYR5RNig44bJcjPpZpPat y/wuzJRCNu80wCxng29Ru+obQeDy8wf8uZoyaXhGcMr6Q0Qff+2Cls/khzg9pYFYxFBTvaUOwmBC 6uF1ISmJoK6oDGMjUQ7Maw3P63v7qW0hYkVR13tZ2uUOMSwTrGdJa+bNMcc8pfLfFbqN08PuT+RP Fn7JvFEdaSlPuHmgu/N+yS7JW23XXX2XG8h7fsW9dAc1ovQChoj0u726Gz4KuFqE/o677qTYWlEZ 2x9jIf8IW2kQ4fB8E5mW0ZDQyS2IC+p0dSTNTGTmlCDHaYrNK19X6by92WRRmKJhtxJKU1lZnRoQ Zd/ggi/vadqpAoHHOFZwonKRig1GxksLo5TvGsCX4lX+kMjk1E8OhIlm1cu43feWmKYqDsxIBowt cbSAaT2ioUwgSUG79DKQVuHXgaBPRbyH0cvPvooyJRxaoHeq5f/bqz5PadMeAFVMPxGlsbSsE22Z zj8DMzonS3eL+Qk+sot241qEkm80KzHur2qGP2icB4OS9/o5AKk9VPj0bmAN9TGAG7tUMXtn221u SEPlx6Qw5kHrY7kk0/AhcgvF0Myj+K3TM4JgTJiQC3V3uEdDvCHiZRgIshfDciGBqHpy1AolT1UB 2knrydxWZvpfy443WVYA1J8nZe25sYxnyY98yLfgBkr82gJu4R2cdcxIQ1d8GSHpEMIW0UyTozOm ekGY1+we6GoezCHig9GyUqkAwaMuvwmCYaQwI/HEidubPfsAy/m4VM/PbR0j/BXk6mLs+hiX72Rs sX8GfJPq/hQsNFw+6L+WNgjGurF2BVBBsC7TRNo+c4KfGjrJVaJn+2eDgmUCOY2ar+G6Xqlw8BFt sVVC0o/AXRmXKa3p9WPffdudF3j8UkC8Tclt3edRXtR/HcIvdvBpVw/vpNaSoCVfZXGxVI5KBlJc XIbWd7WXbBtSa77hoJTtZ6glbvAk9c8qg2OPUr19+q+ihX26gP7o+hUcNMolaXcD3j0t59kPVJIY it2JlhXhXxbWcZrbrWbuGI/cft0j7f/9FN5XivpD9QWzizZU1EnakzbMdWobCu3x2Uj5aXNwtXT8 oKXbpptXAo1n7ql1VyLCezFN0wnzi8fF2zxqOkDHayVcOexs1LTchjtNXUfMs+4TCw1iKyuhWNip 8yuoNlJlUasHomkmZUFRekywawZM1Ogvy9EKZBiIHqci7E9dV9efifF0mVTeVVz9Kvyv1rlJce61 huQhToOJlHHxBOLabaxGWK6ILCTJy8qw+MZZG3Q82/KIXYzjXUEcxxRd4jNw6JH1cHobZTRxA9tA QSbKfz/ByeAR9qf3aWzI0ePV7khBBJwJJ86g0w8yD0MOVYEJMxagsxNeft+tphrfRMYGto/UdK5K UuZH2QZyaYUDS+wG5s9tn8xh6ezBlw6PecdN1uXaEW8ltZ6iCqKp5GWjPwRK7wDejoGb9P47HdFa Nj7fiWAEo7rDDyj5ydnXVxFlRw3P/gTnxzgJpnB8xzxJ7jo+LhOsU9O/RoAfuz37eKSKPo+t4dF4 xRaU5U+PBU07hLaRa2OW97njSn/Nzrmv8uAZD5mN1eeR+gzpi/0bdhvhpuk9ANViDCVr6YwiQeQg yp+p2n2JrZz7oYPA4r2PFc66cWcr29b19t2bBTGpuDySJ2Gadjg4NtIixzYDV/GLkZENIpUuRt3/ NeyJIU1YSxIB8HBaLR7gT4o1T4tpe1vAeDhpmbOsYBuBLJ39fEhFHoZW+pxj+aGBV9dCE5DFXCRS U6smIz2PysnUGxZWqLsZAqM0dojoRWX7SFaEdfVeU03rNAVcDxDRdYXxEc926U3IwWX9CE8a4Jmk lrlI9Av9OJ+EyJm2t3glbKW4LejkUds1zPDFjsIJXk2B+JOcekrjuDoHiQpwd3hf+48tAu6gHeRR 6FkJFdjgvu+z2owEgG+rPjj9csON1/oE1yhBt5QbGH/dgwIU4j5ZqDTzKzNwmgeFAV3BSm4ECMsy szzn19hymII6ljL/zVkFzUVz5l4nYXNhWVP8nYyBKGZa6q8sQkpH/TwNwNu72s04H7oBjXvbBZfx TwGtx17cZCprT3BFOg555U9clgTgv0rJgr0AK3yon9Mv3I3r+meSxGZbBBdv4HixgzSTqNMLOlVL VDPHkKKLHqzqtoBKXNn4N5PyT7hhhV0pqeiWo7xcexEnLoyiGwszo0H7JfYo9LOwUKx+b3q41a79 TucJKKI3DNApnV87EhRTLB5TmatvaQRphHUIl+NHBOKQ0Yoe/Hxo8fH6K0wnQA3upzZTMMIbtn9N fYqyVmQzpHLDHUptc9xp2T+LRqowQSAb1/4IAv2bEo31BAl/f9hFo4kwXE5atIuQqs85tnKabBNd MMFk5pHNtDC7nXzKfIYtfuxSYxIa4nR4v4Y4Z7kF+rmImxVxXRUoo7O8fNAVmECXz+AdHT3dqi50 Yt/S1jReYd9JfElj9hKC+0WybqCzz4BO3YeUZyCe4gZFH7H57OUg9cYFXrqvEkZPzQ0oWWfCxvKk LqKODLjZmFjKjhhOJN4si59qIw0DifOPVoNVE+7ckIje6/OW1l71+0EdPqzuxjeomKmZHcWfeNcr yBc/yX3YcS1ArmxN7xJHBB00sB/eB0GoW2gjMuPijCsGMy01R5PPpFFxV5PZFKD4uOg0P5g6RHUC zQWnVJY04RjRbaT5B0ObBvPccyVGyHw+8J0oUwy4MqFPfuf1+JfnrLj3UKM4OIv8GAsOgwn1mcGA O2smy22YbTUnqbYpkstp7Wq58mqvVe+Gg5ZX+G6xv6YyBlRFekJggzkQ7mGWk5j8fLiDc+d1opwb UCPmiy64XCSNstvYzZaeRnoX9g24rMVE8wbNJgrkAOHlPKTS9L6L016UmKg0k6x7aQGJaR8CXS1L MQjbdy7Mlhnsw8h2vq81D1Stj/U72vi/p1Cdr/COT+i6TTWfGMUZbAaupbdF2Cbx3h+AmOPT51Y6 WHqQNGIdbbBOhX5rHZI2OiMb5aihR77KQlzr672oSA1ckY6tWkL9mGL9l/LKa7j5AQUteNbNADHC ciOs09zNdPB1gtr8NDvTT+7/sxpRKFTIMmR2DZNpwffSq6Z75OJqiBZsOzzn4Hxe0gGry1kGgtrO OQD+FGzfU7VGi65j7OmKFmMtYwe9ZZBrwHVesJClkr00lusgmP0xiFIrepvK+ygSETSVCU1JiWCa 6S2RPlqsctnwsWH5z1bhxN5/BA3H76z4N2ynlNJXL2usI++PZaiFQOu1CrBOGXlWnAxo1kttGL7l ayePPVeVgUFBfFSSTZSgUn284C1illL2kiqcKcibXgfuLmTQPUvJcwpSbQxlbB7Gw+0aY1OQfDJs HTbV4LZ/Hf0WBsYlJmOV9/mBWNQNk161WoyJVp/V5Q7VqrSdy8TPvA1qo1v4G9YYP2jUVsUfS0sj sk0IIkW0GdVX4mJqHiyE/rspXzazUqbsPMw/k53B82SSgJf8FoU0lSw9S8svGlwbk2qioL7kxkUM eN9KRRbBjl5zAG++Cw1tEUIy+uiVfIYVYyal/7sBLuNigdq9f9nIrn7Wim5yzPIsRLngbpUkxLU7 GA2J/m4GG2niIRuKdLPfwbW+qCszZQGKHNXMTRzk7wNmsY7UkA9ErHdFqOj3FcUw/ebQ0yNVPbva SEdYou9GGRyzsuprq5FTlT5Jj5hwf+H7YQB8U7MOSeO8Q2Y/oR3+KqDaMZWYmye5PyYOhv+RCylD IOwaNrzXRiPcQsosXwWNkhNQ9PGmGyCWNSZrL+rl7V+DKxMBp3nNFbWeOkVBoWnwMXJEIaQDxKdF Pynd3uh8uQGas44XVARqgoJWc9EYcfxpuxvS1Rs6Nta0I83+0hGDahceezVDD5150Xwax8BNXtbQ vix27ZUmhROKk9S5W1NzMZc0AFmbhPTkHmd5m9wYXSk+Zoh2bgXun8OgihYIKaLSP/LPafLm5mmh qsgbNYnEflC1X+xw0QLA7X7nQrEnmWci1poqBViQLCBOrSTkzv9yQWC2PrNdgjGzzKPBotoioEw/ u5CmE064eORvy2kyhiP1YOLtlIUCD7ahX9Z15RsxaiRkIMfX5gZ7mkrRZidlujGb4cu2+wGFHv8a DyN5jb2qHn67Orb4mqKx038smiCUxF1tHLavZUL2xPRnWYIW8NBTT5q0R3RZD1+xYy+0liKmUu/3 1RIC6njz/23zA6/3ZJz2HNsnGcWNyVlTZZk//OMwUdjbn8lvUVrwxXhmHYu1ePAztdg3PDbOKfsn KolzDG+9Q+VflkuIOB0GlCm6IPr0Dc27JFQpzkeDJk9sGOFEeMSeetpgK4RIhdyO+vZIA5COhXe+ 6s8x2uPAl5CUzDA1SDxScwT2g41J1LgAa6F9jmUENeTdpj/GuroPt0RD7MD1rH1cC7viSXLOykIe xDJ9YYzFE3D5LSOFXFJ7IpwrEQdKnvx4YJq/QjuyjbuB15Yv3KiirppCuI9WzZVhffph1DHix3XJ XBrzwSavxnuEI1+VUhvD2SzkEW5VCc3XDaTbbcSr7KSL3lUECyhVPFSwzQYhYmLWnHtWUnF1N+2J byc1sL4dyc1lOb3Zm5yR4VxOuIOdPzf/+7RLkgWDdZfppQl9zePPsVKIXuiowlyFMuCsgR+fikYl 6NAJhJk65lWjwQL93rfeA9BxQM2uSxWXp52F2Pbb00ImRkJFJmLoRHd08VowbIWwG8iPJtz3+rH1 q0YbLWYyW3SSgHpNUDopC4SddsRQ1IkAU+UGGFiWEYAnyo8nmd34f6JguJ6j9zUkRt4dDvC5KVZ5 ZLVKLzn/chZR6G4bvd6rQIaSfptVtyeFsRJTtzUz5q36cIidHPBmF49n5dUN0Kouqd9OrcviG1M9 eQz5ID3h8HeIe57omuFUyLIYf9DAFF8vMPHJkEe6KOZ2apv9uZjNKiG+gP8c803unD3yKmQCPgiA v2I7iVn4wGLGinrin77ZpmdBQ2ONWXEUS5r2PIx/3Z+Xq4JxyLEbkdRpK8THpvqx22WR3raynpUn MGdjWvqQuPfOzRTccvRVkHaGqY2SuriP8j1fGqAoLYKExnixQJjz4+MYz8XcAGuwa/NOaxHuXIKW yMTHUAyRCEvR1i9/9v0wnVQzF2iI9DZHrcRjNhqpgym1hbSO/XTD5bfgkTB5UY36S5BoGuwwyiBt IP1h7kxw0/1dRLc1uWxD9cQKwoP1gBAp4/pqhyRi6LDfmJAt4vfETsFi4U7glwNP+aT8v3r/eu0l R96aqjz1TBT+oi2Feb80rbckXnnFql6Kjfil/vbQYoc1KTJNS0hM1QENPunUp7Daez2bbuOKHLTE O0l/KmRbaLC6tQJKH2i3YaoP5kYt34cVDZMjt0sv6wTx8NKmslizeTHgR9scMyIIbOt+HqIEVZFM PygFafwRf9AML190DtlKKIranXnl9NTKTwiYcfFh7m34iPMUYLIQpCM+8KLKXAXga07/RGwEBkkm uBQ9zWC+nUAsNn5UOjq4rYhuSpfxwRoULC+EWrza1SSvcWm3Fo6mgXZAGnrwEGmZ90Tv6KXHurGB DrkmkHace7h8wn8h5m/c3KuBr3K6sgSW161qIzgWS9xm2Qt0K37yPUd8PUAp+m4i3zurQ/WCO8U/ e78gOy+AD+G0GgP3NksbfpCs6vMl9Oj6/h2S43uNtD/KejbHtExnEAZ2urwOcj2lY1gAb/IRgqB8 H+k4IbpJzLq8W6CqBd88P/Vo/9C3wDE8M56tpsqpFo5VuJwrQhBYUPt/AG1LNM37cNM0Xlks3jhq dsF6k8VYz8OKPoOsphrBbMi+7l6WYu/UIZzBg3yaTUd89/Dq0B2Nc5gm6ewojDgzoJT1WBEm3gyN W33TV1Gxm+VfAPvadrIv1N/8g1QlN2/JgX1JC9jaBlppLWUrUo1Om767LZei45qTvHSMVbdnXrwr wZJX45TcUIfi4CmFL8cB2E3xCSoO2HDhhG/2wCM8JI+frfLra9CVBWct2E0YRxCLOkn3FODTcuRS MKHLEeuQtJ7kbTeDzYdyaOb2Jzc56DkAX+eL4jqdraQ5qlG/A1ONp0glkfI4zLl1V0Pdd5vJYW3M NJ6awdjUcLuul1gipt44SmZTbDlAVx8Edq8VfVomuNDnxdE6ljRylPV4+NDljxCUUEjEB7hnr8Sm bN+qKhGWISV0RqdBMk/TSmyqcoXODJ1uoRpXX9SlY8H36XI7UNh8wqvU7TY7sqqZC5xv1B07MPEA Kep3FcrSWQTZeeES8ndNhhmHmB+v0uoJHMGY1MSwmFU1sp1ZAO8x9AmOpA2SYHhvFdUzjTXRvCEc xLVwxtoSG7Q+MNMU5X3Z/LQj5EgVAJ89xV+KyMkO1lI9o8p7NkgQvz+IuBNc9bb3Hfu7vcsFR77n /a+UuN74VkN7YCdhjT1XJWtdOY+lsFbvdAbVAqdJe4kLMfRV+gquREbyhqDr1/Zh5leD81ctoHFV fQXmT7AH+oM6xZMZ2aBPg2mJ+VH6oiUKqgdt483g7inHxjFqbn/VwJHFpeUXGeUpuA+1XXzayvOl WN1B/gzSnIDgJ5RC6gpeupFtOL7kiy+wLMVXRTBHksojfvDnRwT9moRzPGzCBotS5IRaWMjvnhTJ wEyPYaZUfxbE1YyKvtuRknR7W9MsX53qlEJybRbTuoQGTqenAW+OJlM/stNwZsJTxaoV/G52HBy2 C+/UyJRLBtEEL2z0fSOY8gLSQxgh2UTQLVa4iEPnrJuFpDpYfe2WMwxfshz7jgV2iM/+Nwk/ua5B QiD/KVBuZj1rRKhUAasoFgWhUgbpuxfhfMGc887CCJT4N/w5Ib/C+txa1ww+9EdHK/YUf0CCzvV9 Gge7432grZwYULyPbHJ8y/KAQKQklrY8BjxzgFI3mMXsrc+gRqsSO1TIBEpXU1dBvINrhoZEtt8a KTmh+1ML+NOQDLivTARDzGjA6xbiIlOSV8mC8p1cjZUCYkYS1+826CSa+HFdW5vobanfXN/EwVPn S7+HtBnjNlNM1JGFIcDBfAd9MSpcjHxByRKifASFWdBmlo10fGEgkoX+khE4zYTyWhPFfWaCZhew RvBpAh2F1PsiaXms9Al2nDEwYeIL+f5x8/iRt/1ofQPEaaC1Sx7DvoFwNgYWAAtn548TVqUHfl3v hpMNoeA6FZuhosiNgCVTi255Pioe7oLpwSs6lSPOvJGbEguZZXRRcs87b5cV21jjnOL/Yp+nfT7w 7eUiC0OPdcaP4kubIjYdCb8kByrWaiJb/9ubDvXoTY/JztnhUU4dnHdwkLbV/KwkkiajdtXKqDPR kjImY+N+7OE8lZeYsfchs6DWVyuEDKI0GAjDDR5sRdPWyu+wMrCW84TgI7/OGhuBY7qZ6/23DKuF +pgHyjjeGWkUl9m23ZOITOFaCoGYm1FZln+UakRY0zMdJ1+LPQ4fajetwTdT8I/CADZyl163/mTs uOITtdQcKWNMUE/qhVghuKyvcjjxk2+eGRipoVXwRmqtZfRgPNU+YLwoWxQwdco/4R8jrzEYE5NW yyC+f5SZbAcepvzsxJAkm+kZYgAHx3F267U/h2uKsirdnO7JIwahzdyF0OvNn9c58+xvhmgJos1i 1jmkPWxMjBYCnMb5Dkm3GqGgGsLZhEdWi8/bP3Q5VwXJrRTJwzAmg99LW6wd5IyciiXnuwewxIrh Npt81x2jqZexRb0jMVBvvNB0x4sPrNieQYEHbYBBS0xqQs4LG5gGobPUSD6SSsCQ5ofGbkxze0Ue V0/ALVzE11OSBdSMqQtsEzr8qQBbHRluEtbGG5RE4Y/H5rzTOloyPP3INBKJHmFFBuX4W3OMIE9M OcFkCmWtm52mK/h44vIaHNinLG4Eas6W0MaaxV8ShUMxu4+I4as4UvbXs8qOl/KFHdD+Nc9tbkbD Vp9jU05CuVCakiZUZYSxNf5EYOH0V5CP5f6PhN3686P8UmeoBJKDvpN+uZijDC7xavJ9hBx1Ud07 TgtiFf8ZRRvDlfBVn6pAuyLXEEBCQwjS3gQ6W+YSRPiyEKbusW1neMtpOwkweFRZmPP3audyOnMH j7ILLwN35n3EEC0/Fs7I5y6gDN46hBLNCVBpCQzzg+jzAA7MwoUdXdqYwvrnyo79hU+pHGgUkYFZ S76v6KUgqbtBWiU9pOmHWYUSPnXYaHYY0eURZNm27axTdo9vQzJ5r9A1sryRW6PJ/HkGwGAIsqet PdG9xGKu0kbx0wL/RbV9btbmrwdcrl2xusmit73nqRVOZ56M5fp9vkX/WVSvX5oWenwzGHkgMX+j cXrjkaVoBCKAFmA+oeKOZwbGSpMJaDxxsIn3/6Ra+VHwAhZ/ZY5cjuLwVzki1MP7Sp32xVNqzI6q RzRpgJRGn1Niq1PXMh6z4K/iFeotDzFJ325s61dUjXu+BeWChYRlwgzc6RdVjouKBMYY1Z7Ut/VA 545nw6m24H5FNy7ZbBtctnSzvASnrRNhuATQhRsor/pfYkZzlwsCvqBWvAG+DqbDdP3PzjXwG2YF qAA+L3IrHearOskrNwA5fEYKSYxTPPML1AICbeAet9PaJRvwnO+w9WNeqlQcFMdII/kXgJ6hNAsp TvsiGXX/WeBGtLrreR055RdAWpAr49r1xSLrH/xzwT9vQ69SFbsv8dq92NoEDJBdXq4Mb1P+DUzi TQmLjrOcNsAr9STcEXs0x15Vd4a/zjpQXypTQcUlLowyYFMFKOKstxsFhAkROkhqXEmWlNoPm6BY Hn2Zrrwf/V+HC65kqsJvyZ+bEJU358ft9TMMBGW+3iSRtrGIbUQWKbTcbhM0CNa+920fDPQMB5sY C9dUL3cq6fHP8zaIYyVMMF/95TxUPGMx2FAOZL7BMCH2Xvxw027Ntl3Fmz99oHCgwwagUW38M2pB G4rZwl51QcbNFeUTtixEIqcHWKfw7R3Q9lGbBjPL0AxzC16buPRdPYW4tw0DBC8YnPXRRLN721HD AYiwkpfhU/gOfA4zmIOU3Xw3bDBiUXazbeTZQdTPhTPclnl4wifzQQR6gSDm2pZ1VzMU1Pa6LA1Q jy8r9AY/Ld0M9+TZTXfPxDqFDfJ50VAj3m+B0nlBOKtZs4kIIDTfUf2DkLcCx3NkzFYT238iJQLL FktV0dg/EdXGY/utU69KLQTIyQ2Al4cJD1LtQQ7kDZJ8PCH5//JzQnjlpNIglzY4A2At45MyicU5 gVzR0o5upsJLB24Is7t9Jd+IpD9qzVFqaJYBnY25BJSDE8kUILf5f0eIfcsuo6UdUSX/gD1YXdmu KLPJgK2+AJfgk3dUyGZwFL02VgcEAa6FSI7AHR3TVPzovuA3XJtk9WoOHgN1EShgR5PXFyiTCRe6 OdE6ueYvUdTYGDokCIcBJsIFgQr+5j+3RCeYHf87nsc5uTPlrTwaBnMSTtSdxonSetgF7415GQME FJvX86kHHZ4Rp9KUAMrSmA2gdD2jEc2MREpbJtDeRTeOHrXx/bm9SQ4ZLk/4qXNm1wi1chabHo2J O8WeEHq3H53yq7T5T9XPf0ZIBbZ3Pjy8hZAABsNVmPHbuHe2/1jbI1FSbEBhO34IiPrhgE07LgoE jjDF2jCUTF0ziFZlGOjGiKixGG99pcPlUZ5EZw/U5cR1kirlFtyq1JGJ0VvEsZZOhAzsxbJ6P4/e uhfSbb2gcI2Oed2NYXzj2BcuNqZat4ZD5fNyQzDdc9cEax8r2AgVNuO8wLl3UAGJGwjzUAJ/aawD MiVAkYafXZBI9Eawwl9VGAxgQ2oxsmiLgEWaGnb+R805XMVTFxDbQdC24V4JZSGw+tdHXUwiKR+J ALaMx1PsyXx7nGNLxoaYvEo+S9fBXehv0/qyoiJhPOlN0LRkoC/qM5DUsKvOxwLbCeD/ykBJGwDQ tfBe14AQQjFrDlGG3LlUBBEyajVdvS0Kh19xK/JSOdG2Lsf4lcPo1jotJgiaCsNJ49IFf8wK1XoB OCbyP8pG6uKNwUYk2jadyQolBlnqtLewEu3X0VjPZfmSbyP2zUozEMnx21pU3ahHcW/H2V0p/xUg gXVouTqOJBqV4QmKVqdpais8exsErR4qoN3XhAfoJC3znQ01cNKQZ8qQJbTpLgVIKh14UyLoczDT LFtWinPqgBNfP+3V5DvWX0bOngAzb49ayCBrUayz/T7ghbwJSjVDAWA7YHccZPML2FWSQusH5KrM GJglUZJH7vx0L4/gQUVZMSckx+e7VfD63LWB+l3kaSlrAFzs4oLLPa3X5jaDjd9rPBC8jCPTfpsF 70Ri86iRPpUzPmuUTCeVyDWkBH4QG+DHTruxFOyNR504pc+uEvy2TrakSwJU5kAYHPCxd/HZr6f/ n2SM3TBjvomhJZy8HsmNure+lmueFvzeEdQmaVqCqZ8dWjXXQ6cZaMujweL0Tmztxdl6hD5eeX2v cLDNMGEn0w/RhhPf40Q7GJJz2Hdv6kd15/bCetGMJsOGqUkAkBQdMvw4WFB3tzGYGOQ9LNZ9gupx wI1VBxXdnGf3xntO4jidS2TyBtXJvYqAud/0n3SW9knvF0/M89EfU57+tMcM/aAfDYgK3CwNzikj +7eq0JM63lQOFEmZDoUDvKGyIuDUnrcWaW+jY/r3Jz6txk+aVtAlRuS5KOUdlgq9nomDgliugWwi Zrh+K8tpUMnfFC77H/cRJKJ3PIc4dgAaf7vW88VqzThsVFR13NC3GUAI68jGBejRMIznc08GO0YR bkomFJLPUONkjpMcCCCInN9wXUzmhyqq2MvOk/VTfmvoZpMM4d7Wd1OMw7VJjqICx7F0ivrlSZQh dTxRFSfvwdEL6AHEZOHXEBYbOuoCYr7pi+UQFUZiw0cTaXrg23OzvCXt2EDfy7krjXUT8d9km4MU opyNDNk1pii6/ZqMtHqXkVAjDlmaJf9555DOpiaSN/HjSPUypfUbSRz4SYyK7OcXOjmqH7Uz4VHJ 6J0Lu66UoJIOH/8VTnU/Cuao9WBuDBKj0vUjc2rEggKGn+qo3P06bbhAFffvJIEiJGWm9T6bplOx 8B0DC9ZuoQsKGLiMcE7crRp4g3JJFi4Ayu//3330/cg6vsB7f6pNa+Is7f9fWsVDSlDFSDWnyOY1 YTmQ5cBpZNIrwYlWVPn81SXy5rW4vT0voC+mbQH6T3OSqFKqugZZlHrKMUdNtS3CgSDhx7zpvqTP BiWzEg5su3BvCeyGaTnsnBrV1KlxNoTakYm7/9z1dZUKxz038i8Iit5bghvnlCM3KlZjDGzLW0Vv C6ssmEVa+UXBv26zq7F7D0sqD/XhkfJmRr5HaAh8LfUcbaoHo30DAVIkkTq22/JUeaW4wkxOaNjL XMkSjC1wqg0zukLl6TC6mSvkLf/tmKG+5rLkOKuRO3hPTxnaqElDbQKGXH4uzF+fkiVyCd04b0p6 8cuj0bD0FDwvusfFfWkC0zr/BeJvy83zut4Zp2KOVPcgKIe6nokFIbrr2VFQPSMADom8t9XX0EDn CFGSeJZW3Cv2pMiK3lfIHcuLCGkw7WghW+ASxQ0W0uzhkiabQBQhzO4CE9EJ6U9dC8StALASeHlR G1GDd8sbkpNZxpyi1CNdrDvyPJqwpCiQgdYSd4hPE06oO+lEl22O0EaIqo6AG/rJBKhr+ngR5oyJ VP4vMgTC0xv9oP51cRAv+T8jTGHpJhbVSR98kDtQZmVP89LIFyKpurEn8qBTVBv87E+5DlSt/aC3 Ds2Xj0xi3Uj0QResptNPsWLoeu4iHa7Nn6UUPhd1bTO46ppVP9s5HosI9VK6C3vn9mFCDhbmwS0G 3ds8nIPDnLhAnNZ91lrWA1NnetphCBBejPFl8YVuxPz+unYfKt8GyWLJb+eYfKtupC/su5EbV2Jy ZufZ5Dy7r9cMm+Oo0jwBfPaoIyBfZkmr7PY9QXqoTIaMeai9BYXkjtmPA9ygomgMQ1ssvNcu3/AY hh3P5sPgfV0/UqUXnoxIrEZuAJl4YQPd8G4YNwVCQl46Ll4eRkpc/QDA7gzHdqGKlRJGw4H0Zl2p Jcnop7jcdju8uGIl1Pd4hNJRbeVTA4PAnyrMnAkuaadaTdz9guym6UuYP8I34kW66Vgg5ATVM6Lu W/Bx38GARbL85YFoVhwmwRXb4aBnxw8+cWiTG9sJzgLU89mtK76A5t1P0qOBkKBeNyuU8udXGipR Nr5sBcVn3woodnkEVtrQRN2pWRbg5vLyX7iiSHV1FrGDbAehNpB+gPTY6hYOH5y02R6lV1BTEA9v VgWou8w0AZ2ZWEuN13V8nyg8bdvHs9VpQQeTvMH9BHCHW4HIwaIKZeoJ23k6s1yoecCofQbNlD7r /eWcDr/bMwubx5yJhvWPRoe0QrmBtDgdRHn1u+tyvN5VB+M+rnH7JnnvDRa5XtlkrS9+2HbwCXXC LJptFEoumjqsxTm/iRE02pttrLO1GFtzCHHOwkFLOFkVwOwhXnU+5oIGCKpka+DqmrQDecd1DZEr A2PEQ5r2P46FOXVh1us9GaoLt0JUhN4HpziNubfe5Hg51M3Rl2To7efmgXB2DPuroeVcCcsNhSiU RGRvArGDYFeQTe0x3y6Ve8ZhDNudp0gBtcmu1xJ476vBVlcPpuckcp7QRJIBAC/Aw/C1jryH46C2 XlkdKV0joos7xgnrzB8AkultE2Qk6V0QO86S7k/2oIGWuQ9+j06rNme5thYvRSHjnLDnYGzxxiCh F8K68iz5+Raob4EeAaKJdLmM8+KbOoLwUyukYrrG6RiUtfFLruheOrDoYs3wpRntDZ6ztvF/VGyt 5KWteo6HwbfSKAyDwYWXUhUhGFMEU8pV5YLSlFhfmX4wKFeWGHmmNlXN/2gw80wZC/g/HWFKFMX0 llHmqjtLOPb3chvdY61AlYonPqRG5mJLfDRXkBEtakg5vVPEcSeVZpX10zQfZIP+XAJYMLIwrfL9 6nJpUfj9VHhvr78LGQ64ijCW4dQgbnF5sa1yA50s9OQqv5gKmJi1R9ijsy3exqvcIPPai5izasN0 pCm5xaf2kPUtr0JJgFOu4CW8TOzXyQyE59dNXUyrDM0dt2/nZvtK68kppW1l6PmJH7JKD+jggtgJ RPgjFrEDMgFPEwbbrGLep+GLP0cndYlXScnPAc0CK9roLrTyvXT9uXD5Zv+cIV2Xc8n/Xna99LfY qq5xWfAqyrbYkUYv6TO8FkeItxgMKDDa52E+PM/F52fJwsSMX/rAcdwmNkzkairDdrCgX83jYGCl 3WNk4wZcVLMWAxX5T7XSHOFsjtxZOdTphyB7C1eazWgL+0eq070vXkFHmGLY4uK6B9hspwJkwaw4 uV25pPN5+8WHQ4rlsdi05BDvOu4wSjbECalrs0gXzjwk06FXkOZtRkGloxO8UJwOFGhc8cGHFvTO 4q+nvTmwpBGaMt6eO48kA+HZMQQ2XGFlSeKXxutUShdKzshm5RDyMnI4XPc4BaSylx3cipn1Aw0S uZwtnAc1T5gv0U9EZhR6hMPMSn3px/AfeaPdpTMQZ5qMLmknWTbtm3ZTQ+MnkbgsNT3CkGTilFhA QHP3IjfJBbVkY1xYvAA48cuAMYk/dScNk/EA/awhIrF0ICh5yIvKPW8SwIZbtmCaSvA37fjsLMar a56vmvhL+/PKsrEeZ58trdF8eL0+Po6KMcfay1fcNpbrVkzm/OWyS0hgIgbck8LUbbxO3C1+QeVp 5+LnzbZZD5iNlybla1xfyIc/zhhDiFa+mALUWVqtv2rZ3Xf/OEwebBrYn8e/yauU0jSCL0FJp1tH SkYWxieiIwGknIG4+KidPJ6JV2Thxqi2vQS9sepH9vLqECniLzlT1zaJdOrzpcdMmYcoPFJapVHM /rgSTxyTfdP5DPfJ4WGP/tGL5aaupTjY9sTEDSo9Jl/Xslb0qIOeaZVkCXMthXjPDCESLrEIWXL6 /7V217vJwZiV3C9KxOEPwU24iajf0qHNgQs+cZFwdLA6dleuR1UjFEsDbiHUY3wzbJY9XqRxoB8S 3RcHCz9M8nyXUb0vvM6jlOt1mHQMMst+Iv1FT5O3KsN+ZmNq7CxspoYfsz4FvPV/U5Gz8zsOutce apN0+Wj5jGGaTmQWg6VrVtA741MXOBpinvqUm/rUo+2VlD+5A6LxZcjOw+a6F+WwGNYumG5q11BP pNPobZibtd2HM3AFZ4QyrjHGSGnXPJQwRcudtHxs5G90hCQUlUQkL4anUCGvlX8Ru6e70cnyfVJN 0X9pIx7JFKtMWzO1GA94Y82fPG1E+itmtHCZrMgIqN/4ncex2X6f+puAvSpQNx2/zjMLoNJAZNlN Rk+C/t/aAMlOYQ5xfKkXrFT9gKnnVKApiRKuKuUhNWw/+Vw0egCL+j6ULNm6Eq8Lo/HTSbE2BHOt Okd1yfbenO1b3kvPI6RowCyZ/THakTs8C3oe7OEiTIiiBt5HISvi8/PgdCqogYVdntG7OznvgQmT tMuif8Q1jZj6oCk6aa17BNVm+p+sAMQU/jBhsSGwA9EwqR0mVbkEwy/zsBMekEdMXCLhTDrOysdh B4gBtnqqlH3TthAXojNvwZw1XYjw51oHuPJpVoA5znBFBfG7fZdvlQITzswKyp/sahj62BIofY1J Gm9GTPkwGJaN0KKAnVJsHuDGYxypmhdQSswQSJXlBzE7b9tJlR7o44W5lcSxQv8RmD9tS7C64Ie2 TuJtOzL9WHy5+AbfRFm6e0d0WkpuA2Z6EKCaKx/qBlXkAjtr4kgDbX8EsK7OUtY5dz+WTVn+SHRc LFPBz3QuOsPIboqznJtHE4eV/rlM1yTJ+4rtX7f+EgSeLRZ2mnrlcmT7rAoVlMGR60nVWytkGP8x 3NnqX+H3nMs5dxMGtyXy08CKhY6QtGNgRsGoQWeK9AUGXsIWQ1ypHsNCJH7JfjqB6ZWfUii2VPGv xVSrM4hZMeqZ10acYxG4ECUBQCcBfhd2BsB7ygYCOe8d9k4mmuJAUN3a9yWxQe8Fy9zDcKuU97sW lCPF2yfcnhi9FZHl3SxyCLhOpl+HqmffITmVZATK1A3CwT48Ly6B44hP36IkZ1BV/+2uQYLqn8U6 XYkQzzkIoTDC57R7QXKxstKITsmM3FO049H3pzAz9DbgX7e2os9xT89R/O7p+yNeYuJvHxS1mCqC MEV7BcoyaWlAVd4+X7PGFaWcxF4Ne79mEubysQDUh1QLf494bFyWATwuYyNTLWhVeXAMqq4qSfA5 nJPDM2qho2+lmf+Nyz4ce4UrChEcYUQI37fNtlNaSgsZyAvbuUeOJCYT2WCFaVF8fxRLCZNHvsi0 M1mkPsJ6aD2NAUvQqFMZzL/WauQKdhXymEOGYYkKan8Ls0iauf7Tvu37Uc82MSVOp8csZfYCpTNc 5aU1Gg/mW4ZAPkz8+jPpzeTQpoGU/MY8Pa2ce49l7Gbf0x+wkwbJzFtd6O+xwRH0s7GzXq0Kb+Ee wWr4uU+diSHp9CzTXmfTEOAY9U/HtZd1GrKxfSC5gHA1xYWSyUTu0HQ6fMdtITRuybMrMeHrb7Xx KMo6GqznLJx0MfLrW8n1G32mv+NktVzy/UrzcXgSsv3LfoSedlPWoCyQYwXp7ikmaEV0FwfL6Yi+ 2izUpyVLCIr9+JuBnMKtSiclcskV7htGE8rB234EWFHLo9RrsUiwoaSBlcAKAYTSbAHxkCjZICtl yemZGfzLFUkEq6zhTt6Yz9qcMP3/eRTZ1Bc5aORGUafTOTRmn2xD5hDZZfVq17xYlmnqhY95xKlU 6roLiQJR9KAfrsqzVGUJfmtm5EiyOq4QnlsbOd/BGocEgTyIOu/rbAgbKFjUGKP9y5lo6r9RTINK QF6bRoEskyDCjREJ90vI7XkSxml3UHLRxIIvc+mky4jH2CVcavS8zPhIvz+ubHKr2N94hxOGJHCT 0O0DL+DzUEO/R9CwdAPnL5P2r9f9+raL+2KadPy8YbHwwbQe+Z9uC4eJrXBoeu9XlBIR76ZFu1jG bZGd9SmaqUuNuI+7xMFO7JuB19h7QYwdElA2+u3FhUTvhvVGte0kfSt+4vTSpR5LU+WtE9TZu0Ci CvTja6GkhUQMqn4A2AR4H8UBGMO/d3LCZPt3JmTPk2FSwgM9//94Vz8XzGJrpIWao+e7nGGrsP9x y1qcBXEOoHQgOIV4TAiug0qkYKEj81330oOP1faMiz0M1GtHvhcD0KIBopM10IRjesEc30u6SoZr wWT/EfKLCGBGq8TfkxUFhNrHUYEe4PB30qhfnevADtQk0iKVRBWHS6aGtcnr47B3cqP/dkCqEEbn lM94y/u5rWL7e9LdC8cTVeDtIacUxwG/y2NbweMFPanzujdWIE/XBtE71LkKpX//M6j2YYBEsVC4 yURgxbtzzWpXUB7g9i1fdaVsIHR2TcEZSO0ib3m1l4g5/dhQqe8JVj2aqvvAh5PFQ9K3BYgoYQpE zP2rcgULjzZ2TaZxXkOIkiqxRM9XOn7FpBkh/L4zkiF2o3CGIyWSqr/PH+VRtu/8crMhJhxasHyV uMlOS2uwRdXm8pWhKJPGR7/2EVNqWikWuMHyRuJWNXyrnhanRvUG03086C7mrPlxp52CbY8II09p V9arofUIBBSbUUsLXL8yIQfXCgUxYm06a+m9bLVNYBnU3Hvk6o98pfkykSc/bsMmHd0jpZTN++lc jhn6kMXpFHgoAQf761fYMlH5ivGDrjbsyjElyLn2e8LMbgSCXG4uM0zCgMjEV/EnM76jqe5P27mJ 9i8rYENxBrizmkRo4NaQBdtgjmfSLI3p1ic0Yju52GZ0VVDMi9N5BKfjY5kOGFdazT7Bt7Wqy1n/ s8dQKxdQ2dkjInDYtomsQzlY7NLQlLe8YGbnZlJjYo6+9BpQ2WlnQC+nZT80miICVl+FUMYhE8GM 8p57NoO+6204ce8dKVU6drhMV5HHqtsa/dtsEFx8u+3g7djnv5h3NGM70RYCWRK2EjqpxRjOTxSK BCa17sYhCpI8oOM/hR5t+YUWFb4S9Yih/s5Om59R7hm6gvrEQknzrSVSP5dBrwUoS1ou/Mc3iqve V1yVKK+mWaDaqX4jJQpAkBWa8cR7cgmTVzg7jChRc6Nmr734rTaCF51TUh0jDK6D83cYPkWOI/e8 b+dfTTSK/Zu6m1osgTRLQohFDzaBwbiN5medh1Z+1aGxDv1MA6RGrDvAkJKHM2nmI3eDf9uWcvUf aYcAdmxEfNsJnUy+jB4D/inxQZ/IxtL+P4C5F8G198ZJd/YhW38JyDEkwww+CACoICtl0T4Gih1H MhcX8GpwmPJ3ypPeJxdMzDztGcTvwC8qkrwvzZGKLbp90l970PMrRvftImhNA8AKrSrRdg3X0jPk Gljh0OyZizE+CDC4xbBYd90wSkfjIXeDRMvGzX59pPKGkW9+0VXeibqPHmdRyg4v5Vthk0bFoSyM S3fhc25IQY0sjijYbJ4pHuQ3RW7RbHxVn+ALkd/qBPRRT9XsW0XEB+atPSiii5YcbfwZtn9K0V61 BlGQggf/E1NRgFn5+RCC7H/YZ7mRu3ApaGK0I7PwwDaqHCQWdyJQXg+YazW2+192SCOtKv5uRHlc MMtMpbF/68ABNukg7zOk4Fr72EA6kYxQmd87MfnmoBioGUEJrAoK3MtWmQy4P3ruNdsQ6A3ORvXm g0gr4YDoWPUERols6RcKnGCQXUkMj5Khalv86FyN0N+6H/6ehGXpxNDPJYSYPh8owyFJ+dgW1Z55 e608btkZUAS6pjaUN7iWH2UgpR7R3YXr7ZZcbwtf66SpxK4CDR5NBV3zBgYFHQU+L5Wm4FbHBFZH sunLRR7Djsu/rM3sOLdrpptE7m0jzW0BYlcZb1DDwVoFMUkfdv5HsPEgY/lO/UEeFtbDddlF9F1V 9d2nkiBc77LGINwJXeGhr7DiCvKmhljtIiRzO+odSRT0cx82D3BkYDdAIRexxa585utUcOd38D7u NeXLRFiqcPbOxlPIAZldcNoczfqHm3t1g4SPS9lP+u9YhFeQ3X+uoFKNlmq3+6VgLvd8/hOAyNLS EkQu2tVcDzg+rIAhgB8uiBEPGLizRIi9GexcUQ/1cgiSgbZjIsDj3SYOgeuOScbzLAdYfMddhBTp YxlmcWwQ0m0HwDX69HfdtlHFSCpq+iLMfH/ozD6AHwo/QI2eY4PVPLsgWC7Lurd0YpB7YQfmb8Ym lOkLmdivt3rMn3+aOsZG/lUXOxB15oDEgTgtmNGqe/SlSWae6/vbTYqz+Cy0jSqB7YfLTYX8fO/l ks3cgR6vd6PIvM8pR8yypB0moCl/SyDrnbTKFTRHbrSSNWT/1txS7LtZOQCCejBPtRbcZOLjq+DW ntMw2sGlh4Ahcawx8M7eMMgicZbOZimFbmhtTVi3F5zpo+f9Z3lxBckaSpc/zgCnEqt+dH7dOlcQ kpHW8okFYhgWVX1LqUKc8rrmMpKoOlKAtAruwfFYYtmKOjF00ILetHkWmXyTCJRSnGaLhl6rjMwM Y2COpzLvw3g4fWoDOrc4p2mQ0WBD0aPeVYzYkBzBqWxKe8RG5UeHEekZt0+rmqymgHwgtki1AInr 3mCJB055QAFyrCcDvuB2mPdt+UDfZbEnJYoTQ8Kx9S5OQGhSzceaQ2QH6H+d0ylwhY5xPdtR4/XZ ZQUizC2Q56UNOqPzu8FBT1NcYAlPiyda8gCM0mBTDPbbd/6bNaHYwEvTobCviDGDhxTDyhX45a2+ wTGn8j2QVI8xRIzZnt/5D6Qn0LijZyiTNJsEE4JRgOHLTMVbUARANcDxiuJ70j9KJ1jMQbD8xkO6 kX2rarqXVqhJ1Y6pT3tYHXFHC0gX3hWNSTcMvPnHgjS8FctT1qsVte4J2rUdueJ2litdJwOpFOsx ibBPGZbad1P/GkKiC7lK1nzWqgAak30h+dzqOvH82am9R1uC58V7L8VWIPtlb7PzquoueWAmE10u X1iZxVIBt2hmryeGhxVDLxFdCeMXPJJK8jdY+Xc4xB+SCyKZc977dn8OBelUlbtM+qnjhyPRBxYf cv7jplLzZDEDVlUNpZJJT+LVYTzNNiWMi2+Of/XaDbe4Saafgk9dSTbIIeGsv9a+JTqtdSGkHjLH nG6Z3trLV2/8EH+IjX8LqzkPIE3682j2gBqVj6dLM+1uDKLQjaB8uHKuOYZgiFEzTYmqcXcy1+35 qnS2pMX/w5cWxSBTJzao8Vo5G3Y57h9Zf4XeL1JkfrDaO38ZRNxzBhKVdi0LTEB3n+BIHZYJnS2C Y49zCQTYzIQF1OKowQ0HoFQH1209MS7wGPm3A/DFKA2WOa1ABJi+rGMhZJU2h1R2AlFh06e6WSQP VtGc1JzJ/VK3JcEBvMhGIYVIndUOurKP5hSepgviM1yB0wRzVQ5ESJvJufCzPmMFgdJVH1bs9jcC vfNzjMokZh07ifsMzEswpUHUFFAzoOeqhhiv6iFGJMt5ZTANla/BeFB4yNOtfE4/zTeb8qrQEc0E Pii41SfXHjiDxhm0ZhIN6/usO/5jZEhg1r+Y8ZqPHxmHwDvyyJWiRmUVq8H9HhC45PVa9BbVZS6Z Y7rQA7a1ZOC3hMoqXGoO2INmAoRVtyTYlefzdZQjw0uyquStgkP35LLAbnrww3zXC/ZQqf5ytGXq IlRjrIkAH2BSYMrWWfkWcI/7migLrXmGXFrIdoRMVNoE1wdYXd2x2KiQcQCecgzXmTvfroCdWW0b sepy6tJF/oETd7M8QKpT2128lIkOjgLbBbc9vWMDVoqrIN+qKml8A1bD0/hNu3urTPT2OOjnty7Y 6qdRTG0QdRbjsabuhvyGVNzcmoil3Odl/cx4DrHXSilqbAtm4LLBaHo1rIz3JJ+4s3ivuSS/Ceyq bPJ1MGL/OgL8kt8JLScrpe7oGyBxxGbxTMJV3rAr5bNAgsdHTIPZdI/R2zaHtIuGWApaGB4IF9y+ eLrQNXkySem6swyc0i4uR2UNbiyx8s8CqZ8/ewRt6ou6tK8FwtM1HXU8jTQAANPCio/cZZzPnHLC eUykiCY/NtyBly/6AgdIAEM6HyF5MV37JZ/NthSqDB3LfwrVER3EiQRz8ILnnqs+V16s0WuMaFAn tGJkU9TSXnT39Cc7iZa1tNsUqLC5toQTQWnWciWEC6M9ZNpRM8I8o9ilF6e15WfBCpQu8qMkByQk 2Yr0aOgVJGdNCed+u/jKXZxwZ1UOchH2JatwX4jEx7UG7FgiL1lsz6xgzWqKsdgZ7fVLBxfMZgXw mwswA5czyrsnwaY6n4Z1IyNrBwB1nh20bHDGlrVMi6dZfkzHD8QEg817oGEaCQkgX+hCYAH0He/z vZPer+u+dqvDF0ReZ81gSezhEdTSfCa9OKsJSHytujtEPzof2z9OmE6mAj+lfFl5BC6mTcgytgZB HwGBKEMjkuqP0HBYwie/k7beGG595j8WOBKMbjebIJfPU0HeNeNC25n9nDKGzDW7MLI+AqftcvwN Oev53IzIE4cZRHw1WwiQvcW3SZGTxWpO1hoFAsWZg1E5stNepAFe1IocxNTvYRAJ1ocQQzqF9wt+ XhZs1z4tW23APKe16t1N1yPEEaT4/f6/f+0NT53sPUm+u78VHFdp0y5+OP3KJSAPKH5MZzcA5mtK seT+xa0QnHTw8Mw7vbi2QIqCuID323QVAi2gO6r3tkwPhH/wQ915t1SeM2m5ClgNlRr8nlWXPHJg u7rgI/HCFNKPNnSKIXWlHTzRjzaV5Y8LSOAQSgbSyydrNjEkWIJfIwJGQ6HcdGvzaP8YjyvfTUA4 Jrj05ZTR0D0VUjXaRXFb7uZ3ZnbxqQEWcOsGbPC9HRMymcFcZVSDO9o3+e/Yvq5RRa9WqgLCePeQ sunJ8nYQxReUslw52khJwhm4fwJPrF8gPlSwTwWYyF6qW2yUPNpgJZO5VVe2oyV6g1OTD/bSyPWy mFUHnUgHzP4X7iACLZEJ2i2mgxKS4SAgAQgnrhzLLp4uyXYjVyW1tvDN0T2lGXjhPlM7MUwl9yg0 aI/mXv+skXSV2MJFr3xgYbv0JZK9RwaQ72WI/E7r7wNY+P+yRHVjt/xDz0gZdvQDATd+ZOGSYrVv pm70dZcZa+HLDSruHTc/cwe1ZOP9WmsnIxEWLCupqkxRwd6JW2TKLRPMcHgjvMCPbplya61dyVGi LeNSSmPbpqPFyO8HqbYUSwp7qSoJHdDeHLV92L5ayypIw1e9G0k/S/9TcXbY605WTSQFAKqpYm/X htM2cdiqHPg6U6NQMwEQ7MSFt+YuimCSI19KJh+vWgRir9TNLDP5T7SXpCnGLWUNOSGlpaPvhYa7 OmzdB7VkigA5WBHa6Dsju0lJn6xGVF6u55RZxQDs1/eEqai7SZUfpFcn2a2lge4oCduMGx3xfUAf QQriCn9jJS92cDcfwpMNLxi14hS67ua0c9/sbUg/53JFP/nrEFc5VIKRtA0OatJ2PIaZPj0xw3N3 WM4H16I8IgsxUN+jLbAAZl4J1KS/B50AurEDVdyD7/1deqX818DtEJS2KVMoDWV0A0pd5SL5Lhcd T4uaBq224d+71MLWjG9Zb4Kec0fZ0idpKTyvFF7nT742v88R/mmQ19FXrE/ijNrAC7y1AhXe6Mxv TR4Fndavv85QxLJrkNAu94K3uLtaRmvH1COy1RgC/laLV2/wYfgbct+FzKUmdBIQA1ci6DCYtbgE VjJuL607LS8Yj1H0w+qnvkSHIfgZKxFT2Vjm5iUQaavo+lRP5DMUbcNuuxudX1i7DZIC/eRvzNzv MjVHZyf7iYzfEioWeF7fd5Ce4AcFJdLTs/oBDWTUGc2Mh+rd4tgFTUST7Skjv7l3zuLK9C8zIDQ4 HhCkTlVcbrxNI9K2kveBeBm5BG9HlyE2/z/Ry6MtwoSkcAHg6pLLTBuhdWNA8wG3+/3CWcjgkWEB dkklFIrRTwu0uz2HPy5wfxBX+RycMyie9g+ObD9xH6WL1wF9wKJZy/SMjSOh8oY/aXCTa5Rr7bD7 OxqDD7gt8dykFRxwy/fBTY8TpR+nvWNhBpd7DcahzyQh6qRBLJ5nDH+myf0MJJT0Dcj9HVLj3/M5 wTqNVbttrRuQV+NSt5GsmARwivNcnbxtblj+pZHj8cwepOyYEJznHRDDEGd6GMJG1R5+AbU99AvR U9jUEoB4XAN9skGkTF99xH9xSJBlsE4q9SeezzitHp8z2co5Qnkk/e3Z5B0C7Gq58qa5Ni2vja8z KRCmL6ou2r0ZsCe9ljsZvjzmEYzH8wKOCbPkryaNfTw99niyVvUc+s1mZyLQMuFN8A4IZm8xHjgt KbU//IcXEO5utir6aigdLhK6+oNs/O90pgD1+Qck299TU9ISBjUIhC8pAQfUr8Y+mGYDc4dpTyQ7 leHxzr30zAwikgPcO/MoQPIMbP2jnBfxdKI1/qmW2GPm9KCy5ZRDsBDyupeGWdpNbch/0EY6s5s+ E/KEYunLLeaBdiokslGUBeodaD8iu6b2M2RV6YaMVvj2xzrKl9CNz1pisUiWxjPmmBVIzRsYk6hX 7/Ju7wGgiZJUxqmYmi4e8a/gqNXrWn/Vm9FtJDvUxSPZ/57kzifZ725dMXjnJcSR7K+aEwKJa5Cl z9pI3f8l6JfHvnwX82AvVeBEGxylTHvr3aRfN4c1mOqJYTJqzX2tFlEmXQS9xC1IY7M7BmOHEuM5 eUZb+BBqyJoOscFqtS2wcEifd0le4fujbr2jTERh20t+p/QHoHodkJUBupkEtG/hmvELkKPKsLmv dFjNkDdoP/FEBvCpGQ48VIa8qyVyL9rVQBsDxwFsYhlE8A8gA1iSRP0pYZ9BuSp5AZAxMWUNcSeS fIflXJIinJHHM4H39cYUKL7GLfv1/4+d4w1KD9j1vPHn6PmCZGANw1KL2kZncaPUdu8ZKCgC0mkw 6duwAVD9Kj9eKyIL9763Fz1mjgftPPwRxn6g1N8BVvuKccqIPC3qeI8QjWJoNVs8D/vl2lhldhD1 KtK8w3OEOnRa33wr4T60vKchrx615UBRcqBxRaPkFSk8FP4ZkhkSa8o038NQDGdZqOmSNikTqviu l6CPbIQ7JicNif22ggWB+qYABgReMGMi26wCdit66m3YjdpFUncOpv2GJn8T69ui6kAEQWmPeupP PF961yJYTinQdN1aEkHU91r12Bm2I84acCuZbEKA7J448VBl2N5RK/WMag3gFrgr2i9341qgDb1z KctZpG9plOM678T9PQOgAEwl1XAlqHaRt/SH/KBY1H8JGw4OmOi1taI6+Z5kZ/t+hs/0LbD+wPt1 6Eycq/uuL2q1kSmmGIcyEsDekhQmjCcFVHjj4nMPQlqYyTwh5ojecAoexRPT1OtncZ4IEpTgGtg1 x016MpXFq0kPvENy+/OaUCpi4OE4Vmp+dquF0uvSyV67HEhr348krdZDmSez4Tw+6MuwXpoqaFXK XHNtw1sGaku7h/N5mC4j+PzV4gB9PCI5ZIcqPzqVQfhbbaPgX1H5svguQRShqUsQHvRL9pz7N4LY fOZcAhoc70DIyeYMQdy/jz1eLQhv2WSVZ0C5n6dUxFzqAfzSSDrfkPqFNI97MLLGI4prHRECxm+a 3fF2ZCJaSdcVRHYMF39VsVPRiRSKIZDqoZHAqP/nR6Bbdx6Y0zf91CYq/x2S3fQ02vHNQkSUDqqf dlxGZnU2ZYIq/6VxgEsVrktekHKIiX26vlyNVXHSe1FXZZVCktWosgCIg4ONXgoVPDCI/4mI7oEr VicnLblnKmF7qea2bsRViR9Dh1RKxOAKo1Ro6GwQpArzcBnFRskGwHV/xbZ/XhINMPljLRLawsmI ieoqnKlYtbd5WaO/g9I+R3A9Iru5MnCAsPQ86fGDCYUN0orzAx9qPUFZsiL+Py+aCp0svEDrsrKR opPRFKR3qev9RUWddGXe7T01WQDr/tBPyfc9ZJKQwO+4VfaaVOJlg6Kh5MYKa0hQJaLiOEs62eFI uFuYow0xseOhzRUQexeMwn8fW2stdndgLoeRKeC/3OpWbTaYfR9UHNkvHJnm/GY44e0yShs7jdbU IBDNjflNtB4T35URQtUlq8iM20Hc6GG0meibiifI/B9WqlKKh5uF4X5ZAoc1xiu306q4xhS2KeK1 XON7wCsYZMYMqTPDGvPtwqhvBstTbMYuRWNzTzWG9+4+cK+KEj4o+XmJ8i91M5MHIlvBTPIpzr+Z gwQNw9BNHEuKogPtPA8LPw7lE5N6ADK9zKgK4hziKBEpoX14xfDnxG4bWXw0JJz86qp6mkfiMwq0 VJwjsRJ2cb+IxWvwB8846q5c1oL28jlq1VCn9Eg4HDw7OADHLe2eeKZilRDiCp7paC5mYt4p0cVA mY+mXZ+JTXybx0TyggDddSsYu76+t4huZ2+ulUHHU+yAtA8VhooKxhrkZ1/GlWoo5LmYvfpYnhYA 4oO70l/1Ox+66v8ah1/K5swiDC/yia3LynBtWOjutM0udNe1ryOdBDTPseg6gSUyzTb0gIV1IIW5 9MAwuz1Su7ahSM8NIOnWbWmA5KHAi27Vsmriuu5rAVtR7+/46eqcZmpnEqJhCYKLMFr/yqnEQ6c6 W1RVrzDz6mPeLV6VP40zM6iLtKlL9iGE+VfhbzIpYVxWno/tUGJ2wn6QIRB9PouSycEbXazNDZHZ s+VFjP/poJSNONshk5f+kK5gDEagrXJ9xSnNKFaOuHopMqg4g9BM48VVPGrfvxv+54ka/HHoPI6g fw6KBAtOpoNjPcbmshyO8a2l4SnzGFbDz0UwnzBmB9VQez4Rz7/0wM3JISNDItI9R25aSyqRHj9c ui83J2ea9MP9GpYb+j+z1A9hSxUipIlpQrN2QQhRySlPhPGmOgY80ghbXwAj7lgupyP4Vrh4wtgA B7sizm0d98PSiQHWupEmNK8uuk6xHfX1PI1/O7oP/gmMLfvJsBezg/rlZAAdyoW3w7WNTylVW7yl hlDoM/bNybEC/dQvVd/3UBOM9ApLCOptaDY/rg0iZ/2lUh2M0bwaWsoAoOGMAa/kFJBlTbqPvDuv SNSa/HMacVHwMl8kY1ZGqolv2A2rN3IF7ePN6MkATcj2t4eWZjYr5BsFuBorOsYo+5C7hT7xI2nI J5+tkFVohVZCDyB6TYL8UzHDPNBBMncJvYmdoLu0qbNGBCk9dqHonFi+8sYU9+iy5D+WGN7mTA0i hoaFgim40E0qfOQmb16cn89dseBOVGVhwAJLp11JNf753DYI9Fn73jUmGanCYp/OuOVAQ1IYbliZ I8gBhJyje5GjSZJqvZv1NMDa1uRg72GSLTlkeZ+QPh50WgK9yh6PW2EAHPW8L2v+ObTOkHmMO3fo tjp67cEkmkjnA6qiydXkIcXez0AFlM/y6bLl13g4nxkD0lHhaoJzaVbSzmOybXWi1lf+oLTMNeTJ Obv8qfXO8CBd/u6QYHGgoO/C0jUNJ6Ib2nnsEOum6BqvwyfU/A3wnZ0OlnqcYrVmVKy3RlFsVe8j bzVSo2D79etL0uzRAZ78B8Y4E8qHzdz+7l/px7RyQ1x1w4u9QvMi5ORZkg0XypWLBuYwNT96TnRF YclKUvqKvrxWyRjvJglqPOknIb61l/Ly5DmLCQUNEyp6e/ACVCP2ZP7fzNyCw60fUkOuAdPC9m+j 1QVCH98TmtdK6MVlnlsEO9ZuozqPt1N4zqHv1rhGKSJ7WrEyprMiJjkEmc2yKdjPFDa86YJim6qO p1YP2+zltpXmhRrGKTWuVeNRR5ytDdvPWdqo8b9HC+4oMgqDC0DddFbEGN+D4/xA+IPT5+Jd3PtC cnfW9XrB9D00a+bOYf8pbs7oZ7LYu6wPaDLSPg2hCUuTBtp721ZlA1ZIIqgIQ69xgNCiXG+lCfo8 wUoeVEYHsTEYMSRiTIc2t93Z8ho/QkWe+62/3SW3lSy9ejaSTMzIj+ujYjJWeGBzNeY/4mK+2hvc O8NKvuvo4K5VWeVqtRMF6+N94ywKZ179j6ehEkQBPOBHYNnfm1zAhd31w4MtqqOuhlHNhH43fchK UFQGyYQb8sVEP7oxxEC7YLiqSEQhustu0j/2kv2t4UEbRD3GdPwqACB6HXwvZcwP15ORTEADJKiK ZYEEHdR+gAW/Td9KgrQAm7J/Mh/W9+ep0KLcOKHgHnuZGbmfFoPL531OLMokpVK+/LWcwbqufLNO +P6u4fLB/lZ47x95qVD66S0q5Vmhvb0AEfKtAw2j44qvOMOFT34lnko7nC2Iy9jdwbd8kJxgGyhh GRLxIHQvawXvGVH/x0sQYogjSkg7vem7/zfUumUWc5fMxZFoA4tWJIWoqBRIwYApwxQiHoJ4/nlb iF/UhkOqOjgwIsVr75HvwY8ODSPT5guK0VruhHqDXPMYjoxZeOZvs06IhcUE2j0n1iHzYX/S3w93 +4TumXCJVWJNT2wzERzP6sF0KwaYKWaYYe+MMG7A1LCLQnW+uHtpsAarx/ad8U1GoE3Fau37xFyJ cf4DrwbW0b4HAL7B7XUpax6GIPjFNqGOeMdKGzIwEUiRUaBOXsRrnJwC3DlfPWyt5wVjljW5XwW3 DXY98IGuaV1TApcocQFR5j4SJN6gP3uSkdPVNrNXLGaIrWQTv/mCWk4I8cFF0nlk9koRHAczkUuF l/RtN1fs3rLWOTh/MvfCH0yvEkZ6iOPqf7zhONeneMrrOojqTb/d2HWSjitYG6CrIS1lPf5bRaIT DwKb9kGvUpV1oVJD/TjqjkKMTGX9aGbemIJL9hrGEjWQcLvYk75P4OS9dDGyB+Gd/zwyO+0naU2H dnWXTNICMiw8j4wCBuY77q4KDeLhYGlw8618Blla1+XFSTY27NIiJTq4LSwjw2WAEUNPYKEtXN/k THDCfIamW7Ju5RUS0X7sJoFwoBxd55hf8jJEd1KCj2suX8RpcEpabcqeVzmXPWvUqi/IcpYCsz2Z cOROreaC6kJPtHjrr+0D+pj7LARpzVSVzDSVM43feLvPXTyWCWFpV1udfNHFr9ELne/F+E0yHoVc 7UShjpjKBd28Uw1brUcxoby1FwVH6dXJhTztSa2IZevvtKWjOU/QdqrkdXe21talGHaQticgqANP XSfcnTseIgZx0x9uQ0Lhz73V10Qc85IYtch87uASuCdX1DabQ7lHBFyUwPDZmEaJSegbA01+WKPC 8q51BxS4lJpTV3VdvIr4TAaBILvPgVjJVdTsv3M9enjNlGLDN8zQ0ROt3kYoV5Ybh6g1sMfkNfBJ WdteDOy8Ocao/SSfjwFwZc+6OH2uOPvOLiFoyBxG0EcowqH99GqQuP4oJj012ORGGIWkj9kNXbbd 9iCy2b2jOEHhOcCtqkMHMjDg0JEmibmos2a+19RwfOUCDsm3dbXEwAbd6b9LbjSkwjXiJuttT3j5 VFne9lGo9w5K8CQiasqBwm0Yn5r0iwSxOjTOZ+yBt84s5/dkEOMz5Noy7YDf/m6xUewD4bPWQNBV oecxyYC9Mdn8p8ehhkWXKFr7e1a6z0bT2qHT9NFwnlqjM6wFZEMoHifIvZgMtbh8hzpXuWMWA3DJ 6zO/QT5r+dSBtMQ2yz8HZSTp9/ayho8bNfbfTR7Ao5/FCTWqfsbxQvRpJO54jW0yPu26blHg9m2B jm0NpMFxtxQz+NO2IlKloiKxBQcb2UnKi+ahn46vU4Bv8wLEk6Ix3qGQ2g64ZAhbYPna0Ildbf1X hPk/ldASict6aFZlRsm5xC/cqL5cA6vUopAjTQJc2yf/yXGBAuaUUlap1PFBAhrejiQTYulwr0Gr ioVNVKmlOeMaopQubBtUe+or5zCa47ZFFdJkVVkfb1ULAI/c12cfBh8wCxxQYbl7WL1M3MiQTVSA /NxDDWS/Qmi/hCA/+3+TEovw06cEl/7VuxgJMX+xMWLbGjxveNjtLjwIMDJfyEp2yMfvGyC+gOL7 Z6QvukNJmzzco665f4GsZuTVQm8CN6zPe2ojlKvfVSh9src3ytxRphsTLWEV+emgNvx+xakmZKOb v+ZAByk2mHfBcX9xd5Ws32GP7rBDcwY8lH6hb5wnIBXwg3cT6XBOslw+PMUXnX7ENxxanOrsaZE6 w609NUwcKKPNKmLR7wt858ix6Y9aRFMcLGR1vWEIAJ3hx/M5NSm2f0a+HJm6HUg1iBvE+N9zSUs+ ab8mnEsKRtgtkeervQI6+xFBlUMkAsuX7OSTVOCTQdxsW3ds429FFfmS6fgJJOms94+lIoIaolIL pGt7vtf1L6sfBGfc0FVIoeaKYpXv4Ctde0tro3ZAcMQLdQQVHbc05+fiKvf9Md333BYjpyP6zjs+ XZNkz4NovSiFgb94lo0Z2PExg5fM5s2UPQK+fRgGkY+X3kaNN4MY1ELyLV5i2HlHsXzLG7pNDUGb x6FlYVKLrwDcPBTn8tPF8RiDqIdWaB4ndf9Uqe4OLQkaZVvtuu8rJejhtpnAwoUWRFSXK5ShGYtx SYDfOhiovJwhHTlH7X/eLxWbtEemZ2/wQYryFb4uyR33F8h5+0k3xF8s84Kt1/OEjRYvo80FLqIG 5j1UDhAK0PC/4feG1aOe5DC/V0UgbO8fpqEkW+UMOPaxNPjgk88YfUjl1GsDIRO4Nn7iBl2HWJ/d ApqrHkz0zlP6zCJvP6CPH1/EJhgxJzBH7x0erqZMUqJ+nuWjv9+jvgvKUxpre+iERM5VuFkFe+Ui ZtDWb3Agwsn3jgaGMNxUiJ5B5aYCbaErwcv9wb5y50HzcMIVj2obcwm1fjQtxhIBhCaAur41FRpr SCJ7r3mRUa7YXub6flc76A5fDirwMnojXERpfjf88z22itxpZwKoCG+lOAhkF1X1nw7dOKqHCdDI JNoRq0BUjSgVWjaJ3ztJYYIMLS6DujIu+zJYQoY7AqA3C+gcJ+5KSDgoMqb3dTvsjSKm+Wt6u4tF aGbeBKKXO8rnZZ1nT9got3xftECb7rx2BMD5Q08wLkMKaBEv0RRewtGlKNUBpiXpV5pNUkHq/m7H F/ZObms9lrczOdcDIb81gPTzAwdIMf/FVNUVU4JX/fltJZT9bOC4gZ/uO7Htn+vr4ETZbCuwq/dU ZnvzddmZFLxjIKhDRfrlKR+YHb/CKPaSYHCd0047PLPTkfPMLEbR+3VLnvnLLSQqG4QUcm7pO4Wx 1f4KlMEmdNURPnmRzuEldoGsMhq+xsi4dhvaP7rWpQZoZe/L0552H/SGaqOllYNr5c5pC9z405WL 3cvpBJjP/mcTltBgYd1xrdXv7VFKaGyB3shSl8JpGp2LEmYulJd4wqfzqWinNycwUrXY7PDzKkT9 TtO3cfk2aNVzJmV9urFDV/NGNoamAbJklVL1jjZmNcUNLhsny622JEymXJt1RITPn+N3rTktwZOa LuKyjWNElk3fv8W04uyeNMv54nV72OPDOoupzLSHuqMOhQEi5bRXx5SAUx6UraZK0wZVrxuHF3EZ y/9Hsmvq9ONf4hyZXTZFS1YQCvRdIebSpNjRwJIVeCAwF3CVQrjJCez/6mkCjrwT+aF/qEjIKKk/ luSsl4NOruQFQky5gIFBJs8Q/74DG381VxkWm6FGNLNead28DoYR4BNG1fv6jLPfEqk/b1JBqvSx JRtM/NnFLVk2/r6Ssp3svfzZhcn3/rQ8+fFO1zvH9vGa2XgbGTfyetfRu+UqGcVZk+Gy+S79+RL2 V5Fx9zLpwSdF99HI5U+Um7ptj/CHs+7zwFdIFtoLIG3PD5alChh9V7gdTfm5XSqXac/LcyT0dCvU xjxYvL/jgcAswbH0HIkmzltAXMBbJDNjmeDSZVGtowdNML2TVBTu9EBjPaSgd/YkHhc2RZ4lXE3Q xn0sxs+SEVDSDukMuMH61Tym2F8e/RTTbWfo1hO9y1jkT3tWEqhFdy9UfZ3X/vg/Xz7ONg5k8w+b uDFtSu4PHMSEnjvIoxv6e2KiijbWkDzkb6gB4Wip6WNBW3o9zeuKjnY2vFjb1xM4sY6kpas+jGLD Jr5a9PLvmm5r9SKGQssztXttITTAQ1IXTM4BaekwI2P0MjDjqfZdZFGGar3/uxzPx6FFkIaZWYEz GRX5fjJKurn0fuM7JKCCFMgBlN9GSY+5EXhmBpukvZw8HOTF9YapwtCKztfJt90JDzeylvfsOyg1 Gqn0oJ5n5xaz2cP6QPyFEFNdf6cgNdRTtwukE8ILZ7Eca3KnPoUp57sU/H+xQBQSnU7hwxO6NDHS NbKT4chRxsIBu47kbwqrm5uZ/DaLlwnSHaGPp3Qpjz4OjKNUSGjR9vjyurFF9AgqexjU+C6OObT1 7JqkfgcpppBlxNlB/ud9E12g6EHUzU9fPY8pPPiX5rGZ3plzUU7yglAgnubhReL7s0pm16tPzbOS I9L7Z7dktyDg4H9m9xInujOGhccduMVTFstRrs/BCjwFR2RiXFYKWQw1LjoPGIVB6rrVH2PDBXeV 89h+9ny2BEF9g5zCzRPd2X5kYgqONgcwWvJPX0mLy6AhNFatQiDFwGgYa9BbZNddcKq7n4KAw+wM PNh9q4z4GkM88uPsD9i9AGo9SeURmEGGiUpjXtg95Rl588tOURO985/IshQOCuBMJcVVh3oGBOse w6YGUILPkvzGqUExOV9CdpUTAARUHNKVaP2FDzUHNMI8mZ2AZgUifY0QGjuBWNk5P9oYcktnq98x jbZ1nklisMTQ1VzGlupXTcCx7MidRiIayj42bgIip3qLupbSbmSKFJNEqlv1HPKIDlSzztN4JW9T NvvJxtWI1eD9ogZQbIx9l0sWXRDMEhavSn9+EJlEmY/kE3W0HFIbJb/RHIsNbqPOQourDoelANQ+ roXv2heXGg/2YOOT0RiEOl8Rc3rpqTbSiVoCsvy3v7I6+F8sdPsQ78BNu+vjjbsGjQF7exiNZcoD DhGLN8shYC2vuQa3T0aOhkGL+LoMrcdqZTdRfByVv/EB/dDnx2nVcSfuoA3IZs3JTeuQs8CvjSv/ 075tSr4OkLne+2WzbE2hOtum2ki9Y2XX0w2sdCrb9F+sIRaHUAxWrP/71OBiG524wchKSSPjJl9f IYm+8rfT3rDvZ2xLM/btv8SG8wsnh6MinvO3o7Y1/75FHb39mvkleBKjXL7eLVntut9r5Ya1BkRn kmEnBVNcN7lXtC/PdW0jfsqqTgsT9w0DpomXffGgl04CvaSVFY56J9wXa2dNCBxDDcjSwKr3e1Xl J+c/Il29esXGONluy6btiltBNRg68w2oLOfIs8mL64fWJ5deCA+WkFV6tjLGcNHHcBVoj1SZIpHj ojWdpDY9iAF4y8FyckcTE73+4UH0DRFXyHofeIPmu7DDXidW0xrYs7VvHe/6eKlIJKtRQhxDOxdU uiUwvbPbDKLMMdpa8hNePKbz366WFXpaM+Zr4/CCBinIuNKu89zu6cRuirsRj55mqdtP4WMIpUPG srWgSDbOyBs9SMiEeLwjxROQaYTy4/Ni/sOB+cUL5iE8i+d7xO2mZxNQFHBhDDSX3UTLKBUWc8uE +ftzmeNRjGJqhL9YGAwwKx23S9CF/8D1vJdfjYdFOBySNE8eC8Byf7fnpDcSahRTQOY5stwfBwiC fQHlI9b9DtlnEdGu+o6bXp0ox12nXv/Smf5AZuoi1HGjONyaAlIv5WM5jGPkfbUa6qyeiQX4OLV+ HNv2hZjmk5hnYjjbnkmoIeZeOAWp9p8iLdpEu4WGkdmbDdZDhE4mjtxvQ0486Vz8rz6xh3ZBpsWW Xs5VFMMj2j/7XQTOLE0F2f1RPWIm852pA1Vm4KrbracTz3TFhv4lLwWTx7jknk/8zbay8DhsY+vz /gThzViI9phh1qd8uJCpwtrgSF43yUEi8nQj0OST/hGweb3ccX7XsETcVVQRRCiXG1r6rm1MidbZ k6fkRKFv/fVx1qaz4VehM0c4eqkRwqMydz1zlrWblyTamJrITF7/eQRJNH2c3zbZn/gDBO6/5TTD /IZYIJNRgtKPGb3pK4G9yu780dGs7p3Isy1QnIGt1dwI0FP+YX4PX0PW+8VYcZABm6lwUXZFb1om j9THBl/aoNK4RAcvabz/bbyocNoiFKfdNwAujQQNeRlSMpWfeLv9ZCWaTPYO44vegQDPVGHTQeCa l1Lbs2ZOkyv3vQv4Bfl7uvK1K5cVYP+6unVLtlC/zFlCsuAn1L+cHXS30JwLMEAjp2+WDuz4HMSg rIA5CdrjzodCuHYgXKs3m/5XDtR+FTWWbINpSvMYWgd1lBc3VmwJkQAlWggtxLAs8jx2BOw+CWUE SbKTtucUhkLKVgP5RBPWKGpExf/nKywijuxYJ4VwOc/rfOQ86oUK1slEoPUY4LibfWcUg+i1uGdB GYh+Z60pyaaaAkYONFO/P/io/yCCjfvKr1eszAcOWssSG47ZeM1/AuIRU95P8WDFtyHexpzrAcjL beWlQxPDaGFyhmKMhlYs7ciK6fBdtjqUXGkqR+W01cWY4ACKk6J/B++cNsNHW5PXiaclRdtQ3UTz IfcwyZ/iUsOuvguIbosU759+oGEzYz+rw5mtCl1Tgr5pEEyEb1qu5fy82KrdRKTzpWLEeOb2Kzal GJu4GZi2VK6KmbZcrlqSBBUTF77sLAzH4WJdH07itZLL7AM+6UWp8kH2tK1IUD9WhOydj9gaz171 gQ2S4WWp4UbNghAP5pFCVQeGBD/KniwWiAo1wFyXJsfhRgjUbRB1MtwlTG1JGue4aYtrpb87j6Io jLn7/jbaTiYyzGW6U6AgnzARlKGfDjsZUNs0PcfH2M3CXXabtpXu9hGIAkbBqVEyvh5zWCt98bs3 zU3PpptU9skkIemahKchijeJXFFYnvfzQ4AaCAUDdYGx0OAMTSWRgdLfH2i1tAnqW6I+CtK8wsPk Coj6pTeQyiOCqFYC56HSdyPo/kSxdStc41zrAyBGttC/REMcxqKC8rAheb1id2PlgPSmhTEE/hcI xlBfJIY8e+f1xDlNonlbaKysEAS2Y68wAXshnDFBTgbjI2Wd4qv74XlfmZu9eZ6JsMNzMKE6hsFa cSMPeLBmq3tFSQT6m8ucIGn4/RWdQliXULfOwojzvCP77OMLOIwkk2k+iF2wMr5l1MS4U+X7qCLX l+fV5VWdGoi3SKNFj5u2DNZKO+TpE7OEnWx2b2Znv66IpDXp8zRtO40u+epyDtIfiB9KJyznKRNb v7WTgcP9LUrGbB/SHtxutg7773HU8nfHt17IxuwAXrAYuUE5lzW8St/ixt508QBpTR4gpM5phWvx kC650xmLWhK1rdeREpJxxfsXiu2/MjVgC2cYldeajKsIv/kmdS6UXNUG29sUXG3xuvxPGDwDW2Cw ebKHc9kt785CRNFu8o6ApAZQXFmwNGM2NNdykciCcegj12AmP31GRM/EyYVYqCXqsZq2gZpUJUQS /+8+92SgFTThId+6NFNW26pY0tI2/9wdwYKZQ/I7UtpxPBYJLyAxzZWsQur2PoIfmGKR9+0hbVBa rtPKi18rhnj6klUkZF+orx1mH/IdcWyLutW2qwnwPRqWVmb+Ub0l3u2T4/kpAE5elsfO5udS7SsH 52F5A4IkrLdQef2Gd9CXpkZ1zWbb8uYn48nGxAkzvsgxCIygrwhD/WK5lSoK4M1dlt/LpTlK5fwF nDDnqaxlYSuFmcI3F3hSyu73ke3ZyuVr653g9eOnHJD4imYSfWhoU+jwKwr/urpN/JIKpFbdqNH6 4YiQYwGBSzianP2jj1yE+Kxb3TsgMTxfsVlrDNlZmqcDxzXjc3hMMZenOvwmA6rA3H2kjLO69Kx0 FA42GEZwGvwXZA+YRhtE7ve/81oWjJ8SwgG/yqz0wNWEAvARJUvlYS0GB1FfKvjSI/V2ydhEjmHq HGYa2QYXaDO5tuCMBhB0AQ6YJna+Iq/ZQiStLuqOAlrC+uN+3dTKorurfj3gCb+DK8utc3jXzUUL CHyAl9/OybSTN1Bo2KIRT9N1rYTnCDWZgqSqI1wzkhX1nRoe1JWtkP0RgYO/fHHZ2xcIunAzIN8A JBiRgFmUB/HvacKsBaF/O5DJBV3J5+ULMEGrRGHUodHAIw6aJxun9X6EgrHLNVfQ1OCdgVd12oCd K9Ore8ipMDedQYfcMD/1nHLdCcIJiNxp3i31v0BC+8ENgKXKCxJeJ3b5ITfSnju7GfOgJtp3hHSS EMDGhjw4uFMuiXuJigQfZWZ+iUKNyMdnNR89+IxLuqWbgTe8aEUc5DTIPwxbsejrQlGieWp4TgRL HyDUqP8KlLBiIXVT8ixU6QXKbSijvrC/JONdP+OLcxJ2QFH9yRLL5WuZVhHxcv578W4ClwO9bB6c v/G8Cpqy8YuNlDAZ/UsS58F4tQ7YXM6+jV0Nolet1zjGTU6ps7fkxdA07Bfr6iJ9UmjyYZrrntoE F6suA44WImv+xxbAxZMc5Ql2pwG77pOEAioInm6fdvvVapiMC+MXigi7FIv1Z6mywkWxm+vivb6f CPgKIkQ7O4PJk6sUpRoJDlVwiJkG9MZLSMUusMBOwd30TSIVFOV2xD3/42sUGZiG8kZNqXoJjHEg QosYZVa13Inf5v2AwhZ0N1X3s7BDsioftYh2mDX6kDAaqWYO1GEYzxu4O9BpKBDgY7qliSjQlHjI /V6gE4Gc4kbTEWQIF2nFQv02Drnp0atLedjbIv4E0GA3eb/j5Il4k2eLYUPqC3FVz4uZkcSpmume sVMiM2PYaW3p5GXWZbAw/xA0Bqx878+GsvxBgUczw8F+VZMaJDw+elut+VShUUaGNHvZEg8d0JCs mEDsflwu3RnV1R54TYh1X6KcrP0mcfuaymgSZluHcmHdBC2igp7YORLr0rCugr6bWEZ0fAoIdSGe Kw2AefhXzadqc5LfIPUvbfXTEzvuFWAKFzUzNBNNi63X/gp3XjvySVXYJq5b284LmaF9kHRttTWK C0M1DF6vvbCNe4k/psHE1zei6oV8SY9ft8lFTgB9DkUxYhYpXcFMSObHzNKJgw/s8TQOQPRYyAT3 UEYFNGLhedn0v6bglAKV24F03abm0I4h062F4wKsDOHVkxnHer6zXzv72y9NZs9WZgn1UAvmzUwb H13H0qtMVh8BVi6hkGPqvI6PLNXinwAcAHdsinyQ0NYRGGorW2xyRGBDBm5i5kKguR/XADbF1axD 2Z9TxPhR2U/6owQ2NqhhU6+lcFkMcKo3itDnhkerX91ga/TaBGqkFz9zE/nR7VMPHA5gafWFdSPu AhzwLSdSI0dtU+q55TRzDeNB5E7yNA3fjB9CZzyaTI6wGgw9jNovu9PJO0O814/DG4j3J/w0DCAG 9mdPk8FRFfXSCvYUmERx9DlAffm3v5L7hBJLOXMmKXonB2rgNWx21M6RvFay85iYfqcb+26Zl6Oh OgIZz/SZMMhkgNoHP+glLC/Cmjyrl1a8crJrM8T8kusJ8ATbjMgVqFyY2hk3TT62F1LryDHfd+j8 E0IClYrBfytN7vTieLPSIcL99qAaT7Tj3aceQQ4fmICIQ+oAZvxm9tDhE0WvMvirKMhsB7huFm56 /zlP0hc2Samo4FXVgwdqPa/3tnbAwUogB9ke79CDvXj9pJL1fDxWDL2FMDI2zl8pBFZK9k9sGh10 CjWklrsjVhu8R4RnD9SjDbTcNwkrMouVdyb9oH1ifCePz3wPmQsvmNmjb72CUF0Otn0m98V74O1d uBHO0O0Z2APbCvG3blu4NLgG/vfMNrykSQLXG0cxX0I3yuCOTbVLBPq/ths2gXjDhUx7J0hPuIXp SubwC8Gk/gMXV+FavkZusk8/ssZbK5ocsjrlukh3KHzfqT8ogXMimLAVhNtfA534zKr/0EJCPYHo D5wCGJ0FiFMbaOW2FxxhZyzf+zaC9a3YCcnX6XkCDgiTk28gqlFkby2AoImqr5wYndogNa5Mfzvp z4s0cDdTtXvBIBg41ND8aU4iW6JdZGzZWjduBEDy82sW/eC646kMya4zOeXmi3SsA2t+MzltZwMh vFe5tw14W7u+ak+zbU5MnTZayZi0WrkdtvBGV/ts4uxBhqYnalcRpiyu2W9X3OWbX4oFr0z3almH 7MciRh7t+W/u7+q8w4+bZ3+vMSlnPNI+o2lNky2zZpd+c+ECxkj4Mzehxo+KL7NHC9exDy4gmlZS NeGq90HXW99l+c6axZhdISOsTomCSPxKCU0jf8XwhGPXkifYzWxeWIP4FvpjhMC+1U0vLXKCwdmv pTb+ywedSgQCFRpr64wW1miM3VVviTCPF0a41GTcMDhv9+CFbhAloFXsL57b0snhAJxBTE+d7IfM ksogj6l+SMlJaUrZyGb1iyP5AWODpuhS1Cu6L7LXpjBS8jh1Kt7wtCZ1Y4DaTo870MwK9l5gaOJs xKFcEZSUiVio1DRn+Pro5W1q6MSy13QkvZHqWDF4jP4xJDYXOnH2wzwMPnVJqTXgUUrJ5HbgYp0C hXU58kP8NIkEbdQXDlvu+DIWUL4yCpma5ZWcfDPqwGnztn+XyNaS1tiKzSU2yletjnZZ7gDQi0PE r0zcakjtDXEUYY4QrvuJsBDqGM54h+eWrertyA7AwqTdGU8pfvawf0ZgBj8KGp1nvYCpKXwKeoJ8 umiIsiFpYRkNAE134CJegqMZuP16W9xzh+QY3lo/GvgweS0e6X9eIrs0476iCr8hwsv/qdxqf/9z 0a7jtaACK9T2r446EGjOsMQaylxQd+g9we8R36b/ZzapSxIoeVnBFSI8CjagUyGmgU4cnLOull2z yg/YsTlI/4mj2/xz//3DeVojvCOYAWs3CoB/WNRQHVdAmCwy2V/3oywF96ZcikIuZWUrDa/APZXS vwNrmHZ8kpMas2LxrPAQpTO1P0Ibmkii838Wz+4BdmNJmSCPkQl4rHfdjLXEALMs7oPJfBEy10iM x+BTtvkzCRr3kej41qQBuhdhOtbSACdMHaM4i41pxwKLJ6BqSahTOCArEW1aHAh5DpDd5Cf31rME Wv0BtFW0q5HXMfQDjywQeL4rocDt72RimIcFsRw9lS7DoTAwksDcB14u0ng0SHUxHYUyzlIzRKqR GlZzbtiYPgPM2fXNhET0j9dA8pwdfBgpYprMeytFKtvut5QrOHWOKDOv+tAFiWGCKBi2kVrrEJJI yCFVy7LN3yvyGdLGatOWHA/IoMUL8MiYtmKYFMFY7up959Os/2M1i1qc7BmEzkTcCzY1RTxSJ/JQ oFn7GUAYHBSjGTdeucBBsTZXPZbXQqCVVXGH1ms7TPw/NmdjAgoMQhlI7CQIRAtIiE5QTJFyCr3J nN3H8ziEY7/ImPHfcGVqP9ra21BtqnzSXYsy8+cuC3gVhrS99w5nn8ZszbZwefbPtQKuEx7BJ6kH euRb6VJzuslmiN98gNuTAUYjQI3MEmegzX2McA3Z/ZEDiBSrW3STTHo2I8/84bKIhDBiwyydwCeY 8J/CjPXqM1chX2S7V+AoMGzib9SY/ArSKf9IB0opuKRhEBDUySsiYwksOvtz+XSfEXw9WIkm6pf3 ey5qTu7Ka9CdQa/WGwQa4uuJ0jJKIqkWkeiwmziKh52fnH0FdHPk5aT7c1lsknYjtPeQ/5QHCwOH P5btQjG3mkahROuRtKtHa2S4y6XibbPa23zepYEfis3rtln0DoEyF61iSgAiIgJN2OaGfdpkoB4o lfLqvtFU0Y+BPDGdRIemW9mb8Zge3ZFWlAw0DH9x7hDLjyOcnT6qUJO5QgpIMapob+TdkoESAG9a V9mZiPxG535l4Pg/KFI2bgH4mY9MTaUqpbHj7+ZEhCxLJ1giV4dFVA/D7QjMKedcQ/XXsNqZEMJb dwOhzbeOMFDHZX8MupiPlm2CcBH11cFtG59WZ9OdE2EJVVgqqcBc6/6wbcyNyEmspSuN0UEHCQ8S dnE3joQAW40y0YO3AeBkuaoDCWx4GPLlxLJHKcE4u+0AUdXvNp3sYUgqp+Yob/urlUJ19vA929kt tTGmrP+J4NS4Ion8+TSh6rNFj1vRnLDIEUuKvx0K7nHQubOT54ysklLPgXalEa2Y4k2MJLq+WSNB qhluwmjGUGAOQ+jmQT9W4ceRQ19ivfGWJCqsb/B6ezlRUetGryJ/MZ3RMH0YWkY+72TReZqh+lik DJwibqmK0qxLcy0CxQSscad/1fGBOjTzuBI3T9A8R4adfFx7+ZLCmhXTa9pnQurkD1EdjpL5lyXO ptnAzKCiJ+RXlomZ8W8HHSgmDZrZmvVSXJ5E9d9YZanPJQntCRSqzJdsanKxFXU69e5zzhjZn2dX NXPV4oEpFqeabkLiVcltCtI7CmavBPRVAtzlqebBmjlQd2bDxSRtJPRdNf2bJ/4W+fNXlh9Rs2y9 N4/m+XQjeGmdkmv4drtqPqNvuaTg6As5Ut3GSdauJ2OyrLlqPtcFRnu/IRKwzvtYqPn4PJhkiIUH joxFTyx26Ez5d2t85Dq+KHXG//kfhvV9IM1u44CRrbe4F21k/huI+KPE/uPrvSkp7mya707QNqN8 o+jGv+Y9HP43O8AM7O8ksrdnUfw6mIEkmrVJVni05J+Cp1M/o4xxnc90AQ8e4ZUPVdW7vQfMKqpv KUB5gnLDxsnBiAyjUETK640mTGkGX7rINbALEi4tnRyMOOvBGUicJrFwHEO/S9boXaVCXCSqzqG3 lY972PFx81EOi7GS7o5kELj2qSloE/VF1CQSBfMCK+kFQCmCoYOJ59JdIlmx04MspHQO1045CZM4 eyhyWbICnkoXK71KyWHWiUEcHSWmohL5fyPVxzu0g30eCVMibA/CV1V6EE94fcmiXj2GZAo7Aeqk IEpJeT8vvQlUDG4sDlXw4nLwDmiG6mT4nrwKs/BMEcLkbLKP/ivGJd5hsTQZovtXm+oPk33uNtGT bZqaGKfjWCfNUcY7membRM6Jf+Dnsf7ivwU9Ahsb87HvPU4bucN1Gan/5cDBdpwtH1jYUv9L/4Sl YAbxZ+qDBl42YkixtJHkNrCUN6EgXHbElDfTyuC/d/MJO3VmDVc15G1gNMYZcltLcFNI02gxG7K7 lc8lbLkOk/k4/ZKAoimBWDCnUi822CxovPLMMNUudkD4+Q9S/39eYHtsLhB/ekRWeBAh6jaDtiji iEb8QTS0qdV9aBR4dmfmzNRs291OaDfYRgv725pRGh0M9Ox80aMYFtOxW+VNeZp9rdUsAaBJRoQx ctQF63privdRhc9/O9HY/1kF8Ju9PiMeVQh/ftNA64Y7bry/pV28s0hnUbt9C5WKWuHWgDQiNdEW Dpd9FTOtItmGmcaBOTrd4nDYp00GNQ7FnT7ejsJvS01GIksjPohPF789zF53JDNWhM58RkxmE6wt ly9jzrKPpmKD+gZxeaPcEwvTHDvj2TvNlTis8m7DPkuyT9HTRE81qIR+byjgEDfihxhqimNVFXR7 g/iO7oWIA6T/zXYrpnKVdqMYFm8uvQnwR3hJ8/E0lWaTIOfSOCtdSyAb++xIpXNmfPcoIrbX34hG qwkm4JhJoeVwaJOxSbKCDbJbTGNC3NBfQtMz6YuhxUExuO1Eb+U9VjPiAwEGGr+p7rwsDorXMsFC hSEqCcRa4LiDsykSFFdCReNtNnbY7dMQtowYRqW/UQVQAj9pb3cUpvZJa+1l2nor9gKs3wdvwUfY W/UsiDksKYxUtKbRYgjUSIk/V0DGvPZ52tjPPzyWwHhP11nAN5gk0mfX4KcC8biXE1ggkr2Tqsgb JdPt2u5APUePy4/jFv6rzjKXImifvnJ3W7BAVawS/I3KmVbMzNHXciG5D4lRehLTkNJFoKv6C9Fk BTn+W2j0eCZaxBxMNEKJuJM+39DiViMBP6b9G2IUoIotYi/w1xlBFuhugMNKK+JceYaxRksKWWTc hi9fcRApNQF9doB00vQulck9fRTG7HGdNOHV17WBhX+K0Wy6lh+D1MFkqeIzmJv247MlQbRIB+WY KiSKUMakWXsTXoFBGN8lqo7iwPTY7Lp4Jkas/YhyGJvGoXsGq2LxKj+WLnaisnvVbwclRBt++5Ea uZ9rvE6jWsxyaDXSc5kw5Id2CFo60/DuL06EvQiDVp0gelhprB36wf04diLtaGqo+XYYluvnzmWq NMP7gvEgFnRpneuE3TJxiKSJeS9DIekA/YTEXdV+lwA9VmJg3vxquDQu7QXVMyL3Y9NkmJ1gGsh1 MQZoKLJf4JGB6xqF2wsOqYPbX9Yk3ao7LNlOgbiy1NxMvmBydI7nPDDc5FBVICxIEuR4oPyOiaOh 3Zgho30R/eyuOb4Ef762J8FHvO7efJGbHs/a1+UipXR1v2tVU3RdI0qknUI5femyos4nWF0G10/I 2WFr4kdRCFBsa4mL0mqh+3yWd6Vob36yOtFCRrDrLDY2XUhK5FByrGuh8XLrRxGleWMmogM4BzCn whFe6V6PZST/L5YjLkn2zQ0Q6LMvtVJ6fEoyPnMyM4R9YfYIfH7zIk0sVoMVp/rcCJr4789q9C6y 50A4sfiJzFoGF5ll5NtbWAj66YCnWllUbSc/CZcHJE68je2U3PazxpmaNHHc+sj+7zjrwc9Lg6IJ uxp6RhHAyLUYSqZkA8HXUjq2y/68wSmzs71LycxDQLyjuuAgtWESFFTmtci7CO6/1y9JkK0vFtTe EuZk4ks0flBSEM66C8hNMTFh3nGn1EN/nvguFevl3CfNBB3WNBc3vX38pbV/Sxt0vkJEc9Tk+KPL bCf0A9YzxHWQLxcSLa88EmiNX78v33hPzWAeLI5v9TZAsfpMHrj6NJv9OY0uEN8yBaVI/0LWOzB6 rzx0IFiLWuIg9LFZA6y2djjYadm/OPQDpShqXE89sgFv5D9vSKr1Mo6O6T+9mT0A4xJJAX192pOt N0gBPBGytK0jlsFFgS8K+8eFzE5r6j3pYYl6VT+uI3wLwe9d4tsy6VTkThAtMLmQxqSvggqE1NJV 0cp+56P/JJOZi7cpHtuKimNTfRTTVCESNahbLAYhpjqWKgwDZhz1C4yRyPS47WuhLJfLyXHBNmlD PiaMssmgaNkPYbqjlDJkkNiUE6Bj2z7BBaG3/eotCJsp8gjE/YQ8V9HdJN4DunAULSP1SEviFKO+ J1N5sdam7vmNCmV/rx1YIRTpFBXks+XyjwdduchIDnDUE5OI04nJotHTlulXsLoyj/Sqcbs290zr sUcHIi2jCTl87e0W/7QafvvXyWAah2JGWOZoK54VL8bqSwO8YnhJhnzRfnWWpOfyznkKDPdmghyE PG4IVYax9Jfw3f7vJxEpvHXa3BRty0nJMycWTTWclPOx6D14OboPv9bW3n29uNaGCTl59R3VLyCM 7FILteXQ6bXWIn1/3mI8+uO7fhxnKzF9NCHklEcgzFj9lj8sPzFlmXvfVNOFRe7DrV6yu+WeDZCE jETPY6Pn7UrGfPFKK1LXIEUKzfbb33B5wD7M0OXqK0Ws6aFWN5wPJXCb9rZtSovVrTEyUMOhsJ9P Iwfv55wDGIQ2CbmL3vk9AXJB77ZLcDzIBJJtFMxeiiWMRe0SpMIfkTLR/0OSz0yeM4E7H3nd1Imd TWdcLGxo2a2t36WhHtu7xwtD61rw+qLVM24aowNL9AkutAE76l99NWfnZ7BIn+CHLTvzxb4oHlJx R5UUwgaojx6YP7kePxUOELx5LF5djyi6Nxs2Ga3hTu2Yr1zBq6kr4uxw1XGeBS+inkcLUtPWDc2H O1gLtgS0nsguBcqIC4O7jrg1aQgeIdcBnRgfgyd8w9fGxzRAlq8/BUPppWWqPm3fBNjp6XSlFXOv VvW9azUcfcg0VLV0ucp+lnKbOVsiLxKMH88yZbvJRS93FM0eyy8LDcCIHhYHlkzKgv7j0+Z4Ykln lpmigrK4yBNnY9/AZzVL449OJDWLs9/mZBbLnDn28iRdvcETKpjq61B3GI0y9hD9w8M78/IH5YZR 5g1SdGYq9KBrNGQAP1Bu5nAzU86yaj3h4GK8VQxPXAN3pslV/8pCZZtZonsU3mQF5oC68A7lBiN5 igJJCNKvCc9vwSfmnRq2nMMFvs/VnmgTHsNndXmCykeYBK//Z29M7nGDoGe5agyOg2uta1/uMUoM zpXufOpDrgBFff/W7IMq4dO5sgiy/oNvfmAByj/ZUH5abmMBLVPyeGW8hR9XBQ6YWGwuSqLNmpWq YzzqW9+RtpIOwDTEFYcPgKBntkBrTiSXzNHTR5dVT5bKRaq8cWw7XH3g8g7lvF+OYaqhugE6XNzb OuBlt94MtIGCW2qKzvkJKd/3h+toBDNiyo+kupqqCIZGghTECI/h2BceWq0sjuxoyqxmWWSvAXZF i8atHF1nrNawEL1hcpEfm5XWs0dCLCaXTk/0Z6GdIoHt91YCH7dwFbV7ogr+CwpLfaPVNgwzME2t w8TJ0AqvkFGKPt6v+Bv7k8rh0A8vRF9vZxBD8xapV1dAOFbWws5J/fE6DuSjzCiXH/EXKl4XMGhp Fc6Lo8DVwMX4ZSmTqKj7Utt0A+d/QlRkj+pQyoFPrX8e0gcdUNG50CQXdyNZHxQaWyIdvlM6eWD5 85YcDe7YhWieFBaU959qVnWiIQ8yr1IxFGiJVosme49y1OUtFoLdIuZ9/bbU1M2AYOtTOmWvydL+ eowYQE7lNv+kmEBj13MKFXT6RGFNnoYjF7t8ojEHvScSBadf5S1v6yXXIdTjOjDQwtslHN/ywb4Y hJ1nHAlhn/X/m3tGlDVeyOGfBdaWAdWwPZD90uROg9rZsA1uN37QCYhQJKVWAp5vcyGoJDcWiL6V wlm9cJ6OgMqOY5h9OrQqEW3V7EmWs3gb2/dccvUSWdbIVWxMw/FH6+ES2T1MZiJx61i5So7eoaBd Sm9PAIHbX4B4oL6GvizLBlTYT0ViflpMASAgsqnA4jysgMIMadN75URvJNkwsStPuU9tbMGgvwIs nqwFDFyIrJ+tHTnVFLpLNtHmEZsPIAJX1+OWvsCbJ1ngxbTdBkx2H514hFdH98oxzhB+3HavvrdD 7ZtFdoz9fxCaZPtehHVDuWoFfVVCoUlX9QTMyxwVv4ThkqEIw8VWyZiGL5oZ1sFSYdTMirVMXnAB atNB58ZjZXGYt19qfyxXBzpWmLBdUy54aVQw7t0FJK3z9Kt+9io6Wj0q0YtTGSNtbidkiDsJC/vu JkbLcOsI9sGbEB+uZo3kncWPMHD2On6rfA78HGej1TXUyON2NfK2k3GRnBHPfrpxXjxCozT3nhtH t9gC2OeAcbG25Z7bXGvPR2XPuV8PNHaalUFM2O2GNHHi2UAhsRY53H7tl/e4lhACVephTyQochKe KD74XQpJqyfxFRXeAhoxyVR+zzsWtQGr2pWtAHcfv9HgcKLPrMKOI8wXSlV6o2bLhjGKH1uzf9Kp eD15niOV+z10+P3tkd4EJR4YWewL384mVt/w+tBKiiTIwdsZmNyPgrMow6UvHxALlFSIUwNiIA41 lMp94Y+TxlUvvGiGhoizvE7HRQJez2xzh4KRrZVzOdx5mUpCfscVslOyiGyRK7Lrpbmlhe6lo4Yu c6hBRIpR20kTWIKCcbmXD9qgW05kpeVrNhWeLhc4z8xVZQjUW4Ndsz3bfvOaBNUR/D4rBlYc1jXT 9CBJDtGohm5qOysLFABkGz46RXmI5hgxSY2cKb0mZT6YNpjsbKf8e3+tlgCL5kx4UEkjaMCSePkR FC8bbs1b9YJMr+A5rk2UsVNLNAu/nU5n7cz8NxJe/2oAbZh5j48STGAdG63dia6uHhlPUddEuHyV cR6ncGQiKgnxKcLlgCohYRn+WCnK1CvpR4f1nZ46tIEYHQPrDJ38rekFnJ1W/5cf/lR7JOvRS36i v/BJaoorDmQbWRaKRxI3+vKHBM0piOzhlS8QyhVn4I95shZhO+0BST1g8gKWaS4Z/nelgUuxx+U5 t3pEZYfHOqbFwmuhMxdQRIBIRkVBIOTDJakqVOIk0XqeqPX8BNBuobPH1GQBJQPprEmPx8u+/1iU tHnY0q9VuJaf2zxqifqaNFvbWG972JKp7t+nlMpXyUZ1UAWRDTrwA1mM9QxeiwfJMGmPe4DpruHG q74JLYErK4TaXpHKwn+g7JtJujrCLuxAASbHbqdvh9iykjLQJbAt4HVaKt0sw+gShkYImu/ZV500 sEIeyhKSTzvFMWjqtENCraOqnWZ7pMTWHfFEDB15/1qrKJ0X6teMSGKJBtfhKCTw9g7R0MaUnliw luZzIP1zVTxSxgLvOe+hUkTi1YTvQDf060NMN7ueBQNhIGcuAa/HpIlFVT/yXJIYJFVeWFoOh5Vh 0Na7QaJFPvqKT8UP5fQghCP9EaWabETTplxeWfLRGDvTReB5QCkBe0TtbvG1m8Ss0EUrcoFH6aGT upJd+KWt2LhmLYhWWWFSdidELBOLTUfsIWhdk2nkfzlmys2TfjaqgTUSEALBB7ilNgYw5n4QDFnv cEHjTcVZ7Kbrevfe7qzir58rksURh464aQ8zRcLNgAh8hnbQUR3GBp3pH1PmeTZ8yjT+XhWQEIAQ +vCdhSEtfxUGfs5A2WQdXpPDAtjiOU5esS8PJdNzndX5MS9mR2d2v4Gb6XwhRNfiCwk9MVsZtDrm b9jyLOkWR6k1IJSxaue0ESBK932WCqkzsn+DJPkFTeq/rEAuWTfglK08LFOjWD2A7PE1cKR9V7Gs GWLAPiwHCHB79ZSNtjqNXcZ/T3TaePIPD/4IAdBPkFfAFV+xsDLbcJo5+eC9mycsBhkz4FN0Qbkp H4aNfV8Q6Gckwg2cjaItWd7HIYoQFL8IgraTS9ZFajltyM3vZ4R7FQ6osV6QzI4hJZDFHdGCyz8S uzZotLAx+7APz8ePdEU2ssKI1FoUrXYhkRUu8Ko/OD9szT703OJKYyMI2Ught58mYIk8WTnWu9c6 nQLSBj3oI50YyM2yP7gDe3Cxjk/12gRkV/G8X0BYQZoxoX2sFz63XO3yXgmcUDD9mmDvJVuKtwDE 4HvNceiQQU2MYqIx6HRrkmGviKW69ZF4oZh/x0zSzUTNdSzKbaHjQpM3RmbiXSu7vYrMGaTLV4zF chj0NF/CGbQg/j4RTVj9aSAiRJZmxs3BPzzs5Uhtqa++ETO81n9mPPqWlI+nriFW7mxWOlsGr4zj 98ljviVNYXdAjHcYHPRs/NI8MHDXE2TflDcywxf6kJ1m+NtqotL5h3dInOXvTVJ2jsqyEq0HooQ6 WS24lUfLq1TRMjRR8jKmLxQO+iAtnQjDZt0mCI3swJl56I/Tb5EdqowFFA6OHr/NVMjbh1GPeG0P 2ik7h8iI27ivfntTXzcJSEF95B22v8fY/uHV7ilFVkbYyiglCLaojJNtZAKkRMWAAKIV1wt5pn09 1Hj9evg8cBCviCOuJz11FVJWxPBsnll1mukfsWeteCbXF+g+4h0ShsWVGX4yiH203R8hW49YzRXk J7jdL2j1NESJBPjjXzF2tQU2Z93NAoHomnEgEml8br02M94Av6bDegdTwbnpHDyFOUQ7b1dCrjpS GK1bqusb7z/4OROLrD1JC0Y08Bdy7CuC1h499wg5YvI222ZiNPjA0OrxmXm4Y+VjJLnGYsru58m/ uflqNQrDiJJieOWEk/Y8nLX2tf1gKQ8Kj5e5lZztOaYn7zbNETJqZeZeQer0Z6MkhhllOtb+2NGj ZqRpdG17VpPcv5N7p0kkzPPIWRC+MuScpGOi0s6CZZWkji7rMSlObQJi/VvEElSR3OGjICTKoWDR vHidrlp7Nv6Gz+G2FgdR5GBOUp59R03HdrG7kAmeDpUgN/FqyHwdFuhWUofYvewxEppNitjVQEPW sGXbQIuWdfhDT907twBfpvqZ+egHA4DCViHPjEs5B0XRP+WVKxvAysea/sVKFNL33fn/gEPkKXxZ 3Tfm4ENJSp8cV2DaKfrhKhQUovK4AmX5EtxkdlnHuvcf112bHqn8FNk/8FIyKtAGi+O9ZFmKx55b 7GGpHZYazpKd0sadh8kUGleUUPldF7bWozdavqNmLKmdV1X1yM55q7umHVN/2nplvAF/mODN24lf YQ3QHJl8wR3Fvv1YyZMTvFpBPUOq44ZKEQPEdRPB/WZ6k72eWSzU3+DzGGQPYKrbv4azVLLgWX0M hSy1mtwLkgm9wQkz4cze4cK1cbXGhI7qk/D5Is2uZ1rgdcbiiAlOnTI9C6YcrWlMnXvGIMz8wOfx W3gFGu4xaQkogprcj1BkAwF3/TERYrc/hk8eNh4lg+u7yWp+1tIJL6y7tHvDxi9LBZFnpw5ZqmuI 52BVj7gft0fOUYNy0cm/hBTkOj4v2n5WheI5nmf92bTi3o6hGKPm+Yjp/Pkve6LSCTBEUbW99grm Wzo8DL+pXAbPQ37Mw/AP3KtPqKcMURAprnDDTMexHa79pnK+Rrf25VfMymSWKzt89gA4CIMrgQTi geGHrtsmzRXyDbWgIwqf2PQC6GA/FpEAk3leWzMBhrpmQA5hUUtnfRGC3fqdsDA/wCIphbFsFssP G6iGibL29PXJLxhDVL/2BK5zW9rqg08ocAhPLqq2joVTRFn5xnPHJ6L5oMkAIT4vuv+ZcZLGGsXE m23S2tNkduCg4ykfQU9Fa46RnBJB/uF7nrQTEnFNPNcN237l3YPb775lyjROBdB+MpO7D3vNaUU4 qWn8nsQJS61IBHX5QRABRjPZHMRsoGBRdqW3Sjm2FMWr7VEs8F4vGQ9Q9gCIjbMA3ZLRaQwdHJR3 +eSk6sbpCoOWIFe+yTPRoNp0L0myUDgMFQ+pRdp4KyqIpPOhcbXcvKOGt/andxfk15LY7FHRpiE3 7R2HPfF2GsCYLRyjPPptCn58LyY/zjnfdGtjxv21J4I2zXs03U3qiACZgln8c2FH8J7OLOHQHaNg 1KC7jAZHA3nVeXPOkT2IyZy8JdWBbeK5s/uGg5Izzd3q2V2/wYWwt+NrKN3TtVdSsANTugCzv4M4 RM5rH9vdcc+gtdSnwvFr0rlmj3PkGS3Cm7I2VRN++1x5qy85LXsdL1wuQZJJXfJxC92O5wCSK8xn paNlVvY6ua7tyBuE7NXqSx1qMUDH+2o6O8LsILM86pCPdto1/JYpXNylfeuItYRiGPR8mOLMC3dI MboAd3sRS4Eei4YyI+20DiK4G+8vqW9DnT+VShtlfOWAdldxKfjbPbFqQ4M8wYK6eYiVDRHwY4eD vrHfaqJXEVoK6wy+/0iQWPyzbUTFEpdaRojRk9GhcKQXWCQEGaaDsXL1KwchPk6Uz7cm+4Sz4t8S gWyWuGEBPUAUdVs8MAk6yvrNsPEmzQRkT7GSFSiG/cDDI/TpMEJU+rM4yWvytMoISkMPcVYWVZqy rngXjf/Gftv6oxHZlueecvwSJixRroq6Zq1yE0REBIhMIL6OGaW902hDeV1OzncQSHihAr+gg/gW 5PFsI1Sjb+qqMNoAWS6Y63zTWQrf9vDzFbLYsaueDlkeBJpKxAP5exPygr0ayp+yuMzZL5TGY3fL tJqsK2ACLfB2h1PN6AppAnrh+OZrklXwlyoMqvSmQCrJj0WyDz9pMTv204/fAaKPTuIn/r/lBDNV yMi4A0KSXWUkYyfprP8rkYZovjJxwRSGl1bQJmaBuWvbPFS68XrpisrPPFmZsNDR3vtgjhlL0qfk jEnSfxfCDmHA2tCgvUPIchctqZf2N3pyXQCFoiZUpnmAtEihwnQ/ysR8YmEwMcpEQ7TODjCBagsD vzzqj6BtMioe2DUspWlcinepeROrJKmxihJ7lnfm7eQ6vDzAyV5uOi0h43Ie8xGhQvdKCWdmT7KY Q7YlUpjN7UNe6iEVfei78u+AuYQjJrQQEsA6VJS24yjUUf1SUBd+HBLnDF/pczzXIJpHhlJ32Y9I 9+f3rmzYxfXIBVYtDgvVvg1vu/eAwhSmXqgH04L0RqAuI1RwLI+HS0Emq8+gsepunEVERsXyj8eB faIpwhLzFqSvU9YxnW3y3cICW5ExqO3Ctn3trZwWtz1ibbqUIrwVOi59aKL7J+C6CCt6dhOQm4Tg VBElzlE8G81LzBqoa9CEmTAC7hUsO9BZN9PHhanSovbVqznfb2M7cIItBpt0TEFTHkEymaYW4Ftz IcPCanvWxdnodGuSMlee0CDEVl4T3qjkbEuj6F3PmNqcMXfDeOTTLOL1QbNZW6n3ocHpKWp924qu dHCktWokYCKapcSaV57krWGEJou4cJcjNZLl/lFN/CleaRwFCvFY8CRKwmhhYGZ4far1p46zyxCk s3D4ef6u83scuWtlDtngps5pDG5QpvZyAFTdOJ63OnAqWj25gH25rjdQZP73jP5ySyWvfUbLc0TM meiNDW+E/PAVjk4/peHrDaCw3+ggbHpmFuIgtncYLaeTPlA93ufFrtEuXonsskMt5Y7ITXnbz1LT ZH81j7wyF31x8CmDNiZQ4c5AMu4GvWjk9R7TRkrZCTjIO98w11+x7S9frmMKHYZxTnfrs7unWr5d xblE3JsqNj00fsmX6FCnag/ERB5SQlsC3ANj5d9n+emfSUsmkgyzMgOEY0nAMgUdqByZhACGfjc3 Fp0J0jW3fEK9iWOUA/f6JMFfIzbNf/I7B9c+GU8lqdCAizlTduJlUgXgDoiBxiv2XQpLu+AzjH3+ iNtnsJj75LFRgiUCrwW0IigWMh3+PdqnLaIsppswJeM993y1pBJKzJOFtOBW+wpK562AL6XIGhye onqt81BI4PsXM6ZzO4tOCee3O8VbbFqlhzVF+T7VVl96gu3L+rQLJtLKcYws7mx383Rz0t3Xvudc oTgsiCTn2CuN575+aF9aD/8s9dvD1skoYIYOo5JM0mpikU+Rir+dy8BhRz85x3aOVDT5ARObI9E5 RhT2hoYHrYmoKn109IOk3H5qX5oVaIIKsXy2xVXSHpNcP6hQM/vw0GdcGNkNo8vonnwcJ86TeDZU IQWOYEVmLTpZ6zoFpGWi4vay5ajOvil1jiaoOuXprSkb8NNrOseVZUgm519wlKb6QdGNfaLKj1xH /EwMqELvr/lLuhwdSHSIsL48zl6APtLhTOa07ZFxldkTc6L/vvF38V4PKoQjfv2oTooA1hOOZVYE F7lHFd/xmx5VhQAanlJAexyGk1wnfFDo2vFEHINTeI+RRaQvt+1d6QUnJcQ5U6QwoRhhdLGI6fSg D9haYwkY1BdH7roHPv/UwhbskAkJV22C88yrA0XYx//gSf/W87GLLRicrcsjYUmjHqNLzdiO9KRC vHaDZB7grkVYrt2MfAQ11IKlO3DYb+UeNaQYHDR9EOMli3KYE1ErvpIuw/wo//rAS/s3GSmYPFrN 7eV/dHcBbtgoFxekVZrCokx6Up7g2MzrW5bKZtB7RdEatu7UqYSuSG9BSyJ8RycqmAREThBrtEJ7 a/yMkZE80sRqat40/10Jrf7HtC+cfCDlix9LD4bFpsOMNR2RXTnlpS0o4Y9yt+b8bqVsXsF+nLZf SmXcwOx7Qz2G5Tz0l3xeAJQdSaZxidDvs4c+BqYiaYbOBaUAMjDnMlTMKyn7UAwOPfZL86mRJPgJ Bf3X280pZSwHTW9M7atk/+xuL03NBCPFmKmAQbPZYMAlRUablLQNCbEHuicK7C5vUNU4IqHn+flO pRNDI7eXt64BP67ZqrZMJuyXT9mu6utAt8M+4Iu5Qp9uE+HQIDTgQ1IwPT8cUgfR+7HkWzkzvd4w gfEeZsWJt0BXp7ibBar+FG2YK72/4nDwMVnwUI/ic11LPeaWNOTLfcIFwvoXd95sFWBPrAWhaxzT dcdCwngh/wP/EFxoTvssBxgqbtWE+AKLpEt4G74yVLqwZrFP9j66I32bJcv3eS3RCfru6iQbHArc 65gFF7mDxeFdgtIoZ7yE8sqCLypfDxV0o94Mhr99LujflBQo+XD28qZ54L3km9xe8wstCJdp6N4L fUW1YHUNYr9ZJxz/2M4x0e395/YSyOVA1HLax5wH5AzYY2zZZOFCvFoVBAl/mUB89XI3r0d77NAP SWQFLIL+I5HaHvVG80hQ82rLKjVzzwa1SUEeNA8oYK0OykEnFlv5oEb87Q3RtW7VcwnQ20j1/Xa/ JdzcyuZAWggP0rQz7E3BvyK1iO5R5L4MuwFCssSzXmpL9fPp9gGT+53RqtS3aCD9ji8sben1DomK Fi+xB57CHZFOnOqSWwG6iaV/96XVhY0Y1URScK8fWYUBcYL8OM/iwX9Yfti2PnWro0stNtgHD0PA +NkVPOBJrQBY6/wt8j4IsKOcAB0va6t3eNI1fdSV+/YPIuQiBoqdPHBU/f8ztmjukQ456SVzmvbH j8w6i5jS56kluEg0H7FX0ObeDjVYZGff7p7BMG2dg2lugVv9QgwCd84hzacrWq9LHDM10YIMktyh rAfMk3mw1LNPrNZHvSvjhkEa7CI2JZzxK7q+AT9wG3961LIvx6MDwc8S9StKzn7n1B30ZIUlIpRj KGO7RGUWj0EqiVxjkVIMWTSNDhUUF6eMqAUp5ZuyaZQga+PKGh7QPlg+XWwVyJ/mhmdNK1lQu96B HDRGC6wNCaKs95/85njSqgJwf4C66SmOL/t1b0iy2gB+15+KKkrloTvNoWT2AY7qJLqMAPQk6yuz uN0CxUxFtrrBSzv4qUOq/YUVoXl8NOBGdrtl9DUhTQKAIdjlMwuYrqjmfBjAzg0lPqF1eEvco9fu S/0eGakxBGZ3xLNjUpcWPi2J0e9lgg3O1pJkZRHVS2+L6ea+ozg8YCNmXf95aNS7ZxPimoFOH5JQ Zxby8rRyzsWBsR70urLyvLtdhk4n1QvEMmIqfXHxeyO9k0ANChndzlSsDSaJ4kXz4yl9I2CkrcVE YZOWE7d1xsYTVoaru0sDVy2BwZSehtMZKrsfDCP71N1vt4AmRCi3WBD/h1QCb8G5k6pPwHEIdNQd zlvAryo3ej0p0rBolMrTH2xy4sqC4HrJkR86XLtmi2UeZjN1dP6OmE4H8UT0LCXW70sSoEMB4jkK 4ztRc6tqSl5Umks3ApPWXoSVxYWCh4R4tZKA5CkXa2TQWX4Wx1KRrvPOYRIutMTlJm/52++npmpP QtGsTvnhoKqGf5kgGfJTFj8PJpghDvmPaR0N5VqkOfN8krIFs8Zh4x4NSkLs6JQc2mgZMx+nWyvj WgmNj8756NSrE3uf7+qDM8OUfqpUY40iYx+RdHZfJ755qle+1o5IZXMgbtLdhcF1pGs8JW3iUluL EZLVRRcJ9//qGKWdwLNC/QhUwQZpgkmV9keo8YVpZJbH+IYYL4ZGNbt/gdx0rjLVFvNGfvZ3ACtU jzRTELnNw1+BT1zkj0G/vypVL7/o8hUqTO+vHrXkR7qxcNb/ReMa1E3jXK3MI4VUY00st3ukoAnH XRrecIotqUbGXWQod2f1wzFVzTidB+H4DYhjGgqAJLgTBUZZ0HYUGCDo+T/KF3SfrFezBqaWT0dt J/hbZXLSe7THCW2hN/dgf8JtfxJdOOxtnmyBpLz2X2M9NBXMnXZbzAEjJxw2apJi2FtkBgPWhLxp 5IE1zAOsWM9GMk5RR+aD9JOMsvV/sXCzL9fO8l854rKpIqIwFhyNvv5PxbQA90CJvDtHecsrZ43S ghU/dryJd1+c1A+IHJNyax7IjODihF+MyL9cYSq7zcRopdGXezV0xL6nikKH9PEWJz9KvPyPonsY b+93e9//oCCi+/eafCmA72jxA1fgBNc/8lglp25raUn+Ko074Xbw5nX1UFENHYLIPj8F5eFp4Gxy 2ZmM+Kt9ys424V7XXuaGN+HiZWD4GYQmN2UxsTO0kKPNp5zG+WvoXMGr38qK2ROf7e1nJfjOVmCt Te1seEQVpYoDJfjZq6Vx+uDI0ParwpmrZNeUCN8yxrjLb1ViG4RbxLlcuWvg22aCgPtYxxvWUkCO WJTcjYVwkfLbxXdqBgzO73SEYPJzWQWni/yxWDUtEYA71vmIegW09JfegNFgXdc1yzJeGcNj7Vn8 sVz5HNkl1cpwYBBdWKbv6AQUUkDYEWNL1J4gMScierspRJDwfuIeA4a7RYLiobdVp9PF45Tp3LNW pV0s1D7v/mVzfadtBe/vvEe0dRkglzXFSTrbsMNK6G56Ef+0ARZ/n+9/CYJ7mHd3JkoAsrGBm1wE zKnxcVV4ag+pEl2f9GwautTb2sg1X/kZ5lq/YN0L1iKHoxh41RY1ak2H+4F9LdCk1jeXPcBSmuQL eqiiX2CoF2fgEQJmz2EGm8lFRaoAU6ZZMajcpNenyvJdt43yttv067RFMt3tL5/gcrmq69n4ZoDX 4dSgPQV/5njL0BDQnM5boqH2NMlfTZzRr2lUrPsSZfYNDcTtUjfxqeDKQ4jKdzqs+I/ANI8bAWoG 9mTXqqDtCdCJCgjgaijGJfiQ7YLT2yvf0GK2+5Vi7J0a9aMwkbxzdmt+cKJVSXP1H4CEzBZHXx+R XieYFG9A7EwHWib2r+wzvgHt3umC5kf56qdXCG9BoZJuCyiCll9a6WmKcPZcS8Tdng/7Tt2YfjZz ET2xd2Qog5ENa16fK33rPQKGOT+S4lW/ThezKAbpgtx5QkMVHNVQ8TdMGTlq3qoPSzrRASjnVgDU N0gMTFQaSmB6xORIOGRxcs7RpZCH1iTkyquYnLmfCzYijI6ryQw5IGqKHo4YR/DasbWQYKUCNSS2 B3uRK74ZoCoYxL+r1UXKbwW4AW5KlVHMelby2BsPjkKOQNuxOBfrwIIlRmWkMtJ26zg6nizxlMEp SziUVCjcgEojVG4yvoUxxoIpkHjuyuOEZcBx1KMn1m1CVFWxNtC7X+Uef3O8/Z3bvxr+bq86w167 Q6T1i4Jf9kBCAB3E8b4Kg/A0DqRh3DbFX6Ez2vdn8eh4p6Ucs3u0phBQY3HZcdwrFw2m1qpcqam/ q/rJyN5oQ4WPKIj6o1hukDkwjUD3XJRSZQurqWKGsxX0QVhPHstxJz2ZO5xzllV4yUG3KNkhCA1z 5j/QzgWjDyq8F7qJI9kxzADZ+jkW39FMOz+SkEymkVm16752fI4B90axGXtU4YnKgbh924NXQgaY nlWqwQhBC/qEVFPMFYm46F3IAFHF6hLiy6T3qyck3ucZMSq4jqazN7S+8gkTVX9+oWT3Hsqg90fu 8LfUwFYvLYkTwNjBSbkmHBlyh+q8RSlQGulqZiERTwB/1Kl3Cf7GRkssVmWvttAMIYLO6KXlqFPs A56FUYWPSOBzab2OyaFHdpjp1JDlwhLFoE3OWKbZg/sQxA9R84zcfOHpPLoL/cboJj3CqRCo8QUB BtquaE8+wBL2UO6zhoQLTrsi8Y1xet/dc9bYTTYyPepm9JsbUiDpk1PP7wyxIKZVQKdikkXj3Vdi tAurXJbOyVcZCijmBh3ZkEJADKvfzLpNjfrAFYM0P9hRDERgh+37Hbm/gEnL+H4BSW/0bjIB/Ou+ 4j4boonHn83MBX4j5OnfZHIX7Rfk9/GdATHgkqfyWcsSM0bwqSvEpvXue7EoHzRxM7E6Pgow6/mF Y50S/BSrAKL0TgsqMFu++ObvEBP9JqzCZbbi1Nzmjr7K1Q0pmMzdcnDu5mPiBimS3d2FtKaiI2Z3 /p9GeEj4FYiWfXnNoeXAdPqi601ykBkZBCz+puGDKN1L0jLRI7VbOf0+6FcY3j+/onVc+KNHnzr1 qfNt4IaB067QSVyo8dk4yK1LMYF/nKb4aHo2Eypz4acQ5Q7e/emCiyMBDieIt+DSOJXy7hyOGxNE n9W777hgSrSYWjcUzSAs+EkrQZJtQSHEjh6/e9rplHlkUD96XqQToXGDUrS3kxEdziA7x8JhwEF8 eTHSamTU8QbzU3DyOBuuNDhp3XWxi6IEA6Gz7nd2gzAj4vDWJWK4jFWyWpOLT0ppG+zKNi5QbPXL /wNmmxrQ1GqX+nRlAAaUkcvNzeG/yDTxiMbBq45w0DPAeGPNc0h6JgMtPKx101gn8QLQhiajIyxV d0+5D3ymc2NmlO7cqewwaHi29o9qMEOWjnXSxJvz3CMIZut6uVjko3eyOpHhNziDhtQ6CMWrX6EE HhGlNr/lu1Z879j08tJMLtDzDK286oM4Ubog9EAcYSSFfbZy6ZaZ2uUbKK9Wm4DSSKpo8aQMqTQT sU8Da04EyUbU7DSbpKNyWMoDPc2rDCD8g7NgxF8/z6myZojimIPhgr92YuWtWrpngMNilu9qqkTg huBG7fdqQj7Pjw9Bo/BOOvOP15ZWfLnsRYoqF6RrxU5VNrpFcmJKQOnHoqKCKZ80Ji0rvXeUdKg4 FQfH1POpAzETtpWl1c92s+Jw8YkVomy+W8+c3I3hV3rytMvoyICvBlGLC49nGsCGySuLPAYcRMcV uHEATi14CVWtGCkyEptIRIFU0kc0sJGopBz6w0X7Brjn8OU/PSRVIErWch1TmfZ/Vwi9e1HqDi8c tjtNmz4h4yKN7sdMoOQ9v2Tq0iz43F9Zg+2PraeS57VLx68pryur7ElfEo3oAmOY3j5AnU/P/AHe krfDxY4Qi6JM8yCpBIYVd2lT+kl/BW8YKuGJ40vU4x2Wy4bm9xXs/gUO/xLZ1Yf0XbUZE2PiyXQm 3sno2oENo0UbH5tjfWzDqRiSHVERA3wQWplGUK+mmAQmwP6nRv3/gEF9RP8YwiQHdsOq+Eug1dXd vx6AdoT4NEB9q6eBKvN0NkuTF/JQ7QjWd/5If99qZGxvLrrETgYBBYcBI29hzd20V/PNvoAaPy2C NaFcdJBCA2qmeABxkkMD5xcr7p1zvUfjmR+MJXE+wyvWRS4etJQPbZHpLqwdrhOK3jQgZIMWjVuh TTV8gXWOl1Wciok587ru8/MjTb1gZtNudKWU1ZhnBD9rkh9GW9uox0ncyJue2hujMSecKstwlF6M ehhZcviV4yq+bvMSOYRk/83msSTsjFxbQXvOyLDJA1wg2V7K3zNViqPEgX57YHAp7cIsZv6K3M/7 zyJ9SAuvYIltzBVVpeGcAiPg68LRedBhv0t9aCKPngYkmmXbShA63YJRkBgGPPH5jZV7fc2MDqXC CR/PsX5Sji04dFBqcVT/2wS4d11bIw85Tvwse92U7mmVSj9RimaBEJBQwxu36yDFdU+BM7oo8/Re vmS9NzRWramaxLWC4+b+pQf6ftLIX5L6iTc5AiPmAGR5fjOngPPa+VouBpqd+XQ6i8LErtOQaKZC bwnjDsMJQqXp2npScy4A3lGVpH9CQQNUm2Osw3NLg4izhr0e+ORvPOxnELScznwOe6eI+lB5SW2t imAEvkzGE1KOdLKnarsN0bIQZgFRhGnf1I94GOnhg2iiy2hx2FhCMLnXwdZl07cvMZ/3fcvMrwOW A4JiJMc8T49WOZXQGQzT0fnReD9JYrzYCSB/ds8Xt/jLy6MqLxZ/FmAXtsPsU5UJYvEDgD5CR96x Qqypq52nghFarLKO84VfH5hkEQIwopd+KX6NQqqOW6rBcv0Ak1FNQXQzX+8x4nrZTQf+/HtTFePg V7z0roiRUUTISwA1PPUwSUxH+lCHruS7MzyG+EEKCA9LnwrmfV29U2iFhBiIs7oaN4LNGZT6W1Gn LI8LLnwmqyemZ86SKWXLd3urdR+ZiSqJ4HxnPPTbxiAngDIEYNNhuwPgXktyufGPn5r2rIkMl6Hr Ze5XdFQCVRfnghdCrfygCvvReJY/Yqy+tWd6cJeCmQ+sXzzJTQiMEtxvzCM9QbGrPnYTU0ZwXYTE dsbxAlx8OHM7Tq3BXgcdNzGgpE5CPb6rC8Sxc/Vlp/SunE/8fgS+pJXz3LRXpmtcu3XBHUm3AIFD AM8+lllWxuPqNGKvjY6/qo+MUpdW7+MGCngfwz7D9Ay8uPGeCKqPVWqJmXKS9gtKbjX8+epg4pwv K1pSXx15DNUrwj9yIblve3/khU2e/wxlQQ5CowmLrrjnOVOdUMTov2yHYuETd2k8ug1U+/flfXoj 0ZPbTaW69By03RmkMICBkXzAzvtFY2ZIe0QBH3CoVJQcE5RkZ2U7tAAWCE78LNgnpvruSiSt6m8Z lT9X6/IInqEB1ztao5FiLw1kdQGctEbkHxRrvmXAcV5tmVRVy7uNWaUcyiUEnTIcqLw4TK5DVnK6 Jz2AytLhxSPZISQd5Yv8thLqBM5WGGhVXTPuUWoTyo9a4fu97CCG50gzKAUEbBjq3ff8utFNBapu J/zdyzHtkVF2hvS4q5agbrcUp2go7Xou6ZqV0EMWQym1asB9RIgZNmfaXTpboXvJpSHj9H+e0WYN kyZdAJ1J53E/3cwpVT2Nw4HGi7rD+pSEsdto5Q3tNBKc/CfoHvetTA7e94r+gmnt+sszUymMJitZ dywaTCpq47/aWqPahecutpyHMnLlzvZUMk9WtPN7A+iL2eBRvMdDXhTKQRj/a9Vm+3hEVuZdhbiq KWf3BbVw3rYJZ+yw45ZhE3q3eDurIyL/1UZ1u2K7z6rW1jYSBG+7UhLTP8i97B1p0J+3eIfX4Ez9 Loqf7RtvSOwvoSKOAOzsvlmFUmyYLwvDIPeEtKVdhvTGlZn8h1Bine+AKc+C143UElWDavd9fdQe 6Gl547lR9JP8XWs4Jkd6qwStJaWgTcPw/+hAbEuJKr16fz8t6djvnkIiF5owWgGl2+Y6ErMTALAj SwUJcP/QaYgB9D2l5BrLdkD3gXW9Ajif7rsTNn8o791K96JVcllgl2n3etO6Rwxlh1GdQEGOSsWh KbRL2rapbujQreCTxXGI75D3zyWdlsoKvpz4TyROsB9Yrfm0A1vxiT8GNfgfgSHa0hbzL4OflT4f t6JFxdaNt57DJ4W4MC4yp4RFVHJ0taXnlpxO+p9Xft7mmbIAsCcpCpJWk84hoHqayXCVj7XTwz9w ZXLhW6hwd+OocDtjrqedUPXFRIpq3xRb1dAEyp9AC/o+3b25nRF3R9heIfLgrYUBCfoCIFK7a/Wi CnUm8qixr6xsNk7H07SeXjBiUFrn1Y6KS8PB8k3aIph45h3xKDIrwE1wg9JCLIW2KUKLRvu/Pqpk RnHMq3NIUzJk3szP7xxy11SkgvZpWBcrPujRDUHpNZBPAIyRQ5pMFSajPkhiSasygNJndFiWHmMR DGgiHv2N5ZiQF21G4P3iaqA3UENlu4wNFJmIgEysBrIdhZFxbhz+uHqZPbEkRwDMsr5DaTyKgknl VtC0gNXdf320Mr7F2ACFsPQKmK7RWnDSO7VXM1OSszFdhnW968RhhLNSKiv6thaig+SRhaR9PMrG rV9Aso/UGB3rFbQsCw0OFrDaU2sBwH1ttqOLxjRMtXsUakJ/pdY94IcceGfLJu6OUSIPGQ9Vxwcy zcnp+x3Ps7XbN4ciM5ImowT/J/DPV0j2Kbiz1aSXOMLImINzZ23eqRX3CpwqyB9Wx03vf3FWpnJI UtAh+7us/PKkzNhVC2gE+Y8x+78DjtxSz2x0tKV66eniFOQO6oQT3s3LuYy/H94etyomPBmt6XJg MutQiLeWJUUILgwZhlFtVwiXXln18nWP5UyaO/Dc+QU/qNcQW81r0f/SZZH2h2rJGyrIpR4c0XQ7 XJEHu1JZW4+A93J9GGVRBBqW505eEgjMgXN57pSj34RAxckod4SDTf2jHCyENVIxzpPQgSqqREqU d5z8QdpbL4UeZYn2TwUbytP2TeBeo9aLbXun8g65tH6JaXbPnHXhdf2pAEwDqB5Dpm0FlKnEvzpy 4O0fkZ0FLmEwzwLllUtbUktiP8wuKl/8+ap0dFXPIEdpAfTsT57kPtM6+vQVdtj5xlGkbacaePBm SNO+LGme4m52ioG7jek8/kGgf2VKOddhMZ609wQLby82O+u7MHmalc3LJNyMs1pomAcfRLDnuIOq 85WxjfH3IKQBJwPEoknQI4irLEtRxmf2pA4ioLxDjt6NXpicIbIcKOPRmOSk34jUuXuXEs7UCVZL 92DI8SIVNUA5bWvbYC1s4MCDqjHBbzlL3F+m2955gNlpd98Aqsj5vx1BBvlwoPD0l2ZI7EaFnWQQ Sxuu7WsvGxrp98NwOpjxu+7aWDsJtJVKI6J6XQ7ugr7kw4roY7RX4XdgUR/5yhus71N07RQ+99B2 ExwVsd56zerzXfTbHtNYlqcXVjNR7oeiPycaUk1rXJi4ZJ6F6Ejh+ywin6sY23Lf5uUPFRiP37wv pqtQNo0veF1BAQGMNGRL8+k/7Nyb3hSveYjq+Qy4uezygq5oCoZUn/ZanPb1QR9USVjUGVdRSoFb QQK6SrRmjmjp+JmO8ZhwnHEjYnDyDYbVuY4JIrR6Vtbya1+Kay51NjX/xjANmsWGCdPU2HTvg8sZ B7GIMJzNh4uGVPbnE9IuHzQrgVy02LaUXPW8izD2wm1YRGCVT6BgoCu5/+p9sc6xcnDa4G4n93my NVDgqHumN7whauL4II8icowd6Ihu5xT+X1Xl5JmoYTDIH8XVBh0DSdVR5eQCTVkOsqP1XBWKQqbc 8pvjYfxtjBAG2lja/UF1udSNAPFPEjCGgiBJ9LMb4YJ8p1IE6nZf/DUQKvGk3qud+LRu7k+uNHB3 BCbiD8sl0KSbeEBLVayvK8+rWcrq8OOyFGpFcm5hiAW5SCHHrSrkHtbkwkYAvK0N5s/W/5u3ZjKv Df/PA40TUaFNCKC5YSbN6sgkeaSiGD3XFfwQRq7KeLz7OecqMv5iOQBmiHOLoBzdTCbFH0VJyUMk KnYl2DBOhchTKTtgmu+wuEoC6afLRET7QcYk7kyGMBW3dffYTL2cpRT2VRRa4fhVb2JU3eB3FUvX FcSHL9V53AlCCA3Ob5svBdZOOStwgcubMZwjMIUi820OMlmTxbtafYSUUm073RbIUa9h7N7AG/Xu umYZ8MYr658W10YhTMJHHUnBAC2drjBR4vTG23hzBMxCrAb2TCHurgHyxl9PxqXKWCxSoNoE4yTU aCcDGGQULAQZThRorcuO8dgMgGjdjAvFAEggeAigHVqu7NjpxENlhIUO0nK221DbSMsAIUKqXpbi KHGrHy4zsOdVLfR1MPDRWIASe3khH543Jsz1RcziBPY/7aoxgGqSu0qtvRMpsvv/sC1o+gFzig16 D7+imW/Ty2OAG6zGVCSMNRez8rkATIWMXh7xq+4RDILOBHysJ0r1FfTnZ31v0uxTFbtbvjljkIqC xznHqjbn1KnqgLRXLc8/IYevje5deuERkORSuTDEbHUBQPPODciBmYCx3U2eVEyNKovRnyA2e0/f Q+zMRogNhVjmDN4+2FNpFJTnnboQIAu0mDiVj7k++aR7Sqfh9gnAetx2CT5IE25PcdiWa191lebz LTqdxLRoSxOm3EVy5iKS4c+xJlFROpnzNGyzewezD1u/Q2dNoQJ6Yi+dCO91jXn6KHhfYJTWWQZO wcjRtyhz3BG4OS76bpPqrTk01yj+Zc4CAp2eWyFgG0BsPOmDHkxyK46buSF7KYlBRNrocQx3Nwyj m5FZXWc3iBfqLR78FNYYnqsy4uPeinptyj1UPXNanol/fqLOV5Vt+qnOtIl6d0m5XgAJtcYrUtjj 7onL/P25rmAf31YMtcJ4L4suSBexIT2jQjhUhacyAeiXEqVKQcvn11JDtgADRWBVN8CFWOs/dgkz gmrc70N6k9/kUmdD61TDjnDR8kMoINGJngq7zoi1wImcp0VvAC3UYEagsHulqCK+vbUnhhkHUKNP C514dNf/WBYo6uxSkvcH96a1rRsQtekSR/F+APf5oG6DVcviKhbk2eiUghwJeiSZjDuKG5VZtyuu Now1VdEEEaXT/x2Devd/gLm9oLSwTaln1S4fEE5ydu2kENWGoL3F4kpnX+/BiEOSiuOyak/JINDU W35welxhHqqDvGjela4wDZyDGUCOphCvgsmTWG9W+2X3tRnyHf1+wKClT9/z081ZeYYdK21/ZhR6 hvJAuMbGOtcr/PWAglwd/rbOr8Nmfp9D0HfBsmaxLbjK9j8KSRlOn1cv/BZIcCioJzlGLitjlkgg UN5QmILQiQTdWZJxj5sfDSJo2wcj1XRIEhRdnhZUm5Z6Qrl1cyZX6z9Vd0dehYj38kD6ZHrCaDgS bFUgLjIvbzZ8zR9kwX30G5YI3gNGtqFTlwv9XPpYGeqaYbTr5YXUTrtnLXOkLtR2t9YOrpN50kp7 ZlA+ep+yfj/ACdL8q3tdHuuS2+u+zfVYYYlcKPs/bCEXxnTMpfQK+6DXWiwIxHcr6aR9VLPx0r+/ /Z/uzRjbWFwpLpALfA2KbcLyBRiu3bL66JyKXu8Qvl+sRgG8WmGPMhaJVMmSNuzmD49x07rk5ovM bXMeskmB5DRMum/YfimXXtv/+iQcnqKSzCsQUfaRRSQwie9dF54N7hqh/8gnSXYj6Lsdjs5CFkSq SO9gpUmyiMzyiEdSg6EyHor0T5fHLa6N9ToBby7rTNcWc967L9Ix6FD/nv2eFWelhTf0qZ5J9xQ9 DrIeLbOic3xT6UTxHF0l24sHQ4OY34nNMe4DwRyi3uhMalqVURAinLMWMGLB3ZRmHVRO1ZDnC1+T tKXgvRFbB4KNVKgwL3eLijIzVmRFoU71RILGWKTbX7tcUVc1d5y72mTWcSnus+MJaw5pCIZ3Oc2K rVV0bKpk/vtxkftUK80zRjkQLmCVFLbznktgoH9wg19MZwMee2LFrKfdfIm6xzOXWyxmIOdjfg5z /TlF8DdgjkRDj3kIcTOYZ0Lz30bvnhzl0eupfg+7/cg1z/7hffiB+Gw/Qv28GAoiFH301IXfFHYk ZuXY6cq7b+R8QgovA53AGFYYAlSssRxYO8QYvvL681lXHB5XCToTvbo2gg6Oys20jZWnIcESTAtC LDD0RnNOiLSpEwESNZU/6qxpA10Htf/j1UbVP4pNN2M3e8zfCCJqhKZOC/6JXuqn6IQ9Aib1C40p hMlsA7H03y5DloK8jyZTKU6eQ8lOpjc7P3ilhQ/ik+4+bTTRHAnUyijmmaP5euOom1PNubOMvBC+ rdOcI2uiuEBIld4uPm5BO/TlkeTmXl6vhAeQJc66wKxA7OlbjyodIA8obyCxbO7+iWeMAibjzdoO FaCeJEKfbxJdl3FezMpJ+/UGgOiOLgwz99D5dvgraXM30Gm/6386HVL7irnhe3M+qA4hrgcC8s/j rPFoNo9T5Ns/93m4qnLWT4/KpeXEwEru87XnUI74qAzKwTZUlbP83NcLDzgXTxuVP4uzZyA1SLwU KkS2BDwC5qB7APnwp7SYpagw8HhvTCFSozMkmcPEB2N7xQSf9N4l9BG/Lfzb0hpb4UDvysNtPCtV FBMWIovSeHJu6UwTQ9PPO/8bdRM3NHXlOM/tOum/9sXXyW/b9jwP0na6vnKX8yVc9QRt85995nHj itkfkr0ylnH9IfmPH47ZIKeWteiTo1nRLXVOK9/WJCpNJqGd6gb0ESzzRp2JUeRJ9AF/onYp4PzX I8sbqsQcDi1mPfaYQCmk7kpKrcy7gsNB6icifdaFz0a7/2fGPNiQvw49CNZNVJrwps4M4/k18OEX OW+ijXSGUDDyCCa4d+byT5RIoowJJStVhjeKX+zPutr0yA8lNMlHldkxTC7MYYVYYh1fmSk9NoJX jqOfH8rJXVkgcxuj4mhp3KU7FPN1zZ5Zt8z34v3zSPf8LdCjE3Dt7cjlkdITW5Sj6vrqNlUk6gqz thY2RLqQYyj/CGvPChGGCVcdCklEAQco6XmFV3bL0SCd8rOK7btrFh7a1Dvo/ds+0iAgtwc7LCga 8crSvC1w+xFXhF+zUJQGTGID0g94/eWZxEDp339eTJ9z3U5aMbROJq3zy7bslDCAFQren/CmGw1h 8PHf6rc4hhKWAVxyU8w5eGTXGMs1ovrBwrW8ViAYQZUyFpCzfdDDLYyr2kOM1fVD1jGPcaL/qlAT CttYOECZyJ2CEjDmNOFX3B3I/JXYHv/nX1tHsP8mMedukGUpmizpvrVJdguoVBGJQh5gZt6+qKeA o412dUs7aVAnDCRcovdjtB2ogTS7gleTPQrNlhLc3enkLGblQFgq8pMQwB6fkvrbqzpaLEcx8wwk izFodXMPowXIeOe+tpWQbhTYsQyDAlwza4ApgXPKxQjmNrAsgm7TFW/bV/Ht7zjN8xXjYLiaQfBb 5Zj11/IoDJQ9m47DJOxo3XprzbqYNyIcAaqnwYW62J+/foHAcJvhJTSsO4D1NBwMaxwdFZoNjTCv ikqliD/pp4GwoWTz0eaGNfK83IZNWLrW1dlM+MG14Jq2kB7uyOHDbu4puwilBm4WCw/cPPjcLt0/ HiP2yUXb5mL4k5EVFIZsC1CnleW6hk3XxQJ0uVnHL18sh4KMNxbvc0xxnm3WMmJWeCbRNv1H9/z6 6s8HtLiywMPp1heTAdRenzY32VxKtynzZUaIcQcQdCgbE6dSvTllEYuOLRrsze3jmP3YO8yB8NEc BlBOQb8+3LL5LVUSD0vLwFbXZhjY/SIZoVqAWsjPhuPYrUHP8vQPwdYlBxS9eK9519yf+RQqWRz8 Y1U2+EZ6QN8Ev+gFx23DJ9j4TRZql6daCF0XTcbxeDEgQsWus4EqEZu1zgshaPw9MUrKjpsVoIwf 0A/eHlsPk8A/xxxahZwK7J6coE/1KAstKBYePlwidXU3SLpS44m2lqBX0dffvkeAnHstGyoy1nPb ZKSIpA3+LAqru95U81Iq8supIz/V43t5c+H6YU05baMGiWpchcDUku0TKon/m9pdwh1ixtWkX2Bo Iw1sMFdqfq+76+FJyR0pmQAog0BUlqD54nUcGWLOndI/R7Xc5/MIo5T8tWZ2OaVNX1NrkeeFgYIQ G8Blsw8xOJkEq9YobBI5J50gWm0ET5wAx//uSLhNcGgbx+edxKKRCHlbKvKR4jxboU8M1dZo9hSb 4EiUd+qOpO+lO8AW+DN79WJN2X+cIc6pna7jRKpu3aj8C+0HnL6+RT1zAGmJBeCQS8hyoJYSHu8R Kj3qIksfuBMEK4/9EQ1NjKR4e0ICtA7GQNmBWZ3vf4p8Dyu2prqM7A1c50ZrsByNrn3EDbgVnDwt 7Pl/Jnf0nKMfcMHLPEP9XO5KrU7pSEsYEA1v6H1pCIRsE+8FPJF9K6fnvToffGSjC8i5EM6pn26+ a/ym4ebenBSe27SggKsAmlsSI4KpNLPjIihQ5NofPsuGwfjCCeRAJ1Tx3cLKhyZyg/sriZzlnDum F2yrATBiWM/m9Tt7B26+94f3JqGZl/2sd6g8BYLxua89TAKZwD0jPtfGQ05U246P97M5sYs47nwu WdJ+lGrcQOdLoFRVYd+mdJBcKeHhT4nbhtGXLuBN7y5lrCBsJpXpOCvCNBGSVTraQncGbQ3aXazZ OrZteWyM+yAonwxQFp2GIEbS44fPrsTFgjI0k27URSYz3P6TETj7T81NQp9rHWC1yWiXhKc7jmye W5odsM/r9xoq6w0wfv5iLWCQP7fnFxCxJQcTnFyeN0jahgCgqlod/df8MCg8SVlqm6K1yPc8XfuZ iL87ymW4gGNO8nCmOZh0JZn7kimwr7DBv9Qvgh6AserJ0LEB+gCcp9qlopo7kMlQ4wgN6ZxrCcdq 7qK/xaPJrRv8qZkEj/B/dL+Jggo2/1AqIUWnfmeEeHX93/uq0/qKnkG6R6mGrUCDY/gcnvAyOw9T QiU54IsFmTgFkh2v9XMLaUS2rl9YXouDRVo0AZj1/3ORMQ2uEklDxeb7fmKW9yDNqjG4AGBne1nf vVb6mwZeshmQPvaF/to35PAcXKtOuDxy99EFhHdvsFcphip9/q4E0MKVz+SmEqmeymOvoAOTcC6q BOHqu3dR+p3atjrDqU9vbfJ+TWBaSpn2KiusMGf7B3kbjyB5v5T1SoLXOhLLqBrkSDTaKlVy1O/7 I4RkwnvldnwpE8z2lB0zfwjoiDCdXuidpoZB1Zwx1EZlRoukPXAavN+kddTZ1n/rE6H1HLQXBrp5 6iI4J1yR7kknw7U/Z8GL7rf7p7v4/vRaZziOdadGi0pvur3n5kHbvDyk3ecFvxiMycNQG3/VTB13 aA8q707H0W2Yo0EhhCnQcQ5GBibjWkKyDCSMkUOwymi10UrkXBgEy3qWyYuBxDuCnLGamUAapsLX zt9ugVyw2JHD3u/oofB3rAD+NDhbGaoyrG+RPVuL7nxf8lqH0pot9ecG3VupJshrdj5iRDhs69aN gnHVyZZlNUN5JIfsdDTeQNPjQ5eePJ4jPxN3Wu+BvHkEb3SyMAadJy2WeDuFfLnk1LOqL8eXQqg8 o5zrMOPPel7xDGMU+HsYYB+LDQpRIGoCirsJIAXVqBfgKsslzHai2D7NOtNVg8SvWJNv9hxZJlnA 6t3ZjZf0SITyftqxN1m7NJDWGS+5ukdsmud1S6s1TbKcl3uWFm+8KV1PeqNeHV9xiApB94sTyLnV CBTFVc458NoMRGU0rEojiPGfSm5NZrlkQxGZ7NXboXnV4OMWd0PHG+YBzIgUYg1lCc1pRacbiNn4 NYalD3ybadNgMGIw9Qyh753bzkpKk4sVr61t/KA/6FQ6kv95YGcv7XabzXcdCX2J7dpdALyn79Xi 8TPQQX3QZNPbsyaUGW5JH4EOUZ6bYwjlNafJjh1hkH0h3xULbtvCPj9bZJqBpUvoOpPhmrdJZunE aKa9UeMyaov4A4CKNRZTiJKxfohu8WQcyF48Tsr4pRCqFpMwJKCpBifgMj0NQ7GLG6NaoolMeCu5 qy1SLfMOvyFP8Ck04S/yunD2hb6uOyb/CGAvBJ7TSqqx2f7Ocrr4UV7RoqyDuh0wAJKelm07+P8I hKRxeTSb+RYMzouDmdGLuOSgei9bw6K/vC64ZYXpzIEWvgEOpeOb6K6fOazENffiGKdjudYbarim v7hSU78OALX15lfFR8kb1XGNIWNnJl+GAm5DF3/ONwsI2/UnLJfE6beTP1LSJ5E66SIfFVzYP3e3 dmtoPpE8qsZFBDpF8b17Zb4dEF9O2A2QUtM+a6ZIMv3BArwy/1gE3L/csuNHvZhJH2GQuZFRt6aE 8LRNDaWL4YW8NWBG5Ak/MX5Oppf7cwKestSPSdqt+sufDoGEkO93LY9707KnRVNVL2SOWPWh1nCj dHr9ihVechPzEN5VXL6mUiS9X7cYXWr8pfclRt+UEcDDo2PEao5nCrZlOagSX3wsQ0tD4KAulpWW Phn7nL/0SIQke0RLVSwiM+/143ldT8a7HDEQwZI5jtmszFz+nHhOgpcJcAlpVTwP+9N4+hvfE4fZ Gao8XLd8sSL9a6g9JoMoYIIu9QSqEmPwPEi6lKwytb26GAVPA3TmLeZ/sFDkNeNHWdFmA4nSoVY0 Q21W7wOH37E7eq9RnMwP8O+BWOJHmS2AMG8IlGDT+Vx7AXvrlCSBJ7HM4r6n+MuLu+tj8bHEoti7 Aku/PHYFysUmmPxB6I2zOL6rtUmBgQJZ7RJo0wvuV7XN1Gk6zOxt/EsbUgvTihx3/sn3cxpLZfv8 nvrxc8aXwWdNtRDxPTUZxR+pTndH5WfY8A1XvOLsH2jVvyVHaqIzTQhHrNH9BwS70VTQyKsCmGLe 0QvetzYVu1HE3+GFcyPrWuZjLGnKAKZx39t0Zzfg8XDhSoyyLUIgJ2DDHWfdZ1JH8oWNNfK9bMIM 65L88UmwhZLfQ7rejaT+Mu1I89Nwiqt3e8frVf44cyGned7NfmJe/N1LAsYQX55rIQ4mIfPsZYUW eUiSwBDTQ+/UdfjFHMNFvHG7RUSzcZx+f4KKXGs5QXSC4noX9D8b6Z8TvZbZgcgeG4efyyFCNSpf DTqaq+vF5ZmnMFc9wnVGsCfBcZk6708S1PqbFAJC6Mz6yJZThKmDA8hZxa+gVKCapL33MElecrEZ 2y2LRTurRtXIjL24+dccS2lZKp2njStaMPz5Y0T4wi7pZTv/U8y/sxaY+hpysq3VZJn+hihyYNXi ZVizGzoND6D2kCKaFI+/N6+WHkDb+AwzA2xnx4O+C02rTP2UaCk31hqEEYSamOmk1g7DcjfWn9fo nXbevZ8S80V7Ad43BhJq3I4hEDPJPF6oo7CM/oh9eEO5Wqg57vx/MxsWkQPvRL61dB2hNm0QeZei zEYrBy+46KG/0Kf46bu7DtvWQVeJHUa9+fHDR8J+tmrN3RNnBzz+EkV6d3ikb47qIsCG1RP6ySe/ 5zmunWMR/xJsaaRqm6NQC5LnKQyE+V0uvtpwK1cbQ+f1Nd4mPN1DOXb9RCnafJJ21YAm7CmD6jR9 Z1BvBsJkC7s6p7OynMkqydvyzr5JLOFKswC/SmP3k4JVOaw8m+BUymy6N1/qiWsSdodzT+5aRSSW 3oZOqUKcO7L2DjIN/DhjpuHHBE0yfDSes6NoWK+UBpgH9DEuD95jQZjU/AT7HACE2MMkb3SGjh+Z 2bhGw9TvtaHrT8ImuCv/7ieupHP/vJY9UAXBgzYi+E+aQsSNI+GU/c9u06SdoEZ11uFmOhJplSX7 6iTxPZWXmLPz++usleJiQZ8sbY+ofZ3Y4yE0YTpTuUTRHw9Z/6RBZq+9s753Up9D/+C26nqJ1HYf AaU4aEDGgvC3R3VBHupfcWO+Ab1tLm+aFW/LcrQVt9JfFH5ydlnXsJ2pqhtqAGiDHUFtxWNy+V5q mxrQYsSFlFdFcd+8YSJkb2zTE0rBHcHOZVKYFvZFMnZxSbjsu5sf30wrQEdmJHqyU6Sqy+viMEy5 K4EDAJcNb+LhrFqPqz55iqmDWgOR0XlUUcacYHzqYD0xBrGiolNXbgT90c7h+wOTeOnnsXjCvp/+ 8XAgKLnwnJ/zBNo5CIGvcCYAlGxhuVa+3/FN/NNslToOv5zeNGRg0tnatNMNFxbcPanhFlt5r6iF R5IWLYiAYSg0ZdqCC+n4hs5a4WAOPckGg90CGqYuLuDQToUhwuFw/fIKVZi3Bb/KM+j4t9uhpuwg ms5EPFgnIlwdldb086drtPKvgtovc2mcaaQFnaY/QU0+lwGoyNpZNaaktLrxMkChS+pu96QmQ+uO eFiwNryunh9LTUlgt98BQXamvpQSiPCdVnqU4/EqeFMBz8NtBP8XsXneYlK7IWFY1UTyl84sdtHv KObrp6XlvMizG611M6nUX2LO7OZk02q26YmfTSEEXSEGb4zwTYqLW+/42yYAYRW4zGVZ6O3JIR4o Dtbo3FXgNM4brJ43I60R6c8pRj2DTMNh5g6etyrE+yaAo5ET1Eh3DUNik1gYklideoO4FfHJonMR wRvMt71kek9opToPqaeqJy21/FUU/aFu5XqFICXNWiwrxXqJ8nOrptUlLkchHtx3viB5n61GMtaf t6a82sVgZn6AUh+IqjbBfudl0m81DzSHXxIl4n1iPJzHlNYeui0zjY1+QrSAehLLsDX/YxG64U/p 98n6qYGw+xwS7+C24LH3hVgUivRsU4+DHEK7bQ09q++4A2REEgb8TM+Pgqhte2SIXmzY1prAFnz7 vI5S4AHJJlitzME+EchSu4NcSeke/LKrAAYlvuJPKnHQ3FYI1SKhd2OWILTlvRlBpm6RQHSHw+pg vRYfsdVSfqQ1GXgBxNfzRt+Sfe9lAifJi2CjeGMK96QQCW3IOj+FDKUEPjWwnFUxGRGbt3PL2/oR 6uBLY9rdqcQbqbUviG06pF3X9xHpsLejlCLwo4lcjOCVFMFunGrExs8F8sW79M++mkatUVQl/Liq H2X6lu4dglGC7Cv3gdRyQZMsCA9+oU4Rzy8Ql0KStHC+PtuwHLXGUsW17svCau3I0nQSqYJzVh2v 2jyZo/wHI1nobnMrWOYpfyCGLpCOtHoG1hsNd9cttspzuQl5cMsnExHpnuvgUVFp6fsMmEazehlO YFZAoavIZUjwasm3i54D4Wd/IDg12FwgAEgaTr1g09ypstfh/vS9FazUvBM3/6QR7JAelitO8mgX 4Aa5SOWzzBitq2yjaxFmyrfoBG6wUDux7bxwIVlJKQ04V3HjEXZ9VMZXBOkVxmvs0YpcxlnGD+kK CcUcV+ibya9kXrztpOGuRnJDvACLfY8mYLxWE/PnwLSw3C+X6Q6xxCVFOCbPYp6jSmjWtVTxRPcC sdWfm5GKdWQly5M/VJLAA5Ykkthpq6sj83G0HuME1X0Rpk+l15EXR4O+HrwhHLqcVfvQVz1mhpIp hVQVCg16V76IKY+mUoOMYRBLDTAUmzwn3DgUQepG6Fo5HdMAqL3Pbtng0/1Xm6Mv+1lZa6VceyaV T4OnEu7J4GjbIhypYRc5r/rmBpfi9wsHREQK8JY1h0sQB5wB2UROM/aVt7TBg5tzAoFsH03VtG2C PLdsSv4Q2D6QWQbWfZj3UIiDRwfVuwlJNUV0sqMrogxb41ELKjlNk0fyUPEYLWNbIJXZF8LL/Bsc V6/qrtcw/2DIpcyvPKdWh79HvEC4dEzYnoQ2SjWwLbWLajK33uPrtPFmQjf+Rnxviw/qTLxbt7r2 p88GT8tYaC/xDVcfkgwkCKSM71vsh7Qx/CiJyt8jJL884t87n98+Qin238PMgI/cVVFWJAXRz6Qe 5RNxMgYWiUs7qVeDBbudsKOHmWSKVgAkWuE9WgNdy0XZp2vS5C9lx64grS8sjLlETWzbK9Tivm0S uU+HG2CkevUD0kSAhzJj6ObMEC/tDZ2xYo0RUi2SVUcpHPWizJnGVDJboU91seVbQCLlWGSM7nTg jNu/f3PFdYsT8JYtr+OFirE5e9SQPqvrzxY+uyRGPcfEG0n/h0/kvd6dpEpi5sVBp+BLaVPjYFhs kfT8230sG9M26aHJpwU3mb3q6ukg7a1WucGN9xgPFcv5dmPcp0p/EuyVJei8DUyuqJr2HUr/iTnp PhyMdBy7EEZf+jbQOJNPCowKKtq6TaYNsiO4zV4xgXU1BaxhoTOQzFBaAYaWsf0pLzH/TVi3D5XG vmnYWvxqNo+fBKRyC9RtI21oQ3i4oAey7YDh8pUc0A8hlAssHNQLPxf+h8+pmQW2ja3KfroNHHXO sI8/5R0MngI3QeUiCCCmimzR8+uoFu0xTqbaEZ5GjhkTIHOLweks9ZhJtAwFeyurtX/1FC+giZF3 DZ6VFNTLGn8xHDSAy6GtVX+U+mtrIrOdN9edlbkF6fKGak6Ao95N6SV58FBjdCx00d9vcdySTLRr ycsJJLFImLRD0CkVO7ywJra0MdOvKjOJ16yOQUggXJzqKe1Syc0KNFWmsnEq6lRnz7hHVgjTPuZ9 Mrtxg5F+pTcYH2nzWWk3+JNbGRrbGCrYW16UkTKywm2zEnAF4kKr06dC+Mv7ag2puJt1RP1LgD/b nfb4+835PSSUgxYEzzkXtRwdG8TpOdBKfUUi51XNVyQZ+t9pYvGtrdu7pPdue2dYNhQABXMeayAW w949wgCI+upqkY1cdtjQRmttcYafMIiWVCfpqcMzJINqJ//3asyVQspcz+bLLtuh8g2VPLnGSqHS pJ9EXE7x8K8tj/cd2QlMUrS1ZH/z2gHyYdu/do22Fgvj1woT5g0ck3YDedJRriqumAwcZkw4c4A/ /9YyPjydO7I4MAKvrIg4PGLDCyDOzTq1h6/xdBHIDNexXUspI7UfY3HLqzcvBcHMzlSkUBjiHB0F XrvWguBVK+vUvwOKnF2DGajzJEc7RzaaV6le4PsEOkzn8xU6DnfAhsy7iOEutqLdZPZw9rkutt8j IRlaMmOZCoMeEfILHuuaGVCyB35pMveCuL48tgE0PAMhgEsJYvMJ3REKaTlno4UVGv8Y4xhyXBkK H4VAaRD1PWH3n7XyLKmrVeScdHFrm3PSukEqu7TLVYS0Fn2yeprUbsBG7c+2/ZMcQdnsO5IIIzU3 rB5jbyGPXkMim99Phdk5n2sDOKmTOwTKYG/b0jgb7t4XL09RyPSU1fcURzzobqETzJsXQg+j7Q+p Dygcet9h6mTL5hMwu274WMArkbH/H+etuulCDfy24SmYsSpThZe/kB95ml5XbhPEscdZBTnQ7aNN 1eCpfW/0X1VGDJItKMYsr+PFORPrbrJ4S2BiKVj/0DCW5fvAtGJKn4z4x4gtgF9YB7AKVE/oOOKv Wmb42PmshgrzJYqV7+hMQY+pc5wAtOwACa5suCyGHM/LamrqwJnu0s+ljSTLbhsoM/vMCobuubIv xx/aEBFV7FOq3PRFgirGSlkNhoPWSHWuAa1eN1ZWruX7fN+O8PMpBzpTi1rUr9sfh3A1L5HQsmdT 9IW0QkkwyLHUKEVQShyhvPM5ariwYn8L8/6D3PsPzuxkv5N3jJe7YE0VBEQhjimKQycB0bdOSMFB clNXHrlqzcU3JCfc4Jp0cDllz0SAsi8UY2OtdluqHFnc3fG/psHE8OiAifwDkavf+xFQ17mP1arO 0QPlp/XdFQ1qGuXhX6KZRkgEWaPi7n2eVbYn8hv5cqy9fefIietla4Pc2wKeLhFNW2tG+IgmH33e CfVXdwSX/fLYeNT5tlDllFokJllTdq0vs9vne1etd3m8Ozvt2sjL17ZqYHI1umMNcwOYMCSriq/6 6GX8gFx5G7mRtPTT1A5rI4N8oIYpnwDJjWIWep1lA9gHrrrzkU9tNPo0SZPXQpQI5tMCdE/yqson T8eJzumKGzpQ2xE3lMpWxxNUNJzOQhwvwpYKpijHdXIwY29NmNuue9NSo+kuAgfK9+jeMXWtWfts aVq81zognSZWCTQ0uTQXfjWwelFBahrlPQsd7IJcj3BLO+99ZN3Nc2dd6FF0AnJaqlHMSeuFyvw2 x06bMhGYSUVT5P1/lQpTrYsQWvJWSVf3YtKdDXYqrHN5tkv7Vwcg09rrtUXXb89TzHB71WDtd1wa 05IMRPkhgdTd/1ePHv/A5rE4l8yikXJ9rRp+rw1GCIo6JKbZ7gbd8bJXVhRnRxNlhlCs/Mlkj21h ytIsjx1rhxLG7ZD7OGyZ6llXf/joV5Pkc/0MtyFiPjXu1FVwNZRvjcQjaDnBDFWYUeCTfFqg1sse o3jxC3T2P2gbAS+orIpefDhbziL8J7UK8HFjLtPrVounIYXXm0g1w6y0ZBllKxPPCObfCV2mUz4M t4wLfYGOAaoq6Zs1NkXAJCp83F25UUZbpjMom2Hq39MDMmNpOAUHPIEJYzOyWWNSFwkLRuO6/W4j +wqJYEl5PVQgFO/En7O5MlrOmRUt5fAH91eHgU2j7VW3TnPYTYFh10Ru9cbBBPGIQ6y0uMpjUEJ8 sN7HnxgTIG8vF96DPpt0rWu5FCFdSWGzfKMWI0WV1zRq+tIdFNHVJkFhZb12Q0lKxbp1LHxv9CFs SPa3BFurTdjuofs/U0Nx8e4QvHy5+/o6/ZySgORyRVC+qAArBHwuVHDIklNoIeg/+Un50KhQ7Clw dKZ8V93PFpOTrU7I+zczy0pAvMiYEVmhp/AAkorW/dDgDgsgHk5AVWXtDrpkXnRQdIIxwEKJMTVr ggmUcMefhsfNRybsAypMfsTP733ToPPmPV6Tz9BNazDYchvSJfdKlXtuy9aBGwuobQ41RwRiwkM8 F7zTtybWi0spneiV/U7uBeY9F9+6dNaJOjzoucILhU/xKqNHkyJ2lyWTKZegNC3+AcHZgv7ugnUp yc3qm5OJRvfb1WCUrG1X0BEgwwkNAx/2zpJNrFC0PjHJm2NCKH5hXxz+nH2A+arJl7HiSKwkXdDK Fm5UlovjzqIooCshbeMtfUfpW5FkeZv+8OlK9NZMyFfS3kR8I4vZxbdXSKbq0YgAAmKL3LTP5MM+ XfB7F7Y+zXSJVHNrR7HUxphrBDRhlsmcxAY581kBE6uA0MkSg/T1dw8zYCyGIKP0SCIDcYBPHS9g nVYjwfGnvzXfHwcG9dt/Qz3pUk7Rc3zZCD/K/mNrukQc/S7Pt7SRi9IcPecdhtqBs2x0A77tTBp8 lHBmvmqDXu6LXkFNu8gVHkBlnGLJL4KPbdZiq3EdKMN1D8voTb/bOnFvGy1EJ6QqMB2EuslF/JOp RceIxlwLToZ5K7AMXUJYR1/9YMhcNqm5TKDfBlQyTfvNUxI2EwfAILPRd4SAjKLoFsKRao27UalD Ci3HBdzTkbXMJYQSpk9u7lmCbTTkT+s4sn7nTAcg1Z+08eoXXWovf/CfXVNRLvazvoNl5W05ysB/ xkrv7i2e9ORxnJgXBsO2W78AeXdg9RQ/EVd1fzUc9k2bmxJiKoQBdl2ULcVZbHQHx/MD9C4B72t0 tig8Uh1G1y0bTsnHQS/5878ZJO8sYSQsip1yi7ytWLrHhr2OyWztilfITjyeOL9Pm2hidF015g/Y /pA+Y0dxdW6XJCYdqcqE2Pya9LlGAigSb7FSiRBK9xPkqPSFfNYYghVefvVeaMz2BWGhQEhcVCTL MksDF6MT6cp0x8xqc5xwQXjaPHBgiER2T4JA6TnG2lFVSkk1aZXeCtuhCLNxbHn0JxATxMetYN1f OTfx3wcQoNtESwzNnChVV8S2PyeX+rqxXKMSb40j8iJlN5cAP7tt994Bvp72MEoZItLhnIX6IN4O RqTiW9pLu8lRBIy+p3CfEAM25rY4z5nfLG1hQLJ9co4P0z+/v7iHv09g4bS1N884mJolArJCKvjA Klh5osmAHNhi03xQF02AACztb2YUpBe0W5tNlauIH8y2l7D6V4RBHKI7VwmCYhn8udtY3uux1YCC MDJ3egDUmR5pkqOqDVbgdv7aw/LshjIU+xoqsyOTYWJAM3wwaHmXe16Q1+n+8LnKh56VElYudRxT GassmdXJ6FKJB5F6UqY/AO1ZDl299NkkIhNumKozaLpRBW+ZiONsoQ8dSlAMxrI6ylReqCGXEc/W zr7n6WanzCRtR+MKLAs5qRTUuN0+1S66RdWIS1jqtS/PIjfq2b6U1oitBwTL08WN8KUsh3CJzMK0 NmfjFthIyVLt6I2KSMENmAbMmWbAaX6hMVY7OKNgKJTwNjde8UeSni5Sne1nfPUdqLcflay7c7+5 gNRuOAwDNWINfy9BRlgkqh/+xFMPPn7/TuJKYv7gVeP1Z73IJ2DBdG1VAN46miBQAj45X7Qas43C KfVcN+qPL+/HC+iRL7f/+WCucBn6Y0+3/c+4hX3FkcQGBRa8WMCw1veYje9kC91aGLfikkb8xSNd D+sVuntxyIECdUNuke3J+Z4t3CpIiGnYXiGgTATnsvizeQ+8xJHGJVVnYfjnFS+aYriX7OPtWBTL REcP0YHQ+JccJDPkotOAT3l5BVYk/zTy6CzkTh6Y771HTJTvIla7I6Qvuqu8yrohYQ6TgTBz05gK heVU4PdXCJTwmDKnQS9TH/AfDYtGM5aCcMaB89i/ZP7wF2avgATmcB9c4Wh5LRhomFLzybgxlwWL CO6rGcTQfzP+LRafpuisPoSAMypue2TJWVvHOqD5drqfucPkQ0Hdhh6LH3S1bcu+W0mfFT/ca4N/ ItMFs5JBrjSkGP7oXu6n+H6B5nv1Ys5/S6jz10yp14a62Wr/kmbV2c/9XrKyuKXk+aPYIMeXkqH3 oEtee3FtwR2KNHA3XECp/jrLm9OBxL+Srj8XnIgzUj2cCHBS2+bnuAqoKon9yf8zExLZkb+wJn42 T76Mvodm0e5tEA4bUg0YI+DSwbkvUSZqTD/hmuRIDGBAtrlDGxi7e7s+Gdol/PkHrNiKZfyvJI7f /bMexmuhj/t3IkzaiAfcJflyYzMooJRmVpG5pxSSTSZ/7TEXyxXawTvfBlSKz9gXCfLD6YxOT3GU Gup7+2ZWK3DS7RpyzDyKrLbmXS5FtIsuFG6qRz4s7tFeThR1NcqiXdkIntQR+YachDhrpG8N3lif y5OckImqzi6tIG+IdOEo2/L2kuj9u9zpL+/xyx8JBtxG8/6yY3OcRzK9uc7y32bvhRaghfAJXFmc 4EH2LEiTm/+x+0JCk/6vb0md74roNv+JyJZPvkfMUYND1Bku+z2/QQsf0Sr8g+iTz1PZ0t6CLsIV ogBb1jqKt3nRE4e3j4yPGdVQQomgfViVOEifQ2D5MDctPK7oK0xEK3h/2JtELn3MTg+RHaDt0rAD N2ndvJl7RCWVfzKnsX5aLSWzwq8cAd5+eweHgTw/Bazq2J6vPJiADynBEiO5tfH3O4g7ZUj8v94c 368GH3lBn4sTbmaXOLrpk7z8ZO36iBHhL555KeQGKAsVbekNTyqn28oYYNlC3dRqkoGyvHRx8Lxo kKWeKTRAogf17kOZt0dRC+fWTH4AZCiiByWvm7PA9v2LLCfVGMw8yDLTOTe1nGEuF4LL0ihShjFc Ul+JX0E0Cud1lf/PPe4uuhKQnQYQJ8raOtsEC6lv5dwsCGFEVzfnFXtRd1HwXtiaTDTfDHe7Pa02 QNBMlER7jfxj9kcfSAZGcXFqQ/C9u+cGvJesSLDmRoi7gmAoPUhaE71AdegvlfTU5ErGglgOleRX K1SC3P4EJwcvyF+6uvGABdaQlKyyxiAtqzYhqzJznWb1qSEznZlupYzZIMV4tvYcdtBzp+e3sKCy qMBQovDTvx015odOE3xKV+xT12MnSjsYnsEz7rZ9vhnx224Hp0bpdojE5LImC2c+X+fkoJ5cLjTC fMx7bRoYgzGsXU8ZbFSIXAP8KxoVvbDPwrdBCptYtFqyEWvPDIsM53r+K6LhT3ivCivi2pRzzU8o 5lztnRgGmU155xQKAZqTFUD5yNvdOK+6QtRlxo2IV13hQcCStvtB5tQYZ0BPxgONUL6t8V/sUs1s RsWR1FPVFKMBm21/LtiKz6reWYNYgitmn3G0amCtIA/Y+lXRuXM/326KsQoeRU+Q/fxEnLef5YOh FqPbetBCpO1j4w8xH88ZfjqMzvXxg7jYuRynva0og1EF9Y3QMrMcg6Cx9d7lDS7SYkDV4YgESRcn S/m7R2A9qDXTDz4qhrPISsj5SWlcD8aCHKFveXL//NrKWkjb9r2tsVGZSzFFcf+DbAPTV60KStLN Xs3mb/doM7eN9rLG0qOdEvzWeTnRUxnb1qQ/aIHOOA4H1f8mcqHGbkQD/8ipYGYfECzfM/IvN9W3 esMA6GPmbwHI+UrW5vBtqWAvlqaPdWjKUWODHnh/70H+bQFh6J9djLCif2FfmqeCGz8TwRjHNLWi Jd0fdY7YA6fLzxHSrq0EIwskk/KOUdCIaB9A+fyy3sjsaOHiu/ORVQZMZdpgUSSa1tu6+0caMBoc UIM9hR31Bqu3a+Ak6NyDYK809+wf64aLJgG/vYdz+TdZtvM9RgSvv9qXO+YlEqhMLN7Nuaooc7v7 UeapYpy//fkV0BuE3hTsEZBUTgEcIbhlEhWHtj7//mJZPgfXk8el5bzbZGOf5iafwKaqTl8mTcg1 t0q4GdbFizNrQdwQYK4DCcAccreyQx3kAxUAm6no8MWeXge8JfESjrPO5UAiIzcMxDx7srsDSPIO Er1kRTBOWzVwVqljW56Tb0IGNhvQm3B4R5KyudMBYU7WXXrhyO349aMXENlHZ9GRmsry8FDnpDIB kyYyU35Q0e7f0e7SXzWWlQqIAwdrtpp7OYivRXX3eSC/P4pjtkmLdx1lTybQxeFUyuivNltLMKc7 qWDxo6nacNURtHbyP64mywx+WiKDtC1fYafyStX69i/d5/VX/U+QYN3Hn1/IlL0QdfszEKvpyAhr Z1bNhybvs1a6zMitK4GEYy8ZhuDb4Urjpej+88iJcZbjkNhpOe+q5wWpw52adMCp5yyG9dMtbWKG atUmOWTeIB2mDKNQzxDOuwPt7AshZ+rviC+jxn6c1lnx4npgRMVG8KsjLc8a5RDLNVuC6QP/PUND OeORmiF3xDasGtwG4OeMebvbSc9FjvyrpnbllqKZyER4SdzDGOXItliABuj2bAmVcqYep5DDwUmP qUnkrKykEvw7nmYIlzbaHEoqSfMlhllxJEE0T/nIBuz9BlvuR/6D04CpSOxy2R5BpFVtM+yLwWi5 R6T1nLpPuD91+/fWIGDUYelNxZ5555RUY0/3TLvNIrT+thOqphGrlVta9gXfHKGUrT+Z/hS5FLmw dvKdXG1foLZzbeaHZED36PLxZ5YszMCyN9RQbFTHQMSeAI6yuIQPbdoWKxn6guAViy+bB3ip0HPL 3QmL/pGd370lrLz1po7lCRZesHLrxLIgy5MFtykQayh6Wf3V5haVNNIUupv3lM6gCrY3iAgq/Czf MUbh/Nsxid9mUjmRMAhiuEPrid6dnR+mA1xa86udF4Tn+ScIY0GAdl4RXlOyubOfa/dOhoFWeUAf TTvaj3aFBGNvk98mgIkwvrvZBWrYI1ir6+o8yO91eZPoqZDUn6vu0URIxdtjLuuuWmCC6GKScTrH alIgWxBowkj7h3q/djPM74L92liVnegv2KbjmxPpFVK4BX8jB5SEyh3v+XFDmfoWcg1Rq3w0g1HM PHXxCOOT9/pbKXMZWJX9Vghf3NEfpmnV/SK0qs0oIk01YBz4n6uHhk1Xo/Ge3vaE/OWCQQ/R6E3e LYXwPg1gyWikZx/XNM+Ue4PVOGTuW0TIKj+VjQLWomwS78Q/NgYhY2XXkmxZlYyqUwwY1e1DEXqW qSHjEfToMyvaQY6q0jMGNg270gm+EY8Mhsv8lEs/4UJmSQrKuAePGEFGPLlZwx6xJQAVAG+bCdHX Fvyrk1iZFzOwchgghVvaSdX3vMSSl6FDQgsqJHQk9iXKVO7+6GUANIVgvnI5+eAGxjgaLO0ngmb+ lwRw9lQ+Jj+wTOY2XCowrlPlGmkbOh0z8b2Guw1yHf0jOiJOehHZ9tWuOJ+x4DIt/rgH0c/kOMCN C72rGk+PlkxNaIy4sQ7G5B/5e3xuWyOAIMYKaIkJe1PvzDs2ZSHJeVSEu/kDJm/7Ka7wg5UMMPiw CQeP2PLxWIPlktiUSb1uCjslEl0pCjB0yGE2vi6mg1/P5dIAvleo9bAvCO3AjId9VX3C0UghO+zO YZYkemTZLIXoy2T7YfdQ3/z6q/AAQkictohE6CDB3Krl/znKvDhsC8Nw5F7J/cfKTBfPo8sCdS8l 0L7qPCRUJ+KfMtfBtSktCeySV+bkhVprvXN3DHgMWesv3iXg6qfGctURmNCya6qrTvPX4B0nQERN Vslq2wtZvqv0jmVB9fy8g7OyLX1Kkwy64t83ec59iG7BMmfmvNqDsr95LAUD66KcC9pgEuhD9b1b GP89KWv39FTUlrl/2LFRAUfeju5TWKh/yTQvIKOygN5X4fHXGPI9Wt0zBhxwqAIKbVBYwqDCRYT+ 16Sl36vb3EpIzE6gQtY7QX18E43G0fP7VFPk029dOXmEqW09D30/03j4zqwsMGu1+uEb6ourO9AK Y7EWQL3K4oVfobwTX9jPj52pvyCA09T4WPL5/Qu+aIayi3Ns0Y0cNJK+L3RhzuJ+UU59MAtTomPh t99/+s5Wz/hGUd+wDi8m1bDCcc8eHQmy77c47Oq7gP7ll3/wSwqAVBQdjMCWQaUM546c4aM6crex xZnTO4kGb7BM3QtJB4jOLX2K9MAqQkX32VdtETI+jJbeti0XBhbfIrqj7nCHwq7cx0wUt8tus9vk z8AUy12Ix2Up3eWgMV1xxDnioI6UswYogyhTZjWnNR7mb4M7VmZiq1cDECsT3Z+nUAZaDEzqvqBT DZs9Du1NDyylzV5pr6m7hntvE6EboyUFaQLziHurmZ5gUcEMJEI8p1k0L5BGfktDwv3K4EUqkkuR T3Kb6nnmCYiLiPsNmn5bMGTPurdmr9d+zxBljPDZx2yG0uPmVQJwO6FrZu9rpXr7ZE4J1zCIbW5x 5NnO2EjQKkctihO0+4MBT9w29Va2TveGVbCqNqPVqYE1SahLqh9w//lbFwraCOCbmnARs8VQ97GX Ft9QVS6D2+GjnRKb9cZp0clss1XJzdhUUgLp4U43kImuSaJRkvg+B6gS008s9gpBwAgGG+4wJx8D uR4ujQpzI7YFKIALM3JqPYgujfM+TEvTZTKiskF/8Qty/RmXZVGWvbFSHO932WFTMqim4bXKkyqQ vasePFYiB1JhLxoJVKYDUEe1BtnzrzAxEy157Z80djybfz4XPS7laq8Ro2gALBAbR8tX2XHsi2j7 d13sSH1NfD0UsaXGcXNsrHw0QzqHcu8WAT0EcEQe322DcIxoqhrKSn4psH6Oz60SXLrbZDV7zt15 Qh2bm6IOKZLgH2BdjmyR8XiNjH/8EHFVwTJ/KyDtVXbvCiide0Id7G8tRdlhD2r1lK3QX24Q1a8z +/akoZ44RSSMLUWTW84hsDZZvbmoH3dCT2daFqMa/FS0yTTxBcAKfYpJLA9cJBg/BlBGLN0Ns1df Ze1LpWfTNmd5kSdf+MkPcPn4+AXGUvuetJIfki9bhKh7GkJqHtML18nS8Vh0uE6z3p/1kJwfZCSg haooi08dof+njo473a9b4hr0r5WC/5wAE3v+GzkNKPPAUyWgttqU7dTmItzJKL5dtTqhjJ8RxOAi 8hxkZYsdOdfPpUnmN0teMgKrgSXCYWRv3OQ5hCUIQSzMRT/ZUE48AKC1LBw8M3ii00p+GB74Yi0D 8jZkv/ZQzcr5HrTU3SBfclXfybUhUw4hnWBxSDMY1n03rvwPKpl7rw+ijlmpBPG1QpmujcVu4VYJ TQs4qDqP69pUrAlPQ+wviK8CFjdx9IKYt0dbrrgeR95k1e7o/Qfs8Ox5+PMdqUJjV/tjv4qurPHC LUsE1Kf6wfq3urtmMIfT1W0thzkPXO/D+eiYMeRVLMfVVi1bUB8Da5AKC0gGXJcnvG95Kvef9VTx 4ivLYa0MaJ08THe6b1dpEl9rs+VuV0XaqdzrEVWes8cOv5pRQYnY1m1HKfNwmrp6DmiONCMMys+9 HM6wuJVXwk502P/UFYQNYYrxIDfqMQC24edt2SoLesKQo83XwrgR9uq8803SgSaJU8qWCO73X1Gg nZAIlm9/PP7/+JhUyiL6auSd1vCknLT1Dy6wvaigWf+yC+BdDiSwtpDbGg5viLILcskd6xQv4rzQ /3rMXjldBcgIupatcg/t9b5J4Sp9/aclA/dVUvjFbFvCmhdYr2emdcSfx42pJOpLjkai9A29gykT S/Ugj2lEbXKT/htqnXGUSSaq/mYZHWoShnet3xTHZE5eI7TaPfIKkl+L6BwmwMsnsrYbJJ7gNdSi j6jl56vLVgH2O3WJ4LblU0RQHElSJby7u0ologgwsEyfZrDx2zaYK7TodbN3VdZOn3ibC7VPmVjI yKWaw+T+R6aO0gLOPyK9F7rZvHvNQW8OeL9M5RHhVLcAcuoDffjCK287ZNe14PDNG8VgG2uSn15V oBYvDmPk08qvWIpuQzc5WmpzA5dS1JijVwlL6ejeO4Afu5vsGtuRV/SdUvQqM0Eu68SJTmzlBRMc bAipVEZNpnIPsg1Eagh5sXmk5XjhjYj1lg0wAl+vt6UefADYb9OVOlFu8Oxz0DyEQCAxLo6BPnIU WVn3BPj6iTLF6EuOSYAtsxmu/EDLBAHyawSNy5j1UfN6xhkyXk1dMWjVDn/RlKcYZYJqFJq+EFEJ S6eN4XLKeV0YOPqDPiPIvcm7bU1v9+95PQc294DDPG7MB7OK5ATF+xi0kwUDpl4081smX6MnJ0Q2 NIOjHraq1LJCN0WOwkvtSi8vp+0FR9avjxHlU/RYty4RtQ1Alvt0GRsBzafhu683IlTulJoLWxOZ EUFQvrKqp0xCN/hexE20LUP1cNAsS4/ugau6Yr1/4oc3qgJw5rh59wQXXpyA/mOUaDh7yxK7LE2a oAhbO1zXCKCaranwGMdH4uboXnMBppc+HHBE9lbvwib+UZhzzCokQ96Om+qXyFuAeJ8Rj2HhP0i6 c8icfDhsQY0ZwMDwMYMPKGlgDW/UQoPt6P26kPlCklJVx9cO5oyCytcG0j+MR1zWOlQJ7GUZbXFE iM0ASwUIUklfFYuY2c8UALLEGnZg2jePBJdEo/lWO/FAUU1fvD78zp7/EBsZ3ua3CH9GljS52juH VWyGRWEg1CuOQF5DIAMK0cIK7E6I2i9j9ZKUU1LY6xFY7iRPbxIsAQNY3kJPqUoKJVZsgpg2tM37 n74iioT9VjlCQkLmBy26ScWRok2F0p459ph0rpBZHZSjCGAm4hYdZ7DsNYYO4dTn9SEYhBMGCYx9 KWCu9LelxHsXFg8jGIqHw1TK5JVJb8/38bGa7zZHDUgv9TirweKkFEge3UzRvO74w9hi83S930cg pVY0Bhy3bFc7Fk1BExwB0OCMAARYRtkho95ZFU4lCzpn2+BWPxsZxNBglj3LqARl+O2ZRf4PDb4z G2LYtAMStV5N4sAMCFluBMzq7WGWYjRfPPSf2FWlqDR8uR7QxqcyRoOpvtVLC9u/2/egTXgc3NY5 zzXoaznVFwg8pjMLyR2QDst93oZDwZxdnkONwgvdTf76u0YnRaswoGPP3Ivk5+9Y+AM5qn5a4dUB 153MAkk4FJG+TqkqqqKnC4rNeP4Mw0KGs3INIvnl2EcBls0On2Mm4EBZKz2/YqEDiVo0TrxBcSM9 j2L3NM1NUKyq8927CSIOn4K7rEZD17KGKMYB5TQl9kBlRb8I2AlXg+h32Yad33Ibf/e/NSBtSFev sAEKZO8USbFj+qLI0zm/FImM4YV1fG+cXWppmDnzVnUdtz2eUmrkrUHAszFVunVXbKsPbTf+Wl16 ELITwJfC7TyWTZ85uHehkHu7bnqfki7UqFS94yPkmzGLk/X54ZX3TEoJb+Wlkq/JvcN7/HOsJe37 KHQu6x/DxR3Cgnt+F59gM/29kC5wC/ZtXDD+BlOZ63Ihp4SPepQhjD9urYG0RYyLzmqdCGxKnpMZ UyoTLC7ekF2fOvuyjFExYWLZ4qmGJqcBVfhXpHSTJUrbSS6QzdVGmq/AnAGsuKxZDu+YwoAJszJ5 TXJ8gWofQHumhil8t+2LK/PS4M5E7xPOgq7/s15bk1dAIDPsYHLMSlsOGeZ5EbHigE2Ro4+EuQzO V76pQ3ibv+jpSzfKRmIcdTXFxv9gzlamdpoffGsQT+AWE6xKl4wJzKnYEi6AduayKmF2zrDr2pxc OClXtEomFSbHgbc1mwUq0unuJmNnnXRaysrpdqcV9YvNL18RJ3iapEPfs1QDQUkRGBKtCAAMo8Ur Q92rhe0PeJoLnW65zOzh0GDiKcRaEWA6AZ8zPMXvRNU7KzXX7xmOgJ/kIfp9KD1aLKVzLDDcHrqA C2IfsT1qoAQhh+XUqYrBVE4jxQPhuZBxve0ebrVU3+iZBhdP8Cdq2K1k1TgCZ6RhHnutWOIjxDRs HFOpNJ3JjpkYD538w05k5TJepx0EoLNMpnxtefn4cuWzXrSiGNb78tM40I76c0ekXi1+LlD10QZQ M1VKMiUIxwDTVC/a9lnJJ2BSZcci53W1rkEshNX7XDG6ZPnej5Sw8Dx782uoKi0VuQF5G6UOoKtY TCpx6RRM2KP4PASNiO7VfE7sau5eeg3x/dznNKp+NaN4uL+iuP9MVoq7CF6phZSpOFsZN/85qe4V 3UBoU9bk7m3voG/6+/jmcNDbXJC2gCbF9ogGNkJ3unA+c7cTbXyK/83kR0IB/FJaAq5eKyvQziOy q5xCCaHedTOI1Zx9vrk/0BwgoF7YphFvbvGZm0glTPwB1ojqM+Jvzb1ROLRzm+h7IWNpmiQ0DnUz 35lDWzp7PFwyZxEFwFm0fq+tJ1eIi2t1iVWcAswJf1YlG5kGSFv88UiCemRf5YdpLWIMJ0Ruc/G0 J9jaAs6Dp6eSiB34m+6QoIc7vDZ8HEb8WS3WxO2GTYSmmJEOxAM4tPLXwBEBPPq0H8ITQ3idZYi5 6pD2U450EoRdx1kGygDKpVGbm3KVVdY0rXsNKwy8+DmsJ41WzSLgvqDS3UEdP2KkATIR9I1PcMC/ eHMcPmwIqwXEvRrIW15znFDy6ex9r3jFhm6R+OlMB/93dSN/0gna1lG3qbMQTv3AOZi8Vj7i+6d1 tlzZKWcKOq4fe9UBxu2i0zBwsm7V7Sk6cZETvXP9/qsOH3OjZDmZ08bQpo6XA32leVaMILDDrW/L Zu/FT3bkNt0pHMSkWE09k2KydPTe4EgAUf65rShDfGoLQzUoq7SiVsF6rUWmD2LNv9bmNfI3EttI 9sa21Tb7d9FcDDiKnFMlBYmJTYXn+nBYp0FEmF5OtGprBG6CqEkKlw/OLYuDpBhEtwfbtoBsTulf EkhUahANuCf4rLeUo3y/KEU/KxcFVw8E7E3DWm5Wr/m/Ue/sjNXya56oj7EaWGI3fC50FPKudRTz mCkpR0KVOWM8RhKQ0u8+3/X2HhTGu/G1nJlhZJeyZ/nS0br/IAyRYpNoLOzafRznERqwz0OqcnKB Uo/hf7EiG357bZOG/FdXY9LxZ+4EbCXj29aIqFB6wB+RwJnodbX0GpHL/erVX0O+t2JQ9xu5x0+S kQNOd2pzW94wFFx6e2rR6LEp2z4pgLKM8MCrw53JeTg6MqOPJ0hw8BIS/Bc2MS/PNg8XzXCwqPMx NMxqMlBIy4jfw2B4OYEy9jNSnjyski4erOWswoZqYbhMBRQsJVvlBo5HeCpDp2z6gnfZ8cZjAfm1 WS6tbuXpM9mo5Rsdh0AMTfJixRgbQE4q0quUZRwdnQrjY0x3lzbHKJAi9gzTotRfdCdgwa5Lzojx fM0CC/Wm0b0OdjfZoRqtM4Lu9HghorICZSEQZnBWHNrSDWSVG0iz2Rx+y0Q7etgRjhcGNLcmL6i0 a7LXkupG9npIH2MB0171DgJLSFYH8Aw75J1mTT0j3Ren8/afjmheQOlse/G9wC/daRpsBg08XxmK NWAtRY/qC8MBDpqVRdSCYq5smYPYuTkL2FVXJwGgAGkUYOTGWCdit0qsY92SCINYIKVLznGwV7nZ PC7SIp91lxuUi2I175+0hdN+JndaIff+tkDEWu5aBSrbXCjsqgWnIX0k1noRTB1erWMTvZeuucxN Pa9WgHyldG0rRM5MzsKZvvIZdX1pBHAT5YNHu+bngK2U2xcLD6uPnYSN4tzxGbw6MRj86Mi8FhX8 ZuHrd4vwsnoX5bFcl1iiZq8amiNwqqPCetQatRyYEPP3XhD8OosTqkOr5YxCbgvya2yzgUmflzxM IR3YSFfPCogzU97I655aDY11uppNblEJWN4Ei6lnwcoOwEkew7hbcQANKNLH29RXtrMxX2gEtPx+ odW34jLQT1TcEAmp9sSzmWd8HtB8PA9+0rNKPdHJ5X6wLefL6GnY0rZ5MVjAOpOCV4dcvzlr1svl hDH99gztREzbhWivGom0k2DOyDIg9ZY+sfzyj6zFXovfkYhPNLyNdBWaPmYlpNzpFjoTqnir/+85 Iw+4Cj3MNPnVOZyTRjfVqQq5xtDd1SjGtdAJizwXhsZ+pkssENIMthaVdYVpH+t5YiWeMmGRvCrh hxWkIhyDtMGKXyvcFVFplgmMgEDNU6FIOUfsTXpwOwpFXImcl67p/Tn1aYQlnc/5roih1BQqF8L5 b3fKjyiSRXUk6Xf62rUpyfRd0K7RUAxL3LRh458C+YLplnzvN2Y3VptSg5v6MV1XIsuDnBmFHlmV pxo6nIDZ4enmiBaH0GVoNT6aTrfP3NjuiCz83gsv5Rs/tlQiP7xEHuU5VXhWNuN5Vu4G0Kr7i+yu TbBHj1ERtZZO7F4zCL2bThcnNC+d3EKs7q53BqKQa8fMNr+d7lR+By4ta6319lttzzZoaxCVgPRs PRQhYr92TxDQQ0KNSTjl7EVnm/0GtM/dBBBsRZ8FbWuYOTukQcwPNwfn7b6GQ/q+4E+ZCeEcimXf 34qEuWUxARPM2o04ESHChEA/NsJy/GSWRIDKcCApOqVFLNfJuC0DHbyf3orSIZVtjsl0WidwLYeP Q5p933oLuccB1xRNetLHHSg9Q5nsOWZrCrPiZ0+2oBqvzOhEMTHc/giZ3JsCOA9aPhDC/kW4CgoV s/a8n7PdN2Kio+Q3hTZaSi/Nf23D5HZ1IB8Xid263iGW0q36/P5sZFxaPJSy99d/GjWr+n86k2Xn Gpg6MeUXp46gSdV2uBPelZvD2wv3KEE+gBKowi3dYAjHGvTqCCYODWYhLU/fPo7+ismYWXxia91F JS1A85719RaEoMLsc3GLvnPmLoWvnBfqRLXGgGyL7F7oa5OfoTTBh6furlHNanXe1z9AbCO5/rBi bm9v99qPSyjKTM7b6jM7bOwSVLvC7+pFGQ+p21u5O3EyMLdhgVabX4feKJSPnKBjtH/PoT9HMVq3 3X7mBtc4DADvMANGpkcDQY/Qg/YNAnAXhDi62BoWCkcoQykfuh1m6P3f6ozkV8bZ31Zm0AK40865 MAtJaTZdE4LAxo6wMYMaFk9si+jWgo8F5tPP32GsbGvafr1B7di6Q0dje6Qvkc/7PQw/TRsCZORD xJfRxZ4okJzNEP1TpqaLoCID/h6LKA3IMXTtew3YJBB/MrXsLKr11GztxMr+DisZjUyttm17fNhC 2a59equYZUYicdU41oz+Pag9b2/x5brTW6aZTSIhLG8nOBU0wBHbWhJpsgJ81MiVDw/YsCkYE00q yW2tjsPEVOgKHIe2Y3GinhhYp4sXxf3iTsdJgF5z+wrL6WuQBcZRuIBFZNwwfCo+ENCfNAYcNU+K ONReZFjwJaJeptBJttDA2T+kQmRqYsrPFfwRi7pHrF+pjVVGeH+ZTKq/3ro4aqdv4+P8nKKUfB0u Fn/R+lhVJ3Fm1O7fbMUPTKBG7+s4wRVC1QjCrxDFmzsw6KA6s7HiuoN3MP3jjG7xDFGtw75lShlz 62HrF+Oydvi+gurAzyPtWwKNuwvDiBsXVr7qpgRk/06lI7KY3lksAkSL9eLthDlbR/ShhSyPXELy uXukL7trsuI71IvAcnsDAwVTID2a0QdG2ihEpEYVr4+9Pvk8QGV7ogbL5uwTx0RwEAEI9848sxdR 34CsRCZOyN84+M7UpXRyRLlFoLKGYjN2/7d+pY4ItuoGb9d6IHux+FkYLtHGQu+eSnVOx27detuB I8p8g48B1tFcxm8svRWh9eeslTxJ7BAbZGO0WXgCQYwwW102PNSHIxuMfdDCakXn/kFAWaYoffhV a9C29n1bejyVIRp1lDO95nTQHtKJTrjBEAzJRt7mIUVgWWDVK7p7UErWy6/CYVDrUtfeLiKF6jW3 DgdLh0kajRsmEfcxfx2ciKxSoPr5BFa/5BH2SmZrppEKv0skTwu15IaRMRaukm3HPRlzwvfxJ2AA UrzcZNBr+nMItSgQV5dL7dKlKZr1sPXA0E23J/DeFDF75FMCcNcMjXQGH/JtKlGYuY9xa9HlrG2p eoXsQU/5PX/gv9EU9VpOOZuJK9W31lFMJUrQhV3xO6ghVv95fVZfgxlbwj/EKKuCij3Cv9+Tr/K2 3mTnJZpE3y/uwjy3+QYJ7Pr55lwQiW4/ox+ym3uNdnIhQMwvelzLjxHjzu0cF6yGSCjvwlWYhPsx qG75dsodSvpdX+vztlxqt+YjD9K4oQFVBFtK9xMoHzR2KD9S5kh3Sjxz7DtHtmtQOsZWCyeO1nwt a297a9jlo2n8NLzPjXcMfZOSpvwVxPFR0laMybX/9tKGx5cao0t470d4BP17rOwa0ordTdY8Q5oO qRGtinTJz963fGoeDzoX4nC5lo4TARWnoNE58bVkXqM02n6hg4rlRI+TtQ9Q4fkFPWNzLUGEGebm EPKJKjR4UccsYEIZN2Nb8G3Zsn3l8bwrTc8Lo9k596OXenI52hqF0AAIr8a8Acie0nv+ASkPdbPn 9vOZZg8zBnlv8NFwbRr4zciW7dTjL7//MPIjf0L06midKUG4mOOyFk5jytnoCv+FpH3QbUFxo8gM xSoGuGP+a5YAoUcUcOaMrGeSjXSx8U02kG3fv6+v1AuRZsi8ol/+1qXObvfzNVLRkHiJHu0hbtRw M+JLXx3Y56IIVyzuE9e59Cm84MtsT2POwxf9XVhLipMiukhg2Pmflc26bilar8GOsSETYZFoAhk5 +bSKRJ4wmYE9NFvRbDCOVr+3N7i7m6LtQVIgT4KhSI/iqQaWh/LABsRFTKXk6ZPCeD+Hu62cutpP 2lIAwLOiqIWbzJdhpldvio41t3CfyJ8uJa20XVjoXpggP8C2qQvxygFhFIfeYi7Y7K1aUSD+0/C3 FSdKHHITpVL5zlVTFxrdDTChUzAbPQc8m16HS++q1DKbcYl2Qi+L7IQhF3AuSyAnQdnR6ndjgyiB zi/oCVLCmRq/ImqDMh7712ENFRK1NSZvvw5Anlh+oLe35/MwatZ/LZ/Rd8V5PtSQiekkV450c/XB RPJk/qzfa9ZYHDXyFRbWJPUy7SLKgB7mRXFeDHyyGODjvZZGKx905zhnV8xgvTthML3cYdGFEuRF W1Tkvs83wq1AptJ10Y1LTEOz0+E9CJoj0VO14oWDuJwDsux/3FZ0LQF2mVDmi06rE3+Vud1Qy1Cq hJuiyydE4FdgyBymvDUf34H7HDDf2uHkByrHScLVRMW5pBzYhRAATuMAjWPtpjVQQmVr66FHoo+2 u+HB8qaHxzUw/BGqO4LqNhjt8+8MhWsyzmkzP0Pi09mEeERoynOWMOHSB6k7FPHS85D/LGvu3mux RFMO3kQFUWkryoQntyxazThZsKutr2qJXkWw78XGPgVm0zSkU2qoWAK32Ac9X2ggVURTxT2Crhih kacJ4QWNSn9/gFuHsnjZOvrl388k5Xk1hjDlcUMyXcY5z3M5xxsOr8iTeDPL3/TavJIvh5MQkvEw ikrCjnjROVTfPyO8ikw4V5o0pQrWto9srSWOWXwnQXgDNmiHv2+705OIaUQjblzAjqbki0XgNPc2 z/civol3xrt/iEhzz2oitBw2A1rM1DOIllwNC3m3VTEk5PFOkGH/EjoEUQwJ94gxRbsUUWe3UAzZ 2FbVkCX9sN4qmNTZUTxBWzobjhP6kDAxf8TaLq+g+cXgWyvJK/b9QRHuhuDDrohDGFP7W3AI4xK0 VV7NSpvReTAJClAacea1swSP4li7nP3jKKViwemNp1ssL3mFwmGDRsemYjIe8KcUMh1IJ2GhjXJB HEQ3md8LJpBmAxHUdxuXoBg89ce7GCaVEnj4MbdnQ7BviAbpFhNs6TcrG9D1EYDaMbDM3te7ud0t 2j6xq9O3EtMgiF8V1WP+Jp5JsfPXQQhO1KmDzrQbWUoSSA2Gv2JcgUxPIrxbzY7yeUBPbm0wLb7b CIQ3+m/xV45KV8Rivbsa28bZ0WMVfMokk3gsDNGzaCaa2spRP52uRKaYa84KGNg/nd0pU+dJkSK1 VxYPSH0ktqMY77vzn1oCcbQws4PIf7fBdrFvChxbqPpCUSI10m8FAwHy4O/XeIHUe8EwUmjEgobS 2Pw/lGBc2mKsrwWNQ2Q+akoBxC2vIVIJJ0ERVi2iLc1xjVl4SzLw+zyZD8GD/BShsCadkQGGIbTu Q/FR5D6HV0HIX+sCmel65Sdm/3SsKdiAHi18QR4A8oR5uISW1ShMDLkC0Ik9hHQIh7AptNtZnsI/ ShTmDy+G8YVggCKbtBWEVTO1VngLgbWJaAlPxPYA+UbmVsd/MD0Kye7EUZCYC2Z+X0PW9q7TXqbK 5DvMg9hD/z4EdDOurmPnG/Xlv5k/Ek+nfHabfVPwR/avjhUFjsgzufiKA0FXGmQDOsZwdXkB302B UpsM0lzIyLsLV5SMWIu+0UMTr8GzryR2qil5tbdDkTfTekVyBjFVEJ3qLWQhckr6f8jjpjm1VadC MWQWFNWUsvYKNBJGj1ZpAtcS/byACUtwks6AqmaR0BwlbxNedDnM+QzjlonVt5eBjVz3WGl0PTGk D0qxF/55UdDnWL66u5hbI4aINtBKoOdF+HBQduMQ2INHMGw507N3t6LwX7OxbC5Egi/0ZKXm1KQW 6dWJN3MQqHHByb2qm9QrWbPKn/uUEwy0rm6zwJRaVVOl3MrGkEvfbbN1UpQ6rifkDAHkahazJnfV llaa5XtPWxsIQcijc/GmrazPrXBvVRCdNu7lHBzhvqEukOsdApWfDJXVcaU3O00+ukkep87Uxowo gDAlBkqa/UN0lrfr7OMVLvZdCge4OPl1Ag9CPHlkz7K96rBK4C1KUag328XSjiyR8Rzfuj5Ny7Xv ma3+glPialfO33TegQ0+a8P8MLimRhG7gFGZoUROWs1rl/qOxiM1kWeQVy8YtxSYVlrFUd13h+Ux hO5QW9AjEIZgDRad6Wx1e82XV/Eer1SbwLdW5T55LoOz3EevW53a7JfNT6qKgiItwntXZlwTb/mm FhBTnwMMr48T5B52q4L/7A+hExmjkSuLqBUf3PVZGjSrKk+w2XcI+sLef7zjj2KCk8eMktMU4Ze9 KwEhxyTP3ET0orHyx2fx0I0f4NstfOx2CQRq2GTT57zY3ZhzsJvWgUxe5tBZOkp1tJEfyeEj78pF y3QARd+2RVoSgIo7SzubDFHmuVnJqsUyxoWtAJP0/rmPmqWU19yK0i+dgD9FHbTeMGkgGE5YTVFF DFT/QDW58EPD8yc4dP95TSrm8JKj2pJvd4E2UtGtrQMzH5K8FSapJYDL1ZxC+rSy62myHUxux/sf bextqa1rE76HyEu7lDM4s71fy9uQjwT1Ys6CZtOcCWf5YlxW8RQX9y7yPJVOH2N+wPfOOIQnLJTf bH5mrTQOxShXAkxPBpzYDUA7L7bzQ2kbDv9434YgLQOFzrZ3O03o7j8YiWHJmFLWnLtJmU3AUyEs 6FfBgsLcuNUmRd/36zhQkpnbw13Wi9z+q+nM/aXIB/evw4YeVG+zKqYce08nDe38xOi6BE8gn1gJ 2x0/pr9rsEwjnQu3FXGYydGue0nXYpBfZFIrWWvwROFUUFzVAJpIZlVlkH7/EJQQyUadCDUtScJL RrhXV1Rl+0KU/LHjJ5h1bHKZ/yMRihlRylnhvxS4g0LMP1oiv8HfkqLiTv8Z7IMTD7Dw12QjyTDw bPh6Fr4tazsN723HnJSprBnnCkLn8rG58puuXRwDJCJkowvoJOUHYN0nPbvgWqXks83DMjpZ3GnS qh/lPmYag+CuvOxgUWcJE/kODOncWr4ZLOMUVmGI9mH0GjB2Ahz1jCittV5pZukWLdvfsBmy1PYT 0jk3uDl5omaeQknKgLBwSiAYsrjkXBpPhGyTQeERmE5MDcSji1AasgMWjJ+ca+Sh7JEZWW1sLguh XsZ0O7jcyMfncl2V6mUQOZj4twnwlt5c8jY2TxdRh57BUjhuoGwgi2suTaCPpRpKSMfDF53e4GCI hvPs3khnm5dHc7LZH73Ai3NMCRFokLlGlwxO1RD5EVijPc9mEt+C521VLl99bZOW0Yp74REh0Ppt I/3N2LOH8KZjwca1MFfioqnQHtLLCHQVsc42LwPT/vU9mZgrgBR0PdxBfKT+uLs73KAtGD2Vv4j9 966K6e6/V0GgMmYsnNopgCzTgH24MS3wsA/cDmLcbUx2aFSlJYwBp7yKaRz8C6oUijzivwCPqsET wrb9kWKxbakEanMTCBJ/RnhRMk00PAm0mbIAuTN4cQcfLZuB8NyODAVFTLxR3ZD9/YUYMKbBNhPJ e8S/7ke8avmbQhGfAw8siAF3PwFUDodZmJpXlL7Oz93m6h0omE2fuspebOOlgfYETMu3X0987Lu4 lPkTwxCfFQWXfGKu0IyI7pBRmJnZ19iQkcFQqyxuXxvETmTbanyJ/YDv5U/og+bbu3ERGcHN26K8 Bmb7MURR13gQPskEFNDeGg3WxryxZ5b2M6zoGWkEjFhfJf2yftERwqWWVcmNcyJhT9Ysm7vmlqR5 3YIQyz5BSWscwINztR3S+zw6ejue3+zkrFphtWqK3E8X74XESpwAU8RXnihtQHas1uWYHNGjCozv JOM1IvIkNr8M0xMxx/5t6L0PM1LJJAfB7n8vJJHOaTNZUl39AhbC4MsC2/S6AzLQiVlij3VwdmKb /Gc53pVzfc9/CxVn9ySihmySAZKrZKE0XxcDMbgRrOSPMe/nfn2wPpgIlN8jK/4p+3nKRRYoAqKa 1j/67XFDv+ysFFijj4KjwwIYqKWXAzZAzCrp6MSXeBY3CXjXVsDiRjCgyBkzxBYn6l9KImL7jq3c UVI13C258j5uTQxxlmGuahoPZzqjUZpwWPRw5F0VWnfR8HY/ZUdgEUbQerTQ/zxYytcwxcDDsemk AcnjHqhxP+1d7ccuGHfX+0IMzOIGe7GNLIYWetrwbS4Zl8veZIsZ7nsA1NGTNOHeHdE/vgCV/FWk Hce7qnmFu07pZRq0crgKtbHXaVdSFFDwLOf4hmBWGGsaZF0NU5Q/89I0PTT/Hd6sqybqwMY+bSzb n/5VAZk0g85ewcAHn3a0QXtAlg/r0CmGsDPtU5AYfruFvrcFhaYr2zCuBJCsLMChjlwtjzmOShn2 gViUkvno4v8oaESM+d2tvfI+3+HGAn5vunRRCI22aJjM7u/kn6Hj3rF5fEO8MOSu7V8utEmOH6b9 8kFshpvmlRmrKUhXtKc+XaHf7+fQUs5rWmFL9yZM3neL3hIMFHMF5tRf0UcHmNdQ31Hx+t128B6h pub+qM/StWt6FAg61ze0zLo53em2i4Jn7L3bKgZzxb3R7y8rKyiAwDdocCp74nOOLQSKZhcrbGAi LsecMhzouSjA7708R1/R3mdsRWFijvtJRXG644F7PRphayPHkCFqOIkuAGvGIItwc25oJytjL9tX M7Di35LpQWNoiNlnlq2DyWV/rOIs4go+l8POhamiwZM1iohI//t0cRHq9GHU39LQbralp3cYip37 CcT0rgI2OQDKVR71s3sj4ZwYLTchbcYCxrlw5YnLqrBa9m8lnFosNl5GvrRwCxVvVrHf+riLDFai HdlkpiZbD3YfOttvS76GWMDraNptsDd4tBsDud898aEh1XqzkYJ5EsP+Rr4qq5pjQ8Hy/XehqYgG nblM+okcrUqzrKmQIYeJAyDYD3t5qxdbWECE7q3eDksjzJTjGFOywPSzqQPFbLp+gXVWs8fQB+G0 xU+1MgjkxB0K8+1J7bzWVOFn1FXkRWvVkP+o6mr9usZ43M8CH5K7QBoV2heDk44djcKwoZ4KD/lq zWdKCR5fJjNbOmyl8qdjx1//QYBFMibBSOvN9QtG5UKmw+KZiSdkU9CqyEls2s1WDgKvCyldrdfZ MvKHrMhoCnBf1gOdWo5JK9l9ju0RL4+FZbcqpg5j7abBWeLqb5Od5W5vtI0OYXlKOnhSMLiRRqaH 9XD8RbQ2/6rYszNZqc6h7CqpJeAraxQCWG3NPdCkK5xSHSSmKtGQeXSubaEFAC2fTwdxB6Px3NLz ofHe6aXn57nPW0trlYW7gZE86ncggKg1dUy2FWlEUME9em5o2BIsUv8JA3G1FjszfKkhxvfjEJAf uxlWr6R/h6t2HBQU1C0MJF/LMan2RbhpNY/qNn5aYBil0+jNeU7mqzjUPAeloUpf32URE2hZKXMi jPSJft3BP7hoBrff0w06Pw+93SbNZYMYdFNe9ogN3TROW3KBmPy93J70RgmJJhldMs2UqzqkKuax 6fMfW/ro3sE8EvMyLny+CzP/7a6wKz+SuZ3VP/jJX7uqUyqmA4vxvfAEQv8p4q23u0D/6naGIxaV 0kdHGRMEBjPw+svTakplr/0jylKN1y+64jt3P6hFlEKWuUdnaGHTu2Vt2md9PJ3bR116c0yzYA+c ADHH6AuNV5IQNm/Q8G+Hy+7WLLc5c6SZF8hEkRoVbEgSxlWJXhSBnBKL0W54zCDtEIC1rwWZ9jNo WHMEnuavTRST3va44CYBGwU1u+UMilzVnWaC2RJZAxRJ6qwnck2ETt/9blAClnSMn7vHvz9f27EN 7CU4t6zEDuDHrruRrMi2Kf+L5ReH8mqkA+1NFD/RrN1d9DJcyJ0LME4B6LSpAzSlAw+b/KtWzhgf uNI47xpegteLFS13LuwdX58r8XkLHHDyy83vL8bdrY74Z2WVBpz2H41yqLH8FjAHg3Pk8bCMaJUZ f+lt4uoY5wZTi0s8qXacHUDnFOcfO5iLYal0j1mieTcgp14WYbzJscZDyaWpeDVLokeiEhBUoWpl 9blU2Guig+ifUExRcnDQIf3xfkMoDL03MF6XHAP3d85IJgaDRTXe4QvyTNTZk6CZPuSfxQJBol9V 3I1hWbFBCgR++SWEPTYRhA5hEKF8DIlZZno7nohsgaoAoV2rOvM0nHxzndthNhwo3Ivd0EMWB6kH WWUCcW+piIolxy7yPAxwoTZG0Klb003tf4sjTPP4WdR2JvuNgEohCmZoyLxiinC392BDYlzq641P aR5h2CirCJN88gcKTnrSbRSskS7tSav3FDM/KigCqj6gNHCmYQr/TBb0yk5T29PcVOwL4t2U7VnY noBLBQ8Taa8nL5LWc8zNrEmlURhZ+XPCngw74/jgJD5KGJc1vTXolnh32jPnp8fV2dEOQPEEouyQ Rxb6Tk3dPsoRq7IOfjWXv17IpywrPfEp8SckTcv+2/Hq6DmhPFpJAxmZqM+iIiIJuAIKfizilIdf r1qeadmujMFlBzQuZe6zMDU+p18nEcQcwt3fpfJIq72WxUg6T2jVHPBrSljRnqOvv+6m1UNTHmCJ IqMjBUMeYh+l5EAz6Dg0aTKjhwWBgi9RcBxR1YCifu9b2WKSDVSFiI9YMhNgq78J4dy7I6pKCW7O mqY0x6z5YAxDRqZBw/WLAh8M4w1WDg4cA7X214mg2pZ7j50U5WajLaTDdJRAMlekhp5QeJU/3tNZ eaiD9wVjSdxckepwGg8X7OnCTHQ5JRgg6OucgR8Jf/J7tPo3D2sPhTrKaMIG9ZxAGzkSEx+g+kpy G6BgtwWZMgi1ZSKQTvh2bfqdz6vVal33npEXLgCt5BgQWlMYqh7+65ZRMswle/T1ib9/f/tKxVnx oK4Hvz1OSTeAYRjI2MC9gNBMybluISw1PG3gf8Q1LPN+OmEGDlJqSB+WivLXB4TzlQx/1X7UB4jD PGvD43tY23d43yyVcsO2FocLT1KNziQYYrjA498FXaZpVY40CVCE6Jx3+5PzgzWkNiOktIr5YyVa TFApKxO8LXgKzHaMk+XcxtQVvmSSk4g2yzK49vSkCWHcJGJQHBzFiI644p9QWFWGqkBOMuxb/dvO 6sVdDnePcsdwB8rImCmEN/aLKm23jxvSXnz/zp7GLFt7npSepqhPm5XehZHNU3SUsFoDqnA0c8Nu 4jhe7X3zdSnoF/yZx0W0uNU2gwsiMQOEg29lrDAhlew40JEQYHN9u3it80aQ8HQh5fMiZUGy2ONH mb+ikTo7fttGYaeV+LmRHOYGLNg4TYH7c09QuHQX1uMkNx6drVmmAXjj37y2kpJEwl1TgXlgSXsg bd2L7ZGcpnDoTUQu78YLC0E6UyUlFz8HWgCRzLdfARtCkCJ52BGSk3DPWS2CGYqnNikLnatjd9EZ WwTSOYWfSPVRbaslRYID/yXCvijIekYpsltc+3XmZ1VycXHIG4r7ftHWPK5hunpSNGBcxJWnTUJi jj96xkfSaU5mU/1vznf1/alRS9G5yKlEco0QRQhmm4mJqwmNtTIk3nH6psOUuOLaLE1l+nVUZO+p uGVDTuW3RySTqY0XsTfZZ86S9VVmg/c+PwdSe+WPDhJm96OdQ0MN5s+3wJkwYEIozNC6mob6hIqq wpl1FoW8tfwUNu/tOSkV0fB0rOXw3AKswyE7uTTg1JuS1mdw/mZAiepI+fHu+AhtKa/MM/XypiZO HvRx9XC/MrDSqpt76IT3ZQTXLyCucqQKqy58V8UMdB8Z6uUkfz8HEhCAZflemZ5Z/YI+VRimb1yD ER1lqlTMutC2nOxHj0BJdNhmVaQzJmEZJD5uei9tr8Ae1Bis4MLurfHy0rBrn7tH2EjVKevfQd7m y2nAzU0QSgTq71acOQPaMewoHWczj8b5c7Xpx87F43bLbniEmxrSElLeys4rgRwq0yofqjDF0qpV qbw79BQZkzuGJyyAyhOBMWRiqTArFPoJ53AEGfoCFQgM1lK8titWfRN3Gj919GxM/GRHwcRuhk5K Ckf9DvDzQxbeIdAimNOFOD3bMHq3rf0e6JkxhRDHHohtszn6BLGXnuDUmn7b3ttaAZc/1OGFtwKP la4HgX0AgHM67ALjbZ6XUchGl4Pia3GMreSQL3y4ozg2iDU1lYizGV+EcbzxpwHIcczWAvNq3zGr NBVmjIlcl32kbMO1dY8OMbjOLda69qFgE9KbQsejIMjq7h6RMNpTGO+15cR+injZgTXAGsCG+RZZ DWQmkZ0fzkU7Ny+Gq6QD1gW2OZSkKNa+EOs2i65D4Ly06Vu0Y1Jn/ovxDf0a7f5WocbAWV9V8UiU aeXobyd9OW2E7wfvS7aNDb45gJzLfsz2I4l9OPtIinXPh45OGbMjGPKbI3Hg+1sgXIZlwnUtAgYR a74Dhih+jgtoCz/52YhBDFyAihommxnIaqQykL6bYFTUJOQyaff5f1Vt5AJO1vRRGtwUdvTR8cao XqHh0CApDKkmwo4uHUEJ41Qbhlxf/2mAPtWwNhHFDcri2qHBNHYKM9tnM/IEb7kxQzO00ulpCOJa a3WDvzcBPFKw84l4PpbCvOsN0/th9zKck2ACGGXjcZSdPoCTgDxI2Eu8DgXS2roENbCWfb7yYbr+ qEAVo7heOx4aQZTtIpYCo0dqzYL61p1QnAv2lhKF02dYvZrTcxjf0u/WkcG0xfj4tV0/PDf2F4Lw GOODyS/RoaR+uH8vIc9w4JPTchGTsg6y812ybiFuXJAknl0hdmRcqlqJxX7Sk8tGOJ9pr3QUVa0N qKZIKyTkOByRmRV/H30Na0uAS86Ohp6ZagSwSIrifwkNphXV/8w5DzT1dUBhrrs1Z5UNW1adEo1f TVFGlSdg5V/xFfuFADh5cMEpH3QBKFR3bdvvRUkJ2iLwVfCGql1ctXN1OwZmft7e8xB6lPqZqkRU 3Ky0pz5AQ2/cgfApqfQfxazmXn4X3ijzab3OsI1NRDhvdgTOSHOAKB9b2YFdAv8CGsvQvtNDS3F8 nql/CjKRqrHx1hwibFcP08Njd5ySb74gjS44zQuG/lgrFsSsob993nvuD5rFdKeba7XeBO3uR4MH 4zCjGHMmeNpuQgFgV6get8unizKvT07Z6XUptJ32enY1gyIq+frLhEjsb6bhaST+SgRtcI0IABU6 cJyOr/As1wt0MWB9CThFHPm0TcoHGYFTE8xHrcWMmOnN3o5tLh4zkhS/mOxvi/jsNjMS2ugApxqR yF33CgY56XqwZIyuXaVRtl7mcMIkRAWDrWPt6IK4W8UoNsMbMWi5NUmmMSWm/DwXUzU9+ypQScjF wzOD59u97zdwu/czNab1MIYgEKRCZdVIyebF2h1uadgzvZlg2UlFOyZrncmRfjsa+J+m8DUNCRLB 8L/J02VYrW8CgMejp3vOriGCIY/PQCXXP4YuCzLJ/A5cpGH0GzMQnmwk6IAb8vG6hudGebGZXJER /LDSvkkx/N7eO6CGXT7Z7PmYbAu51yrpzBpyMR+r99n2FE3nLVz0frFHuVLC2lJYp5rewtDYPyA1 uYmuywJlyWr5noTWvRicFa0T6gya0+CYSlz/w9cuQYUzB0x5MU/DR3ryU/HtHDkHthvt0X/uKHKP l+SgNSqB8clsZkBzJFSnO9rgFmGuvfo1d9QnDQ3eEebwG8E/lThU1Y3CDUTYvC/BFGghDMrlx+JJ k9pxZ3bI1UWxEqmssBwflH/S8plBglxrAYsHQxLSYCtSRLl4oowj+42RI2k4dLx13jX3+ptINtV8 n4n0Zt0XSj+84/WZaPGYRyLjt9juqQbXhuqu4OuFgFmO8Tj9MYXMs7mjkvpdk0p9kh8fYA6eAoq+ YipThJTHz0LelqXBHz3Atr2v8B4nOXcDpY9+YDrFcWz+MwFz0AsTxmvsyyYsMFMhXZ+WsmJBQaZE jepHY39jzYbO+2Qa6XvEam434oB0Fxg+FDdkTsSi0rtvNABqs32v3OIl7VcXZRFopFj8vNP/kCAC iWDcxG+b+oAjuURxYOoY3mluKJWqv+QPm0yFODElyh+UoDtWJFy2hDYvBuhfAKLNhKl0gqi7zHqw GDt5bqn7emHkDvYmfCPYZd7i03S4jjL7VLPkLuJJKdW9iJdUOl21hZLCvO6zGD8kPNCexEpXHpuI GCchSJfLxQctD6q2vJf4S7bu0NE7unly0B0wcGWmT4vDk6uj3fLTZ+1pavpBzwWi/vrAkcMQrEV6 cuWOFqx+uAHtZQOZv5zsiH5Z8pKcgtM5f1CMAqTsoNg5Gzdql0VPpesWxY0nlxqyzUFXJFqpkbjC 92uIfDhSUSjQX85bDUcww+OoUVM+i0Gjk7DHCE3vZvD8t/a58+/QzkOTTDUPzRcFy0PUvqOEmFop wapDl7qAl7CRdUWZg/4egQJN0fS8MQmAPJIPefYt2lSs0Dm6cYy3j7wvdFU92mResTS/QJN2k5NU eNVzu8oW965HrEnk1DRoQMDhh3sV6KP+lFyVneOirsoBiWTupfLEJA1sqOLEY/4KZwuUqbjSuop3 8OzKJIK/x2wN85gqLYP+1UfLkv6CQTgG7LZkG/BlCODnNVl/IRCMQ+IAcpeUzMXxY3qAEpaPig27 6ThuEP6LPRYEmIhekeUtqzvHTJhAQ02JOHqJIXb6XNdxmAj7Qzqf1ibAvmVvRdlzChaZaL+0XVY5 M/sK1nw1r5qisVuIZMwwz+tHx2lgFwVXTGuhk1lIK8W1NxQk7u+EWWSb0yRy2+HnsYgY0RCSqbWd StJAdaFDkQD4mDv/kXUZGtWJndgO50X7Z9bRMfWcJWi2At1imI81Iwafi80xGMnne84A0T+Cj1Ie 7o6LM10QTVeZYUUcowsEEOa3eZAb2s9GYMQkEKYYLB/xBjxTTLASTltzVG/yS5cnC1nsc4mwkb3g enLaiz5K0eLa8zlVGa5wZXO5L7L9nWya5jwzfGeMcvm88faTjH6E9k3mPVU4bBHHK9L3uVXaF7SI 16IA/3oUMc72rxAvXjTIYFIUXxDLM92PsvIYmDc8BX8sMB2N7dcTGer8fVrEAG9RWvyG7+7DtsCJ GiqqxieJXZV/7qczVshzCilIww+DohXRS40ioZRAKvYywl0cUBeI/DroIy0MxqjAos29bOpRD65X 04Z9D4giGBbpQzINr9/YbWprOeplBgWTnHX3EO8zoUop58WT2c52hMKcZNFTl7oqWcVdZtf5cN1b G8EZe+AJiCrLsSkV7PJhqS9czgZDeVngz7D4iDCz4EgSnbaW37iDoPWPEETgaTuQqCX3Qgv1/p7B iz1NV40mo+Gr9eJDOjDfrr3jlx0ZiNEJAK68OsRB2y23AfCOB+IQNx2J0Njrkw0rqPnSeVbcL+GR NK2pxxoU3o/YapLt5aAfTqyyVJGQ0ccdgIQKdwklOD5y6+o6uWTEmdq3ZI2YrHNzhvHsepJMpSjn FgJTQiFSjPx5IYaU4MgIJU/ex1pmP3l+bqro5ZzJaxntYf5tO68GYQn6TJfH8Dj0hZYN7HrPksjp wCIIrOBmivU/00QgsdPWpuPtUFQd8vOb1pHUzUrfU1pUy5TynsSn6zXFpNCOZz+/yAKhNrGRa7q/ 9FqWktkZ8DmNqT3tveI6ACWzA1/xoI6pqU/sij40VAW2B5VhmY0xyP/Wg0isofCu0RlnuWQSdZNd k2kLF1thbsi0yZYPOIMVhcAaJTC1L57thnjiNPK6TI/oHhIHfQv87P8WzlbFs1bn4lnivJqrxVVR IfvRAEqMF+O0D9m/JMfptTKYx9fvUMbB613ntNBsxp7IxdVvjUNoyuFwM3Iqq9gbsbiENkf10bS4 F/a9J25gKkaSSYP+XgzuWZ/JlcrcgnjN255LBbDmOqORtd1y7onh2ipSO81nd5koypupaofEnFVA /YoevQlmTPogjYh7SzZ2OcucMcOWa5ZNt5Ax14EXwJDt+aqXmhwhMnkbnBd4hg7leuhDFjkesW3Z MD9fas8wu/LK4j9eoJ/962kCUK72ui/BBSX+/+kQClhCeEXWHnHSge5wBdijaruCaD2xC9ebpweD SJqNYAkDKxapxa3wWc4S/NrsGfoyCwyUMsy7MCWSovwVdi52tsiozVtsC6IPJvzm/WQILT7vDsys SPrx4VKUiYXW3AzW7wk8UvyhGs0OxkGc1VQ48AJC41j7/ZNdl+gnTvXKJEPTstlu3xh/lrz5bwTR pBNXQprGpBDX2WiycJVBiD6E3BULeffmS5V1EyYaEdUS+EdRzDBKYs1GTNfrBUmhnNASv62Mf4ee OY7FtEvnmtRJqe7pDaV4ce8YBBGndrl2gemTJ7MmpXZJwi+3L2Z4XSp440F6H6bUjUgeewsTTpYw QlaCX0LsqMOKenBXHNvc4Tvf5Y6XYjLqfPy1tutxRo9dfoW6kRXSi7czixLxNFKLvdBkiq9jPbUU tR5+wPMn+/VTIFFaqcTFiNWBopww3+XeIueOeFeVXq5T5T2Yhj2nQWviM/+sqzJjO0i70+p/NoEE YnUyLt3PgTsLLusuXsKSBzZY+QMiBF807XOv3wg3rjb761A66B7HF+KkJ82B+UnByoPtq6mxVBBo NqzBGIx1Brg1vz2E0krsEj8sMQACAHIohUR7xvJWQYymTGdbFG42o3qxVMApCDWKsvj/bhiZ/r8Y g3TmP4FxnAcaDaBaJ85hWfUSvR6773nMDMhrWQgryxiEZg9cTaB7O65cs6DR68eLr1tpfiZ3L/NZ sfBbO1kHKRGPD62BtWo4fWvB2ufW338Qj9UC5Oq6OQ== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_defaults.vhd
11
32589
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WWtJI+hY51PBIHEJuMmabMx/exsWif4/+eIlqg1wHwt0LXqCBCF/9KMUuh0c0q1Aim1AelneHBQ1 OAUIypxCcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LHWPiFER+ylhKASKVb/M+rPTiZEUFsSoYr0JzoMMS3GVOGL2OLFeqOogSRZKIElrPvU6koRPo+Es YaV4XvTWQrPYaKRuwsx4NBG8Kxda4juxxP/rp9bkfo/lyl5vcqup7qHmHWRkyViNZaKDThZeE3RS GK4cirCmiwfxEo4Mq3g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AYgjStfBUMWeU3jKprSUnciTIMZZPy5txygzDux4UChegSEbbUtF3rfC3SvidfLEgr0Xx5YrKuqi 8UeNbGyLgV2Fztb5G016ybd926sP72A+bpKraAlbcvGRolK2h36OEHIbd1QCQ1CK2LHFu4yijIpu ZCVGSRMDfbCIVdoIEwi/d3uG3uxZJ/MwcPNEV+U67K5AP1cQj/MZSgAHfDEJN3ny/eyKwQLT0b8X VrJgv+gHNlk5pWmHGp1jOFZV21FW31bI2ureAimxycfLq41ciw7AJu7ntE0aA5znCk0zlIOF29Wv FVMB4Roq/qxMuTuLdNHOyDamSc7+1ymlG9PNwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IqXkpA7tC+yxdagm2gZbfE8KY/sLSwkzWYcxkLkeovhP6k5hwXB7qgBFgwiDFM/bCJ5ScHqOq+cZ 9SW3fwNmkWjldStW5jyx3Kj7D4V+TZG/OyzKcMcs0tleStvsDR1qcGkMdGgwTBnHkIPkC3uG5oo6 ZM4eXtEq4AXscq0m4s0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QQXOFVhuXbc80+zZ2htCYHYcCp3E9O59+Yl7ypoRWeIoCrrKiW+q/IshosljPYv9Rnj61yuPSL68 usz2Y0hE3ZS3oeK3PPxnd7ql38jWr1G6pJ7M5hierEefIrxu37p0e1dp9jw5djTq//qZTj1uTQCY 59J/r5hUUP+AP25sKdsRw6TJVm9uga7G9srmPkRIdoLPPfYk0yF5ajnsD7NGAlmU80CKSwU00Ofo u97sna6z0bNS18cL5p3mUTSRYh3EhR8yWYQDBDVfjOUeffgGq5Pb9Fz1RotN+JuUStC+3C8AW9BL pf/Y9/KyYenDu5RF8gCAras14scTUMduPi8Rvw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384) `protect data_block oK7CZuHusBl4p80xpwcKpymTrw5o1kRNzmjX+ZhFa1GtBqHltzfjdIMu7doI6T8t4c0tLg4nBy10 0J1w+YaOK0eaIld1vBoTsq3bRC2TL/FGTG+oJU21MsXdgGGo++aHGllNx0wI8SgNpSMvxNd1ZjCg JMUPr88kMoirDuIbAqvxRo37BYgEPQfMmLxeSRNhPDfISFMED8rq6MDWmAwMsqikye0c+dw264I1 fQwVR2hnoPglDUwOiiFmM2FHurlKNoN1b8g9gflsQNYKMZGQbREN37ojBOcMZBSmE7y92M/qXXVi yj17Vbm0h4rU34ck7WjS2/eo7ngiAOj7ptA1Q2VhSkntfbtTz3fcUJ+nk5EMX0c0UshPOQ3kq+cE bl4X4Im4xJbCWiRYbfbO6WRXcE71+bwMaxvD9S7oS/oI3TpuDzcUjM0fiiH7iU100Em6qOc+Qvi8 yjQL68D6n0ytumZPcsEphe8hyc/l8EtMat1Fb13wwx37NDhKBD5VjIBFM3D3GEmu+aNF4/+K/cSf N0RUQJ2AOqB1b/aUGUAwxbGxAx2sLM6yzYC3mZqwtU2lwKryGVNxplP9QgziGaQxYffra7J3xq1B rf6rgJbkpE4OAX08o0zPvLtOoIF7DsBWnZv6NcsPm/HYCj+H5rgKaM8vf5Bfq8dEC33UjHUfR63G kxotSoTuTicsyWBtXDptqUMr1X8AZaZNBw3qHxt9D/9+yu8nYv0HyXRBO7MpaTo1uC0HYMmqII5k AnTduMRrbJ5GdhIGDwNTDmCNRZXPiARr2fdl7UxwOnX3sl7EWcZO6L2MctGrwuWJjrP4tyC1L814 KNFnw82CLs+v0dj9vQ9xc7Ep8BaRpTry3A2zdDY8Bedfuwb085qqDzA5DA7rfYvebH5x1tB1q+c+ KNcoiXOOvapH5fTDxg1h8oKA0gkC4lgkWXro9wwRXQf6/dGQdttbfQgILGzuDf1C2H+rjgsfr+0j Mz1YgMd968IZmBsJ/fgmyO8Bzh1km67LgXuDRSSOI3IOhoDeuitGCbOhT112pgy4f18NwECUf4I8 pFPgQLQ9EmKApBDhRD53aeQeaUXZCC8EyhaT6TUqADlgoJIShlslwcysLYfSOzH/W/DHKqIXBOou 3W3s7HpdMvN8UJiVTmeIRLrs81vlI+75PlaYZrJjbZs0wtcLqYRdXb+qMVWmqwANfiJqn3zPcCE/ a1l4lK76Dhk2VHVjCTPsPILwzGsBysPoZlwLxKUm6hVuPMLi/zIl8QvZyH/DAwsNbffqv3CbifAF 28xnpGwBuoxxOW8C07rJ3Pk+MBvCIyXRGTs9ZMm+XIRGqp8J+ecb1qvaG5EatauiDazvw/QQsdsR jXsb1w3vQH/R7MnfZJSB/gi69O5th1OHFcpO004VoA16GHIImZgXAF/26bshmcdlcizQXH9sfSke oIj+yP+UR9Ix4EKd67P0x8uFag3v03E+Lp+kyCpqxh3dz95kAXrMSYaczX30s+TjGHriTNF/Fzlc SpVcE1OUdN4D062mqj+HT7kb3VE4mNtK6kVksbTKDv86Olf2gDS4yyk9wAdmzSW4wpc6ONpUZcyH /IZTJPn3/GLA1n42/Eqd27PPZWnR2HNWhBpT36TS6WfGBykInNtOfIECai2WeKkeukD3zTnBJEt2 7p1Bfyervii/AyitcwibBBxS+i5VTyYXxr23oTv8HPdptL2VYTTJjkiB8dBho7Amb1wq8rh33mKg r9C/jN/ticH9S4GP72y3NlnXINA1inAOcGP9YArsGIkci71MINlIAple856esMWt+vdhdaO5WXXQ H0LQ/DrAOI5fY22LFveIcDMClhD1Qkol8KuZlRttzGpj+aXtRKorYjTsG8x0h6aCfT5jzIBaZG3C fJhRK8fbg5vqY+NPebj0j+sGdNCqaoR2wcTADecn2d5bKUWHLEj5JYnoeks+SKVIVIsNrYX/YRGG cy0Yr59KeQZc2hWsJ89YajDTi93WD78tAMI/RRAwsldj0FWupgmvzk655NI247uW0hLzjSbjAEcV fXQdB438cDS8hpMSmfj1P7dGP9eYOERhQQlo3ncAvDoxXZQcqzp5B5dn4C9n4q7m1IWhbe6ODM0Y L37Yc462nVgTcBT+4eVS+VLqAYlWTqEAllzoVFWT1MXW1in7GBjVgtR4091CmTwNcZ5zvMNfUvMI xOCcyoqX5iiVD0TMca0YFJatS8ssamEUwhRJw9OAy7I2c8RQy5v0rXgY7dYb9F799S/RvZOHQenz Hi82DX9anD83gVUGFQMNy/wWVcVJcoFcrFwgOtGNkWVdbjDRaAMwRg8LKeMcSASJSZGsDUbUygZ+ tcnGmePJTdJFwCjHO/zhNhXnYmq/rvbaOq7m12vrsUqroKY/FIK8Vo1OPF7TvMl/0kswm62pTCw9 57ZeGvd7njFmj6ClUb2rsa2mTmHW81FpxDsIl2Rc7LVKk9judawpHyioeoJbPpnfK9fSMDoi++DG 6NNKikN3PFXMfpj58mH9AhAym3CPYkbHAb9cE29Hf1gJ1X2etCJNK7i9CRU8dnNknFd7zrC2QrEf l7BV0ttsAprnQkkeHxpZCubazUjjzR/M/arv6pMo/VUzC6swpuJw6QIsysUJ/58qzDx0EbsxLBpu uam/1wm4JDGp2DpwA/vtyV+40iIiE26HIRIk3saQBKQcpQ8oJb0oRu3WPRc02alKjdsD+OvXfdCu cfKcenhqCuUBcO1m3fgXhKVLzIGAMbINm5NNSK2jtho2z1prW0Do05u2k9eeifJUQ2WdMVYv9+Gx IKTs6W1t/G3mUysnPA1RXCHQ347olalRlQWOxetq8aqSJTLQIw64RbmOoOw/LD8uTBF2VytbnZXy 74Cbf5W8ne3HCybAC0ZZMUqRlw4kJh58D50z3hdU/MWmxY6dSRGgrW/cDWy/KY/S4+zNYONOhb6Z +MFvm18oD51gdObBk2MpcU7XO5YZLdwlSef0ZQHdH++AGqBnI5Zm6ibXT65R9CXkQ8Ss2wjz6bba IB6gA3AGh36vy+xbZ+SiEoZc1rCt54mVSkktC5i4fJz9cuX9uUwdzjT5tn90mK22CIQVxtJjDCMj pMtDBNFG/U3hr21JxeliIPVEmtCTQhFh86fZwr90WBCdA9JBaYWhaf9kRZyApa+c4MbIHbQdx6pa OuGGxHz5pdCPki8xF7ZkLpfxyKK6l6N01XHVuEbXD0kJy7yALXfLAYvNoActQ9+6oCV91FFssFt8 s+Rz5Ng/17MyO1aBow/DzwFch2UhCoU1z3QzbNdb2oEKZNX1GMhE9+wOovaCMB+E1sSWZp/0w+K7 t6atjBUTvWNzzrfaDJ8CkCertEdKjRTK6+9OD/cxq0LqNH+I+lzDDRUXACzkODGf3nDep0wYEUSk irdDxTge25tOGANzIQDxCPy7yT38E3EzohLUOksYGjx51SyHkmitQCWomNy3jhibulMoR3vrd4aY O6nDC7VEc2M6LDLx7r6ROT9dlhTPIRFnr8iC1wc16VS0neEgmTxWgXDLSBAvw0G98XJ4glhh6V9K hJOzBK4uCNDkmAFNmrG4+mCwxKfasLyPSki2/7CI29VQ48BMeUhEqEE//YyXXRYGXq5be+1JrUjV WfJ8rumN3CHo4Owah/Boo3NeQhqi6tOiRq02htjd0GF9Iccg1wPW4V9OSZRXSV7a3LQbzducZR9N AnJ56+ATTF7byKXvMP3ufg5VvteRPnsRlGOfWpg3zU9088L1CNEu481tvMt6s9+COnQMLycaANxQ H06tKoemk5hbb+rRoQCJqD2uUGIGK0S34As3FGNx6oIVduweSNdDtsD0Ksn8dUjBfrewABFfJQB6 /zMeLzC3wSV8j184KKh1C9wsX3AjMM5sos+a8C2tflfSZLim15o0lLhgbU2nu6uLccselSarh0MS P+STtwmqn36MavzbU6NbVuGCOmY7P27YC0YfPF+2nPlnEKaTDnPgO00k09ptK41dywtjDLGVHW7j DnOAXU55B8WbiHx2U067zLRBgfulm7U4MpDyFjfAYoUwr/8c63CtTGRiLyhdri7N7HIaHz+eRCJ0 8eDpCcGtg8+YFkMtTxXAkEtC/L/NXkjfXTT3PBK3/VgbyZwQZCm6pzhOfZKj3i+3XTGU3jL8UO76 VQrECPbLhc67JIOGutCPl4o1o76xdGao1PECdnLvLvMrJRFVwjXJYJBx/o1NjdBCTaLC7jqFTwRm xR9I00PCiX7P5iVVFC9WVodNARTnyfyuNJUkpRzEMU7nmJ9/OzxpHP7ZKuIuPZjD/8IP8YxDGnXB 9ZbYNInJazz9PD18jKwCQ/kU9P3qwHnXElGxLN2qzC3Y5pK1n6ZTCXxjfBdOPw83vxktKwSde4wM zjXOYIBe8+6W+v3WmTQflH7T+DJ3C7TiHpaR1rhRUaYcZum9iKD8ElV96sfPYQededXy8zXrX98w b3+ctK2oF4kkrSchUqa7lcECg0325EIv2+4qwMfUu6X6kf3p5PvkCCnwwf2TNcJhZyd95qpPnKj0 2j0tO4SfKa7zxrmcpXqhbVlp1CdN+Y3q5a5FBRI7LDCOadYSiuKV4rFnuPZR0upK4OXnhZdBfdq/ Znfx65EvbdCfTe7kM4Y81ayN5zk2J/Glt2mVeRhs3XXn2wxgKnRIIkPiLgCI4H7qXiIKkWJ3A5OY 08YaJUSM6L8LMxwZd4l9lCDw2308oNpDThVn7DVWizerHh4KZuwJtI9JRhhQXF0OhbUK6SxZ73vd o54raXky8Cyl1yAcySZyzN9aP99X5w6uiogx4YIY78QllP2d2xP9JvlTdJid2xtivt4bGRv6G8dy iL+ONyry/6+f8T8KNDg3JGCvyo6WB+120iI1lZMpgi6ur6lOiOOA/bC2zMvEuxiPxe9L/zhVbuxV IrWztXsfHotqYBpqn7PQJXTxz2z4CeLdyTf3LVWMb5KdZ4nobZIS3GNGgTjPdpDk9RBIzc0DCSbL xsslowZ3lqPf8A1jU2IAxPq7CjI20kDVvY9DByfiyo21UuGQyHudYPCEwdzjhXufgvODNraPi/5C EIRIYD0laX88L6D9dh8xxlpjcl3bD8b1cuVYsUOIyrkkDffTQ8bTFD6NvV7dqgU+JKfdtNJqO5KT g1NBDpG6a8oGhz7IK5FZP5yg312/hcOXsNUJKenubHsW8y0RNQJoVdqCE8nHv7O0rQqir8sRdBVi vBL+dKbzMcY3ind/4R6wTJXMavWQ0X7ATQdVqZLyHcTxLyaqe9JwH9mBWbL9Cwx69OT3puq9Qd6v FwtRFrfxHzPkmfmofaN/0kuMCfGvtHTFO01+O303QzhzHBpRfSiDn1upjVYv5yK7gQ22QpsHI+X5 QsS0aFPLsDEajeXI/S8U4rp7Ob4Bvxo/jlGRyk20UONQ+9t6N2Iq0gZBNOFiV1F3VP1XX25oyYRE Xph6o+26Ry3TQJnsdVb8vUomkawUxvhoKj+gmzf1g+1hlUkO38uKfp+3d4uBIvtOjrDDF+09vstQ MqxKN3kMHNrSgJBBf2VsnNhMuFCTsSHDV39txhUzxflLL7G5SilF/MAHFplIEgsY7v7mIOpjJcH0 OJVwa4Fl5RemEhd/gwLVnng8tIHKvKwjr+DCoM/Q1FlmqLl+K9/Pv79fmFPXvHTFNBzKH7x1Yhqk gsDsDY3GK3eosjAwLW0jGQfbzgANbrJZaOCQs+YLjBy+bSSpLj7UgC3KeaBaYxwIyrTuXDhyHxAH h//hf911PdFgJWdJxu4sOAWM6TI3tVZKoYGSBbHeIBv4+8oh+njrJh9bu8eJxtThme6Ss9M3Y6P4 YqrK2lEs75vgykqtMBxVtLIPCZTilyLhQx2NMWxqenfwnt3CZjoOB28/pIzVXe7IhqPu+f9NKMM8 cLizf/QolJr6GVfBhrSc+yZ/rG8CcuaZ9xYYa/i50Q/Um8kFRzob2cK4k3hYgz43hyLXOP7uLkyp 73/7UXVQDuf1OZp2shm/RT/X/yT+EYxYDiYtJwQy8X/A8gfhWxrWWGi6hiIAVse4DULMSAIyqlAm 2vCBfix+ZGz/0AUMm7AWZukPp9kkpJRfoA72xs2CjpHennA09Ie9OQ7EXdldv3CgB3z999RYfrrv ZnBsu9ttKRfob/FVeWO0qPoqskwNHWGNApaMhjJcODcMMvFSqFfjKOFif/XnTudX0F6v3JizmIHz W8oFLWg1bR9lAAxKIWOuqUo8pgWFUBXz2avopXLv4DjNUhNH8iAUrOWUg8ajBWW0QcWetn7OTRkM 4HcmUJ7euvyJ5OOrkeib20DGr8h1RyVLVeCMuuXSKHm2eqpFw07JzFkSiIo/C2Yk8bLCCFlY81fR as4wz0wbwV3yghSW76gxdWPNQsdU0xjjcWJ8H/LlE/B6VriveVs4x4QqKyNMBFs9STMp6RyZUPeG UIzm3B0XjrwZdcHf7A1Lwp5WYpltp8GHYYMC0eRi9O+h2JyOlKxJJGDbZ3EU84rVxkr2XrZqLED8 dSpAL+QL5g+ITFicZRts1sTG/rMT9T0K7L2x0vPH6i9g9zZMtWT1mF0vFsUUdirTv1hw95A6UIXZ fVYeAbx3h+lcZg8w+z3lEhAOz1k+ckXKBVdDMOhlAWGTIzavnKGfz3MGRxtAXXDoJgVUBVMymR8g hu3qBPXi1D5G/1zeQelLgXNomx059bhVsYzwZzbi8FsrowZKBHvVW8YrfkjPSeI9FyeL3/Yma7i2 6Dni/D1mLe3rmFGD+fUsLkDqolWrQesg95qWi3CU08OaDl7A/CB7DDFNzpTC4d1jNuf8IKyR9BBa Y1PqpcpcP6LhvmnWGmvHMEvhu31eowpT6LvVJfx31oLfPjf98uvZ2bS2InG99k+QB1RHc3OMiLB7 q/W1LUwnAkrtykaEqbfHWacnPOEXeis/6HEdOUM3EwFOT4AVQKgOCP1Eebs/CEPX4gSnJhGTIsLY 1ypT5OQeIwwjQn1Ap1C7RWVRjtGrcpERJ/k5aOE1iPbA7MbU1iqk33cnhGTYscNeJZnjQ2eNg7qA DUDBbkI8J7ggjoN2s1G0a5P5tJWwAyxq4xZ+OhqpGwSX8RXDQLnr8Ylg2PMURNgjHRHgW8P6qrqs 6lHijPOeIc5j88TR/C7xVu8Pgr8BbOafuucZpofDTEXM0ESRTaaHjWsefqXNCQk91T0r3XhZMVQ9 LvZOf+wpY/TPa9n7mExyGHy1ECLVs05Ig3ajpFbXktORzw3rKWLc8gPGhZDpecOMAZ4b4vaEy/NT qX8u/8EOSD0Owj/5KkVcBQcJXjcAFSekL4RfU5anArWol6s0qKVn1ceAL7BpK8OGOxcZ7HRxf9n3 5VqsjWqV9R9d7gmaS930Q79ZnWLWbqP4yE3MBziIOAFGBKmnzdi27GSckDlqq025L0NY9ehEv11O XPURF+jaCAN5aanfVKy+bL9WDAVdOb/wIm6hZyMcjenDj1V7VOpfDZc8q5VMGIkaL7iRpx8czQUw PqlqA1AHBXShOc5Ql3561oJn4wghmkrLiFQeLvuZgR6A0RXQmYdvNzpZdgrImEkkWnJipuWFJoMP NAD4hbxoSa7W8Voxs0u+4uCJ24v7ccQRtmfl8C71CuKEzS8gcJMuXfUMWVJwBPedTapItRSKy4ML 7BFQl/Bq8LLLhTCANtMgCMCMV4hTSOGRRS0FCCW5h3jAPtOVC0rulU04Do8BM9sfanaVuGO3Ojd9 EQRhOEM7ISOLu59GEAxV88aaHLz8rPDg9oZf0cbjKE5KLNeAfbMiC76idyfcRjqYIgzmngehFroW dDTm82KAYsMSNYGLT7F1cCOO1LRSKbFJrlJalV+V3Qjj+CB1HycRk2oYJEV6/aNBAY2n5TAlimrm IAjzDaPgMAsjQs0/953zXoXlURX/5xlzoYD7osldr+wH9D+B3/6LA1Ub+KssNhLPkUXzJTjXpa8g lW/CssuzVbDPP7M7DfgO+snEJVeHa8lYVDXYge21yxdkvM8MFPt2D+WHLDKAE+QbEkoFVKgc22Ii eYiZUMpepe/4aPGx1QaEZ5gLkUxl9qD5du3tIUofttXcspJfgzZdmZNp7RUpjFCCvODK+IxjSg8f vfilSuCl/Kqla586AvsNc+/YJJ5gfK59L3CC9FZWRwcOeoM6Rstlx2FbEmg6UjpEzCDRoo2QUwwg YtXIpLZgJFRThYHgUGU1QM7cymSInieENdkHXn6OpIktQ01TDIKQ4WPwXCOCDAW3DOhyTazXDTZx mG9vRD2rqt4DJVVXumqj1cWeMOxzOYraxj8pF5/Kg/O5BtowM3HqLoXpE4vXisqBGGtMBPhdG5s3 VdYg2fmCrBAKSF76ctsBJCF2gIQd1u7rkmq07FEKJIX9Jy19mr4joTk9R06GOFaOq4RLHAkis707 QpbVK250XOXHyF9NRiDDcqGyzmzfZbNLjUwYCaJQhtBGyI4vWr3e32/6WajMsoP+VIJYbv78neHX W1CI5ruG3Tnd217ydc27k6CfB2r0dHlMxKqLiNoNc6vOpeqHXAAffLkVKR082M/g0L6RkBF9krbr VG26nCzeUE3Td+S0Dsbey5vT5vjvYFU55CRddxEQUj6ABy0uc9ihE8mYONjsysifrBH4ChDb/+iR pyILhj1WDaWEtL3UggCgSzl1nL8AePemY4VvXuqikllsYEH5yC0V5yoqykql3IxwbUSkFTV8t6Wi fkkjstyEc66mV25npLSNefF6KJYNunWTo1AmaBKbnuclW13jvXSi9/GeP7MPbB2sei9NrdEZkj58 dRSdIuBXLSQi4NYWgOMbUIcA7uQ7/0kwl19FkVfmfo8mfPq4unua7B7FEqQRTf4G3rJlGabjC5sf Cdymaaqy1vopdm8D0p5owXI+9TU9AZwC1DQTTx6k300LfUPk3rFNiaxDtskpZqNxPaHTYQgoiNIc Q1OCicNEF/ecz1k7/q6gxZinErr/49I0RnLt0VtyNpSAWQDAPuxNmANs0FIR/fVUMvtEQuvjDzf/ bYbSkJnjmUj58LXqegBqXc3xLb/LDpeRPUlv4f/jjuMaSrrrS0YX8mB/i/HJ44qhrbnFLP727Pd8 eOn8nHpyAqNXjuZWKe+jNnCmIVtuLqPXoB2dvcUOq6OuSP1Jp5v3fPcXohiQXBbWNQM8tNu1yrvZ 3mDPlUtYJLBwv8+wSKP9FaTLXMZ49l6JX3SEpP1mWcej5VbeJYaA7/0JyfLHr/NHAXFsCm0Ezy6Q xo4U4YWq3/sg8u7yOYcPuNCmTI0cynbPL6KHSetOA0lRgKx7b5gOsVO3jPMw/Vjknzo/5NBvi78y PLPoFK8Mi6Qxo1vwztjFP4KEZU9gFjMB0iLbbPLL3PAA/nvFNEXsLzvhX6joDxhFpXkJxLq04+Jd Sy866Rn/C/z7/jLo1fR7G3GpBQeDsYgKErl5peZrePnfZEsYdBcjWdkHYxYsPA5twq/ojPQ2shhR /Tc7MoxgUPWv5RhPVbJ4dV2VylktX+XG7i3Q38cXN0t9Usmcg+2cS9B6hEOYCXY2DlyVQXO5pn/D WKa81TEiJYq/MXhcq7nweRcNLaUEOPU3W48m6Y9uE8KXXPEjBe+L3YGGVrYHosbxU4h6VycQNxXE dAJR4tnrsGZa44Eq1rJDoUDzF0PPH3+izfyEbmIFfIb957NhUJPVS9EdoZsOqIp+jmumiBRfxjMo FAIJa6h6TdmAw58bOfAhNm8/5LQ3cTpnWhALNLvHyNpspMl/c/X9F2MUTh4zJ4gizHDL4hWtX2UX wyES6s71r63Y7dKd58uwkImkZfU5RuFxSK7PNuWxgqtVUpDUJt0zy68TvDhclRIfE11rRcmdaVSh g6Rg0cQMa1GRXANxmCKRbDnrmK4CPlKAcwG1c6N/pmfFrhstmkzxT3bte/+MLDDXmP6G1/695x4H zeJm4voyxOzK/AOB1+JNDfK9i4/EPLP8wDR0JDpVE0y4wJbwLFegifNz9TzWOyLc/BKBUOTdLrCV 0Tlqxk4f+XF2z+9fu10jrh0eCqq5z3FYtD12mYN0+K/0Vb5LN7Kwxzb00Mjz+SxcLRplhv6qoCAV Z/2qPioy6rLLVXOo0A3t1k9D8vnistEUYaIqgImCOLHS7wZABYbQ84T9csjB/a7pVhA68B+R9kY2 s8J9CEvWfDkgh6x2738OeTI2V1wLUa7W6fK6CbnK/OKkP24MRcKFiW//FuZCMM0UpmJq8gp/H/+0 GlIiWMeKb/nUj6n2Ah0SH4inYRYh06eAPfQ5Nx1DGeKMnkNGRUw8ObwUxbaYmzfLab98eQm4qpeA UWySEUAw/gRhugu0klCWYR6rl+pBdcWTAl2/FrPi+EvXVx3Yb/g1OP9gGVgzqTN5ovimh73Hiel+ 63UJ0RhzwT19X/1zKzlu8Z0KxX1XXTHduEetCKrQ2PsNvGoG54rkWMZlQxUKM5FDLvHLQjmpziV6 mih+RM+3aiU1ELTXpVNUGEknS6lcp9l5qtrhlT+Agiawb5+PZSAPhgD4QKU77+0MFv2QkIvMvvPg VHaRGrYXryWjmUAzAU4XZzLpKGpwpBvnZUxhq3KvSFwnvCD6hMgA/MkwALlrn5tQcOGwLFLz7EFP Yyi17FKwCf4RTwScQ7fj1OjRMUkYvicfCBJq6llHkHVewcArKKi8fz5/fn+rtTA4HRr3J5kyHywe 2V/sJDhGHEnl+Fflwki+PPfFYVcrPkLyX1D9YE08BDD/P6nSn5e7gigH/Y0JHcxBEkkETtvSunoJ HAT2MFq9TWAo+SW5UDKn3NKGe4hpNjPMwFUuAtiBp7zjZ2e0i3+HGyUE0WJZImBWGdaL8IWxV716 N8FWquCjJ9M7DrGakzJgpgTehvifaWhpZ8D609TIzOOSdD5RbAjjwN/Ch0tUorKBdCwEvhj1jcIC BoSF4eH5RkyZ2PUN5DHDrmdBVD5c13m7cXhVznVTBsFIPbgqYyoivhDxpvp3LkHJOPl5BSPiCeg8 ksqfftrVsoCfZiqHDogHPiYIpvzcbfmfISy9KOxKjCCqbSbUrCsfiaowBrNsGQxchj37yLsD8D4j rh2KxvAGCA/eo84+seQeEoLyl199/hXv/Epk2H5EdvQjYWI7VGNfoafHjPWKQaZGThiD/LCSERt/ NNKa2s9CHGv4XGXWPDS8oF9kF+ZJ3nJ2rE1ZYSIwbklBH6P3WJw7OBFX0XVHX8nWDMmxsg4U9e3G jc8RiqaAGBfbOx5fTbpAyFywtt7iQ295O0ib/bGCElqtKtRaxjp/ZlExme9SYOJTF4OPK2z9wDFB 7U+ADN6zsGl4M5U2vHL8FDwCkZ5YQuPaEXJOLG83dMpSd00h6bibBtE+4+4Jpb7FP7/5N2OqCUd8 WIrE2KSf8y5/yRTUpUyKeReSmzNI+cc7ewQ3FtntVSJmAItQAflwETXFtmPvmOIMs0N3pGCD5XSU bMhnIvA9wYI+agQYgPDsLGLEu3S1ejqTj5eupzlGOPo6RIiOvCEtoot+/7meFAN7PVLJvlo/zPTI Ytd4DxHrtDHAFhdICfatvnfZYV83fZ1s1UmoDujoPneK9hXZL0jcpRxEfL+c+0sDBcJ8OEIrLKYs k1ZZNc6EV7DZnkCXbDodVmxyWj09jSQDfJfBGhKQ7AfLOsL/E/VpSjwrtuQQZevWw1Vc0xX6I1X6 2sR+wEQ60d3aJQNdi5uafpjCLdymVQN2nvH6aySZCl2o4Bka0dT2ZE5IOONHzPjzav/4JabJHvSp 8vlS4Hscgl15tzj7XPRxRkGDFmU1tvzU42XPeCvPYxrkH2f4XFQfxg6XeZAd2pzeDYHCen28RBVO 2yCMIhCTOGHzbnLiQovm3tXbLnxStoE33SFlywYsFabaE/uvRJ32GtMcJ0bmEMLy0S+P7GLU+flV PgXed9p+KFBawrfJDAa9YHipoCq+zkloTLDJ+0ySkwvuaedxNnyYm4aI3yny9Ge4ZKImwvBsiETY F47s+yceGnbkW1k1ZYb7sox2TzLTFXdKCQBCPUnrqoFMsg+S/TJkXbSqk/J5zsclBh/DkGvZJo/G PI6nh4iBwQeG2qqSQh5HoR3hyPm2o2MoAQ92xTAYpg4tQ9MViAB920WZeu8miETlKqQ1Vaa7fmsD ao9n2D1fSErYrCGGcuIkTUQijjluuj1HbCj47c2ODkbgh7kHI8/VKceu31Sc6EgXZZyDCvzMtvUj VDEt1QiO/5+Sk9vdIZy2URsJO/SIWzlE2T9/2l/rkuxK/XuoZ7qgipvfnuvFd3Vj4Gyv2LVq3gid P/vRu+Tl8C3KptS3IQd55SnmNmLwgxUdk2v45tkZSfMwb5SqaEVPJvH0/ZpqyDoNu9TpZlnXAUDe QOsIxMSWq+k7o/YQu0dtR3Rt3v94xHIFXvnYUCQ/7hvgm9lCa33N5Q/AjYX3T6ynDow+kJvuWLbR CJZ7vqCZeDUORoESmkYSRsL00vj6at68dTRJu6C4uTdkCJ2XlALh/KtAX49OD9VCHwie3yktPl7Z gluCOsNhFTXZgWYpdxY9B6KGgAsXBlKQXP7EGt0JE5xOqz25BiGjHepsHP7lWG1BR81xSkV49KE8 Rz7kfltegH8A33S/eizOplRi+MjC5iEgZmLbiyZeqNaKPc3BrqEY3+rlEULuGUDcAOVTC8Tg8oet xeYLQpJON59WnM+jys8YzSaEKWSaIcW3aVVRgCVUIIRU1V8BXZlCjAZzePH56QDbcQaT54yAZWiV ENJ+CNOmiiRB5RgaYdRfeJZvWciNnNccsGeZqFjymMKdcyYSCLISNx54vaHDk14p/qOa4gHV3U8u RYkGkmCvGahIv30Ax4gIg/JlLG4tEfEf9w9Yzg9maqUJStUi0d9ahGlP1xOZEYB8EikxpN94ASG0 SWiQgtZ9HC/nRLZkC8IEBKEoRPQodyhU1n7wbu8y/GkG2TcB/a5/Jqz/NKwlJe6fIgIoytdmvkzZ 0+Lq3OGcS/Clp7EZ7iYpqSLI2/0tWrcY1wB7uwyHGHzdvdrMPNRfbjAEDga7LAHeOrEQ1SlfiCAZ NcOcCvgcn7ebV/C5ugjnfZqaeJaPzrwKls2ctLipDjiqKKgWWq/dG8ces99bk9J239Vo4IFfnefE BnHvathp0bAGGEA/rVIatd1xJqbVtFYID/M0B7DF2MhwhCj2cqRTDbsZY+SZy+ekmCQFlg9bd0q/ y3ZfWBJ4wBd5bboRmiy6K7GJUoBXtxMVazWPUu6qsScZAte7hsdMx4pXhhlNBsIQbQOkgf3M7yxV BrNRFE86rMu4QfAK6EU4D3K59cfjJBxxk3P5dtiz+EKvwB418p4YlDqddeLARMHqsEGrYDrGX2Gj 92g/i+7DMIjQh53qleCA3anLXk/qHyomLzwKUMdxBduNnmySe6XXBj9YrvTbwvBvPldTFn0AEjZW cpXfdaY8A3yZDzlmhoKIUadytOhgAnmyVNkAjYS4UWHjjO5ysYv0/nj6EL2ykEseIPUVm5hg6k65 d6N3wEFta6LVlggB+gHN7et/l4xSBJqRqPeWKIY9M/uSJZICR4gUnYG2Uw9o2YVbC+1J8dK3mjXX mIm5L9BioiF42/5HEpXjB4zi/Ga93Krcz//hBL6TM8s57wobJRj8jEZvkb+ZLZclgUHXT2TEMe4S 0mmmmxX+tJtNjqC+olEx0Y3ucvujd5YEQ5Neff3S7dPj3/5jdMWPGndUHQ1zTInhcqukTta5/w12 pyeab2Whl1aRSC08eE+OUgAByaPlUZirQ1pm7ut3jqOc2HQcr/lqjo2HuYUJY5MIC6173cd0BB8y P4qkQj41UTuugZgU1dGHYxpKXB16cXirSqGwShliR0gGRH9EVrc/ftEhIFS71jy3uPlDqs7JILV6 148M4Cp3KTsVsAOHBStlik8LIOWkkwIcsKLMHZI72XXWLMUNza+4AUiZqcBo6gsw/qSM8GHlXRHx epJjBF0L3hl84XoFKIztTdKgr6qKLoSORPGuhCLVTrU2ifa1iFtXi1Xg0WlacbHEmpf6XZ7ML3jK R0OpoD2AgtTeMXXd9TyJFvBz0gT8iYqcNKrC7Vy4/P3p+xqD1RPDvKTAKFlXJ1kGQNn8ZV1EFAXL KI5vieVBI71fc0Olr6w+vXuyX5HlyRL6Yvku0y6XQ3O3Bu/B930PJk92QReyl2smqG27rcPYCm2N 8M9DyrTcjONuZeiYh4D8Fz8wcFBK44ECoWxzkXbu2GE9S5zg5TjaEEK+7NrzizVq4TF0mPPCQ0tS 5lSzs0J4I86WSMwNnpmn2CgghASCeAEreBuT+zd7sGnYi3BgCeWr+n4ZOokOqyVxa7R8NBXDxVoa 4w2e0B9RM7t06eRpkm+4pqTdqrZ1D2PG/m0AB8VYODCOC2Mll6Ev6bsg7U4Ce0U88xP0GMVmH745 mv4jzpfLw+jbRpe4gEI+t4UrvKJ4xrc3Mpg5Asr7hbxvKVM108B46qrSjy+ApQt068w37JVXEPeN Xzbvdt/59wp2Kyiogs913A3h9Cm6pN47zG4EH1TpuOYrM808ooHGc16phCLpTy+rXKdwmXcCbaoo HuO63okijnjMMIub9k60oz3lymChB0eOEHCDxkvv46McyrjBmQ7gGmTs0NDZNeTQDliXcKId9G9V DBr8XiZInwb2ZqNeQYcYMwbFnwLwYCXrJ7n5Wt7cN8B0Q1FfcjRNkONZWqL4jW0zI2QwJ5MPYQ9S iyJfZYsPn4P2kun35xnNxS860D37W3VQZ5rt+7rqCzyV06QFZSNdiGwp5X08KZhKKDcK9EkqJFZU vLXVkDTGOWa4nYz77sESiDnqP3fl8Ukf2UpAiz2CtTAa6H6QRPcYUIZTyRf/s2u5aiRSZXxOtJfs 2fqC4aRACybJpzl+2M1m0+NnPYenqVKvUl8kPC8iM36X2/OL+zO/Gs+QVq9Q8dSZMXmvjqyfYp8H /w5TsrRQVxltUgfVt10ZU+dFhk/Fxn9thhutSeND7MxnFquom+YmJbILLJ5jevZ8kT59Xi3ni01p oSCRJBjH373uRAHSq2mh0+rGmbH8p9bE8ew/luheI9m260DD5BUwCdWJaSYACsWOQlD0gnzpo2Rz XVtPPxs225b2r/r6f7DM4WPCvaZvaDoMSFzUONq215DXK1F20jw2ij3JPAfrUqahLPZh6Sn0wY8H AlJcwSpnJ6Er7sgk1G+9QOFGK47k+6yddDjssBoQY9whRjntygEQ2relDTs5cr6PKnk6cmUq2meT VvTY0IagYzEjZT9lwUoYpSozfaHeXdsMAE1VBbxJmPy4M8bnUNZPFEN2T18eA/KRtqMGOF2t1BiV pFWgHRvtPWS0+p5ElYQ79G0WMhK19gKSB6vUOjoTGKiALn2pc94smG9DkTleY07KNeRYPcmBmifc Pcggj256yZcQ6X3MY2Z+M6hcfvcIwO4809XQZ6FcfLZ3ZWYdBWq/zNnDMLCqSxRFuXV/K1nC9pVW oU8JpbLzjdQNWb9tftnq6M6iCA5qArl2e3WOo0Q/ZkfWB3b+5rlu9z+SlprC9JK8puIsKU8paIMs U7KsTTOprmWjgxAoSuUj88m0dd/iiGMRFlH2OjvLaR0ZM5UvOSwLrAHtxmRG9hkizMoA1V3mlJgy usML3US/Cu+pAwsuz0LQtaauT/vPRZv1UlP7ex9BZh1uEvisiRAu7x24N6TmGnbx5z2jyZCuzKc2 nx71V2J73iQcFjN0U4QTd5WVhCOuqQ5Qa/fgiA2d3LwR1twxvPNzzE9xGD8f9yrcr1lNeV8K8hkQ O9aXjhD6pCUwkelooFRu289hoLM3nRCoNcnms/NLQpFx9qRY2KNddkLb2m5O18a+JkoN16lj7yFc DdQIMGPYslN7xf8Be5H41ne1iT6pWm7/qik5yBOco80B69lccPBkNPGNO9S3Z+gG/JlS8gIvE0qD 3LRAbTZm+tqPZHrFAxOUp9TSed4nWT/9fWlXTJyu/Xcxihx2LoFR7qguLLx/dGAl4kB6MeAl5OXq FFYYSfeFTW9IcpjmA6E0aA3N1lseKYvS+zJukyLZtM/Z+jeELPEpsqjFIuDsN4LB12uYBpGLKFLE j5qhcMsVCr1ZZace8mr5mWGAd7Rd2bPf9VQR9AhqB0rXTd9J+27HE89qfQb04dWKz21ylcHSprJY 3qfkvXaMZ9VH+ayuzld5IpOGDB/UqrIz/L0/D6s8xYjiqjuC2HUpuT7RClxGF6MtrpP/ntj+5uxH 5hSdgD0PVo9uDb22rifn8kbgNEsisnIUyNEJFphPjsB0JqFvKALHA5WxK/E+YtTyApS3qafOU8S6 UVjpF3Y2u4V9cBK0fQkBfsGYNIuuHkMVJpI+4+c1MOFbi+sg1M/aEGJ3/rI31dq14HchOk3cokX5 RxO2pVWOWfBJm98f2zHNERk9yojwPy+JbIwRZ+EaXqnfjyc2ppS6Vs9MNIMWyzJrJygpXx7YS/LM vrRim4OJRReN3/pLZ4rbTYs0eJpvBixHeLnPCvLiTH7j4NpFeDKpSrOq1x9i/eB8CIeTmDBJMkMj HQP/mlcrAFU3g4D1KfC4E0W5kMiGBrfaagy2vaIsPTHyVELgO8XUwm21nwdLbvxHJpvu9DAvNpu+ FzFLLBle5EDeZg6JO6SLyMXO9fpDUzYSWPA3IKY+VS1LPsfJYv8LeLk5Wo7ACEdwdpfDfxDZU1k0 LW3PwM2djD19NbEQ2YgLVrs8w2ZsIz/sxBPu5FwmDcBko2FRSPLsl7iUsoA/cAxFqGvgObXTGPKT TAZ1b4vYsmhdVrSLDZ8cpSm47BvhZ2npbAo/efem9CBK3fSfcsc3yLsWwcIemQP63QkUbkq81KjT gelJPtUux0aXMWMrj9fabBKDFa2N1QPZhmbCRQZwN8tat+EWmGA+8iTchDPkVUpH3VvazZ1g8QAa qdg71kV491CKCRAYXIB/nxgtcR7o+hMeIJ+NYFrm5ZQ7nKmNMbuaKSFQQBnnb/FpZ+IXGp0k0qjs H3MsV969L8HLR9GbE8vHGOsOyU+97JQiRMZB+vs2MccK1MXdYlGOQlOSsuMFchy52zr2XavZvX0f 40oCysjzB1DP+ZTkaudh6+mQJygquVv8oE7AP2yJ/u9/7wMeb3kP/wKMaalgfvamvhNh3MoBRmeM M2qMfyhzf189DiNi2QgB4rwEbkxLrGboAcs9hVunuRCCvZytuoI3U4RTHqoESsV/PTqxOrnxrpDn FnViEQm8PwV331CsOm6s0oPUpIImjMoJQ2VnuRKwTAsedaU7pqVohEjjIQ+2UeT6YI17YZJn3cfc tutGTMPgYmMIj0GatgD9uUVZgHV9fh2zPfWSPkmzuW+RmtJ7nVP9k6MlDpGgKTL5jhjShiDIITWj lBRufcNIXfyqdFv2vj2g7eLXVk9gkkDa7W2aziaDLOI2WZ8Jx9Xd7rIek1L61cy+RepZj/somN0u Ih9eSDSmYL2qGzdDIg7nF4fcWIaIXgoaSbRMh9O5pRz8NZPRniCx1Y0qaOCAYRZiim6rzaA9ktw8 kxczvsGzHkUUUj6fG0KmhprBqxr8cnFD92k3f2qa6Os/wZOhW6MOhQ2gyno6bjIx56lFHA/A6ZVJ RI0+Sytjx424mVyTqh8MImf4TjKCJds+b8J8NUV+VJ+p5LL81LYAVAsLhhstRAX2N3yiVbP5WJwR 1hJbANr0QdA/zVWOEemzS2uH7Y4tlvRRgxP4UcmrFKgYVCA+f2HsRkpdRxg+Jy5AzEMdDclZ52Kh OyeVuRO6SF8xOVD3foHIDnY/qoX8pI6EZpstXlF7v0Ca9hZ0qer/8zIZX8nXxmzc95zFZsAxlXad O0jVFRPXRZTMm72f6kMYPmI+sN8gA2QnqmBZEJAvsAIjd+EXbhKRixL5vszNR/A1lRw3V8t14j7F IWfIUubWwiizELLtaBZKUccLFWp9IDUZVtYVo9rMVbx+Nu9Fzng2/hiosxwcum++pPGfw9OoChBO M3Un5df2WEnl7KjvnUjr3NniRdWPpW6FnsszTINYYBEv7Q0d3h5Ho48RnDc8J8kTvr/F2KS7bgc/ oOBuX5NLCa3IlKC9eJ7jSobJbGCs7+fGXpskGRk/45ya0VA8+iqPXUwIS7NVUuVybDgHYb9SdYtg d4tkrl9VM5rdFi5opJLrOBpr4zx6XDLVwN7Rbh/t9Ar+tEWF5a2ZFGHXXY/3lBKi4wkG2VjeGOAs p+N/4rfx8GhJlbzEM9nd669Kfb8bl0a/XowA5FiRfbj/7qT8JVn4R9f4W66OEasLXv7HU/VLFikY Cp0mi43tByAh7v1WWA956ca9kdSJxyCpxLWo/fu4UaJeyq1wvLD6tye7ukW7AuccBDFyYbMSAi4I s25t9sxQBFAcFRMSRW1GZyIEdqBcMqJXXBS8p4CQB8oGNvGL9v3HGTqWUiKwRrpg8iZL35bhvLcv FhM0jJ7bMBEte0cHxS5Oo44K3dbIG4o3VijLi3BCijzNQnsbCbln4zRGavNeOp642gTy2/G0Yoj7 VDebhe/fjz6E0TFiDP9Er5JW+kV17m5KVA/tp/m/B7Sd1x6F1RA/Uq9y3ssL4pDzJJ8lqegJkyTw 2cWo5m+kYHn+ZAYRqasno2+dGzbGxa6h61UdB3wzVkl+iWUldW4QcOdUBADbfOv9LijCOHLY4xvC U40xDNoZTdzHcByEMCBCiA5NrkAeHDzbd99eUcT/aMMtpLNoF3nPLrZGuTNJuQeKP9lkwe4hUlhK beW/ENIJkty2nF5i2kDvY8e3/ZdgHVcBxXDhsMaovGWHu+PbykdaMUQ2oLi0w76N3nXWXkV7u2KL aRLivPiTnmRqaBF7PJqWFpJQJ7OF6OISZRgLWN/qkMtnnUni11y/2hjdGeg0bzjQMNEcyOiV6ozb HAvJIP0w+FfUX2xYCJcQGi7jhIY3I2c+O4LDm/QFks+0nC+o4EJuE2Xr2IEzO7j4wKPtbLuwnqys rCsLUDT/n6WpmdU8godqfYSY0ILHCUxayhk1byqFu1Z2kcJUDa89lKlBYpM7KtmzTu40zXuEgnk/ xCCkaqeHCjj+eI4yYW1kO43QMfGTgYZAf4qBrfIeGzPkK3GReNyhpLrJh77DNWdyvlYiMICBp5Wc AmHzcHEG0tJ39QfOvGDy/vOg8D1Nan2bsXFWAog09UoyEW5cxqF1CglN5BQ/YHDvTMUT293MdTiV cz8TzUzauLWlhlAXvS0snOboe1dHGydbdLwPuyrhh/JiI6J4OfFiIARguKSMbld3sxXMuOS7BG0f URWS9rmj/cFYlEE13FbdGyG0b+cLjveoEpY2GgFX2zMkNygCsjCaF6YCl3eTvuyVZprJBHKLVAS3 MCpCrmmrOo1wzNes5nuZIf7yC+U3luc4I8p5fvo9gWFDHUAF2RNzmZR2VFCOiyL6PcsGDH2tckJD lOd35fxJdFEynISApEeCXcZyG6v3KeC7yJG0+LpHUESw6VHqZkc5i3gjoGhOcKgHNKJJPpm4TuHx 0eh883wCI6v7zWG2gS2WtLQQaPE03AGqhFpNdRZrccfdYhaQMxim6nUhyyYiXOVVwpHJ4pD/4sln 1XvvIsTuWQMHUQJxK7iJ6ivnmyzyVcx4hs11XLeUiwbwH46HyFCPtYTwA+5rm6+DB6Hnbt3m2dPL w2YfLaML+16nXQW41YUwrB5uRTmfs59Tfks4f/t+TIrkBYNocn9eNwy1CeOWq4w8J6qoi7AJQGe1 CL9EDPRbFNZWBO318PREcSQZH+mOFMOe20BwT/T0nUvCvu+EFy6ho+++gG3W08DADAlet3G1C9vS sFIEv9dXlEva6bqaU1P3ToX4zsmmlwJAlcdT/XObUarB8FnMQc7g76/xTy9QNe1MqtQW70+POJGc v8JukiMFNE0WKFdrMp884JzcYODxjNj1MgG4MK5PGofidnPjQCwRyYZv70mWgr8O2vXTKiSHZ1FX 67pc+kI9cOoc9VZ2mNo7FrhaJUIrMLe/jgwVwUbrABTNoS4kzpfccTsXZ8TgRzALvPSso/DeGcrN oshx2q7iYu0qkNJQpQskJx1OHz2WDXMgg+1083cmIu09q+25zQQ+B2cJozNeiAVviPv9mQynRDAR Yd8clzLrVODtbCQpWYZVhjBBuBqN7HEbBKxIXGsC4APaIuXx5FXy/qxDeqyVRkXp12iFRw6crGhG YKc3k9A9PoInTvX90h6sC8W2jLgIVQVfLAxPgVyYoDwyjthcBJHKwo4LuPXUlkpMOGTglY8dGzFY AHtVuJYVd/6HvWlEcXxckVVcfgyy4/9azYgC6Reavd3af2apXnjAVpG9FQ3igMnLSCs35/Zz/N6t oei2QYpJw/blgB3g2ARBpN/ZGffC3osWjYRnA9tgo11MG4m7tgMSz48i+zNFYj0guJTRj1/+Z7pV pCgooUQeKFyeq1mGQEMQtb4PsMBSFAThrGE8r8xRfPyHD3ny7JBM23du/56fguJ654Gmmk+hmuGq fn+msddPpfe6132LFGeYWSGFvYbo47Q36cRngpxJnNWtnr+ShTC1YTKewj68ncmgdnpzjvIlK9JU RlTqPxyySZjjmPTuWJFmg76lNRjLl8WHA6UpdLAd/l/OGBa+QS2DGi76DxdvWODS2Y1WFVv0S/RC vmYoTL/sDlUjhCfPU3x7Cq3Nw2ZrcCvGa2UVHnZCkCrKSHXZLBtdSMRivTNlSeC7MNwq1htYpmP0 rh0TlicSpGuEEE+pkhDMBxGHQgr8z3xb93qe6NP0EPWJzhbjudjkoGevfP/dQO7bKSMv41+aDiLv /daTH8tmiNFI5FdTC7OjS4W0Vh9/jOX+8E+m/ebjNYVy3qm1xRAh6D4Alk7e51O0ouEMaB/e01HO nmO/XZBmhVSbJdZUzs0v9kJY8cO3AcPU+xAMgQMnlH1CNRtAnnUyUNtzzngFD6OGwbfnM7F9xYQP p4m6philobVR7GMr3EXFUSjdlP9xDI42bThZQQ5L8bZaHd3phgPZrFLTacxzco5JDxGqefVKDW3d lw6rb1lhm85yz7X8sG3ezQHeB5P7nAKakAnDrG1F+8FecaG50qsqUJomShQy/RMxd1jpBrKWzSOg q43kYBXubdJPiW2AjhuerUXmPyvzM9RRq9ygs+2GN8ApEDzmjE5565ca4l8sVdTUKpYe7mb+ixbH q7EnGyt/HRHC57oYTSjs7j0LNZlDmkaBQgo3SoyYYhWLFglCQu01xr3oSeKZyqu0Ahl3xHxO6UBV OkzfQYqLu9CSEsGae/YGcey2rlS4W3/cJ75rFswHHbTG9oT5fUvrSn+F0QB3LBnShW+ercQfNY8i 33eDnd+d/Q+CdjjYIn1vVkTXgpRAq29JX/xziYqawFC4QO5FmnA8MaOvi94xX3E+yvLCYVHLPqlx Ma/+eUqNAuWKGGwd+TeccB8eztG36Rll9Afq3ZVA+CSg0dMb9e3kbBxmVd2vBPdxyYg6f3Y0BLGx LwzyxcYAVW0ieYfmS2bF3B2bNAulnPBk/p0hIpRemSOO2VcFQTDyvBnXrqI8iRk9zAFIWISrvpo5 /Z8/baxWbkKi0gtksTt6v50uihCgXmnFyc0ClmC/4K8qBJ8dhrr14BGGfpxTLu6tNZwyJRzybd7q 3GrRgb94g9kvge45zbC2a4AL+OoyC5WzZzrQwa7YBbirCgc9MK190aSG/3rMotSWyKGVU3lksTrD ZwekGGTPu4QaHPtKh5eB8q/aSL0nawpGk9U6PmV6szg1IdPv0hpla7sc9EkyCrb84w/P0MqSk+mN XYxv85xxHexQeFUInI3IZp3ggzbmpAl3q58zD6oKpZHpCciHEPoHSF/KRaX2lVPmnLGqmlb7xqkO jYE9Lu7jGnTca+b4m4P6XvEccSTf+PyrPRzlK4BmSV/pb9ZfURB+RlkLiLBySqxqJbBlhJK0V8tl HEt/OiZbxRANtSr6nbQN5FgCUExeEpf6iFFoG3oSAlRMSW2C7CW+lwtyLGno0rI+a8Et0Em5Tp6t uoCXaVeh8VDKEtEgzf45F0ODCjR77mnh1y49v+tlqQggj0J73wwg2Pc9nAmUSM/Y2vRgzdsHK5xp 5oGAEzVy/ezL+LJ/UDYqvuhFMkFCcLc+2F55EoPOIP61x60m+c/JSbBowwi2XL/2raKxM7N12Xom Fk2kDiK3RWIxV3BLkVP/WG9XF8kCpAle0YJ1wtI//nXFh+GjbjddsXrxaEcwwSEKbOTtuR4nsfOT jPSJ19phC0LuqtdicOGubYHt4TXx1P/jCrei39myjKG6zpCJMGXu1cUklvcBsS5byEbIC3O+PYi3 JRxYvUoOHcPY76PKVClM79n9G1nO0nbYvEEXvcK8VaQVpfWCu1aiY8T6YvLHoOXG03nOe2H1+TU9 ZsDyg6EdDDpQMXLKkkSU19iMjvvNvIKWaWiBKYEWcZBK9JBf+/RmtYtTD+FAzD+T6vgv2C5AURUL XSFZAfIDLUkYTOUVC3nov6zZxGVym0tdOoyN8iB6KsNHCR4juh1WfCITg4w6lMRHvxyVXmvy9+II uJcDnlt/4ysPJumscgBV7G5Mv+Ll1uK1qsRJABL/ca0wvwzWCmmf1n+mh46v4OWT7PlUa5DOgfzJ k2MAqYAT5opSSrNOVpmvieXoaCTzUgJ7bb9LOQfeweZWutSrfu8FYQ0nUZdzRUwcvJXn797uCIb/ qTztizbUMj0rNAX2mV+p0ltshnGOh795cR9ZP7fSWmhv2PPEPtBv/puA9wWehq8FYWNg7LimJd37 ++rLoYSXBKw/CgHcpI+JitwdxGhCBnRbCN/wKTjl7CTeCk4qL0ajK28qpErgMnzNQgxEJt2CQjHU Lbhd71r+79/KWxqmm41zHU5ZPsvb6OQEVkeiJbJR78Bnqz2mxf8cHdKyMjBuaJoY1FaoXbP01vDx AIt+v+WkvlBQBUYYHo3q0g2dTCqDm1IjHyv816y4jq5sdbloHZuvBuMfiarZ8p9r7GBO0Ax2v+a7 UG81i6OB9s8eDAN0/5Br6rKYLoaoP6fBNdbU2e2Hl2FJgbmMm0j8XRHLVgQ+7Z5sz6hInpUVChFg CrsF3RrO8mbz9+aR1KbREANWrAHUS0eEvFNWsUuZVRcpuvCneewb+WqoftOPv0fLxfmWzkChJouI Y97MuSptC2xMu8r5zHnvxs73Y2xPgo7udUnykxKLypJhJ01458c8D+VLagCW2YBXCHZIE8yh4GWv rNHyVaHFb+ooF0nNlFGDzMz2LQeIptKds5mTv6A8X15NHKDTBOB7XB5iaKJfgNLxbM3zX1ESF4za n0IB2x5Wj+hAv83dJmA6dPzP7kBJID4Up8qG9icbzcUJIgylUzxxHB/vmTOVZWFz7adDjrvqJ3Sb pTzsBscjwoNiT4fgQajSC5wgRlXaUjL1Qrpp5tvNtvE7V3xGg10O12r0iHSwPFl2pevPnFj4QVK3 fmOP9WUE22aRNIaMcMhTAUwyNL+KkygzGPCnlV/BCX3mlYi0s9YQl14ZZ41O8zBrIkfHSAmCaPKB i4ZTM0MTpH43FiPT9LkUvV5vKl17nwsii1a3dVZZBdIDVTcxcjMFYRKoklctyZQ7vU1jKKMWUuyw Jx7kV/ArVYbrUGj2Rl6KHYkk1Z+aQMML8QEMLKGqo7jZu+XFs93QmEL6OKS5kqD4lv73P7CduVyg YfxpJJoNl1EFOz01qcr0I85vDfkM2GMi9mAdDLOAsEeaSRPGNI6gi5AD7WeswGnMDb0MPs+EBLZq hi0FYz3m4aydoUToZTPxfZbfb6dXsSMPev71ex8Fw0LZybhPMXnDeVJw/NYLYJ8zW4zRmaxEI+/p FlQMLH/P+F1zYmBq1bUgAl9L+cvl4aHBdspOCnjPyijtMyLETjRhaL9SMZz9jQ8wXgl8qtycDfEy S47ATjss1vKM2hj4DuRIq3uGnGlq/AGonDV2pq3XN1BCkoTRuOlDZoG+h6/MimejFGL2cxNE433s 2Yk3CnCdtX5RE9OwHlguUBRaF5GFXstnNsqESXvONZPoJwYsOnW4gK7mQ3S7rYncdJ2IPKQThDeD LEFCk3mSYlqCGEm2gKRpNhY7Hmq+7LkaRUD6VwSxCvInZZmrZSoZtk8o3f0oTtJxb2m2H+mmqgib 5uJLAfx8SK1V4NcaWqYYw6UWuNnMDjHdsRpmro0R+5oUm16LYKmnSqnC+hYAD0HJ+5qe6r4b8lsY XSDd8vbpd3FsUkvN49RKE4+IKCaSQmZatwh8VERr3Cjvs1FD1VE1Y1Op7kC4KxN4qCWBG1xMXI9z pTBeqw781N5UF4MmUaKmE1Ml/pxwQ57HB1ojmNqsmzpoUzUmwNhk901aKjP64U7PAG4DXqxS16hr f4Fp2kwndMUSwqNPlqYygePuO7otFSHGyg94a5ZNh3B9mklYC3trZeaI0DEB5Ko5j4syAw4k7rev cc8pacnTRLFd4Lhuma6Z0Tp/6ZGLn33gK1fREmDpAYnuuyDjcFlLoBRXRUg6A+hhSwKQRqTGv2YP E0AYnmWav2AXhQzdyzfUvoEHlgVDJ2tkirqCujmnj/u+/IPrqBn4lV55E2Jq53PHscHszLi6glXv 8+qld2YGd3qUXaD8/rRJCKoxoBgV70hc6f2mha53sem7mDRhgOHuxh9C87me//bxWb4FDl0j4eiO sKGWKsIX6SYq75HaRo3kZNiB2zhp6MHPaRAas88nntMORoHlaWnnd/3ZLVkZLwEHxg8fQG1/4VPx rKxUejvLw4zRrOJ9EqpGdOjXYmariAJhZtVZN5IZX9pJ3zu7277j0u0et5X8Hnjt4GBIkSOEHzce 3sI7La0qNYrZ71X5JpFvs9DSMDLMsWFi0yEcdQi4S7yM5TKI+TYgX4kX4DcEnUrBhsyC5fcuDP8M mj4nTdWCug8KzA72q+tL5F0mXCIjWZQoZFgkvbTZjhUd+LR9M9/WigIUDRpZ/VNsxw3NRlI7sW3Q PHImBY/fHalEHM04o4XEU1EOnn0G+gFEjet8tnNaw2WWj9r0vjX4l3czCHeq9/qrwLWOgZcG1zsZ 3vA8FiDtbwohmTkvcxH0Y58D1eYW3Xg1Es/S8Z5BOmKMd23H/NNSS8J0nRRdHKMgg1Si6yPNUw6t /+bxCG7NpK5wpw11jyddNoIxo0nzbtt2yNMgUHhhZdGcBdnY8bw0qbjI3HvC7+e6LTYdjjdnZ4Oh ANW/AbwomlXNLfTwA3ZOp9K01iUmpcFRKjHb1vGuG59C2o4arJqfS9R+oytuqeUrvvlPeu9/9PAj uA5fj25xxFcvG10GuTZXWVpTrXmIWCqqm4ltHMObg7t1Rqcx2amyfRJgUf3t16VtFo5xsO7VO6yI YFlEjBR8hh/1LOoqVfp9iD5guuXKWb1oSsMAb14rXCNXRZg0/v3Q0TeCYhCxWHtKcAS74AIoRxZm gbNV8a2U+f8C8+QBunRZa8D1+4u7ZBPdqzEXQesZsSmkt20l3i4wXiXmZHIlIih9cPWaVTctAidW ps0IQvEAq+gpmipA1Q5/RkgwKw5nEUDTlLKbcTPukXr2yzpcFdUAQvyhGPbZRpsaalpSJyAwinVV 8Jsggdk1iGar5IMadDh45MA3UNTNKHqyqwZPvEDWi++4FlplE2srXyOEzrDQH3jgHzVmDtF1QcBo OvBTSm9YHbS/a6Gy3aBBXfiiJZ8FAdh/X37WW0zDALWyzy0dz5rN56dwnnhiBpeOqBi7rH4O9jGW KQQYGHYB7sJlT+kEbLKK3sYL41016DN7lJ3fTB7+ZMhgQQdkndpxXSHI5C+XptTsQmWAOpY60iQl OWIE9L8CoRZk8ulRtG10MnOmAddkzw8rR6jU7JRC2RP/o0triAdj3w0fKgfEzrKj+iPg/+UnjqML UKddpIdXcgGhNQXyE0hPDgrVLYNPInh4naA/betR4le5IaXt2Mjt42Um/RefVd3PfFOIEBkyuIXw jWnf7gkoMuKBDv9HflSd7xIE8jpCGsRTpsYBGAOJj823tvPLYz9lBmoXMGHVYCX98lNVsi6soNXs UZIBej+GTmjpFtv7OLkeq0qyZjWLjli6A8fi4PwgbmE3/T2gElqhgOn51LMrEaZ2s3IshFK+T0KP Sl9f6HEeodstniRtHxmQH+ukjR5Aotq7mfzPdWxs/bvEV/QoYe7KR/g2M+O6WyRE6S7/8NeWcWWy a78kQpEgGiCQTTmqFeSff6ukpJlBFN2W9C/1D6ktAjdxyQtjOlmv1S6Zu25kB5Z6UJkD6UJReLVp RZ1IYwJwwn34RJ1CYkh4vldlvX3eVvXapbbAO0K0KYW9KIfqEfJj/MlRdzXSNAtvI9XipUizF3I3 09+BGo4lZSX+sez0+fiyCONN0lHlDLywfVqqOaNUj8/hoNyr7cxRvAsL9WHa0YkD5/PQfgyBaPoy deh8iQNN6L8tbBlPvX1FIspnCT47I1lzVTKqgkW30kfJEd8G4RQLIIr/WCBZYAdOIQOCx+Xz/EMI Z9NZ2bQ7CJ/YQ9GctK3ENNfs9ugDr2y5NvhrPV6BGlKc7BvYm2xmJdqOhyqgH+hDSA3LzQnSwmQI MOdaS4wctVt0w+zvrcljkJMiCDnMXqk3G+3gKQGspsKuBinM6d6LB2WLMb4f2loIR8mAG9EGo6zF mmtOg3hYEyD4R1bXdxiLSwFHS5z5dE/EV4fpWuA/DZr2S13nuCgELzB9B/ArRgFJngL5ynJt4ZWg 82cRR61Uw3GRghrGJ9MdLsk2s8hzv7E+D7jQ1b0M1NDRVbjpw1B0xOgQBpE1v99JZRR3t0qrC6h2 gfTJQaJ3Egwxc2gf1e0v3ktvrSzgBkZ6PeT8Zq5W+8nNL/2e+3O0Rp9Gh0yigbNrsFcMB424ZA8F 9SH/soiWZOSZsxGcV2tuTsOlCDm7N4KMx8i5GyLsJ8jd/a9/TifzD+WVfHbKcb8z920+lZFBXGxS Ok8v17LVq5J7p6WNX4hlR+7RwCo//bu1Zd1IQnNcXnKMtmyeESIfp+hNsaEGAebxF9JDG40FeK7n GwD92zEbB4GEdH4vl8DLrhbL16YzeE0RCW+lQaHZrACh99M9PApkHBq/wu5DwR09DnXnQRvGro4m WNAy/XUOknTu73tWqxtarHOeUM+NM+/U3X5tSSOVNUx+V5BUaJOb7Aq2HcoBflaZEvhCg3Ixr86e fn+FKQqw+IOdEZLEohsCb/a5aq2ZTyZaXqeBC1tD22piZ35dmNG79M7aWbbzr33cZbMWnR3zOcfF L325DvbmUZJSE0pncH/Vax1tXf/vGjQLzj2SECijSu6BiGEfX8mpgbt9vUMN1LnEVdBiIcuD5Y5X VqwL4+3J7/z1tfWKuMFSmI4XsVIUb0Y0+KH6PBGdTMqlihPhYkIgOPlInfRSjgP6W2Z+Z+Rg9yP6 +N1+Y7vnKXl5V/wuUgASxgXkYdGU4Xq96+OjsXJMCgC+ESP+lPnUxG4ivjoqw9uUhvlav6A5Y+RV rsSjYqm305Cku5K5vmOMyAhwXaAeX3fYcVydvCMAbSfNBabm3pBNlY65OFiUPsvMGnRPIwU7zXi5 rHktCsPO9M0M1sebd6t4+TOET0iA57nzLoNMsD/C/5Z29FMJH2CrAem3vVSudW9ySHHh/xbXqTDy GiGMiliaD/5ZvdVq+15fp6yt96aq1TIR555+kNPN4LyELLV1Z/V0kvGKuGpTLamD5u29mCAqBgJV rN7TOi6OZBJx6IPC/H6CYwnF5u01Re3/7yqjHaWkS3ZPPfvnAAxnJWPzbuJL4rrS1SBTT6mE5XlB veWoX8fvRQkei0H6kFky82o0mgvdSMXYH3S8088xk70cFGTg9zAxY2vVWae4VdYP1w8iY282TQeo NOoE8j5SzqzOgDEzw5jqo77B8fCxcAON3auORwYkCLFPY3GXzvueMchqiVOvXa27AczaOduZUuMT KrPYIOfpBkx2L6oYZUDQS8mX5w6aYEgIUH6peo4xD21p3ZRF9ja/S3n09x5EtgR+mygzuu+2MdUC jL/0m1YtmC94UODISBum1hkxkg+Xz2YdjPgvnStMoWl95sIXrqf4mWgcJg3CvL5lrzElmKS7akT9 6R40U1pUTSkkE0J3zmhvo7ef5QyYP0/TOrddCY7JCEqCJC2VNb9ZnEigVJjW4BREULtQS0jvi4F/ +c3LTdOIABqNj2q9OtMITYWhrDys7l3aPIuj+fnhTs+aLYNkIfhNcUK8t/H8MP1vkejOLzP78l9P Xgns8zXwiSYUIA6bCg53bGTUholtFQuzlB1QKnqivijMvNsSDSzmtuWRbrV5yqa2BGAHaPizh0AR DTZ/NdN5qDhLNKqTaRQ5HZn53zq0UeAi9byo/T6WfVJMzJ+arb/RQyf5c2s/b2SDAYnkmbAbpyZm NngcU6G3bQSB0oV/5vjJfO6VT6QmkknsvJoQKIrrVY57htPB1KlLNDTz9S1h3Tt/0Gun6uG+48yg JasMygXekIdnSMTAF/z1/+AyFu/2oNG/qhGy6kH9w6fIJsBr5DkJciuxRgI7MzmVzrQN8IDy6eqa 54XCh7iN3x+j7Bt/ycp0CBbHcOtDbYHKHXql+AXsTqh3E0Go8TL7sMkPIMepD6rKeuFu/VAe9cgZ I1panbC7GZlIOXS9acxJbTo9yWlNqdhuz+mwHPkYMfAmw8NGNeJzVgPCUqPf9XEIyezndGxp2nxH lpUjROSOH+h6FEtCfsaEEF/LWSGLz490rEnappMGr5IvqalJOl/A0pnKBqnKkzeAjftk6uszRlkp ZX0EH1O/R1cRz5hBDFq6T34O7OAJ6SsuwIQYiFBfCMM8fbcIkPJCyXLfNUADJpf+DBK0arpL5UKp w6lVVpNc2DRMTAcvVhpV7O72ZH+b+N/khtbHPYcmesuDq63dbrHAQFkIoMxMcty8SQi80tnAZ1Pe HOzRIzkfvZHrML6RPGx4fIITPJqUjtBUcWr6Zs66ufiN8BphL9Cvr/Jog8KW0eSz11PAnP+F20Oi OCGkPFVH4KRY5gSIboGplSBOOC/UBLUhD9cgqu5OzgeTLwqwdS3vJbffZZ4Bf1l8X1uqzsq0fWPE 2hICCRzXPzaGn9ohDtDABd5Jru/8x1NxHZRO9QNKkkLqzoW+JQ/muXI/vGE13Y+rRMOxNNA1rOxC tudYteMfmyOKe3aqUaOVpBr6GEYlrDwnxxBNNgOdvBv8ighoW5h9mUS/DC7lSlpuLn7VebBuZ7W/ 4zrfEWDMGPL/Ki6hnXFdu9iFjswJYzvURy8T8liDIlyec5iMKf4LZ/y7UDr8qJONLxkOIg+a9m/h bC2fzjSb1wKOmCWGBtOIO3CP81o61uR0iZ3lv9GZqnn08Fp6xZw2aRpkjUkw483WNfcumjQBTqUO 4Q6woY38HwpfRIj8EecXxlGOsP0YjyGFSXpgigfq7YVWKhIu3N4NQ83FzL9pqVr1YZnVGDloLtFs YV9k5pr0nQwuUJWoKJ2Avr1cWWO3inX+ireJSqQfsP0ls5yHdUOEYcQrMRfFIPNFnVsG8ZAt3f4w Y1orCYV8j31Zq5KeqGFcZtcwjHjPQz/HvrAerKrtKd8iADRxoc5A8lNjAscMlc7SVapho9DIni/S opNHZnRNYdraXt3uza7cspisT7eTpcr7UZvkN0em33NGWtGVynDpa1xqfHQLenr8H/l1PpmTJL7A SlwqOp59BMpIwJV+kxlEsYGXjNU1QEykk3dwV0j79pJ+agYUlQa0bL7dxEUeBARGO+gTL6owvsRF yAGY8gbPyWTS0n78EwS6IpjvRgb5E21Bi692GVE+HwKlEU9eFejTuw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_1/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_defaults.vhd
11
32589
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WWtJI+hY51PBIHEJuMmabMx/exsWif4/+eIlqg1wHwt0LXqCBCF/9KMUuh0c0q1Aim1AelneHBQ1 OAUIypxCcw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LHWPiFER+ylhKASKVb/M+rPTiZEUFsSoYr0JzoMMS3GVOGL2OLFeqOogSRZKIElrPvU6koRPo+Es YaV4XvTWQrPYaKRuwsx4NBG8Kxda4juxxP/rp9bkfo/lyl5vcqup7qHmHWRkyViNZaKDThZeE3RS GK4cirCmiwfxEo4Mq3g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block AYgjStfBUMWeU3jKprSUnciTIMZZPy5txygzDux4UChegSEbbUtF3rfC3SvidfLEgr0Xx5YrKuqi 8UeNbGyLgV2Fztb5G016ybd926sP72A+bpKraAlbcvGRolK2h36OEHIbd1QCQ1CK2LHFu4yijIpu ZCVGSRMDfbCIVdoIEwi/d3uG3uxZJ/MwcPNEV+U67K5AP1cQj/MZSgAHfDEJN3ny/eyKwQLT0b8X VrJgv+gHNlk5pWmHGp1jOFZV21FW31bI2ureAimxycfLq41ciw7AJu7ntE0aA5znCk0zlIOF29Wv FVMB4Roq/qxMuTuLdNHOyDamSc7+1ymlG9PNwg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IqXkpA7tC+yxdagm2gZbfE8KY/sLSwkzWYcxkLkeovhP6k5hwXB7qgBFgwiDFM/bCJ5ScHqOq+cZ 9SW3fwNmkWjldStW5jyx3Kj7D4V+TZG/OyzKcMcs0tleStvsDR1qcGkMdGgwTBnHkIPkC3uG5oo6 ZM4eXtEq4AXscq0m4s0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QQXOFVhuXbc80+zZ2htCYHYcCp3E9O59+Yl7ypoRWeIoCrrKiW+q/IshosljPYv9Rnj61yuPSL68 usz2Y0hE3ZS3oeK3PPxnd7ql38jWr1G6pJ7M5hierEefIrxu37p0e1dp9jw5djTq//qZTj1uTQCY 59J/r5hUUP+AP25sKdsRw6TJVm9uga7G9srmPkRIdoLPPfYk0yF5ajnsD7NGAlmU80CKSwU00Ofo u97sna6z0bNS18cL5p3mUTSRYh3EhR8yWYQDBDVfjOUeffgGq5Pb9Fz1RotN+JuUStC+3C8AW9BL pf/Y9/KyYenDu5RF8gCAras14scTUMduPi8Rvw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22384) `protect data_block oK7CZuHusBl4p80xpwcKpymTrw5o1kRNzmjX+ZhFa1GtBqHltzfjdIMu7doI6T8t4c0tLg4nBy10 0J1w+YaOK0eaIld1vBoTsq3bRC2TL/FGTG+oJU21MsXdgGGo++aHGllNx0wI8SgNpSMvxNd1ZjCg JMUPr88kMoirDuIbAqvxRo37BYgEPQfMmLxeSRNhPDfISFMED8rq6MDWmAwMsqikye0c+dw264I1 fQwVR2hnoPglDUwOiiFmM2FHurlKNoN1b8g9gflsQNYKMZGQbREN37ojBOcMZBSmE7y92M/qXXVi yj17Vbm0h4rU34ck7WjS2/eo7ngiAOj7ptA1Q2VhSkntfbtTz3fcUJ+nk5EMX0c0UshPOQ3kq+cE bl4X4Im4xJbCWiRYbfbO6WRXcE71+bwMaxvD9S7oS/oI3TpuDzcUjM0fiiH7iU100Em6qOc+Qvi8 yjQL68D6n0ytumZPcsEphe8hyc/l8EtMat1Fb13wwx37NDhKBD5VjIBFM3D3GEmu+aNF4/+K/cSf N0RUQJ2AOqB1b/aUGUAwxbGxAx2sLM6yzYC3mZqwtU2lwKryGVNxplP9QgziGaQxYffra7J3xq1B rf6rgJbkpE4OAX08o0zPvLtOoIF7DsBWnZv6NcsPm/HYCj+H5rgKaM8vf5Bfq8dEC33UjHUfR63G kxotSoTuTicsyWBtXDptqUMr1X8AZaZNBw3qHxt9D/9+yu8nYv0HyXRBO7MpaTo1uC0HYMmqII5k AnTduMRrbJ5GdhIGDwNTDmCNRZXPiARr2fdl7UxwOnX3sl7EWcZO6L2MctGrwuWJjrP4tyC1L814 KNFnw82CLs+v0dj9vQ9xc7Ep8BaRpTry3A2zdDY8Bedfuwb085qqDzA5DA7rfYvebH5x1tB1q+c+ KNcoiXOOvapH5fTDxg1h8oKA0gkC4lgkWXro9wwRXQf6/dGQdttbfQgILGzuDf1C2H+rjgsfr+0j Mz1YgMd968IZmBsJ/fgmyO8Bzh1km67LgXuDRSSOI3IOhoDeuitGCbOhT112pgy4f18NwECUf4I8 pFPgQLQ9EmKApBDhRD53aeQeaUXZCC8EyhaT6TUqADlgoJIShlslwcysLYfSOzH/W/DHKqIXBOou 3W3s7HpdMvN8UJiVTmeIRLrs81vlI+75PlaYZrJjbZs0wtcLqYRdXb+qMVWmqwANfiJqn3zPcCE/ a1l4lK76Dhk2VHVjCTPsPILwzGsBysPoZlwLxKUm6hVuPMLi/zIl8QvZyH/DAwsNbffqv3CbifAF 28xnpGwBuoxxOW8C07rJ3Pk+MBvCIyXRGTs9ZMm+XIRGqp8J+ecb1qvaG5EatauiDazvw/QQsdsR jXsb1w3vQH/R7MnfZJSB/gi69O5th1OHFcpO004VoA16GHIImZgXAF/26bshmcdlcizQXH9sfSke oIj+yP+UR9Ix4EKd67P0x8uFag3v03E+Lp+kyCpqxh3dz95kAXrMSYaczX30s+TjGHriTNF/Fzlc SpVcE1OUdN4D062mqj+HT7kb3VE4mNtK6kVksbTKDv86Olf2gDS4yyk9wAdmzSW4wpc6ONpUZcyH /IZTJPn3/GLA1n42/Eqd27PPZWnR2HNWhBpT36TS6WfGBykInNtOfIECai2WeKkeukD3zTnBJEt2 7p1Bfyervii/AyitcwibBBxS+i5VTyYXxr23oTv8HPdptL2VYTTJjkiB8dBho7Amb1wq8rh33mKg r9C/jN/ticH9S4GP72y3NlnXINA1inAOcGP9YArsGIkci71MINlIAple856esMWt+vdhdaO5WXXQ H0LQ/DrAOI5fY22LFveIcDMClhD1Qkol8KuZlRttzGpj+aXtRKorYjTsG8x0h6aCfT5jzIBaZG3C fJhRK8fbg5vqY+NPebj0j+sGdNCqaoR2wcTADecn2d5bKUWHLEj5JYnoeks+SKVIVIsNrYX/YRGG cy0Yr59KeQZc2hWsJ89YajDTi93WD78tAMI/RRAwsldj0FWupgmvzk655NI247uW0hLzjSbjAEcV fXQdB438cDS8hpMSmfj1P7dGP9eYOERhQQlo3ncAvDoxXZQcqzp5B5dn4C9n4q7m1IWhbe6ODM0Y L37Yc462nVgTcBT+4eVS+VLqAYlWTqEAllzoVFWT1MXW1in7GBjVgtR4091CmTwNcZ5zvMNfUvMI xOCcyoqX5iiVD0TMca0YFJatS8ssamEUwhRJw9OAy7I2c8RQy5v0rXgY7dYb9F799S/RvZOHQenz Hi82DX9anD83gVUGFQMNy/wWVcVJcoFcrFwgOtGNkWVdbjDRaAMwRg8LKeMcSASJSZGsDUbUygZ+ tcnGmePJTdJFwCjHO/zhNhXnYmq/rvbaOq7m12vrsUqroKY/FIK8Vo1OPF7TvMl/0kswm62pTCw9 57ZeGvd7njFmj6ClUb2rsa2mTmHW81FpxDsIl2Rc7LVKk9judawpHyioeoJbPpnfK9fSMDoi++DG 6NNKikN3PFXMfpj58mH9AhAym3CPYkbHAb9cE29Hf1gJ1X2etCJNK7i9CRU8dnNknFd7zrC2QrEf l7BV0ttsAprnQkkeHxpZCubazUjjzR/M/arv6pMo/VUzC6swpuJw6QIsysUJ/58qzDx0EbsxLBpu uam/1wm4JDGp2DpwA/vtyV+40iIiE26HIRIk3saQBKQcpQ8oJb0oRu3WPRc02alKjdsD+OvXfdCu cfKcenhqCuUBcO1m3fgXhKVLzIGAMbINm5NNSK2jtho2z1prW0Do05u2k9eeifJUQ2WdMVYv9+Gx IKTs6W1t/G3mUysnPA1RXCHQ347olalRlQWOxetq8aqSJTLQIw64RbmOoOw/LD8uTBF2VytbnZXy 74Cbf5W8ne3HCybAC0ZZMUqRlw4kJh58D50z3hdU/MWmxY6dSRGgrW/cDWy/KY/S4+zNYONOhb6Z +MFvm18oD51gdObBk2MpcU7XO5YZLdwlSef0ZQHdH++AGqBnI5Zm6ibXT65R9CXkQ8Ss2wjz6bba IB6gA3AGh36vy+xbZ+SiEoZc1rCt54mVSkktC5i4fJz9cuX9uUwdzjT5tn90mK22CIQVxtJjDCMj pMtDBNFG/U3hr21JxeliIPVEmtCTQhFh86fZwr90WBCdA9JBaYWhaf9kRZyApa+c4MbIHbQdx6pa OuGGxHz5pdCPki8xF7ZkLpfxyKK6l6N01XHVuEbXD0kJy7yALXfLAYvNoActQ9+6oCV91FFssFt8 s+Rz5Ng/17MyO1aBow/DzwFch2UhCoU1z3QzbNdb2oEKZNX1GMhE9+wOovaCMB+E1sSWZp/0w+K7 t6atjBUTvWNzzrfaDJ8CkCertEdKjRTK6+9OD/cxq0LqNH+I+lzDDRUXACzkODGf3nDep0wYEUSk irdDxTge25tOGANzIQDxCPy7yT38E3EzohLUOksYGjx51SyHkmitQCWomNy3jhibulMoR3vrd4aY O6nDC7VEc2M6LDLx7r6ROT9dlhTPIRFnr8iC1wc16VS0neEgmTxWgXDLSBAvw0G98XJ4glhh6V9K hJOzBK4uCNDkmAFNmrG4+mCwxKfasLyPSki2/7CI29VQ48BMeUhEqEE//YyXXRYGXq5be+1JrUjV WfJ8rumN3CHo4Owah/Boo3NeQhqi6tOiRq02htjd0GF9Iccg1wPW4V9OSZRXSV7a3LQbzducZR9N AnJ56+ATTF7byKXvMP3ufg5VvteRPnsRlGOfWpg3zU9088L1CNEu481tvMt6s9+COnQMLycaANxQ H06tKoemk5hbb+rRoQCJqD2uUGIGK0S34As3FGNx6oIVduweSNdDtsD0Ksn8dUjBfrewABFfJQB6 /zMeLzC3wSV8j184KKh1C9wsX3AjMM5sos+a8C2tflfSZLim15o0lLhgbU2nu6uLccselSarh0MS P+STtwmqn36MavzbU6NbVuGCOmY7P27YC0YfPF+2nPlnEKaTDnPgO00k09ptK41dywtjDLGVHW7j DnOAXU55B8WbiHx2U067zLRBgfulm7U4MpDyFjfAYoUwr/8c63CtTGRiLyhdri7N7HIaHz+eRCJ0 8eDpCcGtg8+YFkMtTxXAkEtC/L/NXkjfXTT3PBK3/VgbyZwQZCm6pzhOfZKj3i+3XTGU3jL8UO76 VQrECPbLhc67JIOGutCPl4o1o76xdGao1PECdnLvLvMrJRFVwjXJYJBx/o1NjdBCTaLC7jqFTwRm xR9I00PCiX7P5iVVFC9WVodNARTnyfyuNJUkpRzEMU7nmJ9/OzxpHP7ZKuIuPZjD/8IP8YxDGnXB 9ZbYNInJazz9PD18jKwCQ/kU9P3qwHnXElGxLN2qzC3Y5pK1n6ZTCXxjfBdOPw83vxktKwSde4wM zjXOYIBe8+6W+v3WmTQflH7T+DJ3C7TiHpaR1rhRUaYcZum9iKD8ElV96sfPYQededXy8zXrX98w b3+ctK2oF4kkrSchUqa7lcECg0325EIv2+4qwMfUu6X6kf3p5PvkCCnwwf2TNcJhZyd95qpPnKj0 2j0tO4SfKa7zxrmcpXqhbVlp1CdN+Y3q5a5FBRI7LDCOadYSiuKV4rFnuPZR0upK4OXnhZdBfdq/ Znfx65EvbdCfTe7kM4Y81ayN5zk2J/Glt2mVeRhs3XXn2wxgKnRIIkPiLgCI4H7qXiIKkWJ3A5OY 08YaJUSM6L8LMxwZd4l9lCDw2308oNpDThVn7DVWizerHh4KZuwJtI9JRhhQXF0OhbUK6SxZ73vd o54raXky8Cyl1yAcySZyzN9aP99X5w6uiogx4YIY78QllP2d2xP9JvlTdJid2xtivt4bGRv6G8dy iL+ONyry/6+f8T8KNDg3JGCvyo6WB+120iI1lZMpgi6ur6lOiOOA/bC2zMvEuxiPxe9L/zhVbuxV IrWztXsfHotqYBpqn7PQJXTxz2z4CeLdyTf3LVWMb5KdZ4nobZIS3GNGgTjPdpDk9RBIzc0DCSbL xsslowZ3lqPf8A1jU2IAxPq7CjI20kDVvY9DByfiyo21UuGQyHudYPCEwdzjhXufgvODNraPi/5C EIRIYD0laX88L6D9dh8xxlpjcl3bD8b1cuVYsUOIyrkkDffTQ8bTFD6NvV7dqgU+JKfdtNJqO5KT g1NBDpG6a8oGhz7IK5FZP5yg312/hcOXsNUJKenubHsW8y0RNQJoVdqCE8nHv7O0rQqir8sRdBVi vBL+dKbzMcY3ind/4R6wTJXMavWQ0X7ATQdVqZLyHcTxLyaqe9JwH9mBWbL9Cwx69OT3puq9Qd6v FwtRFrfxHzPkmfmofaN/0kuMCfGvtHTFO01+O303QzhzHBpRfSiDn1upjVYv5yK7gQ22QpsHI+X5 QsS0aFPLsDEajeXI/S8U4rp7Ob4Bvxo/jlGRyk20UONQ+9t6N2Iq0gZBNOFiV1F3VP1XX25oyYRE Xph6o+26Ry3TQJnsdVb8vUomkawUxvhoKj+gmzf1g+1hlUkO38uKfp+3d4uBIvtOjrDDF+09vstQ MqxKN3kMHNrSgJBBf2VsnNhMuFCTsSHDV39txhUzxflLL7G5SilF/MAHFplIEgsY7v7mIOpjJcH0 OJVwa4Fl5RemEhd/gwLVnng8tIHKvKwjr+DCoM/Q1FlmqLl+K9/Pv79fmFPXvHTFNBzKH7x1Yhqk gsDsDY3GK3eosjAwLW0jGQfbzgANbrJZaOCQs+YLjBy+bSSpLj7UgC3KeaBaYxwIyrTuXDhyHxAH h//hf911PdFgJWdJxu4sOAWM6TI3tVZKoYGSBbHeIBv4+8oh+njrJh9bu8eJxtThme6Ss9M3Y6P4 YqrK2lEs75vgykqtMBxVtLIPCZTilyLhQx2NMWxqenfwnt3CZjoOB28/pIzVXe7IhqPu+f9NKMM8 cLizf/QolJr6GVfBhrSc+yZ/rG8CcuaZ9xYYa/i50Q/Um8kFRzob2cK4k3hYgz43hyLXOP7uLkyp 73/7UXVQDuf1OZp2shm/RT/X/yT+EYxYDiYtJwQy8X/A8gfhWxrWWGi6hiIAVse4DULMSAIyqlAm 2vCBfix+ZGz/0AUMm7AWZukPp9kkpJRfoA72xs2CjpHennA09Ie9OQ7EXdldv3CgB3z999RYfrrv ZnBsu9ttKRfob/FVeWO0qPoqskwNHWGNApaMhjJcODcMMvFSqFfjKOFif/XnTudX0F6v3JizmIHz W8oFLWg1bR9lAAxKIWOuqUo8pgWFUBXz2avopXLv4DjNUhNH8iAUrOWUg8ajBWW0QcWetn7OTRkM 4HcmUJ7euvyJ5OOrkeib20DGr8h1RyVLVeCMuuXSKHm2eqpFw07JzFkSiIo/C2Yk8bLCCFlY81fR as4wz0wbwV3yghSW76gxdWPNQsdU0xjjcWJ8H/LlE/B6VriveVs4x4QqKyNMBFs9STMp6RyZUPeG UIzm3B0XjrwZdcHf7A1Lwp5WYpltp8GHYYMC0eRi9O+h2JyOlKxJJGDbZ3EU84rVxkr2XrZqLED8 dSpAL+QL5g+ITFicZRts1sTG/rMT9T0K7L2x0vPH6i9g9zZMtWT1mF0vFsUUdirTv1hw95A6UIXZ fVYeAbx3h+lcZg8w+z3lEhAOz1k+ckXKBVdDMOhlAWGTIzavnKGfz3MGRxtAXXDoJgVUBVMymR8g hu3qBPXi1D5G/1zeQelLgXNomx059bhVsYzwZzbi8FsrowZKBHvVW8YrfkjPSeI9FyeL3/Yma7i2 6Dni/D1mLe3rmFGD+fUsLkDqolWrQesg95qWi3CU08OaDl7A/CB7DDFNzpTC4d1jNuf8IKyR9BBa Y1PqpcpcP6LhvmnWGmvHMEvhu31eowpT6LvVJfx31oLfPjf98uvZ2bS2InG99k+QB1RHc3OMiLB7 q/W1LUwnAkrtykaEqbfHWacnPOEXeis/6HEdOUM3EwFOT4AVQKgOCP1Eebs/CEPX4gSnJhGTIsLY 1ypT5OQeIwwjQn1Ap1C7RWVRjtGrcpERJ/k5aOE1iPbA7MbU1iqk33cnhGTYscNeJZnjQ2eNg7qA DUDBbkI8J7ggjoN2s1G0a5P5tJWwAyxq4xZ+OhqpGwSX8RXDQLnr8Ylg2PMURNgjHRHgW8P6qrqs 6lHijPOeIc5j88TR/C7xVu8Pgr8BbOafuucZpofDTEXM0ESRTaaHjWsefqXNCQk91T0r3XhZMVQ9 LvZOf+wpY/TPa9n7mExyGHy1ECLVs05Ig3ajpFbXktORzw3rKWLc8gPGhZDpecOMAZ4b4vaEy/NT qX8u/8EOSD0Owj/5KkVcBQcJXjcAFSekL4RfU5anArWol6s0qKVn1ceAL7BpK8OGOxcZ7HRxf9n3 5VqsjWqV9R9d7gmaS930Q79ZnWLWbqP4yE3MBziIOAFGBKmnzdi27GSckDlqq025L0NY9ehEv11O XPURF+jaCAN5aanfVKy+bL9WDAVdOb/wIm6hZyMcjenDj1V7VOpfDZc8q5VMGIkaL7iRpx8czQUw PqlqA1AHBXShOc5Ql3561oJn4wghmkrLiFQeLvuZgR6A0RXQmYdvNzpZdgrImEkkWnJipuWFJoMP NAD4hbxoSa7W8Voxs0u+4uCJ24v7ccQRtmfl8C71CuKEzS8gcJMuXfUMWVJwBPedTapItRSKy4ML 7BFQl/Bq8LLLhTCANtMgCMCMV4hTSOGRRS0FCCW5h3jAPtOVC0rulU04Do8BM9sfanaVuGO3Ojd9 EQRhOEM7ISOLu59GEAxV88aaHLz8rPDg9oZf0cbjKE5KLNeAfbMiC76idyfcRjqYIgzmngehFroW dDTm82KAYsMSNYGLT7F1cCOO1LRSKbFJrlJalV+V3Qjj+CB1HycRk2oYJEV6/aNBAY2n5TAlimrm IAjzDaPgMAsjQs0/953zXoXlURX/5xlzoYD7osldr+wH9D+B3/6LA1Ub+KssNhLPkUXzJTjXpa8g lW/CssuzVbDPP7M7DfgO+snEJVeHa8lYVDXYge21yxdkvM8MFPt2D+WHLDKAE+QbEkoFVKgc22Ii eYiZUMpepe/4aPGx1QaEZ5gLkUxl9qD5du3tIUofttXcspJfgzZdmZNp7RUpjFCCvODK+IxjSg8f vfilSuCl/Kqla586AvsNc+/YJJ5gfK59L3CC9FZWRwcOeoM6Rstlx2FbEmg6UjpEzCDRoo2QUwwg YtXIpLZgJFRThYHgUGU1QM7cymSInieENdkHXn6OpIktQ01TDIKQ4WPwXCOCDAW3DOhyTazXDTZx mG9vRD2rqt4DJVVXumqj1cWeMOxzOYraxj8pF5/Kg/O5BtowM3HqLoXpE4vXisqBGGtMBPhdG5s3 VdYg2fmCrBAKSF76ctsBJCF2gIQd1u7rkmq07FEKJIX9Jy19mr4joTk9R06GOFaOq4RLHAkis707 QpbVK250XOXHyF9NRiDDcqGyzmzfZbNLjUwYCaJQhtBGyI4vWr3e32/6WajMsoP+VIJYbv78neHX W1CI5ruG3Tnd217ydc27k6CfB2r0dHlMxKqLiNoNc6vOpeqHXAAffLkVKR082M/g0L6RkBF9krbr VG26nCzeUE3Td+S0Dsbey5vT5vjvYFU55CRddxEQUj6ABy0uc9ihE8mYONjsysifrBH4ChDb/+iR pyILhj1WDaWEtL3UggCgSzl1nL8AePemY4VvXuqikllsYEH5yC0V5yoqykql3IxwbUSkFTV8t6Wi fkkjstyEc66mV25npLSNefF6KJYNunWTo1AmaBKbnuclW13jvXSi9/GeP7MPbB2sei9NrdEZkj58 dRSdIuBXLSQi4NYWgOMbUIcA7uQ7/0kwl19FkVfmfo8mfPq4unua7B7FEqQRTf4G3rJlGabjC5sf Cdymaaqy1vopdm8D0p5owXI+9TU9AZwC1DQTTx6k300LfUPk3rFNiaxDtskpZqNxPaHTYQgoiNIc Q1OCicNEF/ecz1k7/q6gxZinErr/49I0RnLt0VtyNpSAWQDAPuxNmANs0FIR/fVUMvtEQuvjDzf/ bYbSkJnjmUj58LXqegBqXc3xLb/LDpeRPUlv4f/jjuMaSrrrS0YX8mB/i/HJ44qhrbnFLP727Pd8 eOn8nHpyAqNXjuZWKe+jNnCmIVtuLqPXoB2dvcUOq6OuSP1Jp5v3fPcXohiQXBbWNQM8tNu1yrvZ 3mDPlUtYJLBwv8+wSKP9FaTLXMZ49l6JX3SEpP1mWcej5VbeJYaA7/0JyfLHr/NHAXFsCm0Ezy6Q xo4U4YWq3/sg8u7yOYcPuNCmTI0cynbPL6KHSetOA0lRgKx7b5gOsVO3jPMw/Vjknzo/5NBvi78y PLPoFK8Mi6Qxo1vwztjFP4KEZU9gFjMB0iLbbPLL3PAA/nvFNEXsLzvhX6joDxhFpXkJxLq04+Jd Sy866Rn/C/z7/jLo1fR7G3GpBQeDsYgKErl5peZrePnfZEsYdBcjWdkHYxYsPA5twq/ojPQ2shhR /Tc7MoxgUPWv5RhPVbJ4dV2VylktX+XG7i3Q38cXN0t9Usmcg+2cS9B6hEOYCXY2DlyVQXO5pn/D WKa81TEiJYq/MXhcq7nweRcNLaUEOPU3W48m6Y9uE8KXXPEjBe+L3YGGVrYHosbxU4h6VycQNxXE dAJR4tnrsGZa44Eq1rJDoUDzF0PPH3+izfyEbmIFfIb957NhUJPVS9EdoZsOqIp+jmumiBRfxjMo FAIJa6h6TdmAw58bOfAhNm8/5LQ3cTpnWhALNLvHyNpspMl/c/X9F2MUTh4zJ4gizHDL4hWtX2UX wyES6s71r63Y7dKd58uwkImkZfU5RuFxSK7PNuWxgqtVUpDUJt0zy68TvDhclRIfE11rRcmdaVSh g6Rg0cQMa1GRXANxmCKRbDnrmK4CPlKAcwG1c6N/pmfFrhstmkzxT3bte/+MLDDXmP6G1/695x4H zeJm4voyxOzK/AOB1+JNDfK9i4/EPLP8wDR0JDpVE0y4wJbwLFegifNz9TzWOyLc/BKBUOTdLrCV 0Tlqxk4f+XF2z+9fu10jrh0eCqq5z3FYtD12mYN0+K/0Vb5LN7Kwxzb00Mjz+SxcLRplhv6qoCAV Z/2qPioy6rLLVXOo0A3t1k9D8vnistEUYaIqgImCOLHS7wZABYbQ84T9csjB/a7pVhA68B+R9kY2 s8J9CEvWfDkgh6x2738OeTI2V1wLUa7W6fK6CbnK/OKkP24MRcKFiW//FuZCMM0UpmJq8gp/H/+0 GlIiWMeKb/nUj6n2Ah0SH4inYRYh06eAPfQ5Nx1DGeKMnkNGRUw8ObwUxbaYmzfLab98eQm4qpeA UWySEUAw/gRhugu0klCWYR6rl+pBdcWTAl2/FrPi+EvXVx3Yb/g1OP9gGVgzqTN5ovimh73Hiel+ 63UJ0RhzwT19X/1zKzlu8Z0KxX1XXTHduEetCKrQ2PsNvGoG54rkWMZlQxUKM5FDLvHLQjmpziV6 mih+RM+3aiU1ELTXpVNUGEknS6lcp9l5qtrhlT+Agiawb5+PZSAPhgD4QKU77+0MFv2QkIvMvvPg VHaRGrYXryWjmUAzAU4XZzLpKGpwpBvnZUxhq3KvSFwnvCD6hMgA/MkwALlrn5tQcOGwLFLz7EFP Yyi17FKwCf4RTwScQ7fj1OjRMUkYvicfCBJq6llHkHVewcArKKi8fz5/fn+rtTA4HRr3J5kyHywe 2V/sJDhGHEnl+Fflwki+PPfFYVcrPkLyX1D9YE08BDD/P6nSn5e7gigH/Y0JHcxBEkkETtvSunoJ HAT2MFq9TWAo+SW5UDKn3NKGe4hpNjPMwFUuAtiBp7zjZ2e0i3+HGyUE0WJZImBWGdaL8IWxV716 N8FWquCjJ9M7DrGakzJgpgTehvifaWhpZ8D609TIzOOSdD5RbAjjwN/Ch0tUorKBdCwEvhj1jcIC BoSF4eH5RkyZ2PUN5DHDrmdBVD5c13m7cXhVznVTBsFIPbgqYyoivhDxpvp3LkHJOPl5BSPiCeg8 ksqfftrVsoCfZiqHDogHPiYIpvzcbfmfISy9KOxKjCCqbSbUrCsfiaowBrNsGQxchj37yLsD8D4j rh2KxvAGCA/eo84+seQeEoLyl199/hXv/Epk2H5EdvQjYWI7VGNfoafHjPWKQaZGThiD/LCSERt/ NNKa2s9CHGv4XGXWPDS8oF9kF+ZJ3nJ2rE1ZYSIwbklBH6P3WJw7OBFX0XVHX8nWDMmxsg4U9e3G jc8RiqaAGBfbOx5fTbpAyFywtt7iQ295O0ib/bGCElqtKtRaxjp/ZlExme9SYOJTF4OPK2z9wDFB 7U+ADN6zsGl4M5U2vHL8FDwCkZ5YQuPaEXJOLG83dMpSd00h6bibBtE+4+4Jpb7FP7/5N2OqCUd8 WIrE2KSf8y5/yRTUpUyKeReSmzNI+cc7ewQ3FtntVSJmAItQAflwETXFtmPvmOIMs0N3pGCD5XSU bMhnIvA9wYI+agQYgPDsLGLEu3S1ejqTj5eupzlGOPo6RIiOvCEtoot+/7meFAN7PVLJvlo/zPTI Ytd4DxHrtDHAFhdICfatvnfZYV83fZ1s1UmoDujoPneK9hXZL0jcpRxEfL+c+0sDBcJ8OEIrLKYs k1ZZNc6EV7DZnkCXbDodVmxyWj09jSQDfJfBGhKQ7AfLOsL/E/VpSjwrtuQQZevWw1Vc0xX6I1X6 2sR+wEQ60d3aJQNdi5uafpjCLdymVQN2nvH6aySZCl2o4Bka0dT2ZE5IOONHzPjzav/4JabJHvSp 8vlS4Hscgl15tzj7XPRxRkGDFmU1tvzU42XPeCvPYxrkH2f4XFQfxg6XeZAd2pzeDYHCen28RBVO 2yCMIhCTOGHzbnLiQovm3tXbLnxStoE33SFlywYsFabaE/uvRJ32GtMcJ0bmEMLy0S+P7GLU+flV PgXed9p+KFBawrfJDAa9YHipoCq+zkloTLDJ+0ySkwvuaedxNnyYm4aI3yny9Ge4ZKImwvBsiETY F47s+yceGnbkW1k1ZYb7sox2TzLTFXdKCQBCPUnrqoFMsg+S/TJkXbSqk/J5zsclBh/DkGvZJo/G PI6nh4iBwQeG2qqSQh5HoR3hyPm2o2MoAQ92xTAYpg4tQ9MViAB920WZeu8miETlKqQ1Vaa7fmsD ao9n2D1fSErYrCGGcuIkTUQijjluuj1HbCj47c2ODkbgh7kHI8/VKceu31Sc6EgXZZyDCvzMtvUj VDEt1QiO/5+Sk9vdIZy2URsJO/SIWzlE2T9/2l/rkuxK/XuoZ7qgipvfnuvFd3Vj4Gyv2LVq3gid P/vRu+Tl8C3KptS3IQd55SnmNmLwgxUdk2v45tkZSfMwb5SqaEVPJvH0/ZpqyDoNu9TpZlnXAUDe QOsIxMSWq+k7o/YQu0dtR3Rt3v94xHIFXvnYUCQ/7hvgm9lCa33N5Q/AjYX3T6ynDow+kJvuWLbR CJZ7vqCZeDUORoESmkYSRsL00vj6at68dTRJu6C4uTdkCJ2XlALh/KtAX49OD9VCHwie3yktPl7Z gluCOsNhFTXZgWYpdxY9B6KGgAsXBlKQXP7EGt0JE5xOqz25BiGjHepsHP7lWG1BR81xSkV49KE8 Rz7kfltegH8A33S/eizOplRi+MjC5iEgZmLbiyZeqNaKPc3BrqEY3+rlEULuGUDcAOVTC8Tg8oet xeYLQpJON59WnM+jys8YzSaEKWSaIcW3aVVRgCVUIIRU1V8BXZlCjAZzePH56QDbcQaT54yAZWiV ENJ+CNOmiiRB5RgaYdRfeJZvWciNnNccsGeZqFjymMKdcyYSCLISNx54vaHDk14p/qOa4gHV3U8u RYkGkmCvGahIv30Ax4gIg/JlLG4tEfEf9w9Yzg9maqUJStUi0d9ahGlP1xOZEYB8EikxpN94ASG0 SWiQgtZ9HC/nRLZkC8IEBKEoRPQodyhU1n7wbu8y/GkG2TcB/a5/Jqz/NKwlJe6fIgIoytdmvkzZ 0+Lq3OGcS/Clp7EZ7iYpqSLI2/0tWrcY1wB7uwyHGHzdvdrMPNRfbjAEDga7LAHeOrEQ1SlfiCAZ NcOcCvgcn7ebV/C5ugjnfZqaeJaPzrwKls2ctLipDjiqKKgWWq/dG8ces99bk9J239Vo4IFfnefE BnHvathp0bAGGEA/rVIatd1xJqbVtFYID/M0B7DF2MhwhCj2cqRTDbsZY+SZy+ekmCQFlg9bd0q/ y3ZfWBJ4wBd5bboRmiy6K7GJUoBXtxMVazWPUu6qsScZAte7hsdMx4pXhhlNBsIQbQOkgf3M7yxV BrNRFE86rMu4QfAK6EU4D3K59cfjJBxxk3P5dtiz+EKvwB418p4YlDqddeLARMHqsEGrYDrGX2Gj 92g/i+7DMIjQh53qleCA3anLXk/qHyomLzwKUMdxBduNnmySe6XXBj9YrvTbwvBvPldTFn0AEjZW cpXfdaY8A3yZDzlmhoKIUadytOhgAnmyVNkAjYS4UWHjjO5ysYv0/nj6EL2ykEseIPUVm5hg6k65 d6N3wEFta6LVlggB+gHN7et/l4xSBJqRqPeWKIY9M/uSJZICR4gUnYG2Uw9o2YVbC+1J8dK3mjXX mIm5L9BioiF42/5HEpXjB4zi/Ga93Krcz//hBL6TM8s57wobJRj8jEZvkb+ZLZclgUHXT2TEMe4S 0mmmmxX+tJtNjqC+olEx0Y3ucvujd5YEQ5Neff3S7dPj3/5jdMWPGndUHQ1zTInhcqukTta5/w12 pyeab2Whl1aRSC08eE+OUgAByaPlUZirQ1pm7ut3jqOc2HQcr/lqjo2HuYUJY5MIC6173cd0BB8y P4qkQj41UTuugZgU1dGHYxpKXB16cXirSqGwShliR0gGRH9EVrc/ftEhIFS71jy3uPlDqs7JILV6 148M4Cp3KTsVsAOHBStlik8LIOWkkwIcsKLMHZI72XXWLMUNza+4AUiZqcBo6gsw/qSM8GHlXRHx epJjBF0L3hl84XoFKIztTdKgr6qKLoSORPGuhCLVTrU2ifa1iFtXi1Xg0WlacbHEmpf6XZ7ML3jK R0OpoD2AgtTeMXXd9TyJFvBz0gT8iYqcNKrC7Vy4/P3p+xqD1RPDvKTAKFlXJ1kGQNn8ZV1EFAXL KI5vieVBI71fc0Olr6w+vXuyX5HlyRL6Yvku0y6XQ3O3Bu/B930PJk92QReyl2smqG27rcPYCm2N 8M9DyrTcjONuZeiYh4D8Fz8wcFBK44ECoWxzkXbu2GE9S5zg5TjaEEK+7NrzizVq4TF0mPPCQ0tS 5lSzs0J4I86WSMwNnpmn2CgghASCeAEreBuT+zd7sGnYi3BgCeWr+n4ZOokOqyVxa7R8NBXDxVoa 4w2e0B9RM7t06eRpkm+4pqTdqrZ1D2PG/m0AB8VYODCOC2Mll6Ev6bsg7U4Ce0U88xP0GMVmH745 mv4jzpfLw+jbRpe4gEI+t4UrvKJ4xrc3Mpg5Asr7hbxvKVM108B46qrSjy+ApQt068w37JVXEPeN Xzbvdt/59wp2Kyiogs913A3h9Cm6pN47zG4EH1TpuOYrM808ooHGc16phCLpTy+rXKdwmXcCbaoo HuO63okijnjMMIub9k60oz3lymChB0eOEHCDxkvv46McyrjBmQ7gGmTs0NDZNeTQDliXcKId9G9V DBr8XiZInwb2ZqNeQYcYMwbFnwLwYCXrJ7n5Wt7cN8B0Q1FfcjRNkONZWqL4jW0zI2QwJ5MPYQ9S iyJfZYsPn4P2kun35xnNxS860D37W3VQZ5rt+7rqCzyV06QFZSNdiGwp5X08KZhKKDcK9EkqJFZU vLXVkDTGOWa4nYz77sESiDnqP3fl8Ukf2UpAiz2CtTAa6H6QRPcYUIZTyRf/s2u5aiRSZXxOtJfs 2fqC4aRACybJpzl+2M1m0+NnPYenqVKvUl8kPC8iM36X2/OL+zO/Gs+QVq9Q8dSZMXmvjqyfYp8H /w5TsrRQVxltUgfVt10ZU+dFhk/Fxn9thhutSeND7MxnFquom+YmJbILLJ5jevZ8kT59Xi3ni01p oSCRJBjH373uRAHSq2mh0+rGmbH8p9bE8ew/luheI9m260DD5BUwCdWJaSYACsWOQlD0gnzpo2Rz XVtPPxs225b2r/r6f7DM4WPCvaZvaDoMSFzUONq215DXK1F20jw2ij3JPAfrUqahLPZh6Sn0wY8H AlJcwSpnJ6Er7sgk1G+9QOFGK47k+6yddDjssBoQY9whRjntygEQ2relDTs5cr6PKnk6cmUq2meT VvTY0IagYzEjZT9lwUoYpSozfaHeXdsMAE1VBbxJmPy4M8bnUNZPFEN2T18eA/KRtqMGOF2t1BiV pFWgHRvtPWS0+p5ElYQ79G0WMhK19gKSB6vUOjoTGKiALn2pc94smG9DkTleY07KNeRYPcmBmifc Pcggj256yZcQ6X3MY2Z+M6hcfvcIwO4809XQZ6FcfLZ3ZWYdBWq/zNnDMLCqSxRFuXV/K1nC9pVW oU8JpbLzjdQNWb9tftnq6M6iCA5qArl2e3WOo0Q/ZkfWB3b+5rlu9z+SlprC9JK8puIsKU8paIMs U7KsTTOprmWjgxAoSuUj88m0dd/iiGMRFlH2OjvLaR0ZM5UvOSwLrAHtxmRG9hkizMoA1V3mlJgy usML3US/Cu+pAwsuz0LQtaauT/vPRZv1UlP7ex9BZh1uEvisiRAu7x24N6TmGnbx5z2jyZCuzKc2 nx71V2J73iQcFjN0U4QTd5WVhCOuqQ5Qa/fgiA2d3LwR1twxvPNzzE9xGD8f9yrcr1lNeV8K8hkQ O9aXjhD6pCUwkelooFRu289hoLM3nRCoNcnms/NLQpFx9qRY2KNddkLb2m5O18a+JkoN16lj7yFc DdQIMGPYslN7xf8Be5H41ne1iT6pWm7/qik5yBOco80B69lccPBkNPGNO9S3Z+gG/JlS8gIvE0qD 3LRAbTZm+tqPZHrFAxOUp9TSed4nWT/9fWlXTJyu/Xcxihx2LoFR7qguLLx/dGAl4kB6MeAl5OXq FFYYSfeFTW9IcpjmA6E0aA3N1lseKYvS+zJukyLZtM/Z+jeELPEpsqjFIuDsN4LB12uYBpGLKFLE j5qhcMsVCr1ZZace8mr5mWGAd7Rd2bPf9VQR9AhqB0rXTd9J+27HE89qfQb04dWKz21ylcHSprJY 3qfkvXaMZ9VH+ayuzld5IpOGDB/UqrIz/L0/D6s8xYjiqjuC2HUpuT7RClxGF6MtrpP/ntj+5uxH 5hSdgD0PVo9uDb22rifn8kbgNEsisnIUyNEJFphPjsB0JqFvKALHA5WxK/E+YtTyApS3qafOU8S6 UVjpF3Y2u4V9cBK0fQkBfsGYNIuuHkMVJpI+4+c1MOFbi+sg1M/aEGJ3/rI31dq14HchOk3cokX5 RxO2pVWOWfBJm98f2zHNERk9yojwPy+JbIwRZ+EaXqnfjyc2ppS6Vs9MNIMWyzJrJygpXx7YS/LM vrRim4OJRReN3/pLZ4rbTYs0eJpvBixHeLnPCvLiTH7j4NpFeDKpSrOq1x9i/eB8CIeTmDBJMkMj HQP/mlcrAFU3g4D1KfC4E0W5kMiGBrfaagy2vaIsPTHyVELgO8XUwm21nwdLbvxHJpvu9DAvNpu+ FzFLLBle5EDeZg6JO6SLyMXO9fpDUzYSWPA3IKY+VS1LPsfJYv8LeLk5Wo7ACEdwdpfDfxDZU1k0 LW3PwM2djD19NbEQ2YgLVrs8w2ZsIz/sxBPu5FwmDcBko2FRSPLsl7iUsoA/cAxFqGvgObXTGPKT TAZ1b4vYsmhdVrSLDZ8cpSm47BvhZ2npbAo/efem9CBK3fSfcsc3yLsWwcIemQP63QkUbkq81KjT gelJPtUux0aXMWMrj9fabBKDFa2N1QPZhmbCRQZwN8tat+EWmGA+8iTchDPkVUpH3VvazZ1g8QAa qdg71kV491CKCRAYXIB/nxgtcR7o+hMeIJ+NYFrm5ZQ7nKmNMbuaKSFQQBnnb/FpZ+IXGp0k0qjs H3MsV969L8HLR9GbE8vHGOsOyU+97JQiRMZB+vs2MccK1MXdYlGOQlOSsuMFchy52zr2XavZvX0f 40oCysjzB1DP+ZTkaudh6+mQJygquVv8oE7AP2yJ/u9/7wMeb3kP/wKMaalgfvamvhNh3MoBRmeM M2qMfyhzf189DiNi2QgB4rwEbkxLrGboAcs9hVunuRCCvZytuoI3U4RTHqoESsV/PTqxOrnxrpDn FnViEQm8PwV331CsOm6s0oPUpIImjMoJQ2VnuRKwTAsedaU7pqVohEjjIQ+2UeT6YI17YZJn3cfc tutGTMPgYmMIj0GatgD9uUVZgHV9fh2zPfWSPkmzuW+RmtJ7nVP9k6MlDpGgKTL5jhjShiDIITWj lBRufcNIXfyqdFv2vj2g7eLXVk9gkkDa7W2aziaDLOI2WZ8Jx9Xd7rIek1L61cy+RepZj/somN0u Ih9eSDSmYL2qGzdDIg7nF4fcWIaIXgoaSbRMh9O5pRz8NZPRniCx1Y0qaOCAYRZiim6rzaA9ktw8 kxczvsGzHkUUUj6fG0KmhprBqxr8cnFD92k3f2qa6Os/wZOhW6MOhQ2gyno6bjIx56lFHA/A6ZVJ RI0+Sytjx424mVyTqh8MImf4TjKCJds+b8J8NUV+VJ+p5LL81LYAVAsLhhstRAX2N3yiVbP5WJwR 1hJbANr0QdA/zVWOEemzS2uH7Y4tlvRRgxP4UcmrFKgYVCA+f2HsRkpdRxg+Jy5AzEMdDclZ52Kh OyeVuRO6SF8xOVD3foHIDnY/qoX8pI6EZpstXlF7v0Ca9hZ0qer/8zIZX8nXxmzc95zFZsAxlXad O0jVFRPXRZTMm72f6kMYPmI+sN8gA2QnqmBZEJAvsAIjd+EXbhKRixL5vszNR/A1lRw3V8t14j7F IWfIUubWwiizELLtaBZKUccLFWp9IDUZVtYVo9rMVbx+Nu9Fzng2/hiosxwcum++pPGfw9OoChBO M3Un5df2WEnl7KjvnUjr3NniRdWPpW6FnsszTINYYBEv7Q0d3h5Ho48RnDc8J8kTvr/F2KS7bgc/ oOBuX5NLCa3IlKC9eJ7jSobJbGCs7+fGXpskGRk/45ya0VA8+iqPXUwIS7NVUuVybDgHYb9SdYtg d4tkrl9VM5rdFi5opJLrOBpr4zx6XDLVwN7Rbh/t9Ar+tEWF5a2ZFGHXXY/3lBKi4wkG2VjeGOAs p+N/4rfx8GhJlbzEM9nd669Kfb8bl0a/XowA5FiRfbj/7qT8JVn4R9f4W66OEasLXv7HU/VLFikY Cp0mi43tByAh7v1WWA956ca9kdSJxyCpxLWo/fu4UaJeyq1wvLD6tye7ukW7AuccBDFyYbMSAi4I s25t9sxQBFAcFRMSRW1GZyIEdqBcMqJXXBS8p4CQB8oGNvGL9v3HGTqWUiKwRrpg8iZL35bhvLcv FhM0jJ7bMBEte0cHxS5Oo44K3dbIG4o3VijLi3BCijzNQnsbCbln4zRGavNeOp642gTy2/G0Yoj7 VDebhe/fjz6E0TFiDP9Er5JW+kV17m5KVA/tp/m/B7Sd1x6F1RA/Uq9y3ssL4pDzJJ8lqegJkyTw 2cWo5m+kYHn+ZAYRqasno2+dGzbGxa6h61UdB3wzVkl+iWUldW4QcOdUBADbfOv9LijCOHLY4xvC U40xDNoZTdzHcByEMCBCiA5NrkAeHDzbd99eUcT/aMMtpLNoF3nPLrZGuTNJuQeKP9lkwe4hUlhK beW/ENIJkty2nF5i2kDvY8e3/ZdgHVcBxXDhsMaovGWHu+PbykdaMUQ2oLi0w76N3nXWXkV7u2KL aRLivPiTnmRqaBF7PJqWFpJQJ7OF6OISZRgLWN/qkMtnnUni11y/2hjdGeg0bzjQMNEcyOiV6ozb HAvJIP0w+FfUX2xYCJcQGi7jhIY3I2c+O4LDm/QFks+0nC+o4EJuE2Xr2IEzO7j4wKPtbLuwnqys rCsLUDT/n6WpmdU8godqfYSY0ILHCUxayhk1byqFu1Z2kcJUDa89lKlBYpM7KtmzTu40zXuEgnk/ xCCkaqeHCjj+eI4yYW1kO43QMfGTgYZAf4qBrfIeGzPkK3GReNyhpLrJh77DNWdyvlYiMICBp5Wc AmHzcHEG0tJ39QfOvGDy/vOg8D1Nan2bsXFWAog09UoyEW5cxqF1CglN5BQ/YHDvTMUT293MdTiV cz8TzUzauLWlhlAXvS0snOboe1dHGydbdLwPuyrhh/JiI6J4OfFiIARguKSMbld3sxXMuOS7BG0f URWS9rmj/cFYlEE13FbdGyG0b+cLjveoEpY2GgFX2zMkNygCsjCaF6YCl3eTvuyVZprJBHKLVAS3 MCpCrmmrOo1wzNes5nuZIf7yC+U3luc4I8p5fvo9gWFDHUAF2RNzmZR2VFCOiyL6PcsGDH2tckJD lOd35fxJdFEynISApEeCXcZyG6v3KeC7yJG0+LpHUESw6VHqZkc5i3gjoGhOcKgHNKJJPpm4TuHx 0eh883wCI6v7zWG2gS2WtLQQaPE03AGqhFpNdRZrccfdYhaQMxim6nUhyyYiXOVVwpHJ4pD/4sln 1XvvIsTuWQMHUQJxK7iJ6ivnmyzyVcx4hs11XLeUiwbwH46HyFCPtYTwA+5rm6+DB6Hnbt3m2dPL w2YfLaML+16nXQW41YUwrB5uRTmfs59Tfks4f/t+TIrkBYNocn9eNwy1CeOWq4w8J6qoi7AJQGe1 CL9EDPRbFNZWBO318PREcSQZH+mOFMOe20BwT/T0nUvCvu+EFy6ho+++gG3W08DADAlet3G1C9vS sFIEv9dXlEva6bqaU1P3ToX4zsmmlwJAlcdT/XObUarB8FnMQc7g76/xTy9QNe1MqtQW70+POJGc v8JukiMFNE0WKFdrMp884JzcYODxjNj1MgG4MK5PGofidnPjQCwRyYZv70mWgr8O2vXTKiSHZ1FX 67pc+kI9cOoc9VZ2mNo7FrhaJUIrMLe/jgwVwUbrABTNoS4kzpfccTsXZ8TgRzALvPSso/DeGcrN oshx2q7iYu0qkNJQpQskJx1OHz2WDXMgg+1083cmIu09q+25zQQ+B2cJozNeiAVviPv9mQynRDAR Yd8clzLrVODtbCQpWYZVhjBBuBqN7HEbBKxIXGsC4APaIuXx5FXy/qxDeqyVRkXp12iFRw6crGhG YKc3k9A9PoInTvX90h6sC8W2jLgIVQVfLAxPgVyYoDwyjthcBJHKwo4LuPXUlkpMOGTglY8dGzFY AHtVuJYVd/6HvWlEcXxckVVcfgyy4/9azYgC6Reavd3af2apXnjAVpG9FQ3igMnLSCs35/Zz/N6t oei2QYpJw/blgB3g2ARBpN/ZGffC3osWjYRnA9tgo11MG4m7tgMSz48i+zNFYj0guJTRj1/+Z7pV pCgooUQeKFyeq1mGQEMQtb4PsMBSFAThrGE8r8xRfPyHD3ny7JBM23du/56fguJ654Gmmk+hmuGq fn+msddPpfe6132LFGeYWSGFvYbo47Q36cRngpxJnNWtnr+ShTC1YTKewj68ncmgdnpzjvIlK9JU RlTqPxyySZjjmPTuWJFmg76lNRjLl8WHA6UpdLAd/l/OGBa+QS2DGi76DxdvWODS2Y1WFVv0S/RC vmYoTL/sDlUjhCfPU3x7Cq3Nw2ZrcCvGa2UVHnZCkCrKSHXZLBtdSMRivTNlSeC7MNwq1htYpmP0 rh0TlicSpGuEEE+pkhDMBxGHQgr8z3xb93qe6NP0EPWJzhbjudjkoGevfP/dQO7bKSMv41+aDiLv /daTH8tmiNFI5FdTC7OjS4W0Vh9/jOX+8E+m/ebjNYVy3qm1xRAh6D4Alk7e51O0ouEMaB/e01HO nmO/XZBmhVSbJdZUzs0v9kJY8cO3AcPU+xAMgQMnlH1CNRtAnnUyUNtzzngFD6OGwbfnM7F9xYQP p4m6philobVR7GMr3EXFUSjdlP9xDI42bThZQQ5L8bZaHd3phgPZrFLTacxzco5JDxGqefVKDW3d lw6rb1lhm85yz7X8sG3ezQHeB5P7nAKakAnDrG1F+8FecaG50qsqUJomShQy/RMxd1jpBrKWzSOg q43kYBXubdJPiW2AjhuerUXmPyvzM9RRq9ygs+2GN8ApEDzmjE5565ca4l8sVdTUKpYe7mb+ixbH q7EnGyt/HRHC57oYTSjs7j0LNZlDmkaBQgo3SoyYYhWLFglCQu01xr3oSeKZyqu0Ahl3xHxO6UBV OkzfQYqLu9CSEsGae/YGcey2rlS4W3/cJ75rFswHHbTG9oT5fUvrSn+F0QB3LBnShW+ercQfNY8i 33eDnd+d/Q+CdjjYIn1vVkTXgpRAq29JX/xziYqawFC4QO5FmnA8MaOvi94xX3E+yvLCYVHLPqlx Ma/+eUqNAuWKGGwd+TeccB8eztG36Rll9Afq3ZVA+CSg0dMb9e3kbBxmVd2vBPdxyYg6f3Y0BLGx LwzyxcYAVW0ieYfmS2bF3B2bNAulnPBk/p0hIpRemSOO2VcFQTDyvBnXrqI8iRk9zAFIWISrvpo5 /Z8/baxWbkKi0gtksTt6v50uihCgXmnFyc0ClmC/4K8qBJ8dhrr14BGGfpxTLu6tNZwyJRzybd7q 3GrRgb94g9kvge45zbC2a4AL+OoyC5WzZzrQwa7YBbirCgc9MK190aSG/3rMotSWyKGVU3lksTrD ZwekGGTPu4QaHPtKh5eB8q/aSL0nawpGk9U6PmV6szg1IdPv0hpla7sc9EkyCrb84w/P0MqSk+mN XYxv85xxHexQeFUInI3IZp3ggzbmpAl3q58zD6oKpZHpCciHEPoHSF/KRaX2lVPmnLGqmlb7xqkO jYE9Lu7jGnTca+b4m4P6XvEccSTf+PyrPRzlK4BmSV/pb9ZfURB+RlkLiLBySqxqJbBlhJK0V8tl HEt/OiZbxRANtSr6nbQN5FgCUExeEpf6iFFoG3oSAlRMSW2C7CW+lwtyLGno0rI+a8Et0Em5Tp6t uoCXaVeh8VDKEtEgzf45F0ODCjR77mnh1y49v+tlqQggj0J73wwg2Pc9nAmUSM/Y2vRgzdsHK5xp 5oGAEzVy/ezL+LJ/UDYqvuhFMkFCcLc+2F55EoPOIP61x60m+c/JSbBowwi2XL/2raKxM7N12Xom Fk2kDiK3RWIxV3BLkVP/WG9XF8kCpAle0YJ1wtI//nXFh+GjbjddsXrxaEcwwSEKbOTtuR4nsfOT jPSJ19phC0LuqtdicOGubYHt4TXx1P/jCrei39myjKG6zpCJMGXu1cUklvcBsS5byEbIC3O+PYi3 JRxYvUoOHcPY76PKVClM79n9G1nO0nbYvEEXvcK8VaQVpfWCu1aiY8T6YvLHoOXG03nOe2H1+TU9 ZsDyg6EdDDpQMXLKkkSU19iMjvvNvIKWaWiBKYEWcZBK9JBf+/RmtYtTD+FAzD+T6vgv2C5AURUL XSFZAfIDLUkYTOUVC3nov6zZxGVym0tdOoyN8iB6KsNHCR4juh1WfCITg4w6lMRHvxyVXmvy9+II uJcDnlt/4ysPJumscgBV7G5Mv+Ll1uK1qsRJABL/ca0wvwzWCmmf1n+mh46v4OWT7PlUa5DOgfzJ k2MAqYAT5opSSrNOVpmvieXoaCTzUgJ7bb9LOQfeweZWutSrfu8FYQ0nUZdzRUwcvJXn797uCIb/ qTztizbUMj0rNAX2mV+p0ltshnGOh795cR9ZP7fSWmhv2PPEPtBv/puA9wWehq8FYWNg7LimJd37 ++rLoYSXBKw/CgHcpI+JitwdxGhCBnRbCN/wKTjl7CTeCk4qL0ajK28qpErgMnzNQgxEJt2CQjHU Lbhd71r+79/KWxqmm41zHU5ZPsvb6OQEVkeiJbJR78Bnqz2mxf8cHdKyMjBuaJoY1FaoXbP01vDx AIt+v+WkvlBQBUYYHo3q0g2dTCqDm1IjHyv816y4jq5sdbloHZuvBuMfiarZ8p9r7GBO0Ax2v+a7 UG81i6OB9s8eDAN0/5Br6rKYLoaoP6fBNdbU2e2Hl2FJgbmMm0j8XRHLVgQ+7Z5sz6hInpUVChFg CrsF3RrO8mbz9+aR1KbREANWrAHUS0eEvFNWsUuZVRcpuvCneewb+WqoftOPv0fLxfmWzkChJouI Y97MuSptC2xMu8r5zHnvxs73Y2xPgo7udUnykxKLypJhJ01458c8D+VLagCW2YBXCHZIE8yh4GWv rNHyVaHFb+ooF0nNlFGDzMz2LQeIptKds5mTv6A8X15NHKDTBOB7XB5iaKJfgNLxbM3zX1ESF4za n0IB2x5Wj+hAv83dJmA6dPzP7kBJID4Up8qG9icbzcUJIgylUzxxHB/vmTOVZWFz7adDjrvqJ3Sb pTzsBscjwoNiT4fgQajSC5wgRlXaUjL1Qrpp5tvNtvE7V3xGg10O12r0iHSwPFl2pevPnFj4QVK3 fmOP9WUE22aRNIaMcMhTAUwyNL+KkygzGPCnlV/BCX3mlYi0s9YQl14ZZ41O8zBrIkfHSAmCaPKB i4ZTM0MTpH43FiPT9LkUvV5vKl17nwsii1a3dVZZBdIDVTcxcjMFYRKoklctyZQ7vU1jKKMWUuyw Jx7kV/ArVYbrUGj2Rl6KHYkk1Z+aQMML8QEMLKGqo7jZu+XFs93QmEL6OKS5kqD4lv73P7CduVyg YfxpJJoNl1EFOz01qcr0I85vDfkM2GMi9mAdDLOAsEeaSRPGNI6gi5AD7WeswGnMDb0MPs+EBLZq hi0FYz3m4aydoUToZTPxfZbfb6dXsSMPev71ex8Fw0LZybhPMXnDeVJw/NYLYJ8zW4zRmaxEI+/p FlQMLH/P+F1zYmBq1bUgAl9L+cvl4aHBdspOCnjPyijtMyLETjRhaL9SMZz9jQ8wXgl8qtycDfEy S47ATjss1vKM2hj4DuRIq3uGnGlq/AGonDV2pq3XN1BCkoTRuOlDZoG+h6/MimejFGL2cxNE433s 2Yk3CnCdtX5RE9OwHlguUBRaF5GFXstnNsqESXvONZPoJwYsOnW4gK7mQ3S7rYncdJ2IPKQThDeD LEFCk3mSYlqCGEm2gKRpNhY7Hmq+7LkaRUD6VwSxCvInZZmrZSoZtk8o3f0oTtJxb2m2H+mmqgib 5uJLAfx8SK1V4NcaWqYYw6UWuNnMDjHdsRpmro0R+5oUm16LYKmnSqnC+hYAD0HJ+5qe6r4b8lsY XSDd8vbpd3FsUkvN49RKE4+IKCaSQmZatwh8VERr3Cjvs1FD1VE1Y1Op7kC4KxN4qCWBG1xMXI9z pTBeqw781N5UF4MmUaKmE1Ml/pxwQ57HB1ojmNqsmzpoUzUmwNhk901aKjP64U7PAG4DXqxS16hr f4Fp2kwndMUSwqNPlqYygePuO7otFSHGyg94a5ZNh3B9mklYC3trZeaI0DEB5Ko5j4syAw4k7rev cc8pacnTRLFd4Lhuma6Z0Tp/6ZGLn33gK1fREmDpAYnuuyDjcFlLoBRXRUg6A+hhSwKQRqTGv2YP E0AYnmWav2AXhQzdyzfUvoEHlgVDJ2tkirqCujmnj/u+/IPrqBn4lV55E2Jq53PHscHszLi6glXv 8+qld2YGd3qUXaD8/rRJCKoxoBgV70hc6f2mha53sem7mDRhgOHuxh9C87me//bxWb4FDl0j4eiO sKGWKsIX6SYq75HaRo3kZNiB2zhp6MHPaRAas88nntMORoHlaWnnd/3ZLVkZLwEHxg8fQG1/4VPx rKxUejvLw4zRrOJ9EqpGdOjXYmariAJhZtVZN5IZX9pJ3zu7277j0u0et5X8Hnjt4GBIkSOEHzce 3sI7La0qNYrZ71X5JpFvs9DSMDLMsWFi0yEcdQi4S7yM5TKI+TYgX4kX4DcEnUrBhsyC5fcuDP8M mj4nTdWCug8KzA72q+tL5F0mXCIjWZQoZFgkvbTZjhUd+LR9M9/WigIUDRpZ/VNsxw3NRlI7sW3Q PHImBY/fHalEHM04o4XEU1EOnn0G+gFEjet8tnNaw2WWj9r0vjX4l3czCHeq9/qrwLWOgZcG1zsZ 3vA8FiDtbwohmTkvcxH0Y58D1eYW3Xg1Es/S8Z5BOmKMd23H/NNSS8J0nRRdHKMgg1Si6yPNUw6t /+bxCG7NpK5wpw11jyddNoIxo0nzbtt2yNMgUHhhZdGcBdnY8bw0qbjI3HvC7+e6LTYdjjdnZ4Oh ANW/AbwomlXNLfTwA3ZOp9K01iUmpcFRKjHb1vGuG59C2o4arJqfS9R+oytuqeUrvvlPeu9/9PAj uA5fj25xxFcvG10GuTZXWVpTrXmIWCqqm4ltHMObg7t1Rqcx2amyfRJgUf3t16VtFo5xsO7VO6yI YFlEjBR8hh/1LOoqVfp9iD5guuXKWb1oSsMAb14rXCNXRZg0/v3Q0TeCYhCxWHtKcAS74AIoRxZm gbNV8a2U+f8C8+QBunRZa8D1+4u7ZBPdqzEXQesZsSmkt20l3i4wXiXmZHIlIih9cPWaVTctAidW ps0IQvEAq+gpmipA1Q5/RkgwKw5nEUDTlLKbcTPukXr2yzpcFdUAQvyhGPbZRpsaalpSJyAwinVV 8Jsggdk1iGar5IMadDh45MA3UNTNKHqyqwZPvEDWi++4FlplE2srXyOEzrDQH3jgHzVmDtF1QcBo OvBTSm9YHbS/a6Gy3aBBXfiiJZ8FAdh/X37WW0zDALWyzy0dz5rN56dwnnhiBpeOqBi7rH4O9jGW KQQYGHYB7sJlT+kEbLKK3sYL41016DN7lJ3fTB7+ZMhgQQdkndpxXSHI5C+XptTsQmWAOpY60iQl OWIE9L8CoRZk8ulRtG10MnOmAddkzw8rR6jU7JRC2RP/o0triAdj3w0fKgfEzrKj+iPg/+UnjqML UKddpIdXcgGhNQXyE0hPDgrVLYNPInh4naA/betR4le5IaXt2Mjt42Um/RefVd3PfFOIEBkyuIXw jWnf7gkoMuKBDv9HflSd7xIE8jpCGsRTpsYBGAOJj823tvPLYz9lBmoXMGHVYCX98lNVsi6soNXs UZIBej+GTmjpFtv7OLkeq0qyZjWLjli6A8fi4PwgbmE3/T2gElqhgOn51LMrEaZ2s3IshFK+T0KP Sl9f6HEeodstniRtHxmQH+ukjR5Aotq7mfzPdWxs/bvEV/QoYe7KR/g2M+O6WyRE6S7/8NeWcWWy a78kQpEgGiCQTTmqFeSff6ukpJlBFN2W9C/1D6ktAjdxyQtjOlmv1S6Zu25kB5Z6UJkD6UJReLVp RZ1IYwJwwn34RJ1CYkh4vldlvX3eVvXapbbAO0K0KYW9KIfqEfJj/MlRdzXSNAtvI9XipUizF3I3 09+BGo4lZSX+sez0+fiyCONN0lHlDLywfVqqOaNUj8/hoNyr7cxRvAsL9WHa0YkD5/PQfgyBaPoy deh8iQNN6L8tbBlPvX1FIspnCT47I1lzVTKqgkW30kfJEd8G4RQLIIr/WCBZYAdOIQOCx+Xz/EMI Z9NZ2bQ7CJ/YQ9GctK3ENNfs9ugDr2y5NvhrPV6BGlKc7BvYm2xmJdqOhyqgH+hDSA3LzQnSwmQI MOdaS4wctVt0w+zvrcljkJMiCDnMXqk3G+3gKQGspsKuBinM6d6LB2WLMb4f2loIR8mAG9EGo6zF mmtOg3hYEyD4R1bXdxiLSwFHS5z5dE/EV4fpWuA/DZr2S13nuCgELzB9B/ArRgFJngL5ynJt4ZWg 82cRR61Uw3GRghrGJ9MdLsk2s8hzv7E+D7jQ1b0M1NDRVbjpw1B0xOgQBpE1v99JZRR3t0qrC6h2 gfTJQaJ3Egwxc2gf1e0v3ktvrSzgBkZ6PeT8Zq5W+8nNL/2e+3O0Rp9Gh0yigbNrsFcMB424ZA8F 9SH/soiWZOSZsxGcV2tuTsOlCDm7N4KMx8i5GyLsJ8jd/a9/TifzD+WVfHbKcb8z920+lZFBXGxS Ok8v17LVq5J7p6WNX4hlR+7RwCo//bu1Zd1IQnNcXnKMtmyeESIfp+hNsaEGAebxF9JDG40FeK7n GwD92zEbB4GEdH4vl8DLrhbL16YzeE0RCW+lQaHZrACh99M9PApkHBq/wu5DwR09DnXnQRvGro4m WNAy/XUOknTu73tWqxtarHOeUM+NM+/U3X5tSSOVNUx+V5BUaJOb7Aq2HcoBflaZEvhCg3Ixr86e fn+FKQqw+IOdEZLEohsCb/a5aq2ZTyZaXqeBC1tD22piZ35dmNG79M7aWbbzr33cZbMWnR3zOcfF L325DvbmUZJSE0pncH/Vax1tXf/vGjQLzj2SECijSu6BiGEfX8mpgbt9vUMN1LnEVdBiIcuD5Y5X VqwL4+3J7/z1tfWKuMFSmI4XsVIUb0Y0+KH6PBGdTMqlihPhYkIgOPlInfRSjgP6W2Z+Z+Rg9yP6 +N1+Y7vnKXl5V/wuUgASxgXkYdGU4Xq96+OjsXJMCgC+ESP+lPnUxG4ivjoqw9uUhvlav6A5Y+RV rsSjYqm305Cku5K5vmOMyAhwXaAeX3fYcVydvCMAbSfNBabm3pBNlY65OFiUPsvMGnRPIwU7zXi5 rHktCsPO9M0M1sebd6t4+TOET0iA57nzLoNMsD/C/5Z29FMJH2CrAem3vVSudW9ySHHh/xbXqTDy GiGMiliaD/5ZvdVq+15fp6yt96aq1TIR555+kNPN4LyELLV1Z/V0kvGKuGpTLamD5u29mCAqBgJV rN7TOi6OZBJx6IPC/H6CYwnF5u01Re3/7yqjHaWkS3ZPPfvnAAxnJWPzbuJL4rrS1SBTT6mE5XlB veWoX8fvRQkei0H6kFky82o0mgvdSMXYH3S8088xk70cFGTg9zAxY2vVWae4VdYP1w8iY282TQeo NOoE8j5SzqzOgDEzw5jqo77B8fCxcAON3auORwYkCLFPY3GXzvueMchqiVOvXa27AczaOduZUuMT KrPYIOfpBkx2L6oYZUDQS8mX5w6aYEgIUH6peo4xD21p3ZRF9ja/S3n09x5EtgR+mygzuu+2MdUC jL/0m1YtmC94UODISBum1hkxkg+Xz2YdjPgvnStMoWl95sIXrqf4mWgcJg3CvL5lrzElmKS7akT9 6R40U1pUTSkkE0J3zmhvo7ef5QyYP0/TOrddCY7JCEqCJC2VNb9ZnEigVJjW4BREULtQS0jvi4F/ +c3LTdOIABqNj2q9OtMITYWhrDys7l3aPIuj+fnhTs+aLYNkIfhNcUK8t/H8MP1vkejOLzP78l9P Xgns8zXwiSYUIA6bCg53bGTUholtFQuzlB1QKnqivijMvNsSDSzmtuWRbrV5yqa2BGAHaPizh0AR DTZ/NdN5qDhLNKqTaRQ5HZn53zq0UeAi9byo/T6WfVJMzJ+arb/RQyf5c2s/b2SDAYnkmbAbpyZm NngcU6G3bQSB0oV/5vjJfO6VT6QmkknsvJoQKIrrVY57htPB1KlLNDTz9S1h3Tt/0Gun6uG+48yg JasMygXekIdnSMTAF/z1/+AyFu/2oNG/qhGy6kH9w6fIJsBr5DkJciuxRgI7MzmVzrQN8IDy6eqa 54XCh7iN3x+j7Bt/ycp0CBbHcOtDbYHKHXql+AXsTqh3E0Go8TL7sMkPIMepD6rKeuFu/VAe9cgZ I1panbC7GZlIOXS9acxJbTo9yWlNqdhuz+mwHPkYMfAmw8NGNeJzVgPCUqPf9XEIyezndGxp2nxH lpUjROSOH+h6FEtCfsaEEF/LWSGLz490rEnappMGr5IvqalJOl/A0pnKBqnKkzeAjftk6uszRlkp ZX0EH1O/R1cRz5hBDFq6T34O7OAJ6SsuwIQYiFBfCMM8fbcIkPJCyXLfNUADJpf+DBK0arpL5UKp w6lVVpNc2DRMTAcvVhpV7O72ZH+b+N/khtbHPYcmesuDq63dbrHAQFkIoMxMcty8SQi80tnAZ1Pe HOzRIzkfvZHrML6RPGx4fIITPJqUjtBUcWr6Zs66ufiN8BphL9Cvr/Jog8KW0eSz11PAnP+F20Oi OCGkPFVH4KRY5gSIboGplSBOOC/UBLUhD9cgqu5OzgeTLwqwdS3vJbffZZ4Bf1l8X1uqzsq0fWPE 2hICCRzXPzaGn9ohDtDABd5Jru/8x1NxHZRO9QNKkkLqzoW+JQ/muXI/vGE13Y+rRMOxNNA1rOxC tudYteMfmyOKe3aqUaOVpBr6GEYlrDwnxxBNNgOdvBv8ighoW5h9mUS/DC7lSlpuLn7VebBuZ7W/ 4zrfEWDMGPL/Ki6hnXFdu9iFjswJYzvURy8T8liDIlyec5iMKf4LZ/y7UDr8qJONLxkOIg+a9m/h bC2fzjSb1wKOmCWGBtOIO3CP81o61uR0iZ3lv9GZqnn08Fp6xZw2aRpkjUkw483WNfcumjQBTqUO 4Q6woY38HwpfRIj8EecXxlGOsP0YjyGFSXpgigfq7YVWKhIu3N4NQ83FzL9pqVr1YZnVGDloLtFs YV9k5pr0nQwuUJWoKJ2Avr1cWWO3inX+ireJSqQfsP0ls5yHdUOEYcQrMRfFIPNFnVsG8ZAt3f4w Y1orCYV8j31Zq5KeqGFcZtcwjHjPQz/HvrAerKrtKd8iADRxoc5A8lNjAscMlc7SVapho9DIni/S opNHZnRNYdraXt3uza7cspisT7eTpcr7UZvkN0em33NGWtGVynDpa1xqfHQLenr8H/l1PpmTJL7A SlwqOp59BMpIwJV+kxlEsYGXjNU1QEykk3dwV0j79pJ+agYUlQa0bL7dxEUeBARGO+gTL6owvsRF yAGY8gbPyWTS0n78EwS6IpjvRgb5E21Bi692GVE+HwKlEU9eFejTuw== `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/blk_mem_gen_v8_2/hdl/blk_mem_gen_mux.vhd
11
97172
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CQirmkq/BKqR+F2Yg4UkWTIdFrTRgyk4k2iLzfwHOmDbkUM55Mewqizh4+Lf+dmwwhALeC71UJDA 8mCAPTmMHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BYObDmDa8ddFsyZLooUzpHL8ns08oRugCcZI2k8wJ7bPNu9wkzUe6gLxEl5Rus5mNXhYLj63VAJ7 Iv4x/x3ytUfhu3Rr/6uxmrwyULLvv11XEvyVGCHx4t+Dw8cVgkM3usRkRQjUSA971GtmeHD/8MvS cZY9jYskPE1Jpp2ln4o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Kh2guWzq3vblhcxdfJUid0wZnG6MC3o3a3YO1P6t3Zu5fbaVoJKIAXW4U451VmelQdzOKVHousSk 45IlwBxf/RYbtIg9YdXFrqworoOKKYA8Ps20E3y76/ejy57L44f0vm/NoFaa8+RGMVOrMaXWkAX/ 5m2QReWMg9vAFlHZfrIsQnJM7q9vDbH/9XlzT7azdJd5gljApTrMFtiNcALEiKPoDWNj9DKTR/5M z6fXEbBnQi7svJI++6ajKLfscdCdmkML0xv5aJaja/A6sBU3ZyweO65mSDcAEiF4/LGSrOI+kROs k5jflROeFMl/1IvGNyU4OxK3jsBOPTmAsiyzeQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ybTxbKW8ZHek5yeUP9rGjX5z9pX54PPpKlEu/sk4QGiMGrMi9n6exyltQw3382l1i1u3uPUdj71P S8JZfrL7/T6Wx0syH1SqEmm7l5ELtT4AmtRRmr7PjBfr1/vMkuv09pkrXQw9kL/r54fCF2RBbGri s7+5RYH/ioAS6hXm/iY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Lzavdu/+bN8Q3cXw7+sJQn/P0yOfwv/fFtLcbHiKvjYFgahsXpekRbm3lL0aoljDYfGXg0j67Y/J CJh77b2zEDew+52ugEfOsJOLiiRpJwaOJF4CPdnGFr+y2s/iVHaTGQEUZijIRl8qTdOe32Iiq02f mP6aA/zLN+yrK+T1T2VdR0v0N4rZ0JrKgq8LJ8s0nyhEoYbHdLwsd/ZM0u7jNcGRN3tz50VSRBLJ ZurOPxU3vkWwEns7DOtGOqOqjnvGsm8xpTXRyN4dwUahlB3pl6We1goIcvF2Q5RgulgpDiLlxbfg MhfQJZhd0vcYcyGscC4+SmhXhqUJfuRf0w9ZTw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 70192) `protect data_block qv8DX7tqszlAnrMMFQvAyjuEgPBHuTi1RS9pXGi1unSWEAcUzGPG/WVKdzHgqjKAr4iiVKlxHzJn h8Uxlbrjn+yPwpUG1q4DV7dD+pBir0bwDUlYU4hNcnWZt1dZroU0hyvG2tTVvowG7er1i335kdAM PjbFZs7EWvRnDRRHx10w+3OfT2mqX1VhIcqEuQlp1137UhpooiehRLpFMH7ZRgQkcKoYfaXEQqnQ IUIXqzwshM8EyYFu7vhzl1dcgwuBz+zJe4xXjRIPP9p9zD97KGqUIWMtW/u06XQyn+DAXiIbYAdv XPH/V+4cn/tM/L7n0Ruy5LdSgVYz3ETR+ap4RaoKiSQpBmJoz0pgsipPeX7eL9TvLzJgtPY5Niz+ 8nt4dro50xmSfQMtGbi/bUVN+NWuzzkbmvFpQyxcU4pfVzKJBWQEQ4es9NxRaNZODhL7BApt+sUj czkU7A2LmTxFvMiPFim5VCGFpYdUQJBlpII2ImAkiLermQhLeaHPwH1VBLHpVsxtxPvSBRj5Y9jO vfOVbCifZHc3XQD3lc3bV/kEjZesUd4r3CH6oE+yNkwiudE3Z75ECvlQ2EGSJ4IvvgF2yw4hA53J q6jNtwH0pfRSBcufz9ufnLkTLsY9qgu+l98leEH4Noovj8s04hWWzIPuvXsRdxh4UTh7nEfavqlY QXRlge0hBwyvwDJEfzCVOn8dOP25B3XPE+0TNyIKLihcradJ8osDIWgzeL2oyjRHGfPnKw404eRS fQbWGeZwuPbgfczaJzrf+v873pnVMpfXyG/z+TKaocSXUiEBcfrZuZyKkj0J7YihI33TZvqwzMUX hXuqooGTfL7AQOzU4c01ykL+jWv3UsYIzz8xk9wXcSkFYg0SlTLdzexkb1Ypt9X29I1jRESw+M1J KT9KIaCOkOF8pfXXRn4opxDfHzPGfULR52vhI8+zlzfz2SIfq13mb54DWslnpuZIe1wxuanmAOuw fcmIaX6SusFhACmBKKFxr1PRBF2Ca5nUZ1wjxlSJFQAD+c3i4wa2MoHCP1pZ774TTJjBbXqJow5V vreoe1Z1sgbxATUO1nQ7aTTBq51ls01rdfRy1hsLm6No8bLNmWbClpOf+gK0y6zR4nakbzHOLWru q7Jv9X0B0ce1Zs8JqTlpOecN0wITXPAe/1/B5bRdGkGDRq/eVk4QrJI8Tn0W+L1uGvdnSHhekusI n7CEo9hk4crKkMi53eVKWYCCdVdKNZHuCUXBokxxzOY9fgVeZKIYeHuMvOcQ0kviYtlLOzLUuxmR cORyjkxsOhH2+3PFMh1VbFjOfMBdkE0j/67ExLxaeZ02t6L2lVR/nvLy40KN63zEAJ16PmE/BoTz f6LbSRwbBKkBUypyPOABcN+42vvOfCVMtUv/FA0UqLOpHMPxG+iRl+BTRG+yiuLEI/hgTv7tmizV UBLNa7VRY9rdJPIKHGhnFxxHN7L2CqGAuwFC2MPoycBgVbINDKlUZgWQyj9lwgYqfHygkDloWk3d vKXE6IYI7Fnbz2m9gzzfGqnldHVsulU1KxW4EJJrhr4m+ZO3ci+6FePdx8fwgN8IBklvfk6Y1PT2 SYLrGF4jJhuW3AWmQqbvbtv8K/v5hE70yCl87GoudH2SIwz7tdnPmeiVYpfP1WEPEtUtb1/fZHbq umg7we4eCW4YAmysVv9F7LqczqzwlR2nq6+PA4VbEPKoLaA9yXkMKigsoGJX92JYTzSGR35jML+K wahZviu0eYtZgPsEW6fn9xVUjmvyeqmfMoHaD9C3hWdyaah5l+g4+HT30Rpr0c94NGaDvFZ1XQEo 8uuf+0Pi7EYgRC/XJ5a97O+Qv9g3udlHTFosjhaVhduZCsjeY9RUSkFRW7NZ77gptGZqnW2bCU4R F6W08Rc01f5CyV6PXM+u8X/peNmhnqkN0JHsaTHJpu2tAD4PgcOzhI748SrJHqQqjd/uXZU9Rm+8 6YmaTDIok5CBVRHhh+fRVLR8igOUDbHytW6IaYhc6tc6CcyQu0r+JuVMvg4hTZ/AGcLAP1JR/DpI OnWxPakZ1/dO6eF3kLz/+J7KOCn7HYzxvoW0uwDEvPgn726O17G8PQXYZm69kGtutGk99OXoqTnQ uoshur4TIqz5CYrAyfe6ogV4pmw41x6hkyvEju1Y5B8EUTWnHZ5XmkHPFE0WOXVuCy2ZKAu/dn3J Q6u6ZjW+RbLdpOA79PWVInmWHWgRK6LSsKzVCkBxcgjQOFAqrhhBbbHRddE6TCfFKRxq+vbDyy4P iZ+dhhrIxL45Yhtxkzg7LcJRLWels0ALko/8S+HkVUkkVAlW/rVaeA8/H3TNyf9FVhjPxvThO97e 690xZJk44juOtiYybhm+rV+C1YYNCHRsGij9d8y3Zv7GPAuxou8oC98bt7zPOUeU5BvoBwaSVBOK DhIvgr/6YoB1DaZ2VzsJGFL2yvcMPpXzjo3Y26v9xtIA2KS8Y1Mn5LXI0hNxO3dpKRIa3R6sTJrc En4161UNxJzOvOyAHVRVA1RJEx5cXeFilNdj0VaIwprMZRsZDNS94lPrKzmiSu1QljIWMKWEk6DW YsPcvGrmi0EKbMBfgPfYb5sARuMn8vFg0caLhz5kfpaZpcutCVOD6svxbkzPHoJ4iOg+HvpdUf+W IRgJTmV36pNr6MX5OsLZRSjSIOCSSZToz+5aosRiQdN9FnkXRirVqnI3rwqMDuW6ra6InxSmdK6L 38uAocR9xHhHmHhmeb5+EqrYx0ClkYZumZb1A9thCjYCNKLEnSSmul6XwkEOu/8TjhvrMO+6Tkg1 U6mCxjbqdbXbtLhKnfpF8Wk1AFLLDBpPsZ9xHCzfsYGV0vq/C1keKbrNwULgUK2G9s5sf0KVqtzC 4OmkXK2+IZsalfXpGK70+uobN3HBM3Xb8FoF3ZLu3wwI1rIzJt/biYSd2nx0uLEMQRC1Y2hzz9kZ Df6Z2bvh80IRUGTFrHGLLFwSGq5/iqWQbaxyyLoeLuuEPm3x2OdzTMTaH0f7fLjeSrdCIIAZzuP7 /YDza82g0+PA1fVvzs+Cod4YjIeXIOM0iIlvEwamWjf+Arnt0Ve4IfEm8rcp3r9+U2ldugZMayR+ CmBYLWV0VeZhORVSNr7gfgnJPWI3mEI3Gr0YqAGSUhBjhYxKflFWVRWxvwpCDXWPvEQ38BOU9q7b feADo3ReahuGOKrHdyp11JoYl7BQdwSaq1is0SB6qNybvv58Cu+yFVDLMsI8T69WVG0yRics+2K7 f44nUZThSK2fbPqAHtLcwHlpooMygWo66fMMPXbjnvoO6FFpKlsZeBcG5BXkIAQGG9pOcNucko1C qtun73E67yLuH3WqeBPyTZ65w/we7wxY2oRglWmnuMkxkOMx+dfPAB5rDBZarucamHmKRyicC/5r fo6VX6MKPxxV5G7KVJApAGbpu313uEG0dzIgiJnKtd6yucun3mrNcvn+Kq4AhOoKqema9ux7Wl55 iDMQlm5EFJP/tIprau0QfaeSvGEMTLEiUFvzaM5eLy7j66QqTgmPyAfCyuRb9HFoF09JNIfH7nJN j28EglvIwixYRjufkjSJ24MdbAKV3D54rQ2whcRQaZbrrrmyzYnnCfY+Z8Wt+vKeaL1glDGugAQX CE+QbnEKrqbZRlrtIMn8iD7HnsDztHDAEJY1MZM9rXrjA+vlUWmsyMZ0ekZBVcRI+jgj+JCkF9FV LfjOezdmdEyyzNsCq/YmerRPzRxNZht6zKBAKy/bJkToiqA1HWBMnMNYimquXZ49dMqWq7rn3oEK AkfGwVDLEXYWb52umf1M82KFKqO1VG6e5CSiBmqYp/9lnTfZRuMQpqL5PUcsyIYZ+QsjystZOTQv XMr+h0KVzMWPxUh+jizKjmTPAXgRNfywkvYP1ohkh+wOyTTRv15WBENWkarUHMTndS7waIo6trO6 Ud/KaBiGy2o06UvqFqIXmWhhNiDVdxSFiRoPhV/5wxLNNnEr7sufb+jpZRHFxmBcd5Bf2WSnAh9s p4/z/GaDo+bHPl78nZDXQWEUp4qP4i9TPVW1qHMy7Q77VZB8meowo/LYGJtSbpcCd96NHhrWZh2F rR5N5kGj35vAfQ8VObyO9ntKXme/RE+vvTrVn5ywVue+0jeplQ5YAR96RYBGFCbIy+pnh5RrWeCf Q2yMOyw9HreV4eAEGK5KMNENKuSItNcYD5jbRIYy40PEZArNXedTtcXoqj/RliKD85rahr+KwH+3 Z8177wTleHXMhVkeD/uPHfgABdhsYtdeKewCQLzkupbozJ5US/BInf7r21H8pQ2X8YklS1sb5dDv UiivCNwPdyfHLOw375Gs6VaKUNgDiRr8BI27CQhpJP6odfxn9DNTGyFfcKvsTvE0Kec67FAX0F1W kpOiKXJgtK2d++1oJIr35VObu35/yFWyoLPbU7UvWZ8P2Uum6ZhujUZFTR1mK25XrwDZXviOrcfI mMa40BHwoYC6gB1rPB636iB4dOvhDR8qtCFDEIodEDGLMzHFu2DZ/UIVG2AN5It8o6luXqrxv86T bnN+F2oUq2+M75TIECsSfSloaASf0fz5HNvWww0mkYhEXwtqc2Nyr0NSCQ+cigSwcAfsElm/iIE8 YuTzLMGj36kaMKqvHNF73NIx2THSfKNGsY19BxZZYyW21SyLedKhmP11tqXz8Un21cIWOQ+wzoXS a2fdJb9tNYUZ5g/febSs8L/8VYvpvREhaL6mNTxmSpQSki0Xr8JmeB6FTYSVzMIrawY5x+yWcT+A djfCn/6yLqfogCq3jxvfMgauQKlqrEPGPPTpU9p5BSDCQOdl+GMbxF+FhQG6QiGVZWNZyefIOsO0 BtAz9h57dEl1kTXF2hDT7CzJdz7wcRDV/AZEae60HElg3ydM9KNJtLpg5YG0BdKRWOK49rMKcvm/ id8qvQa/jHv5Vvad1kbXT5uw1DS3U/dSyxNIjO92uXIf87VixJ3lbmDxn+vzHE2I/Q6o3XUQmB2v me3L4tTzOJyPmakDA9JrO2dvN1VpUDvkVPiyivbWdblPbTgEg8X9a00wYseEj+ucKtt6F5xidprU dlNTs9FKvrt3aMbjjSKVIV3SBauK3D6z6R+XO5u1fPjKgaAfZajsfdUoDr5V2oF5SC8AH+hJbVf4 WLjXE/oCRyhSKCiUfSa0BbWr02lPXD31OjqmXD06rf9QBj58i838xFyEMRNexaDEj4bYsS6o3w5P Y/X1g/XqU9z2hqNS8OT2Cst9jiU7+wltDb7oxCNO3fyXkzV4cgtJplgSqCOVAgCqnHqTfhNhjxgL gdjfOOKTBRWlxLesNmAnWPhgCADnG1wWQjUn3cWIIK4kROfEAsiOfb9M4v/++8OtIa2SPpMaP+B2 Y+tt3fVALgFC2LlkPOAMTHq8lgBdP2Sy0ZedigEWR0dooeMJTwHBgvaF0HydfwvxTYGyPXxugclI oCKDljBWd0WMpIdcNZPhQ4lAJncet649qlFT5eIDVfRXL0x42A4bYgDUetRt44em1tiQNram+vot UKu6OMM5WrauEtKj/JiB1QrGowreNyJgiBLpsPF17jB2zFyfa7rg7gnLSqZHPTTUmJUCCyGu8UMA gQhALOFZ6BXuzTcon728E1uFQh0PwZX+v4oBy9iP87SYXDGEZXFfxoppEUSjTrDHwAZwwbF/JRMU nC+Hv1LkKZPEcRIurV3nxS83WuOBkvQUhHWM27jSNfzGoRkgJGR9ATVJT0FRCQE+Ir2wp6saFEWy FGn8vvEmPUz95zP35RRib0AiLN2QbgfWPQWXRBgNRRdgFIduR6ybL+axuR0tF1vnF0mk0zHzSjDF TqrN8R3Xg4PSULdcqkvSRldOftiOReLNLkg7GjUE+ZTv3mvhTgKleotb5epgAv1w4fiWm4vwTiwA kqDzA0leCha3fVsNZGjWmyRA10xng73QADPzLUS0wN/6UP/ONXIGKgmVOzmhFkaIY/KbkOk38l8r pMPY2t9JO5vegVlWwpEYLHQDS09T6jNgpmPDRNLuyqRnOFOnZNaCP4GMN9N30Ipo4Z6+mqMEWEgk ji7TNXrRyZcnwkYUW/IHFGcZ0LRIGI9QnHbZOS9Hgn6Km5EsksMkKfdlPnTC3WIOIuDA6vm4guza upVrClGWFBT5TgrCZqggdHBd1T3xy0qa6cg38NHK6ddNBDKxvGubl0cBI+fMFwXkjHnNG4zUIrXe bM8cd4VcLIA0aGiWN1Kf6UhiKkXR53+FfyFrN36kGUHbfHcL0sXT/DVccuNZZg5oBInjzdROKsrK eldt7S8JFKUis1MRZG2oz1bL1Za9Im0YGP9Ysw3vp3k5/iJJ0TDy0NcrkbwuLf2TfG50NUKXb129 RFApJXDELmhrtO3TCO9gUcQ46pnEWn6hIEfL8g2rumy/CrdmDZvqHz7MrkvUYFVUJ7Ekxn9mxFLc esPouePBQ5/2FlhLoG8o7R/URMIsAb8c9v2xYwzjhej9rrxQLhcKqOoKiOuhzpfG04WCW4JqS5/t CG9aWSH0juyloYIrCJN63hIoivrpuDgMTBlqJHzmx1il0yqVJbDOSiwkC58MVAVdNT4VaAeHFHtW 4WRydsm6WLBaViJROzksFMhw7Cr/fSPVUmrCpZZETvf3XSkyyMi7g9InwCLFKPEd4DU218FLA1Hy sOgedNUatDGceEPkd2CzLxAc86P5qTUxlJfLiitdxAtASYyppgbd+ODD93TfeHtVLf1elOr5qO3I gbVYSZylGQqqD9QDDXEVzD8mHxBkfYJEmLOqkNvUMt5CvXt6CaSG8zhvM7YBjOESp2V2G7zpCzUV bCc3Nw0b/0QyHvwPX5Og2FqLiMUddVkkR3ETDs9cW3BihkcDAwEOzY1hZRvNZeOWq86BK9Xodnrv zAAYDiqXvXD9SlHxAb+rGQ9wIA+A2cy0e8HWk71SjX5PjM/8hJYacDhPrs7tZbiWXRTN6+lSYQvN /97yXFf6/pqKP0fCNZdquL4SMgO1Q8paK0pRb/b/e5qX5WZcEq+5e5SFLX78oEcObd+FjuKBhQ+d fRFrQ44/2hzpQ+aiLbEXhu8k3uZO3EL95bN2MLj7u2HyybdF+V0uZ3MnyJ4YybweovqCpW04R5bH MGVs+7uyaHTbRnRhK97uxESR9Uyms7KDHkKBhKLDM7ibu9b6uFAuvGleCt8gFQAT8lBEk/6fkFYa XN3vlz4DHRCF5agCLGvPVNskhBrYDK1bgaZgNtkEAqxMRwKvHvsescRiKkjDMRRoaxhQhRfZQoRu R2jONlN50r8mu+1Mo6m+5yVOQnwD+ETWkHFRQiyJqj0cSqMHB9RRdhoN+KbFH7w+uiH7xRFGSsJj voy0BZy4UlN+fuVjf0568erCsgua2rK8OwZVFFgZVbqqff0UynbVudfdl7RA+Y0AJPJGUH7GkiiZ nsZF5rywEVAJr7aP/qpVkMnzdVNMzn0rIiokV9puU0Qn1OLamiCdVuQ189I+Bt9DyV/BgWTikoX8 3ztqk0Rm8d3Ia8g90E3jsdiz6qfWiiSRLjQLN2/IeNh9g//p683GfoI93Mu0I0GMJOVupRYgde3A 1lk3PZfX4q4JHvpXSEXfRuc97HHvZNwoL26g0384uZUJBP+s1PWCApOYqzx+8A3XLAZ4Lac0Ve2e hICQGigqJnkLBbQywuc3dw/J9DIhgM+U6KKTTUxVRTmiNdjJ9MXsfRYO4jxHNgaM4m99D3hFl8Do 3ggQkryaOOOYuea9abt5DziS8OJUQdCvWmUk72B87qKcKaSGkAntLS/FOkoRsJIkvj2vwHW0HSaN qCfOYKLugNOJroUkmEPPOAGraNyeiR88SKhs7KVNlqZTabZe2OHWZpHd/VkA9VD1TFj8U5ed2dX+ 406mF2PHApW/sGi2ds5qMutBvxKBk+E9Xk4q0TbpLYoZ/TZYdoDPD6UwVIpE1xGWtUnLPxJBy1+T 8NTTqeZuoIc8kjjFmRoOWcDl5l+YpWR4TTg5kXfYmp5dNLg7nv+2uDmvyYhR142BHgVryMqX3ar8 VZecfaBgYOprfBI5L6Nv0OkkrWQYsgJagu5wOY6qpUyVrXdphJR7inLM63x7Co+bAEckz6kxnY5N XyiIJkmDaRKatYu5yPIe383kVWmt2V2SQ3R34tQlKJk8SYiOLtLRZ0gd+ZDqOreu1gTU5f3CBH9A jVJuyqeixzsh0qUT9kWqt587XyofYKBz5n/Y65GtsKL8RiuouHmxrAYmiGzxf2ulN3VckDwYxlTU cmVYATye7WqBGgu81N3iJ7/S8VLMVIeHFngat6keWCLmQ4zEgsuF6CsUG65TILcLkkPDKH7trEUj Or322UNBm4Ztfn0z3Ld+S9G4bt7FuvSYuCoLuweoaU9qwOp2tpkDqOC/38JCVtDombJT9DR4MY9i T1o5X8i1U1zh6R29Y+U/2gykGyCf7rT4spHaV+ykejC7UTzPP2EXoW1lJsk1/wD4whAghB7xjYfn xDihjonkVKOr6QiQhwHXFD6ZDGj7ZFAm5nvqCEQUO7PZ5taoAcMCJfiZJkXQVMqIJHjlcC7lbHbh rvfGsd0WMjZFm+PKhSFEQOgqsJubsTDHWDdF0tC5yXTTchS9q0hboGuZjyVpNpkM6w1WakUPKK/M GqBaF2U4jnd3t2glOS9PtGrLRqwq4fD/x2pxPxGFDuwbsjNXmIiJarlobFjLCiTNdlNkRJzXPNzo ClrFmGggIvPJJoQtDZ950KM5YLJIR4+y7Eg7RCFEvQNig24/9szBdFWVhulC82LPQJoXdIVZg59R wXTvn0yQ6sedmCMs4CcQ8Z3lcANS+mLo9XdRYoVmNrHc5GYtHUK6bt0vV4hN+bPDbvRTYV+yQCbS PbwF0oG8oYje9qB7nWezSnNX+0bdNvtC5IBG3RDG9AdRqAIO4kKXMeSvQlR1ZFypnJzkOoWDbqVi wTaZM6747cYG+wRA3CYp4u63tKbdO9fPkWuS6J+Me974gN5QUTxUsDKs4mBCoW0J6NjTh7JO57H8 6Guoj99uyo+k9BZg15c3AgurQLzIQVS83N1R3NnXkMKeVcxdP9epf6zTW9nE3xRbq0CJZRQwIwNE ry5UGoYjQxWrAu7GDKPq+PXz7eCVgyxyxN7Recfh3DSDbmb7dSq5iKwBY5coPKnNIi73qiePT3Y1 5G2sTVobPdcU8xOGPhxR8ROzs5HHurcJkGrqaA6ftK1RZwuE95dSebK6GggIwuyN2RWewZifIS88 PUdTW4IKCZreYoManDhK28jXrfhxgdbCZ+HMc9bcKNynIYJTF2z15sFQh47kDFAxpLRUGGoZ4oVq +2496oY4yOxhm0uL3E7/2OfoJ4pIityl9PSBjH/yna8efxqqBxs1fyp933AuSspo8IdmF2TnQnjS kzaVLpBDBiLKiNP2GWgaKM8B8A2y26zvXTc9VN7sA6bGeGpi3U84enyIBiOHuawnUuqj//S3m68e U07Pm6wvikeO7TMoHUrBzuUSOKH9cY1G+zuaFq5SEXsMKqV3O/KIRrIZlDG0uDyT4UQYyOIzHbUB 9u+ZPiVxxvB0nPZimP8mpmEZHINH+NTjezpdOjZraVsos2Lt/+eAabvfo57GewJf8nJ2yl2NcxDp Tr6wOoreKpcS6QOzPad9b5il2ahpBHOaEY3t6S63gvDqnXlwbSbXWXLZ2mIFXxaMeyNMYCn+K9RN /7aYc7WdlnLRUYV7zZAIq7sIOVVThlou/VoUx3lbH+PIT59SsFWLW6Z6lZL0i8uvGGDpibK9gra3 82bgPSA5VFnk/5Gg7gzHOvCiYswa9rGymgP8Fga8MBuM+CiTcwIhSLxLPGilXqiK5uo305eLXz1t i8rSyF4lVX1sPTl+tds/aHJiaoyu5bXbTsxsFRCwV93m+iv7BSnXBh8UTiI1tvFSij3K7af2sjYX 4jYKHHlTk5aPqRYXHs2nAVTEP/oqvydbn3pyLN8NTfM0rmp3g44nTmdTI+uEjNCnbM5qkRNSNUqh laNBChHkfyjNoq2uhAAp1iWrbkHsRigDWynW9tUsiYdpzNx/sKAIim+pPl1NjLHMAeDETQRL4B72 TrNDAZaFzDQXoCSGEpTAhFis3/ML8TN0ciZAQXrC/bpu1k+9fyxeroRzNRmQOMzy8zxZSwTJaRLg DYXwIA8jozdImI48gl9n5S9JLugjvAXhEmPZkNLVFW+te58S0M6dzOqdcsqkPAnC+rHiqJTq2z3J mCUiVdHwOWIRe/Ncv6QW8EAkkvcyJ416b9EuKRLN6nnbKP3sSOTSvYVRovDAnH4+IPAXj5/uYH1t ZqQHAQkaCXdN3oAWxRH6hmJCBa7VDZn6mEz3ArzXY5BKavGQrs9s5d/9yGoNUzXkLadRgo2vh6y7 gaJ78TeSU5V1CkUvTTNO9z4VSpDCQV/USL70OTDkVR9EYTWY428KfuUGqGx5L1bVeScIixfwOTyi WrkPsj6HpHN9bXGtKPWrkdkcjaI6txStalUvrJ7Fh0sxmbyaY8bfqphfbttblLmqfsZ2ABHtGALb VWIN9RbVkzH7utRdocPvnE5JkAdhL2Giicgg5yWxg51Wz9J3sYZUyUd+gQYMRyM1EZS3cx6bbEhq 3rjI3Ku3fF4F0XQy8XLZyfc0RvN3dsp6FZnfRxOgnv2bm1mwwYlWxqSufQeVzNSmB2pX38z2vzKl VEUhqEZdBMKYCgcDGDOwwOEceANsNyypxEEJaFlThFQR3b9GFNqYd9Qk1fCebYuG+zhQv3vG4skk A4CsxCSnQ8IyLi+gEgHABocgP9zItptuZcVKlgfYtHcNC2D9j0ktnKpiLiScZGEHU/wyf2q6PtXm FOnxoZgB9m7c/qeP8X0heHAg0zNFSl/nrBWC1K8hm1FdCEtBjT/BTY2hhOcR0yUqrxr7DFxvJfNY DiZjiJ+tJAmSupaKOLfXMxhrf1ULhPMzEycZaA74hYSK55DjcF6wZeO7PpzF+a3IbkZPAVTrHVcQ 3JLWbgeW4TctGs185jtdT9mfM8hEDJfbuWyUWH0fwci5J9FxXVSe/4Bi022ia+x0A5xxguImiR+5 LcGE6f8rB+i0QIWP5JrbDVzyEVEWgHLjq4ij2WmGWCMbRpm6zSk1Mddx+xU3XBR9c03iBRMkTFMp 90fGJ55EeBu38wxyN8rvuRRgxZGOWZS8q+JddacoLTcE+W50gRGOTC6GuJAjVxL1HJ/a8MC5qY1D 7EURRkqj2BU3f4puCrVcNk39b9Y/dLekhU7gmp8LMLAUMsejiQVR30G8+se0kF7YRiafpAGCMBVG wtn8iETqoyZ5/2Mlv6ZwO07BxG8ymCdaahN9b/Z6+QfNOYTtk5C3qdqLAsxCr7LYOxPEaNTsUS47 NBEHGaRyT/KF9yHuq7a7egKrC5KmCYgFhP4CwHwV5idda+Jgsn+Rngvh6DWmqvqr26FhQs6zL8Y3 WymBsR41EfnaINbSnH6KP47IWROGFs6Z9ibTCsnr0G6HW0ENCEjP1VzEU9FCR4GAqUgJ8M5ldOxL UuL1oJuPS5ijHR+NpQXFNTykilHJ/OLkzQrIs7+vlv+gceDHj423xamKo/ZWpOTPEa0TUzj4Jy+a R5P6NlwiIq0ZRdz9Nb70QABGFlw6Hmt0OJNYVuZhUuEb+5fVQb5yLlokRyJJpXfuDZOQ2A62sUUo 4hhGqBkxocw31mBtz6iZsQ9LJSUeHQkcE7UUs0rnKRao6Q40mBD12y4FD62N2DgEt4rvE/429fyh 5W2tQQzS0GB0OVBNOwxvc+cLCsMuJlE2Fw4hI7TJsC74VqoSCsfQmE+OZO8+Y0wEPPeYtvHjtu97 MO75CHOkYcihkPQ5amb2GC4ipdqsX3CWTyRUcIwibxF8nzWmUarQaHoFGLvEpT3ULPtq5U3JY9WE R6Mqbs952RKkZLbbd4ybAH2JRYzU/GCCloX99SCSc5Rbou+XuyytSkTH3WVlZ1AiWDL/osrc2hV3 6VU6gb8H3MNwpkd/yX4rEv/3qtdKZCKnfzI0QKdVEmef1AyMP0we4+QMSJOC67zfIOdh2bvq8Z+S TGfr+vYtoqbewy9gnD7+ECnsOjZiXCB61ZnFAdMoXzmhr31EWZb9ediiTC5Ff739vA/cB6nnRPqL XPnVEbdhP73pxfPLG/BC6c2u8p6MY0EAW0QbM+eIYxjxtpbECrPCCBOoXBsbP/dNLBK9ryItabMb NRT5tlLHcRa1iT/tnpEwtLyf1u72yVmHvjWAg7m8+H90fW4ZQM5SMKWZD/D+dVLur6kkUiuIDW0W G7UUGYKO15ZmmVjLblVG2WrB0XW3tHu5iqPbUGRqutGJfbbHgyKKU74XsBPHvITOCWEN7aCH2VsC Hhmeoo/GKTfwp4OGP4fZatyD0t0eBI8Imz81m1mYul/sNx8Kn6wpIhx9LEIydPOMS71xPXwolpVb neHJzcqsqqR5U1XYltdnusnlM2CiSQMK1f8g26Zb3uaHzMEy2YOwL6Gko29zDFvGyUjyNyrAY5xZ POAId9lO74PaA1+wQIFCtODa3D2YqZuKbyDGen+OBjriL74X1A7NqQhIJms9FW4SbgJnxpWOqNio R5KkbR/kPZzYqiIi4JM6axgU8QqfyejvNbr5tZh/no0ZHXaLzJlL6YUMy9HUjfBylYbJILGPycGM GSG0fJvzNQZYGCsyex5HE8iPUROvaD4Jp3nlcymTYN99tKgsm9jfZV2DOXiCCOCT2Ldc9wFqKwTh nPvtYYwMvwyTApB0y/KVyje7mf5RxPHM73AePsW7GyV+3Gd7MQJFa2uDjWLL5RoRWYCgBNyr7+/x 7hSrVggrkO6vZ5/nLi3pY4JUsGviuHZPaJzfwBD8K0tFKFLPB9JGn+mLwKoP216KuRH5mcrPmkNA GPc/A6l49MMJ4+nwTNY+K5r9A2t49BlNXWsghl395Rgd6WOk5Q8h5/wzIN2OruY3xUyLkIEog3dh uMhoIGBCCl2N/meK/EvCvsKEeTqQ4Yfzbdt+vYpR06bMTi2E2+D5tRT6rTb85EKdMP2detihcymR l1lCUBCJ4CInHQ7q7dElsU7u0uzZJXkxsoehCOwDFMpKkU+2B7Pg6bsU+ihqr+FAlMc793r7fqtm hTQVr9YxATyqCfysAEvQlxXiyKUDgld6kzY7XOfYfqVUEVz640E4kKQdiEUtv+F595BPpq/oxCNt ojeAPCvia0CmAhI5Xn9TwSxM8um6DbXXoorTUhScaT0nGPq9s+6FZN4mcRvJ8Owro3X49o2VtWb0 t3ipNCTldM/+eCm96IJQQsPmkyJexDixKfMWeBGJyGCUGUaZVB6v3yNi6UXSEoQKfUUeFAh4St/j Br5lpBptjthb5iDGe1/K2rrcdSdKElyFpKJBOkwb2lbJ2Jp9t95pgwPZc4gsTdp4zdhlFD3E5bk2 fCV45n5tl074n0J880o1VtC5IQ4VBq07j6vUlyU0mTJxXoNVByWSyk7LAkwMI7Ac4fZGcV6qRY1C 1y/Z8nIIfT/NwCQIbJxkg0nkgx+oyXplhQtwDAqLtCxBcppp4XEJLh27C1SCHpFGH4V1SNrFbMu2 AJyVjaD4EIWbgrae6P81CDdoeteBg9npc7GVtAHVlrKIgN8WI5UmjbEl27tBo+m/FdmZGqZxVR8L ZbA9Wa9sEYljd2/BTKpoTohfLWqvKaOnmRkZCuuq+jvrA9SvP3PKik1xhZYr1KgTz1P/kTROqZW9 ykWcVfr/xlJy49SPZG1XKYqomKY7CV2969E4BDXYEMeo5BTN69nvIzXHSv4RjsqnKgcKybIb/fXg 4RAy8Xf0qPWwZ9wfyPtAcxVJSTpk3GueBZRohYpyNXeE78Vz1uONaMIO5fnaeKXKjOhbMxs/a13V 5WndvrfyS4SK/gbCFcISvsrsyePz653zQA7HVl05dv6Paek5v3OFmEPfDdYLWaNGSJPz0lSBnH6A nWtHZ08Eij+VNRxOwiUCX3mzxbMhDDgvNWSI6W/nBGLKplDitdjjGqllfDjOf9z0n4BRHbvR80n5 GNd3vtCct3FG5EZT+F6aohk7cTz2so33VFwHJUZIPITNlYfuTvn26RPQDkggqsZrEXaZQ9Ri/xOG B6GP+e7XcPGLxLJKGYoL/X1eVdQxc5ztgSBd9SdjWq0Xp+MoeOtrPqEpwAiNfgnlJMGebskCG+CU TMq5dRvlNIthMnPseAhGt59WMgdy+Vkd0dEQNA/kZxaX3xQOe8600z871+0QxPnPclVbqguDh27n rCBjpJQTHNO4IoshYKKzuS6aO6OAt8+GEe4H1YG6bahnQUJAAGc5eCBEHG//NOcnv71/W7dW0w5o r5umX15WEKafoKPecpeu+NoRPL0Vo8uUSNq3CGJRrLL9PltMlxcsGipMdI4uM1/k8phh7M0Nz5GF 1GcHe19PRJI6k0TBtFCMEuy2k8bR1IKWUOIdsNdtPKyVDoYx/JLfWrZnyUw0pGRf18iMyu0QTKVX nbWYdlxfGnK26G2X3g3jY0NCL1RXKLQZdZZi6hf5mz8Pjfhpybrd48cBnSDv7R4AkP/wqTqj+4+l X9jM9A/TJj1Hllfl1kC+ebwEjuqBsbqxGNovCblUpnHgSBB3PrBWjRxQyBjK0LXpCkoZNTE2WvXf 74brT+u2wcUG0f88ErRGYIAAVSIB4tL+XmCITUuMzV9qMte0qCua7JADmhA2Z8LMdfPQDl6yPvsx lS0nfHU/rsCds1/yPwZvz31T5E9z3H5p6Jqo2ZWr7mUqqHwQrSHXtwRJedVDy1TgXUm4fkxWjLeg M8/Ky+kWoT3HvWOsQGBVGYhxH+HtRzflEayIvfk5TCfBvzSoK/hg3PetFabUUWxvhgHp3Tgw0dyE dqf9MaXwejy+/VrbVQhPxfcBP6ZLQ7E4QskGGmoH+c6e4ooWjxL4HCRM9w8Wqp4RNnVX+IJKdkJb zBHbNCpa2CjGUnoiagQnzG51IXUsVPlxXnXVQYRFyhTwAwXpAIfR/cG+GHRSHDzohZunLc25kQVE c9AszhFx015ZI3zIBejRiinQlFP9Vlw8+eOJA3sRLm8fBA7vHv1iq6sJzgfqVj/GY4+xGfg8168U f72Yq36ssN2Hx17rt9yelArEeotihT1x3VIAG6OSMEaUsQ8VcjD0qFTCtCBYD8WGDAXYWgxQZ07J k0hZAkr4LlVFW/sbp6BKdn9dZHPZXbv6tAb3wNld2SlE1ZJ6CHEgxsBt8a1nP0NdCnqx28Yf5aPm 3l4RkYHX6mG4ANbfqjBcFMLe1ZGxyPCZtxUd19bS5iffogaAvsdeSvYpMvcEjqRsM4XxXvrGMj2M kt9PgVsXH2Bx93EamrVcjXJBXNcLmPeMU3+82mvSGsg20mIeZkJGps/n5XnwFHdFl4uRrsOkJfnP esehPLEX7jzYA2KvANDRDF7c/Va/pl7wKiJkVJyMEK/O/6/TWIzLRpNkPb/ce848YheUxm/bzRAL 8o+eT+3ssPXvnCtQhwM4Yijrf+B9k53ZrpEPQ1rX5GVCkMNRoB0HfYx4Z8Mh9P/xAE9TPQhR5S6+ NDxRE8cmNM4UibioX/jDW+fLQnAr1xkBJr1T+LSod3IafxhrWX1s6fBvL6WkTn15CLlI5kCKhaaf QckNw9m7ZoA2bStVgbO1b7WCKTg4PByJ/PHdIFLMxWHnt4M8IYP0N/XaspJmCcE+rAlyRa20BjbA L2TvfCBL2+6HLBUl8HxfyYqhfOb6ERY/mxY5oFBe3QWVL8hjoBmWw/esSHV2hnTVPEgyR0Inhhvs 18NffxMmOC/GFRevAlOTFOiIoRuK5Na4AbEEqFpXnOD6HnIIbkp8vnCtJz6kJTwLxNV1AB0ZF35b k2hEsad8U0uLMzts4W6HTUiYF2M8487klMa5VcONNLKgaSsaoY5fIp/5LEwlKeb6CxeIdJLnR6wo IImwWLysSv7K9lomlrNEA23TQ3v0R2GDRBSzqAbH16COgIOCr2wUWrPcHfY7GzhJFJzY5/FuNrZN etzbVVDAHOplpKib9eXwI3GJO3bT8QBS6/Ii/Ypsg92ul69FbUer7qC0wceEwcglKsbfwk/rj04J mx/l/n4tTDC1yprEp4u+3V97yGzoLZ91E/UoGDm6ZWH+s3a6AIyyksV2oszpv+obOaTM0TqpMsQq f0aVP+bmH4q2aBVazo2bldmQxOZkPAsRDdT1I0vEpnymofRIKXuNkav4DbkEl87anOWXAUs+BNPq ZFgA3iDAV/lMEMJipPzqoKxQEwjAWbD1vhCNj8DM/ixvSPtWKjQW7jAPa9K7PcMTD0cVcL4GzFis ouczRXZR6600UiruGIX48kasI7fMAPKi/S5MW8A2rsDIwAB9ikMR/4MxQkJjNSeWtRzjxSQphWJC eij5aUruokAzalRo76Cfv36k5Fg2rs7VP4bPA22kUqSHH/t8ZqWQo9Wie2sdVgrRNxXfT6ME+3L2 vvWahefFa5vUyHcxH7nuoJ9i0uSoe0kA3qedfsYv7caYsdNvA35INQGyjM4UH44M7ullD33WCYT4 Spck6KkGWNkVCJIbjKQWVFdx3uhJCuQZgtHgLZKo0A38vEEnLEFwlAMhrghT1tETgrwjcxE2Bn16 Zk6peMRSokkWwEuznUtxKMtCYPQ4EOL5D/62Ii6KX8UPvYf6B8zr6x3sVJueQwUDGF64nvCGBPlu cphLo73QGFR0JBhkfQ37BpiwLHBujP8Nm5RbRyO3BGCSzOJvgnL70Y1tOHwZrrryTHPAK9UrYfQh nbOm7WOQWG5a0zrBkYuP6RSXS5X41tl0bY9I9utVq1R/xydn8vp4AyntsjhWG2oiWqtCs83rGIuW 7ErWYQOY85so0iDxvUElCEYxTPcFdj76mKjR2a5cw1fNPMCSKyQnpaPvgh/Dp6MfCRTKluETfEhP hsVNTbiYpJgdwi+VaaIw//OkwqRMrY+bKI+edg5WJtE2Gm4WiKFFOye3QfS8V/nVNouLKtkLUtvh lwYwcAKjr3Gg3Z1d4vsCkQni05gkDDx432bitTuzSZcpcT89ezufpg0bixPaOvaXRJ+1lcwpi5Pi JojZYJyt6IHYq9UNja5VfaV6JQdVK4Jig/xiYJO7PcwECSz0PzXGKqbpg/K+3nouMpj575jXO26d y+rSSL6AaZGKHWeVzogSXWpkC+qUHkaOvt8ygU5iJmhtuNSyUV6jhyOSEiMEj4D/lFXDcteibSZj TgJLWkUQmjvcX9ikZA3Tb8svg6vnbxGt7Qvsz1Yfx9tBdwjDqkHSvQAEgKiC9GPq4SxmKw7LM0Rg TBQYodMM58r6M0lJYeKgGwcTk9olff1qnd68Ffm3YhCw7at7jWMHq1z+wlE8/86f02giQ/PcTjxJ no2612IgkpvbSeB0vzZCExiJjXKGA24j+nQxiGgyCBLIBHT0nGtdLeFCDwl+1m+1XvdwHxqdXjfw 3hy7leSVOevVqbn8YFdOTCdJj9r9XnmpRIqOyDjAM9lfoW9K04zs2TJnfQBZ/yg+IAKB/WB1gRmo HcerJvNkIlgriiFKKOzobjvC9MOzCF4n6atvA2W4D5SPPnyx6e5mUBr5qLctvDHE498/pt7eNFNm 2Ot3K1Yurlgl+kW7/On04jsMYuok/nP4kkV3vJbhGCy1D05CJ00PVEHAg0WqHCaiD0OfzOtNyKWQ r3P0TNdmc0u3bGaR4GweL1zRL54niymt10CGAWKMXuTGXHV2kWHQYXjRglRs8BjT8lO2NzxEJ2GV 3E0COVp07LDReJy9zYc3gc4by0oMKDnQ5x0OabuRRQI2BB9nh/Aj7++LSHsQ+m0V8j9sylKSXsh/ NEfDqRLW3nHwT6BQyV9yHPlIlbBq02OWkRlbtJShP+DxVYfWMcu+APd+Ojh8lA7Lc/AFo4dlz8J2 oCscXzkfwDp7U1z5U2jDrMVlvt6V+rKaNv6Mvjc8zVV2d4E8admWSNC5RPZdRH0VrPnQEA0WZ5Qa YbUsLIofLqOuTp+5bIlVcdcRBFjkB3pU9rNEj+7wpjKwSxjbMY6dXSzGNlFlrevu+WiEmIwI6KaD PcuKxdV0BXNJCYea91LPJm8vl+a7tl6pKFIHUaCn8Hf7gDmeJlovzeZB/Gb2icm8j+MU292QbEZa ABL/LZBmyJzEi4xbCTvvvACy13HbCekHeeHg5TsKEe1a6F1108VqnV34G3z5/0LuCyEPJqMoArkB Tk0fJteOy3R5N71Y/uU/O6KOJ7awpa7teTDWD/I5kosPACk0d9spk8w1cHAAlZCHHz3HF/WXF0OF lSzG0YIFyG/EkoyVIMB+IXDpxkFfuTeqsGrSuA7Lj5dygNoNuwaEe9epg5ARFXURONFrMr8yUSHo MgjFtWlYqnYL0gZK3G2iLvSW/2WDRvlTlCT2fh9zmw7mAlHw5sO2tJdM7baqHm3kyb3YTFwymSBO D/QckjSSPDu+92BimJOoD9lMsnDICP13/xLuwFdvk6ksOwFlw3XyjXv8pz2ul7ZV7yFlkkQCUAyv +jnHU32QZyc02ViXTAztFREusCt2pfaRYbV/cUehl7rbPd/DuQ7nnRxMk3mzigWdQ3piioSFYOan CVHlTm7ynH17/CGvIYaDBncN0pJ4bIxuZC3naY5kYr+tAPXG8xNb1vCDLrlW4dKrTcZwi6bNNYUV T4+NfJLDrXLpI2O/ZFceQfAUzaQxCYxNR752u5GwLELWB5xdx8xi/di2JY7fjpcXno7XUQG0xb1e VfU6ELFdEdsBzctBw//+wOnB5BndfHPZmKtiKP7iUl5bWTA2lrhTeiOYqp3RXCKEr7ADI3yDjMNj 2Uu14j8OQ5K1Pik0ppVd/jDW+TkpqKGiECgMStgdABiMfPB3CPhzGdy/OBqFsDhRu6D9i+HiN73Z uIwR/RzG3kwDSWM64hRE3y4wEH2dkNsOoLNwI14zKMlx5IbL1DfYL4mFpbBu3urWXchAL2y7/RxL hVO39A8AOADhrN+DcXM8TGnSdo/JSkvEEO83ZuJ7nNWJakjj+nDHi16bt7754y4q53tRxfNK7PDL TJzLsHjO9+UvlsDy1BnnqtmYB8cvWWHZXdQDK4zP+YGvZFOAz5T65zesDzNpJlZzRDc0SVBxXsAD nMPZpkbQRiyUIY/vExVQmYf+3UemrEp9sEMjRRRDJjyML46HQBMYgJpmU8i4rkx2pNhO/vufxQL7 0zyS2PKn9UiLYyMN/tyKbwjQqgx103RuXo+26MtIboGcFQjzMOITMyQ/6e0VElyc72dehtTAqNsh aRf2ICj4RM1aECyB+sbqBvfVRhzI9FXuT7Ihqy3Wqxy8CYWbSPtCox+q+MOS3OCB73W8bUUM0Wtw CCMM0SmfHDcUWB0YpOwYBMpOT6nn25hiVNbWsOjrlddNoRNRDYdQEt5KIKvJg35RPKBu+ZHG6aGG xmOwlg47QhskdQY3hyPW8B5zMx2hhL+zRkZGmET+LQCE0hlsbO2Y3WKm32ThrqOQw6jTbMSOATBK GzYXYTy7rjc1lvgcbwKbFm7weTknAHo4DGBkG93euMDbiXIEXfo204895kTykJlXJTJP0qAiKtdE 5RpQvbbdvbYrzAr+aUD4AADq1OYImGlpk1lN+ZhBvf817qdHll95hLk33eeCnrM6ggmXOeZxW5hZ QUW8/7YaLR0Rz9pugG0SS8MmI5pHk+KLBrZx9z7LujLc9qwwbQx1d4PBraVsmkPLXFOAAZFxdpHk ANSE9mKA+NDNUlYbMnrQpqYfKOgG3w78Kz/7ZKL52YIsNWK0P379aBBs0eNkxLM94IFbe6vFdJJR BbReQdBLBAJiT6STgkrQ2PHXRLyvvH4S6QV3Ykb9IOftaRiBsZCTScYvLv7SIpeCc4LlMQT8Ij1V tPh8KxR7iZpW6F2kLI95ukEEpsSGvbZjTikig0xm1fHYILdMI0D+V9+0+JkH7yHlBjXbLc9PG9R8 wrRDoPOhlmIyV09jbeeyS2y9asuNmqzW6EzUPnRztzMqBEaTtRD1GVfrORNkTEbYWaQPpb4ab8Dm ublzDy4F2I5SQAVd6TgPWRe66hNVXAzwoQGOGLx4XBPCF9RS1m1q7lWNcXDfnxeD9X5DFglIpdTM 27KmecfW+eHaSx/sx+j4o2Map/hpJyGsiwqL2FL1psqygLVBpfN5g5ZbmHjAtOmvx+Xz/TP4Au0k oo9KhHkiUKGq5dsyWuc6mSQU1kMP/f0Pp8GrBpXHGYdsn9LwEovXLC59bgDTLcrEcij/weFyFEpJ vVExP6h6qmTK22PrNs+jpT4ewzsKeM6jZMXRglJ1apqPNQjVtZ0M7yrp6RePl+aav9eWTRqNjmnX Xnx44pkP1utqeQwnQfsw4pN0Ci+QXokWLwBOw+fx7/4zgAshiQkBHFvd408+0TwQaVXjrc4bn4Mb SAbSYS0icPMYlSRQjMF2KERilc4xCcUaxnKvA2K663UkSD4RMEoSP7V98Q3ORr0zNrqoW9vLKJsg aIA4aXRTgF+KtwxviSt1S84sKBS+UyNwvNBEXM6LrtXKmwpjrWcGX8lmC7fSrnHqTLe5gK2I4Vt7 rdKcROIP/7eDRsks0gQ5DDxn5OeBHofbKUnme7JZREYjhfo0EMcFrkjQySv477FbvqO+Bgp85fsB x3FlWYhSBDTcFtFxo0vfC4veAj85SmGg1S2HiyAXpcPjdeWtRcDwo480b6HHsS51ljVs2MbOoIjR FnSvVqF7XIvWMvV9hUH0JDfQvObxT7m/ryYxLvLGbIuU/1LuFYM6pUoOJQx6Q+woAUIjYn6HOojs SWmgD3L+5zUaQA05hUkejTUqJUA8yDni7lbaa2jMdprC0Le7+5Lk9gcmTw0NY2Ru950Y67z+V0rX N524PohJ9qEMM9z6ibQ3DLPxUia0Ftiee0bxJ5ek2s6fvkJgezbqFQenfhcW+7fztgN7yri14csa Qc2gy0jZSHJPEU/eL6D4sTtQ1H9ItqGT7UpTK/aMFHTfI3MM1Vle7WtZ/TN+5Hzy3NnRw2nlGSOx NY3D4cwCTAP6kbsNwS6mjMDGDeDh8E19yPN3TYN/XdIgUZ4LS2xY8vtBFbqlptw7TxJbNVAA+Wpo q6+WOE2PLGlkZr2wuhJX0dX/aFZT736iWawrPA6J6J0Lh+V3piG1OAaLO3rAlsjPdhwAh9iMvsNl i0SzGs+NQ8KGpxiA9x0r8Ejk5bccLFIXu7PfmGxacMwCPERDnx9vX9YwQj3yUNSLwFg2SmNO3AnS I7cRNjbGcJ50j2pppUr+kpfdy62GjjO6EbrG3rH+6gJZNFntGZXPYYu6662PCcauQEvZAoZaD0nl ASb5UvaIfqcMCXHOnqb96xQjbgL33Tep7Y7z1kUcA6+jPruuwOXgo34X9cIiDXLLxfge7tyjaG3j 6H+2ozqwmPzEs5bqJ7G4qGrbngGbA9FPt18Tvcs8UYmdaRGIW6HBvkhqqsGTQlQo4mEo8PzAqKse mHO7e1PW8kxFw1JHmcebgsbL/qDT3xsa41SW9k6odnDc7NSTfM/ctX9ItnXbQnah/PO6JI8sb+fl p738M1aILDmSL9n7CGeZDETqP8Mfz7U+04Z5k5OoamLLiCUKYAgDA9cmc0aT1jjpGRIrUiylW1K2 /0UkZ5Sv2SI9BDtGJtKn73EykvbYZ7cGK1bZPUNlECH0dNd7vnN/azqTeAadjrnvvszyoXIqU2vv pVjOBH7y3sHgr9w8WhsfjbzMUtXDURhN2jUKrJ/yxCn/VfxJNeY8uu+SsuNQnVbjMhUmmC/Rbq5v OcZR9/5d00nHd4C6l8ZpT4dnIDt1P6YRj0MSz93QdKa2HEOkobmaHtwtnisGdGKSBBf+KtLFT9v/ bnbWxEbAyJqBURLJnA82P7hId6OEzZ6fI5RMjvoNqJDu4zad7JeRGNSL5ihIz0JjAB8ZxgKq3Epn Gk+q4WBVj1HH8pEg7eLC3iiAP2I6CtCMtBej2pWOyWAan9GEWURSHSD9PFrMbl2sN9h4FEjD1nIR 4T9ExpOAgOIsbAjyT+yO+d7eN2zFX/4tNoibWSAYXTMl9QybASpbuLBCtBb7/4c6Hhxd1COIBcQN J6FGN1h+DtcIfz7IaAJRCHLA9s/q0UJitSr+2f6ao+pwmpdzZcHulIGc38vGUyrBkLKyC7UBw3Nc Zr1q/mmXN9IU79asS+ESjvTjHKs32p8j92hbJr7BBAII13N+nJJ/JD7+tHIBzUlIEanqcwbJvGxE 6sItbytM/o5KnpnsmcHNEgQZEinl6GOmrBrswBB+zkreWd7Be9Q8M69tM0F4IqdGdTIii50J1FQZ LP9kzOiM9bBNt+SmDabXezUV9bKzxhZC59gEVtXcI5hXCF8m46+hMwfO3i32oNEfy+Ql8VNVQz4P /GLF6wbMqQBgtXsCaRTMJQGQ3wkBNnMceQiGe1/YklvtFGDB+Xwk+H4oqkH5KkMjma+FQYkCKL5E 9R80AAXWz3KQYj64AwoQetmqj7SgTiDlJKOS1x/FKQY8q5EWT1IM0RiKPNOWMA8Sxo95QNEPXNTw AAscYHB0+Z5L9wNMT3gb5BRCw1XxrgiRUY+gAtmvxpChS7GQZYFcswvCO8W8R5e5xXbumPlso6Ey mI3y/n/yeo6t0Wn9isfISi32ft/bh4S8gyRqaPL01EG2qvIcvmPnnNvQF1FUEVhne86Qkh3zGgBL BgDBWWfTon32Qq4CyEDgQ6HO4n6mX8u4IkMgXt2KP7Eh033f15ApKrY4emw/meUJjx+6wBYRiRme pqvJ66SrJ+kyFlbbw4Kr6fAuaWOxkH16zjR+WB27hPdpQHhA37ZAtIIM6gprmWqKHQrwK+Jog+fH D+WqEtNrvYwfU+5xO/p1eZvS2SvvCDpvfM9PF+xUrTHK60KaWo+FP/Ok/2wBxIR49zmrWxCeEgA+ 738vSbn8ZScTtKmYa4txHl6P0WC54/sy03X9AEt95IkK6qrVDSOtr8FPhAgqT5v5PneYIyilhXvJ ryTYC/DJcOK4+S8njaZ1uJUGt7HYFshU3I5pee8BhIds+H1iGSTzbfUZgUCf/SC9uDTQx5U20wVA HJ+eQYodQ7VMesQjpcmxCypz73x5oMTxCvsoIv6KtYCsmsZ2JuCadVP4GK9/OPfTuh2zDUMt4srh P5CD02vNn8F8J4xMVKhnAACGCbSdiH+E3JZJEpszY9Hp985uyZI5tN/Tl0C5AQp91zz+jp3opPLo FTjbuadzDJjWXlZG8t8h4eKztIxotzrJRDDtw8emMPgR+ByxbzCQ8yGznZbY9TZmV+YpSbgINngv fRT5NpWW81KO5yirWIv3PqkU4/6VXug2Qv5i2wMSLPQPWzwDZS8kC1gvl/RCqRGi/J1qGflXdml/ AKysA4RBL4TFM5XcAtRmxJjpo5/PglYumXxXZMaRBaf3t3wWeBKE/p4kdxWNEEA34bDk/MsdSGyc q8HOUMCxG8shUfWVzNVJYyUfe7LehOZ7T/157NFNEmyx2fGT7gnaYpiVBGg3XikYaHy/ixjkWMUW lytJKTLWIgm4Hi0X8dOGyp2xojiF9MfcRb6kD5qfj4qCMKzN3xACNLFikUmEVTICLjM71icBH7oP tNbeCYdp+7liqQrG+7M5TwMTkEKA2yY1bVZ/5LfXoBSVR0juTrKotLX/d++FUiESndBVzQ6vBCau auS5zAO6j6T1Z8d0KadNFgblrabZegXHI4w/Z13dfyjbuMYP3VoninhK95KGsgaE3uWOl+jx3x// vP91+8MbXZGnn3pU87DmwcdFWJNNLyLgdSd0wT8vZgQsUAoBt4s3xbucenjfa/F52NUc1I7i3WxB LVw2sLjzitLjBDKJN+xtZr/83sWt/+R+xY9EIxDcQN5qwa+RqjJDpVESWrlW/PMbtdkB7Pd13nvG VdZJGXTtcKluO2sNz6c6AXTmYJ8DYREMoHDtDzEiOgILebUNUOMFHhLR7rtJ5jqarKyZ8fih+64j K3+4g62Rl4N3TlodRx9smByuOK2Or4Zh2iGkx0+AhyobYrmtOgsA2i/ZG8d4kALKL/TwYT9X5YsY wf3oeKvT+DMohVUkC/psPScGCMx5310cD7KXtwsfe0w37mik/iJeQZ3lYqITIt251LJe+8KWYl/U OQ9Uu2df3lDlFt6J893RL4vr5td+x1Imt3Hf8lKTdapjaLEDycxP2tumWRAn599XRLouvTCFijUw hK0nPSy/hKIrKLuMJlL/SLa8jW4OV+ma0+DEJqfHzYioAQXnOpkmDfOJ1cVLt8GupjqzNlexECOs V8yDDo6uJo3P9SzdZR6G0zHNUEVmx1CKS0MVoVCZpIt1OU9k+MYIsATPOqqTJ0qoWuvOt7aHCAkp ic92PLLGwes2NA8xdTDjBOCJpJMzl9EH1eKOHJ7fyi8wl1tT6qSMA9U/JEzcUpK08nuV8hS+6Hnr cKs6DV85IAWBtrARX/48kwDp//hEMd1jmTVt5eBC4W2flOqeVq1REOcQOUG7yLd6EQ11nesLtKgP sei2CW0ts72DKdUWNzvaPbT2iFVy5QpUFufRcoxGNT/ogGoEVv1BNExmo0ypD/+YyYrCT31A2Wnd unjXbJhWz8AD8UwfpbCNjps+7t/dnA4gmndZBRcY56Hy7qg8ceKX7BElkvqqoNdQfU5i0rMIZGqE FjChWD7W6PblOMHTCv3CTOROROH2p61tOHpCTmkK44wCAtmtpc4VoOQ45xCWLcf3YK1YRNsAbChF rm8nCK67ho23qfVAJ/LYC/I7ud1cVCBqstAJQGfKEW4z+QtIy/YWMfZkEUre95QZ0XulWwHsC9k2 eaN+3BxG1LrbpDbs3gb9NPvFEf5538JbdjqKwbVbML3Sd6iJ37los/zqCj8TEwmg7wbWcQfRftvi f1DWOlc+W6xDRAXO+IqYZuiD7SQ7LFxY6Nf3eispJVtTfWVKbJLVLn3zDa/qZB/C9OfxkQw0v9br 7Pq21HnEq83haAELJg01pX6gNANBHtEaY+xnhPlnY229tLfDwTV0XMNPMHhLsrTc1LUr0Rfb9VOc 1YPREuntV+Tz3odnFne8A7pXEVOO6fverletZ1D2bJMnHf6jtZGcrDRXWYQcrwWZ64+ZWtAJjUef XBOtLAKZCFJICdMKXaH1Zh0Qh1jpmK9MdRWI5WHlQ/AaVYBVDdmgBkCyTf8BpnBnWm7wpNb5GdvS WvxnMKUjS1v2s37Cg2rGvx94jXbfhfeleHxkYt+eKUQOslqb2jdZScU+DWzHtL3zJXuC7eaYF0gS h1i/hhq29tFw6+80R25K9JUD7rHl1XGFQET67u2sZ5EYwziYs/t5Ugf/BiAle0gwMlIQyyju3wjB 5detMl+kLqcO/zPWdiE4fgFERsmx7jgjvyb2VCFDvlz7FzoXasutccQ5BUPtN5JpZ/ySpJjIEGz5 ecTNeWrgJZmBNCl3JFRDA/4e9EQkBg5Bs+issN+pgKnjd7r9rjd0+OjDOnfKYcFF6AvRwLl3XUl4 CNK6dhdyknMiOjZI7XDuD15kcZD5xojiWbauU5+bCETmMrl3xPXEWbbOj3dpWzPlvdT1Oi6Wl60T HbpUiapF+NXEoZKQHLHNNsuxa5xvTZ/PgKOIVIkOG55gySviaHlOrdfw4ciH/+Wb0JzSrUtAr452 HT3WHApFHvzz75QIi4E8Cg2eOY3788jEKL7+WV8xXowqsySetd65yvHE/dyyqBeooWTVaSIPc/qW MTc4SsLRU9c3XfnIXoKZUhM1whqjhpRhTO3gExKs4N1EoK8bB9bB9r3xpKEgdUk7EBf9u3TEK89S SE0wmyhO5nivJeqAi6nXPnA+MfBlu7cN6ELbhlJrx9Mj7ZX4vL4InXeH05GpSRTBgqvKBPJk4UFa GmwxdRYNXqgF5YUcvmrZ113BkdfMebfoGdmNxLqGTgPv9Yn1mPheGsfe4MBf/Shh8f+2anleGl19 DMqlKP122AMTyxg89sM2Hgi14C8anIC/oHs52zrL2JKK5rOE7WxawMJ3TTTgkCsHlenD8WxZ51bI X2OZy9LVrYQINJMCs0Rajze+2RrjKYhXwEm4Ibm2QStxI2XY8JzQeNZcAMM5B+jaOECb49ry6geW oJzxA8kge9B6xEG88pdzXHyph29X6xginxniyKHJPRIUS6N0U4UO8LGBvs6PKDOGg4j67Dp2pasz iBZ7AHk8FhFOb1LicTlzO2ioYgh4SlwiYjCW6P1tRNNK/G65tzWK/eIo0zMlWaVQJziM+wouleTz pu1QwIns7UpmCegG0UtEi18mvBf84qMG7uGfeAxDjpz9NodDGUg2UUCTfDtchJ+OP9NKC9G8H2wf IosRu1s4rVu5GcHvpTIX8lD7cwkY2DRGm8MchQZS5zPYF95D16whDYcN4ZSOIBQtB5cxtCrorEx/ Ge7xQrGBFxHpCcsBYatHeFpqkjSrMALpXhuIneFFQ8V2M6nWQwySwm21EsD6AJS8F/B4RPs0X1Sb eGblKs/Kzujb0Hmq5crejGrydmoxg/M+PqpkmRzUF1zjlmvsomLSxwaxnJ5pxqUgGgUDwMj1SsR2 yszVl7blqkp/wWCRngJb2ovnqSsa2sKNSnuB+69nL3idIrRmahMz98lI1n6fOKpst5pomgBaCR4G CwnmIJiTVcdDmnxE8Bcvtw7x4v0mYV+n4n1/UmpFLdEKVlfaRNipRh0Aeve5eroQiKgxFhi0WMjb Tk/aKoCS5F1AKPkUWCFBkx2FuWbuj//sTS/wEkwPIiNUiiYUGBOiatoZRo9f0m3NT7OJrez8KH8R QRzQiGptsGTwv31jEbrt8oaomQSTY+/8+8nf4D7Q4T7xNLzDN3fnCVLFmH6R1LrZ60jZOaUmXa/I 1NtYQ65guHPlyuV8N/d3XrtUpD7ILWu5N4JG+pjy4GIk2RByjAPQN96NAgVY8Xi03bPO+gh+Yfns klOR8hnPRMIegyzxP3x641hS7kJMOjCknf76pr3K/2uUveOzh/2w7fxcYDYxVHzn/Q4KfQRZGIEp fN7HZJMZ/CPWJOYECLj3czOfam/5NpqQe4XeXQWYYYq9elPlZD5mYVLqXtYt58MIiR8mSES0RrQc SW4bP02ehr69mywfpqBg9NXqll0Aa2tzPSbmiU4qjSjcsPCGM7Hd/s4kBCOJJOtglEHwmmCh+c84 eZuQ430/oSoG9KohK0cMTf57R2kZF264XKU2tkSaW9hBfFTMUBhfS8BkKP6ewmDJKjMPWXse8PzX fxMFyMXAYrPi2U8aHcd4BNFHlmsJCDvGqD+YodiwWesyv+1ApgP5/ngjOueAhOgBzMnsYZdHT4wP 477uiJKBYJIpig4Je+mdU/cboJJyB7C2zCVr6+mAUwz8aysEF7LU8IDCWt45Irh86CtRIPep5/T6 qjoPze0Nj1xhtrWi8s4ExsR6hfcxA+VVVXxAPAc22/IhVjmVYMEIc39fPb8RY9L0RxXKWFLlgbhS smhseL6mdmuUuO4FUhNrTVkrnPrZtyyU6QQ32/126Tn1RYiGvKCaGJ6wkDnxDINVwQkB4Y6v41jV 1yIYAuX0Iup4FKyfziCpj1X9YXM2T+gQoseUxRfE3ZmryomQW9zTvsJjpwiv+AInZpuplrtWrj3K Zw4GlgJHcBY3eRJ/tlultKGncC8VW3dvl72VWTSHIqJzzY3+phwlXA6iVJK6ifGITFYtsNAlflx0 bGc9R7nkcIJNWgY+nY0QsIz2382Axkfe8quB5F8WN0Dmri4rNZ6BrGWL4BFrjr1r1okYNH11vgJ6 o5RpJkfnMta1e7AAwmDLwnPZMe/puAVKAPMZNL5wkF5Dy3l2BsLIftB9xAg3efdsZoSKfHoAiIK6 PkepKLTOiA7XL+XGSGw74TNvmt3TpSeaqQm++DSd9Li6NVA6CT3PbgK1fhUewA47Smlwo73AwW2t +k8ddVZG/gP9ZelXVPMSfQqHSdjV2qG+FkClqCYi/d0pVtjCazXES+uu8yEwH9529F+uIF0E1o3X zwX1+YaA5yQZQXowftJhEiRrWABXvIkH7VFUzdyN0/gJjxH4iWw90gSiMZ46J+dQSv7bfSkm9Lqo G6dKYF3sz5Wm0WpJeXAI2tXWndmFWRa+FTTGo/6fLZXd76237InF44RJFDTQTO/90B7S8pJyD7+E 1pxRZpS+9Z39U1hg0nfpCiCtV+TX2lUh6AYv58OyotHQhlWqEVB2wm2w8GN8IykH+3DxJ653T2wa 2kO2noTWgoL19t4pFSGKHrScLaBa2DbysrbJocExr6vdh/oHlJ/1oTkBLNQjZe1fKnvcliXUemBa ULKqetqtRqptPLB2r6VUzI/P7js5POJUXzNYvUSMIhS0aP3/GH2ZVFLKUPGJLij3rHnpXHfidhVC US5AXSNoeqHGNyQ1inP7KCtnc3Z7Exrjy/hxJrytb/F+Qw3urS0oBGOv1uZYi9CmgmNGFKWT5VVC lYgaorn3WkWL1XwHmEs26cEDzDu1E7SJ/sW11ke/gE+2eOx6lj2PpOz3mWXGUXozXGcjeo9bFOBT Ho5/8tO5BRjFRyKpXlyCgGDteeGpU0ZWQO+AhIk6cD6UFv9aVYmblwOWLTwT7Ue3ZmZJyo1TIR4s nD5MiE0hGEsgvzuzPuUF12mc33tCF9jupg8H5OhpzlWWJdzsciZcVdB8qLQ3QFgTYfe2yiK7Qr0Q bSOx2c5JlE4JggS6P6aX/RnADKeafcI8RoxbEzl3aUO2GlxYs1BWq0o5w/vDGUhrnZF4aBQ4p4xt DkZBmV9XjX1I2WLmXsBfeK4qzJKSgbe4vUBLogCaTJYC2568e+lD2AjEvaFsGWr2h2nu7yJ5DSGR K4JGFWcwu5tcHVnd5nCU9rBFc9LqJ5+wcfhfz8IaR88ksxeGfP6W0PO9+QJVxszMCudbgdBfkm78 8Bb5zhJRgyQmA4kQc9ySHmDi+exDbX3nNm27HaIoFdxckikH8I0TEQ6MNrcQsjlMQ5q8PrKtwPqK lf6RLiUye3zkbDgrmgsqOuCgdVsrtky1kFcMFl+gR675XWpjyFBiQlBbFSEgdjNtc3EHf3eyjHxo bdsZSTp4LMvE+PraWjMX+/hT94Pj3LiqjJcVIk2zbVQ6m+QyirZGcc66z2f7NeH+aiq3z9zjhK7A DVsWDtlql4OESatPXLkEzA6nTraftE4hLPxjORwKmDKzPc3U/aHg39viorYrVf7Y4gKBWMQ2vd1K yIHp4Qj6wzuZoDhBeNGZxr4rlAUu1vvwPspC+2pE09fmtS2ayY3dMEL91/WT7Bu3/Lw7gbciojmr eCM+S3vO07xa4KkPZVdds9LahT/OX5VwCeFbNxHGy0N2n5wAM0FKrxqtb49B+G5+rG86unzw+Oud T9P0KKGGz83EB8YVId6V8HyIvjcNvVM67aLwTLnlrcBt2Ieu2NWLnDdTnfPqn7aG0JeUYYvWVWqm 4omnU5o4Xk+00WgR5EEqSrGxRBOx37E9Dsfx/tb49bo1oT2R5WTbNhMOKikAXqvxj+HxfZ1DCGLC PhsGyBBczBh2kI8rLs073iQvc/9OEwhucGQGr4GC+xUvV25oujqxnlZvCKpflJRQYTn9QsXElPrL ZYAXzkcc4PP8rWZxxH1M2IB2OQ2AF7k5DESm7GObOuA+Emq4VUab6WINBb5WNqDsubYr0CjgRVra KOEt6IbDNXqIYv6hvcIrCkPvUhb/K4WLNxr04RsEC7e54ZXVJ8Rckb0YCHq9yjbbT5GeHXamCXYP lCmiMjsCbRFFd6RqTqqaUbuy7Mqefjo6ZRK/Xz9E05F1PveBkcnDB9EzeLQriTAGPy7zzzYk4x68 RUp7dwEC3jOjPGLBmwU4c98sc/67RYcT4SZu1TecJ6RL6gRneqbcTXbrkcnK8nplSm+iTr7yWkFf SsP47yyYwo2SsXiCzdCteCl0TS53CFrLyAS2fq8IZ4oAEeKU6/HsZmfFwzffyIvWKzZ9MS5q4aiW MOrjf6BOvzHmhhCvWvTigiwMqsYUVtHrF2MAaHYmSudc5+EQG6qaKG41bLfZIG/c1V37OMZBqOi7 ebUmDNXhsUOtbihLMXpb7JpifNQRkKzMuHGab2SHHId9vc+UyocDFq43xQDkP1Occ5wZbmbCzazh s/4aDJ/AATZSssUEmp+N84vtyYk6ZETLSG8f/xikGj/VBt7eClVdooEv8//q4RPUQwLo2bxsGu4c 3YOpQ73ZvJi73HycKE4vOdSLt1rMxkyYLws9FrndUQzLQK977lArASWR1yjkwyCvdLTaYZd2VWMB 5OAo1p8VumhSJlHqN97Zc7JXEcYWmQJOsO2wJXtjJnaFLBjcmAOzorF+f70e6QRNAfR4QHGZZb67 Mv9h5ElNzRKUWrxjtPgCNUfo6ZTqLGicvQLaOS8zcpxUYlfrlWUQZOFlxtGnVnuL+GmVru/cmGh0 dZXEE00J2AJdp2+2pXvNwbfIYxputeKhE87aU+MKwoXPkF/c3nz45gMvb19G+QxlW9+qWltGM8x0 JQYCyYriVom5sGWohnrhYbFtnZKGkZaYX582NjQZEveueNEs41op2j0kdgvMFgzWSLVvLhJoDDrR EKx+xP97cU6bMBe3ae065OOs9xlDe6Xs94v/NTieWD2DTmcZBgkLL/I6ObybI5Hvmt1L5iAixzcv 1UVykrzgT2Qtu8OT3Q0FgNwi7SavsebQ0PacCDeyZhY73hJJ2hdf7qYgr6fyvB3UpZ8OaP6P7nXF e4rxVWOXX7q+gt2yIu1tvzi1LXgEaY2jEnl8OGfhUIJJLJ4io9a46OtH+azOk2pU5gzD5ZWCw8Qe Y2oONIEe1Ah8qjzPVsnRr8hjZG7us8Ve4O6WfU/PLl+nHRKOP4HwgnctePiGPyQrt2f8Kks+kZ2L 5UpNHzJ6yw0R8iu/PWhepgZPsAjPiImPPpO71SlLeLhaNE0I2iE2BbyNjVPJlAEFDMcIZbZuI0wC cVH/JpnmLK/dnAlj4jsKz61GQdj85Oh4E3j/ymEg/WqrQ+igC5EW17ZaMFEXL2CoK8ducWEZ5YF5 cjGWv9aO0p6Yx3Ycs2XUTX9H/mNcNs/koa12vDTg2hDIaez26E/SaBw7vWeQDCffwLH27j82OjUs xVvzP4nq7idXdfINazS7Rz3ajdTP37TVLCql5AU3dcqLr+IR+kaCPDuMUktYShoalJL8M1daruVT nne2Y0zZWI3nVGjufI+tAqBVmO0aL/y/Y+yaoBhKkDctTKGj9xixtkBw7B2yEVb7OSD0VlgHREva qb0yQC2Y3uEHyAMvbCVx6Ye4lE723ivSBsaBVesnqePyeOYFiDDzyX/07U7lFJttQKjeGxtB85ZC +fjjgu81k+Fn+SUth3rCUouduXleSOg93//dpwmBSoMxFmzbW0jvc/8K/znju6DRZGbT9ovyeZIU 69hNGZ2UQEDv7Ocq5n9LfkY0nbLbt8OqOZZlsgcTr/RgQXWW3uoA/iZ8lGoa3MU3S52mEMrvb18/ 3n1wYjJV8yKnY5miIy9/hDI3s/pieCAAJL0Cj1iwewqhTOfAuihlD/dCSjePyC3QnAtbyp9yowRR z/ujB/iQy8tDYWnLvAj1dVp7c2kLq9vHLeZ9pAItbP/W6HeC5TqqgqZatnBRc2YyrENDYwZk17GW PGAGU9IC+aVRRknzQL1hmuVxPHbDRv/z0Lavcr7bijhUVMTmU87fj9Ozg0ADdDhxlJQ6iKEkVLna qQILnu+wnksOEBvQySgygyrJ4apMz9BPwL5BBcraLBipXl+CiKwpQz364kfmtB+iaVuiM44BqVKP I/9vsNchBKl/DHmc6oRvMycY++djPeVMRVf3B/YCP4G9lOBW4I0BvniRaMwNjcHcxdkpX/2tLYJH drXVA5bnus/ZsM9aPjGbDQ0FGFRNSIx0HkXdYkN0RNCMAvJKlgd6giqkv5ffG/Pp11YOfIjlDg2g RJZqKdGMuNDd982enDkgNCx9cDGjrGXvgfHcNHFEaFFSuoUgrHksy4KRED1r5Otiw1GtVnucjbyC IBFL6ynYV7FxknZyl1ecMGFCkUokN30qwr1p1u2cjm4iIGrIa5xk/gRn3zM2IftRC0y1oR0uV+QD 1TR8+w2L/ySYnOwVEsn22OQiU1PvOfaf8iqDKq1qSC6eLIKRSIfrTMSeHXAmvKN5FWXUfUlRLQbx yl7DqV9YaZCxM2T9pEJhBoGnD35zW9OV0K4WvaVhXQT9sLKiJWuPT5EscI7n2O7WWlLBcAyMQBGO LrfofOSZF0etNab+iCJ58vX1/VAEGAuGhLpC7C6dCruZIN6ariK2ajV5OTZhD1uGOQpFVBMH5k7P rBRZ3YlH6HCHinshKDZIiwx6Kgq+kqfdxI4SZdaq19Ss7MWcJmWmEZDxUNJluoZP5+9niGPmjrHK 2Pc9G2WIXK2YmRyMGJd3EWLJxPh/U3dMxgvSj5HskgvpSQx6w2FYH7LWDJrt4Z6aLjyx4iPVhQAQ KgDDIzu695p9xUghQuR85ivraqiI/sALM+qvBSw3fYYsUjdeojSPzXtYMK9wMxXD0q+YL8xPVzPy KALEnU3VL5YhfKg8XWSZZ8JqM8PdxPRCfEqP+BVhwFnO+Hpcp0Yt9m7dFbKcdpn/KiE978+G2Vu6 /nLrynWRasEyYQz9F3COCdzTmnjxzMfRhO97bmNYerEoiTvqbYgL6pko/wG5QmCJauU/9nlsKiy+ tWWtx/WmNC+CInVd22lQgkuM0ftlwVGwG0zRjlAVD2wNpCLyGe4B+NNKHEHx2vVtBo409d/f556Q PCmjuguG1kNZZb45GUxOTc05/eIh/pw/O4zI0PK3iuOIA4DuTo++sPIC47UB284UvU5AbawvX7m+ 0hvreQtnCf0D2wt489q8ddDl6U9TCozDxzY6bVMfDb1gU9yJOYIPBB/Ww4uTtiEvA6SXfUcLJInG dIQZBut3WDuwiDR7D7lUoyzRjAwe5JrHr2wNCjb8FBkcXlVwKGJNy3f/jryXnjmOluuVNfzBkAws MgwyrQwIdi9lMrhUDJqiC4rMThnLIwh8qdQnH08/tAmT+B9SGPnsjyoUA5Zg379QeI4GlHSRKJbH N4knToFZqvwhgYMy4L+l59Gu5Jc745zEyriSosaxyym/q8gMNP059pf2nbHtH/15tqNWV71HOyxg VUPYhU3w1N3ZvFJlwepeA7i/6AegqqSlsgU96NzMQ/eRtfFp5tn3CUsE/igCZ7pGeBkX4abvjoI1 71xvZk4AocQIu4Ygl7PjpVloM/mDxHRfS14Y0rtgdsP+QLTzVMtCUa3nMoX7jw8RGully5A5K/Ft ILNDpJXscUw4ELSfbPIxRQQxoQspe4ui2GwdJ51RYId4kcYI3A9nkWOQ2sG6XgHLbFrkLTRM5gnR 5mgvREki0OO0LmNbzEXlts6Yx0sa7KvlBwxQeoAE5ADTuHHXnVV8lfM7sVl5v3RzguMAfC6p4LVp ulWLj6Hi1EbhUglHhot7KY0QPK0uby2nQrXI3p0LnOpNmoonYWxLpv8kOKLYOSEtMwKIa1ajwRPV iXUEAWBg2N6UytSkV7/uCkRDI/ZSi7ScUqakATUJpVaAJePUiQmx78fjehL2QwcZtO2UlGteqawp iHcNEPn4gUDCOgnbXNSDKhFWkeatf+k0iyaHer2NmfFBjRWFeaqG8bITdHaJlJe/Ky+6smaKgOT/ tRuTZa8nEo/uhrpB8fA7y6VeCVnE4dzISedQYjzT4ZDwO1hF/hKwJCkdU0DuvKHwJq8DHarZZkfw vXUu+xkX4RsVbVVt7aoeNLRhfHmsTiFJG+AaU/vrmUz0R1kPSj5u0zHwRABDGgE4XYKa+FTJ0lhC Nr7jeIRc+t1keh9G9e32ThQ9f1vDHJ5w1zK+5A8frxkeNTjHV4aWlaAh56iDxv1QLo1vEe22d64w Ca6AlbjLui1yM27MC/WQQlPipoNHBHQ4oqUWLL1rq/m3HrQEnGjEDapHs0V68vO7Mknn7JgPow6q kxXDETrOd6nztMbJrXBkLgnoBWAeQORrkr2DMPX2VhageZdomTqZNyq+ADqbeC+MRDn+mvF1aoFS vFEhyg8EOeLRIQNASUzyVWegVlijYSBFnZTcl3ijhIdoOxHFqmqeA/rtmNCey+ITDOOmsJPCgj+R ZNLYKsvL8uy7R3AHS07eQdJUAxHLU0F22tRUoSoib6RcStbWpH4NdY7ThQqfJ8JHTDSknFspI9CX nuM+WZFAYu5GMHAfFMyzDnYKApF2CSoBGwyfHVTX2wYrnAHE+31fGL8toxAwcWQFq9MAwLgoB6og S3xfy+63k5Ab3jWKoKQf4YUBqHbnZLtvxCLYO5CbFWjbp7ze2MZH1ZnKpbfdCAvZ4Hx9xgwnEU4u zgnMYZMcg/hKCYFanEC93Ls4u6EhqNuGEbjChwr9NeEvAJk+3NlfUeso3JkF1DNWwec0pbOxcjUk 5s8yDL/vlvSlMAvGYy2FxKpMUd85FzAf6D9lTDjlJgqlrV0X8E98dvYFWD6iyHVonnsgUNKfYlQu 91wRa37jXPt9gUI4k5PrqMBqFxeaSlQWOLfn+t6g/+r8LLjddfsgLA8ieerTip8KMmX7CnA8tkEv nL531icj/hAm/fS35OCEUmIJaXrh6L3eeutMJhWF8xPWL+8ml4/27z9hyr7AN7LJL+sT7YW9jVwS 1cOhToM3YaPQmtoBVz0TA1IeGRW7z/gdtntmV0qnCh0awKp51QwfeBcb7+8JHbXlB0xp0iMgtIao yBaZ5az+5hOhZ9JRSyGOJdk4sLj1hgTbj5E9b4U6lqnqkBv3J3+dw17xho7XaGAbFn308et9zkOC Ji0dFGbYLatfJZnQUlYKE/rR5abKM7jC8s00Rr/xIgC+FLi8CwwFgOYU2HVBiS3NJOlxuy89vY8X 0pFWh3//SvhLGD/4wkpo3e5zwnNqiqWmvly0josS1JzZmMefBEr+oJSMjSmqStlHbkpCNPLJWFFI fKUQYY9b18xZbQXOr1earHTABfQIC6dTuIoXG4qPYzyA0xuvPl/5jf6HN327jNEZyGLupFwJoe92 vUS6ZKVOwEmf+jpkKVK7PmC9y9OyWEYl+YFxvdFdnbkG0N6HyFi8YRvrYeKGg4t7EZPQBpxLlWV4 6IzLT7h0teDVu8seiiprNsn7R6/IcS0/oiswkiEYX+3Hf0Qifq56hKCjyTDmonpyj5fVxtvTcBgB CkDmhB5rTORFEFxT22fIodPeXWPYd90Zn815wtp2q9xyP1MmmJX0TQNW+vcMLaTPTTi3SXztiBgu d6giqw8vVywVrIKf9HpvDPrx/lCFXhhaAG9ZKUPvW+6dpNHZrvc5ssfNY6HEr7ISRtXEPhYxlzSH RM+5E5NyfjtwFx3SYleseOkKgpq1M10vdUqF/ICHReQDPljduLrMiqRKgQXEh3sfY8A1EiLSERFJ P7H9pvVneEVmLcHSRGGaHnsHUsZ4M1qdFT+P27Ijx9su41BmkTZzY3wZYdbcFYhYXcmVAN5hICA4 yKWUs3T80ztI47G5+QipJq9AZ80FcyJ4P7Yz0zVH74PRa78V7BjnpFxfyX79KPmkZcOhX/jW4shI JwRXQ27wzGCmwGL6VykJ4logqnzWdKtAByivEn0dw/AtUNJG3C6/o8mx/4mBdi5zJWWRvNO8IWb4 TCiAGJcLwq+bQXvrydv43kQxgkH/73mGyFwGayJJVtIO6bbf4zL0mVdQZxXwItxS6HeG9/m1uYHj 5mz8/W1zng92xG9ruTegVyebAYc4sSEiFP5AGuckcctQAqRhOWul+WVmtDseIAZ8RAZoxR9o87yI /v+X0VNB+C/kM8bDIT4NU7ShATSGtFypC5Wz0f2QEfKWFF4xkQkN96hdDDXbd/A3N/MLhG3TKubz 3sXEGD01MmgQ5DUSjiKOFZc3pi8aEJb0sAiYc7oWPoyZUiTADR7UhYYnNmyrvd3UsladlvwjD9r3 kTpWuPDNpOsdmcLj68DsNqO0bHbRgT8YbRaGypbNWSEiYLCkrnbkIeFP5f3vjvAmXKm0tW6doa71 zQcj/oq1EAp50srLyX70TgOOyx7gYlkK6PdLDO2WN4IA74y7yKoc7uPdP/aeWQ+6eqOL3RXUA8C7 ThKvL5qD6dLhdSOU9NCDqpFTxz2ur9hk9fyRbvtFw4ls8Twj5mVUScyRbUSq6qJRkE6IocA3XqmM zT+ie/Rl3xS2DzrChWQo423C8Lry4mARmrTIKe77fJ8hCaZP56wR4hbghjsXkgo3r5jHYwV4XKdB hEZ2x6QSy/VqBVNP+VqF7z8SyOTDejSO3W9IKveUeiPrXUoDEEuMThAhYoEJxMoBaAkhG7nCCv9a O2eMLnQKrmslDbG8rP3M+3DnVUaPkQ17eN8AGa2wHh/e99IiAqGSaqfR+7LGxREhJpjWYBlgU8b5 MNSHlTKi8CEAmk9kR4Ah4ZX5qxoQftPozvRHQ2TuYzpnewyj57KfVwFzfeOLv6KWxJvFwHitw0sN tGJzCQo29nmzrwtdPliQ3sc7mD0cffhRn7LO7DyGlYwERU51Vc2YecKEs9Z9x64s8dC7Jnq2AC8o lVZA7L+J2yJKVu5kdIe3ut5Lk2DYJM9ZtKWFnUgvmnBZ3b+K/A1WPYDp5SoWKcaF6Cq8MHRNNxUZ gvY/IYS1Kr9xW9TcD6rl/dZsw4iWHEvZp98oXGy+tSyor9ukv/NtGWeaT/BIaaXWj4m5ll8Upssr jR1vbJMvO5Gb/reK2rHftripfXKyze1ic8gYBo/ZkSLHi+tYfCPnoDD/WsYzbncJaQjyMDuVhvHS KAxi9XfmHmflSomrMR0UH34IJoqWiYRj56YpuMqKNTUnpiHS9IP/zTS8h//C9SKmvh0xcnzlbWmZ /bQWJp/dBIiA6F3pF8v0F34uQJKGhQeGKBxp3SS/g4ezbRxssBpRSeVgEFs3bh66ZevYqKk/V0cw GRsQ05V3UTw+OFkSJFtazWif71vwI0N1aFbWss5B/L9YQzYIWooVf33gyzHS1v1GnEtuEp9Lox84 CWfVehvh07gtVrEL/ITkUqDE8PinUskm7Fagg3yyYj5VUSMyYknTn9SyyoKNTShua3f9MGDOaA5l xgkhJLtKTRznoQ41ObmhKT2GjiWexgCEGJ9cE8IXqEEQkl/K5P24oesPcvaH8TWh+bD19EVsKabU hTRUIq0+s++/XZn9+X8gbm0Sh4956RsWdfWYxW5yuE2Mdq+YLgPWxAdjmjk6D1nC6MOR8kvDYous 1/VLLVLtJfvuucSZHwfT7UylgXFQHW8H55+aRMkpp/z4bLFugfzRRej8g1fsVqzweSHhJGXqqBix Kly3NGeDFcVvVHxzXOa/S+YrRcFibq5lyLgMEwD7dwhssaUPQ5gUnJlG4C9bWfJzt69PQmMDFExa VXu1QwageBoD32cNvqVxhXu7V0QImaSuYZ1EHOL9WEnW+F72kSGpVeHWH6/4X7keAgS/IjKNDN0z SCv74kVvOfbF79jlupMKWZhZ9ACtfa3UvoFnXKtNPPJ4uuX6+CQpAtS5tUxDinfU8jiYDu+zwnnF fgzl2MprTwqxOzcrhrQsiQ53OFapzOgy9BPCP4bfnEmv1Uh7PQWA2vhbcR1IoMImwXB0zxUVx9xT P2lElS8JpYw/6vrWgzOkIYBYBNbaGcI0BTw6t8Um1BPinphvy6l+x0c17tqaEk22Z9Ft2/ORxr6q Z7Kq7il5iRzjpXakl2PLchoNDEJhL/GuUGjjdzAng+KDpeGK8cAZfNYFIc6x/sFJWKw/cT8oyrQd pIRkTPWEc078xaGpbB8gzQZPkP7t6lepkK8PFL3twdAJ3dvul5WNmmGU0gUtS03C8vmIjFDMFVJw CqKBmwYzEN8+77mpcUn8JC9GIzMv0olNqeMbveOIyxp874KX+NUSkT+2+Z+eXI/3lJcJ/eBPueGD 2GLVFT6RnaZny1tkS6kgw+H9g7EzEAVS3wAGSeIHF6dIYwldWU6vly7i2Flqox/FrKLU9ElopfGx hdvpM6QyhJBy/jlYahnibM23615bhHcdlgt0GqTAsJd3yNsGqXCFzS1slKwo8edtAY48VhOdYaH5 FTUhPbA4DbbKHQ8mnFCz8tBWwuoqf/ztxOy54T63Ib8JVnbwmIPOntyBqahNk4CJ4MwVypO7g8ld qatggGPQHGdNo4B9+7RMhJRAC2Fdk9Qdz9sNCQIvCoxOqxdN4tNaBX9dNpPvWVF0bM7eXAXDlFv8 P2dY2CLeuOlHRCvc8YpXaN0wB174ZsHQ5cU94Xz4DXPIF7Lcnj1MBLiqDU4L85dG1ATldBmSBq0G dNRnBsVYZmNdbua8hp/c1yvgTE6G7tS6BHm6duXnopPdjfffdedzDjNvuHHFyL3xeOkFL/xT51St JXWCeFEPwMjjR5BRrfzwWIdjORferj644DyO41SiBHRqdViVMDB6c5Cuzp8w9EpwQKLrGl8zFdgQ VyXPgNacusWKNluRDbDx5vM0XXKheXJNMYD2uuuRVIu4llN75ZYu5+d0eajQOIwjBpC9JPmUtmtg TAJAKroOd4JQ7+9ECmZ/8aery2IqPqrM3RdF/YJG5c05NADDUzvG1z94NumKrmuJYfcnEzs/ARDp YrgvGGwAfj7EL+jSCtP2GU4uB2RTCcRe8HMShxy/IYmQ6M+JZCOqw036X8WXtiLkRzg7n1nQbq4Z gMCwQ40hgRMkpZ4YGjRKGQqHOXb8fK7OUj7gR97wAmgE5B9l9yKXlryWD+JiILJVcikIANIZcX6E Iaouxucnzjwod/B9rq2l0RiDe0FhAa+ctQQ039BzzaXj83edu+Iy5Nct2dzobK5YRLOpRbJ4890Z kf0wti3hYwHY1/XTvRuaWZzL0DeO1/KboavDaBXTC3caQRTbkDz+zF0DY5JSmWqjfi/iI8AeOEao FRe/wjdhKEcAUe3rdwKVC37KR/bRuL8uwflmJtsK//GiSe1i+j4T/ztz+Hh4RUdxXN5zuiP5q1IB /Lw29nlE0AvwtvzP+9df6TmHM+3bPonEBryEWqiC7Ugm8geQ/hrJVYLBU63yKUh+8E3IX0vkh+t+ ZmuZTMYxLkFiti4dmj4JmwIQdxmHouNbNLJKnIq8jwuRovir5y92v/b9TAU2ywqKEaBA9w1xKkhk ITgogkOTszjvDFbnvgvCROmG6FwbjUPH1ci6VPyInaMgaA6+g7U7SJTNRSn/d/+Y18sji6uhj9k6 bn5yIkAxyXSE4dKyfkE8S+DXjm+7Fsk9BSkIZF2ULmnyS4qi28BGOThdnrAj48tO+ReMe9ucWMQ1 RMBwlgvlI2+FN2lww/vyNeXPoAqQiBYDJowzcFZmxBBIwUlHxeEIjg+hoWbAQcpYSI9n/8j5kTHL Zb+EuEaaq8u3EKoMaWZjF2Qbp0l0nROvBxa2foOfoAWOeZH9SGp9/DRvo4k7BlR0rCOXMhHH/Ku7 npLunkHUXul+QZBrIgqcbUt6iUpPQUZLTkdMiAY+FoxKyG5YhjMFrMsyjBb1EanfzYA4bD1Owp4v O88fgO11yv5bsWC4H8fkhsKZApfRotIBQOsCglKv99/Uw9lORdhXwXsLE9yOM22zYuWZFMczvJMv 1PPebobrg89LKsoMG5Ad+SgUNv+Q1oinUxwc882JLaM55tTt04STRvUZdLO0B3M3h9avy87XEJwM h1+9E9QOZ95nv7/BBnSLCLPArDVooVFKa3DRA1bsadelj5Tx4CblRxXuq9+V0kVwn+r6N/xWFy/4 XEHNpHJakek2izyGasMKhe1fNIQCbno2IDT3sDs6vhiOyi4UUQG0IJfIAFkeErz+azyNLMgNq/sB BGlMe85vvvs4PDeUq4GbEirKSeCnU6YnIfrEDJZAxOrjMImZiiyoTknMH3Kd5A24eELJ/ZXI9kki MIOxpNoIPJqGMt/VYsYVPxtbOWt5ggXff/YnDkfVqH3WJtSzhZM/B3HZ/zCdl8NLiX17P1xrGz5B 7HNWhelDLc1xYB04ESlB8JG373/5W1bUJ7PINJZjpmXC81PTL9U0MbxPNJogOFkcuvAtZMf3fhPH hcYMe/dIsEhWDpcg2w1XJHHMZJpFHnuT/lSu8ySll4jAbz4T7+zh8xTVqC1MYXZzyHZkN7ytxmKz M4DagK+Lz8meDRGLI0oGcb4fQxqYwaLDNkZcHhAelgj2uCAoAyHjijIOrJBfg5HhSwYvjG13fqhu AlImi2hZhIsFZBC8kdlnH1f14K8NqCXM/vOEFQBy5PnVTmcMlG4/zawLXDR6KD+HdRxiU+5MVNk5 Lpu46Ax1pOwbK4SiCWFj4LaZDTVplRZDTfpbQyV9Wr1sW7HxWLp0q+YXHm7sdIt/ZdpQWYFNZ2x7 vcWlhKH/lg/KBenQBidbkvdlRyifckkHgmF3uex0dqTQaczEgC7wxvTZAlzRSiUNtM/+/nvyQNZH EoszYSIXu/WjnPrgRF4nN5+4Ed+bu++3kmv0CaRiZcLiGfOSX2wKhvYmntzETtQy63YNPuWeZxPP nj/YLuibc51bBBnyDBg/C6Sk+gHDdfuhe2Fbx/Ys8vYRaLJjZVEus2PtNfyRWnyqkY5zCX0I8Wd6 XED2kHqS/5EupF3rGOTA/tNd3fhAvBJMmBnc6mai7OmnlhilIsXTIyVa1jLN4iG1SupWAUlIchvj 4WIfH2YSUK2pUbjNM5Ya5hECmwvVjk0tijmHOnZszcadrvXcJv1zV6xKEroDKn7HyUfmyULObT4X yc44CNqX4UpEuOPEnqpQ7Nz55E6x28gIXvbBIs19LRSXjagiKj468Hke0VSjVbRYdLqSpW7kcqeE S3u749AQWllonsH4LvNpYipGjfW4TeLblH8qcSYIjO0qVeU50iW+170bwuxQ6nyaQfHmoYvxa2av 5EZdYajYZPjjLJkFWdqV7iJontMhx1CQYjp5pdfUhTp9t+hlFddufRTQGi6tBLkDxpmDljJZA/oS aIADv0leGgwWY6kkYTJB6w+NE20Wm6NnYCLEnBmCoXJmNptCMmdZaR/VAoVip8B3I1GvfzBnT/FE FJHziodbYr+YABA6QqvAG+QR3gsQMESEgkoGy/qS61WCKwpVsevNdTw4rkhsd3UvTbHUTxo/0GUx VxitobnNV/aVOVwY7Y+0L70Gc7/MTieBqx2uNgPQ1Wq3qbDdy0iw5ho8kwZj+tHkjiacV6E5zh9y S8ls2/td2LieKRzNMfpt8eh1GPg5XjYTUhfdLGDVXKMlSRAOk732/1o2FNwdFw7yl9By1Uv6elkv x0DD+Xxf9c+543WBAuV/U8WqK0kGoSTfQZUkEZfwPIpASQdfFjBzu7LLhOoZSWrKCjOlzOp9YEIY Z5/dwFN+egG4Ib/3ay5OfBdsVZgBx0+oPsigMpxGHIRMSnHYJdyKr//pL1JXoKk68MShBrLfie99 JBTOWWu+uHJH5EUe20YHXm/mhnu5HFiubyKcEKUK+DEKVtgcdHVNkItLbrewRDEhBsTIOWqSpcfe vwUrWhvzDnSM8eIfBexlJuqPpdk+dAmIudjTlJ5Lb1SXj3Q3MmOKuL2k7g3gMrwRC5Iw+XL1sXjx 0azNDBbIbEnFxkEtPvao77rcZUGCLF2Ocbu66P390H65qsVdjtqQRDFdxLURuHC6yIgZccGIxl+5 z7k1uS/b5qLzN2c8aN5j0vaDXIHWZbMCq95/Bl5tmumK4IXpVdPatLHJyRSyHYqa5NWXKDobkHXj WVM0zjzxwjkB9EGEqEgDGtsOGoJTBKZDiZt27MhtdJNhCpVQAtnjgoWmp7I2Bz1cToJuf8hRdy9t BFlRCjWfbazh4Vf4j8AnaVGkmAFkAbKhfZplzynq+SuYwdpQDFFJ4mPpSQd3kjVktoQlUDtTvwMa lt9mxJHID5MIhgAuTecxvx2uFDbQYTS4LekmFfxauuCWQULrGrGToHy/QYTIjS4XyqjtYScAZsyn gZZeipxdsDtNnpDARGWmXvbLbpiSuBSixJ8pGV1sQX803CW42q8yROCCdjuZDCa1V8HrOeyaJ45U NV9mgZr/5ow6ICiobBjAblAE35pr9b9V7COK5OBIwVgixilzQoI9K4jseTuYGOoLxmUDPA6R/xtR DCz1tgM7ZrXMmZUfC7JZXrwR7ML9XsbvIGuwVmH4SNVI7RiD1fkkYtLfNLMIO8slx6gvIIQIBCM5 DpIRR/1HeKHPMLxn2MYwIT9Dgltine83kZhcdTDKl/DzrnYLw1AVfiMTVj5ePEhEIMUdTxkPvmHa mdy6P4MiBD9R11g8HZNmvUMwepQKajuiuZsquABJm80u87Wa8yrjtqiEZh8I34NqZkCAmDakGUFN 2nv+/8Vez9uiZSViZwvcK0o0Cd+qrKUDWX0sJ5yRsVOVUuJ0P8EDS4vSnZMuJVyQ9nCy51i/u0li QHxXYqL/mMe3+CDjYZQl5hqDHPVqL8HIr9EfhbwVG6zDiUninLs4OSsawTBFtbUZlg0ih1hh0C4f 4Tk2rEZy6w0+vPNU1gkFVZj7mgnU6pK/NFgOvZhmRr5/aGGkLDvc7NXK8mmC8eZ/MOwoQ1EQS8v2 z+qefmT0BWoz3vZHGAtm3qz7fUIXQrH2yzA1cQqSRINNrpAK1UiD4yC2Z/rzVeIH4BzSsGWZQs8X 6gaFnBmOYcJxrIsBl9EKNtrSC2rRlxStjsqJ84S/5iTXCSMnT5fQroe090DXcZIjtv+w7ssmbTvg TSWMRWutWATioJuCHtMmO3CmTqELUJovLpwG3pZO1p18caDYFX+l3GbA3spK8MXT9jNW6fxqdQlo zoOa8z3iKRxaC4ytfIq7HmWhoPT1JkZalu6q7wJn5h10gi0VxG+aiJbd2c+JSzn3rbd9n1nAn1uk wg9MtSguuqOvBVUdBWK3HeUYE5SR2NV0iEsiXPpMHF+tQf3vkUeqTn98/eWTxFwC0JplZmSOWhJv /yHPXjmuhkhlwKgWC4WYucWh/+i3Ye3wjTHhwU3ChN9PIu4LSXHR01VbgtrVn5R29vs9DCn+YJ/M 6vYcUPcKmgnT5+X/A15YiXqXhJkFzjAmC6gHRzfEMrc9ZNSTMkElFxdg5nQ41jfMr5OWK3Ds9Xxt 6h/IJCXw0EW5WfyUoBqW4Zypa2dWitVIUvo2SwppU1uWBi2GY7n5w8WJpzyeK/k6MQOIxGj+4xt7 ry8EHHobN/Wq6wLacy/eZWDQnC3bVPygdeGRCFBynWde59ctwAYWJ72dXV6w/LsfbuHveQ/FbUEf Nm7izXTOcKnOfFrD1I5aIEqadmLvEsH3vduLFVxf2BHz0loD1ArTSHzl1ZaYt+4OuGvv88VR9LzZ ikH13UVQUblQOjbUPke4V5zvDhT0JQDcxTxs6+deKXYmDYAEOzuKNCQgLKC2Z9BDUlE6yxYzjP7A tDFQje0gWSXNsMuv4zi2XYuReMGDT8HFQqScTXmgbCSGoWQUi6isH/V4Wk/NysiLnetHoZHBJSIH Gu84odQ1QQZSL0dmv3dPqauoTLVi0ELhdaJjiTVFKqvljs06nB9btrcXByDTpu+3LcskPLXr0ym1 IWA38ezSh8eg6O199uUacVPVY6l/lDO9WSbYXLs7xnIw3MF4xo/fSSjz9e2Mmvi4xv8guHkUAlRQ xYuzYyFIB8bSk5Rn6Wdx9O3248nxkkv4+FudUyzPVwlXEKXHnZa0v7Y4YG2ToYRefJnJUtYkBoD7 bsVUaI44FOG9bwsiBPxepTEweTlyrvdstPEa9e7MxkyLAT7HXuM1TimbS0SS9gfZ3FRGOiDk2sGv GF5UlpdD+EduWkGaTJvewDkoPR6bfxp5PPakXDhyCUOKJwGMNCnnzI6xWXzmWeX1OxLhmyExO9eH PI85ugXLBz/GTa/xIxJldo4nyU/+lYAqcXQ1r4X7LrvkXpqyjeIuIXrJIXrp9sriR8a/AqDXqMy0 RGM2Y+zj9hNKtl3rCcARFeM/94UNDgvgR8JxPGgeBJdda6Ak6KwOlWizawXN1YlWVF9Dv0mTZH/N 4bsGMctO631W0WD74iz3vwg7IP5jG7xjre50ZhrJ71b2z3gR+ZuBK0D6f3q3JH8TXlhbEUqvUdx9 Tg7+beM+pWNsGu+rJcooyQt9mSNdXv3CUT/i+We50dtv+G3AeJndpsj5MV9582ivP2SOc7qRXU0I JI/FuzU1bvEW4wRXEn12CWg+aL4xOkD9jkmx8j/RYKeHpYnQndETteyLBE3htmLCwd25LW3IQ62x /ZXBROOGHBdunTNt8/BF656v3M8f+jdvr3nYgsp4sCceMOugoU/lDlhol8GGMmUsIYdrwdc8X0iY EAhFXoKWqGlZ5ZgKnpcm2J4MCsjK8e8YbiiYqeZcIwJzzgNUg/WsOVzLxq4qH8i1DLvrp9qwiNzB x4X/oy2ojHtboSLkRghNgEedWzDaxfNOgW7uDoeWySKxt/YTFLiKtHWEtGxiQ3oQk/P3LDeH7uyn GwVGbV7y9V+Ox7P5y8fxt3GcVFRWRuSw3Cn4VwKCx1sEFldlzEPJUZcK9CCHPlSGdgH8jpdgP7eg od2VHJj37ZYk3DEXeeoh8pxscGCFlLcGp4lACLmxXa8RuibktOBjn8l3nV2C3FsK/0/49pGIiX6s oNj+MhmmS7KsZXcQgeJKbFq4Bgo9F2nvCqkAjA1A/YZlUv2mVTS2U5neaGK8bT4R1S8zurcoWapf Dfw1NoKfSFrr5257hP3LDnqNETriiXW9GussYhwAx4DXXXM3SKO4aIBQXkCavtIr2wRHTfMaLYg3 1p5r6Xssa2Z3kc791Zh7wsTYYdjTwZYofur4YKEPS8lLOH0S0Sl6BisIHS9JrYFu1zNTKBsXOP/d y1nFAstaiYd7wkW7nqYuyJTfzmQ/ove7nWpzp8m8j9XmDp7zoeDxTzlg/LhYmp5xd5B/MFdngPeF 5I6bIBBMwnewxgTPj9MRcDJ3Eoj9HKRcbTP13Z5lRH/zG6wQpv7EGJ5Xd0atzxywphQexmigmDbJ 4vZPugx987tksHq0bHxzeKsV4Ewd+fdciLrUjSvZi3AIYqrvDRMdDxGgPt6j0x5VZgS1n+ud6bG9 Y/ULUQ5SUuSHYOdW/a/peqUx+0qblWJDNfu5ALpLu+Y8XdFQ4+3cyU2sv0+HEkmOuf6PIG9mQwvS PG7kYnNpWb2CUcgbxjBeSxLrhWbu8Vx1jYHlKDRDYnRRpZrhTIO7Bur/I+XayUfBH8mrVaSZal8l ctMLsNR2H4VqCI+83u3aFabtWKuz0yiFdxq0IYxPcTjsNsrx5rgK1PaR6CgqQyKGfbZPlWKnjZyk 3ePShnzPC0qRnNHpvs+Q81VUSOLQuRJS1x4DmZ1R0SfaYGdGTzLfMewEH5a2bexbxDnQ/LSORpPz FWJHhnORFd0NttwOlBPWJ6mnIK9VA8lrvurlx/pv+ipwrfWVe/q1adaHq9cJ+xVy4DWXcry3rx9V WO9/FcuVjDIqBuKO++ViVr1fJQf6SLzR04GXQNp74LAoo/XZ0wwXBwYGZu8jwhNsJMYx9krLFet+ P5KQ5jnubRxeortQnUm+8Z3E4CmrnlKIewG91PAcKgYWD5us1rCdgcFfUGU04SwE45mJfxLG8CZ6 3HdEsPuY8x+Tn/nZwL7aJ0Bp78N0zVEzHfs7Fbe2QiYVEhVZ/CxUduGhvfc1IhgrB1zMIqbo1J0C P0S3XriZcKknNQZp6QV+xA9WKBsdl4/5/UlXbSYYbsXqBLBO+p70pnZuyNrWOqZpB5b2SC2Dn+14 H/KVYPRD/yvrNhmm15k7mh6RsdPnAp0Cjc1YLDLvtBepRdzU5xl8OvZudGECjkvHDj7scwC1zk0B zwTQIqxxF+UyYvSM+e3eJlGl2u+yN9iDKFUjqkpo6OdGBSUwcATL8CY7kizyUCqXfyAIWqDlcs0i Y9arHBsbQ1uf13CcPRvRmP6ETFt85/5I6gWH5aDKfe1NZrAkhp6R8P8RRD1zt9dNRItPpwvgm0k3 vlvV5uTXWUG8tSN9CBoeE+lRARkOooAWru2R8dTESF0j/rvI72tmjE6WaNxin7OOio2jWNtQsdFx ZQdyd0balQ6UL9Zkn782CufXO1pzsrjAl4Fdn8ipzVYjSLmyoqp82fVxhkb444Me3jo9iI3Fqrar XsJAOvx+ql5srCUrNnobWBTChraGophgkpaTYzlVoOKS2viwM/bDQldUUj+nlREl30D08VtPhW/H QKdVQ106ufFcnSXYbnLxmG4KpAFW6fvcyhHe2G6dr7+h7SUPRct2eYBwLfDfT+vuPYH7aaaADrh+ VzfPWoG35NcQmbnhLLuXb1Io7fm6aYN/UN2+0F0DZWeNRkcBY+o/AxZZwRmFBmalCGIkZf0j4Dv1 mHrtdn76S7mfyuJLnvA6wQZOc6NwlqZDgSPJ+3Xz+RjY8u4BJEPUKRDCugVh335/ZBYiaZvmR86p EalsqrWEUJeIKUBv1kotEIiWFR8F9WQ4OdVgRcMs+4ljmHb8hOvKsXu0wsg5PaoMaNyMNf4pHa4N a49pnaWLWAxwrlNw1qnFl6YGL5/Y889i4/Mlp2bfEd1xi9W+dtKpKIKc5gJYUy+f+lWkqWsHMdiu 5S7dXdCxwQhZyVMvoN8rtfVBrKqiJl2DeRqqZ7urj1QVOI3IS6SVy7E5rSnYohzsMSmUkzttECdA LNLeSB0TNf9ieRsommbMXD81FSFdUmCdmWlu4m+J1o02uKLGNvZq9EF386bHPR3c4e1vQCm9iVTu emo632Cjf1njKZQCSYsJQOokrrfpsVWHMHGB60EZKANsqjHXqMmYFcAJ9WmWttji2C57+ncY1HGu EQhV0e+PajmiVEiAoq7yLxGVaG9FLddB0YA+dWRbCtF8GZ0IMACrXveIUnlaVF9QAisCchfrxVhm D1RSsdwkGH14Q2uNTNIIRA3pR88Qpumk2Z2/PddEy3Al2Mk9Lm76t9Oyb7R/zvR67BURZxe+mnlb pvrNrHFqATKBqrqraoAyF5JHC5U+UIntSAtx4mkT3cEL85ZXn1ImT0T8tnwwUiAeoueiuOwmYoQf lzxtq1yiRdlmg326L7dG5gT/KktV56B7VqQtn2YDYbbyqDMJNYXVF+UZaE1Dh4eGsC0i5FGhKJJx YvjGonMeBJwtTNnXx0e4w8iXQ8y3I4ICMz1k64eijz3fhGhyzV2PZx0BbKd+B/pLtmYbeSMQ/uMb ZSjER03hoKr9uKGhFqR0Qdv+HTD2QJ2CxYTHOqQVz0fM2itwugMU1xqBM9sOxqIJs9DHhDo6Cnqv N0cFCPkc0bntHxBU3roFYP/JrU+cUSbFDBpK0jRB6L2uc56olZYj4gV2rD2Y+jssMMryJJGd8z6Y qWeRcWmWw0U0lp08dF3FZ3ZRPyeI/7REX5fhzX6KlQBxywd+W+rPV8mjsE6yHUyVOGGqf4h91bzA vTcuNtJKfaCd0fIn1MnbYFWgDFia75KkxHsQw8r363KtOaXeO6rlcnrrnFza13cubmbEmCo9K56p DtOAC0grFW3WR3m3xVx2KGEY+zF8PDuPV5zrkvvlx+Qq/wtswFUzteeXb/C9QgYmDL8jHEZRDBOq s6s7dFt/2VF2/WckeVjXCmXjPf9jI9f7HO0PfCbSzDJ9LaBHHDJvQL5mX/n2ojlLJp2GKCVRG6hs C6/Sy1l3bYirVDM9XOqUu+x7dSdwJ/IZ+oADK86BLoOsNVYl2u6REJGZeGr1gb70o+X6sp5rnyU6 xqO5iqGC1FDyrJ4v9aTpVx4XXfB+IXx4fGRESiodfzXOAyA2leQ4uq9DS2N3tSr4GxY7c19EcPNF gb+zF2XdvW37gnasZaEbc751XTebPIYKLrYdAV77EF+vW0V2qYMLoalrgTOIYhzDJW73VNcm+wxL +x9VNK0Ai/8uawOdc6e8VV6w+laIvs7ui4Reg+WzC0D2QAaeClPJBtw2k8ZRNj5ssn4lAbnbTf3K D94k2yt/1MxtSRfYCwqVxDnK1nqRSDqxwUE75jBKOepcUkFa3u4NJrQi3m2nLhQp1bRgsaoG/UFW F7OoKyKH9Ux6zUi5GokcTgO30n+pRclpk3OSLuqpp8V9LDzl98o4wkvD9aOwOhDCzsIfqE0SSUai 5AO8eYkWHmwxEDilMtpxNdHyz3AGx1Wb6/97DY/6DJjc2Qu9ir1zYuypzdPcfx8U7OGbzDbqVMXd 6e9bvaWdpiydxEG4nreyDknXpzE5plgH1OZ8MobmWo/PKwr95DgYX+wx9Vi2PchhqXoOeMY9pxHi K23t6D4rwXkBYHUvsAxNDx8ngjHJXLh7UKNlMBv7PNipy+9nwz/Ol5rzfMN3TfUDwCcekAXKSFeJ lWJPjtdVPhqoqA3yC5N3ZBdcJ9GNQi5MQFxHfS7mMrS4xCopgeICIFJHqw/7rMq2ueRLomcoh7ji z/6VbG4S6fshAytN0A6bYD4AZeu+E6uvrpO9FiodchfTmXfLf0IlUmv2gpFFRRh/idY56gjRq8vA QuLx8WprTlu9/CLX9/a/nPYM4hnGHI8ID/E1MFeTL7b3wZU2F/J91wzdUEtzkX1NhDED15wYurk9 uKIOgRJKern77UOMs1KtcKRyueK4Woh6FyAAed4uHhvwL2J8LhfJ1UCiwAQjQee3Ghvy7hHCZmKb IXGxgy43PoWvRdy/BPjVc5mGw1BDHubXVP2Cns7i0PBAZbrjQlvfE4UlFIxpoch48gVdr/MNnUDV 6RgXIwoyAIRdjN7hEvWIWZsFNBB8eeXyzvJ3jZIuz6KegRcgowPdHXLlBUm7mhPIbhYzdiDy6SmS 8dL4Hf7lShXfiN+nAehZDeM7aULnx/4cQ0LxQXfiaIBiv3wD3R0yGJubkVqGKgNj5kKzMH+qFJ17 t+r97fxLKL3BmmEu13SyUhAhcWxBmAb1/5AIWqtLQ6sYF5Pji9vHiB6249D8gy1C86QLO7KmhBFk WwNT5Lge8UXl574j6uSld/G31maxHhNqerak7H8m6ZnWFddvetQp5akvlFnRktBZ9hFDOFuHYRQQ 18Pv85NOmV0Jk+aJ6LLvdy+yN0jNUlYcmQTGWT2rYhM+dM6K8tTHptxRu4BKl9hWk8GJlLU3aJ6x wh+qQvf3iQtH5SzihDVUUu4RaK/M9wa/3QVK+SOewBvazR0u19kQULEFQjY5GSEdqOZDo7uJt/HV qw2sHquGk3XZiyPOem8ttwj8UQq/GS8noxzg43qiDJzRknfB3++ygryAj1Hq8cGzihB4ZDrLfTIH dzG4LYQCCO10FzM/MFolzgu/lP4NZV9osalJoKj+ia+GE4lF2VXFfGU8Qmue8Er/F4pFBGtR7sgE WYIQRckgZfdXkZMKjsIkCuy+4mWmc6lCI5q6xm3QmioGftJQu/xyzA1+zILr/fUfJvcqwbKjiIXO Jx1mZE46+Zf4xdzzMGE5tT+u0KJK2zWNkS91WDoxvKnxoCqfPI0P+D+Di+hE9KA1bilI96nj7K6R ZiNYxKKekDmDxDO2a10jISU+zewsBLrE2yp1mg6ry9GeZn2vJIs4xsJ+QV8JZhTCxOF+37O7aXtq Br2r+1CN72CLWKB2LnQ9z2fDlo5XoANUX8oG6wvBiVoYwhP90dOYCP8Eo6MeILnRUfi261Z5mRBj v17wgqmehrWX5eUCU/RaC7PJXqXZ17I3UD69PcWyVBKeoju2fb+/l+WvUlwDba5KDIrFgfbqEXdC nlM7VYeAHnW2fZYFtp19WpvjLz1fIJZcZf4JaMLgGmaspRl3U2/yH63gVTsGzTKX6UXFKrot6FBL I0xWpBMwfrfx+WkgUDGnht5B8lQQL7Elq7/s6KjatvxRofwrjt1E9xULuAVbxsCX99IPajC6kh3F CSk2kME3a21nXChcfjLMxIVuKzRgv5QlTRfEdhAv6bOWRj8/yFgE/5MLo4UuE1KJm0/jIUcE9eIb FJ/fm50CKtYJuu+tNAqwzSYh82/1LKjRjCl200x+v/tXfu/ZmxDNm2o2Ju2MVUWC8dY/P+QY8YBl I+lS55BY5AnRZjeH70kgWiTVkknWGW/Gl9JRUxCQWx2pR/r75/WLoVbGhsBKIpU+I1xjItyxx+At tqR1Uo+xceCGafjLpQY4TXQVkwQZPKjm+GUV6z76sOZ7unqIF16ZjeAOqRXW/1Kl6R+PW32hU2ai OotpOE1c4hSDKvV0qwtzU364cEGsJ4iWkWqb4Z0TixNU/EKJiETfXjNshgOasKyPGiWtToBgjwOs /eON0F4TNHNWrGihBeqlkHoERJ7l9zSsgTMGrGQtcBsSkPUQnzOFoMUsy5UkY0Ojlx7jAZc71OM1 LJyNBfEXwIt3M/37+PRr7JjABphNO+9KKp/+1tdmsGlK9pgSNM2hjVEIzThhQ2X3cZlCP7h8NnxK xi7bQLqULSa44r1YdNyjbBrXYQpz1DRvxiTR0IR4yiQN/HwZu1P/uFC/dLCdkLhMASWCM1v/Dge+ rd1E5voz6W0CtK0eT1ekno8tTG67/1AAICTFqwWefhHy81v1sv6jOg863BabevSlwv+XtFlS186A f594hff5ZbtLSZvkm7EmdGlXaIjNi/S0teSshlFk5zHzR2p6Refa+UQhG4WOuCDEQZeXGm4umaW8 lKZ1UJglajjo4O9J1q++aIArIwspDQlXpr+7d+sp+LQdWUrVR2GusO2HFJ1icdIOa/ObE2W5TSiy j8PwDRKhXCJf36kBEV1cedm+EJDzjFsxjMziVAB4ULWcZE69blUWn6I1TBxo1RGYeIq+BJMyVvTk 6+9jUFT0XbwgXf8VS6AWcxOL5VI7hRjPnO76i080MpMx1G2LTymSLZLgIEAFmI23F4f2Mu2icAuP il9FLM0y5iRY/i1mfusYjsZZvFcErOXktkWJX+EbnwE0KxxLKxYdWtdz6rjJly5oKkpqiulrLJBt 78NlBT+jZY21ivNonGbGspJcvWnA7jTERi+zUeniReXtEcD+I2uT6Ciuzx1eciCMzL0JEE1OtiyU 4+O1+IW76chnZ//VHncEmPnbnfkd0FgqyaulYYIcLEeh+IPuyM0EJ2N8Ff4de+TY7M2XpEaa/1jn eOQ23oRlKW5A0kQWjUx9SUfn0AZ7CKIahJ7a61Y/v+UOjsxH3ckazgxjQ3kUcb+XA7DBZqcxnntz y8p48CzlTcrTV4WEgm1oqOyEOC+QOyruZrasPEnbu+MJ7/lvirXmpz54k1X7zF3XZvSrIBinANK9 CQsjZm5IBMfnTndNSmGLmjUXj0gWRZPDntM4/qQf4jN8VnD9FTx0i7n5jk9M1xUIUIhAFEXrtMGk IYXo3k6y8v8ayh8Lr6JADp44bxzgHIwOm6fmiazopuoXxIXWBdT6UoACLKt22zf55aAHhzNX9DfB WttkgTAWf8htIhKxgtUycwg+X1wLKbGXBtDsYYF4DE4xpOHeD2tLLe3m16+rQt4HOQ5NUR7S9Ooy 4x2iTnuVWt1kLNjTqfJg5fR/B4gOZhHTX8++YnuX+Ih/lGBX18U/kvBiUX2ce5X615utKsWvx3+X sRDVwd4B6YTIksNgJs7BsQiUWO04d/Brudg6bzD2Tr7UF4lmKA92wcw6z4VmaaC5F8WYFH/0YOhz 3Qox5ji07zGr9/2TSSIgrat6UmHnbhOFv8Q+Glp4Se3VYE2eHbBdhSCkB2h5G1Vav3fsOQPSOddp +tTTZyYvYx43suw/I7nsv15sOMm8jlJO/JePqRfwzbWuxSFubhwF1RFRukpmHFg4lUKU21w+2EVS KskueBYibT0YrgmHcCTFDxwUVzMQ5TOUFnEpxnG8PcZJn7LI9rJi25vCSJve7lMm4q3NVY/hgeYA MmeE8pr5JAE9XqbLbQIsjoUJqIRlffnE2wWDQ09JL/FgiQG261+KtdSYhI1aY6XCTYTTxeCmQyEq /3OfAgg2WzU34X2UISiXATxTvyX6VW2+tiG0BhIHqtc+KgL9kKrNV0oSZqL0jPMawtLth6ilET7W JdjFZYMOE6v7jJ8G/41V+Z9oo+wy3c8kyYrv+5REL/1IQYXB3MVjLdAs/vrl1DTk3gE1cClubTx2 0yETYYNoAXMX/kixg7WnEd+MlofBbar4Lc+AUuggbBaTd8mcqLMEBkRuPMWboO7ftnDCl5xpnRwt 5AzalHfixaGad5iCoaLcI99vSBzMs40Lqlag6n85xR8dzIM7fTKqUPAYmC5GhsP7z8dDY1F0rEp5 YkRwUOZNvAxAvr/uOR8DRzhOhZSq+XnK0wSlz9X8MH9A3nrRIzVZCcyEUk7nMCgxM2/1s5HoSfLw akvVhGRV0HJZ31dcR/47Go2BaCqoaY2HvrPVXbMIarkqmuxbNkUfxEpe8h7J7vQgFrT3oKmK5y7k EP0C1Sfd28/Yb/vL2YOBhgc2qekCq6EAsy8UjRuJzF/ps9iJUXhWeE7zZpctn+GRdnkt4Dlt8eug jNcIjAH4oDHAOAK4AkQ+cY5Oqy09zcyHFh7NBrJjIjHF6mqukV19BO+rDv9OmPz5JH8JHFXzqItu 60kQnXlHe7ig6dS/Fv5txyMXJZ5sDw+AlkIfSl9EdjpTIGZaFXUxq4HQnBbFei6lTa6V+lID2Yh7 YBKJ6xKhkqlUhzmbb2u7MVf+aoPfhSI59ATigs12DLUIXMqwhvgqHQybZJfYilEuLgCfzFsUKW7C j8vuWp243g3JIiFdyPt09+F0W1Dqh9Zh8KnJ6DbltD7nsyd6g50+wnrzQhaRnzFsgKOLpkivYpP5 AsTydZnbj0jPp7yxKWN9QEB66whkAZBmu6AOCtB3Ta1PqaukZzXsID4JHzlYDar29Zq9+vPgA6bp O8QcIdSVy0RGL8H+UHJ2pE9RlxA0T9BtWczryDxc6XwPhGsGCzBqPKNARkvK5eVnK5F6Y0oe3/k3 h62k2euHDTVLT7fAlf/P7oZgE4WwkiMKp6Yje5HdlQaR4K9MAbV4UslZPHWkrQ0NrbUFj60VMLbL 2zqJunP71fMJGO28R/MSJP+FsJnNeobbEnTvvIrqKSsEjSQy2oTr5EKc1tAn5caodpr9BqYdqOJs wIxorI4sMjDSYnIJ0+WakvVpPx8UVY+hNXAmmbThpcAvxo/f1lcJWuTokC5pR8S6U6oOfc9iPJti xC9HYAQoMgQK3JXHgTcVP9dxsdUwvnLaRTu3RkwJzgWZQVWpYgL7bGnDoGVVKQJpeQRFy7LjTLGM ZPovqmm1Llzy1dAU9WpZY+JkycpzWHIBu89BH7gmDTZrIxrhv8cho3hvDsHzcnGJUV8Ii5O6lU/7 PoIKXnqZU8NIv5Hvr299CsrxCjhgHQXvgLzucU0+Hf4dYJVL5qPH4AxxCg2bF9eu5Z1AZ8lrENPx vxuB8pmabI4x/LwQpYTPo1UKRtZgpRns9NSzb33UaTGj6J4paXtZIHzT2KkGq/8A5TBPVnvq5t1S +ko5+66pz6ma+pOXIfi4mN02QZhyXCFBlbuW2t3Ta5rLl7SWu8O945YH21KoQIQGksrCzLyNJutP nqGv1OVDqnGqgHE1cwrUNHznmQEvDFn8YVN+6f6KlXpbQ0UgGxjH0HvkFGAr6oxV3k/q4dUsDerT Apy3DyH8TNMuS6rJmK6182gdi7WyFsD2nOi1bDcDdSmXmMGzcaOkVVYzcsQjUBxVmRIuJfOnNHNM 8KQbmDey5/B20s6Dk80Bm+C4HglplcP0/KUppzuWQjNAUjPk1VFALwe2nyQsCjZpBFh5hy1zePfx QN6DLQrYJUMOyX2b7ZEjZLu9l1LjfGfMIfzDmTQNaVjk8OtLtw2iHMR1JO1N/+dGd1vnCSj9ueCG ppLYQm2fEKMaM5kgSVMvjw3ygJIxnsAl69VvvbndiOko5Q34o00ZGRMkxP5r+y9R0l29Jm8DyJIw d3Rfh1BmCHltIFXeKa+2xFDTQV43x21js20+8uEB8ez2wdyuqTknOvo4xxx8+x7eGVm+XG+Osz+m j5GmihNXLf3dn6eANroERj7LTBA1cDgpxOHXcx4tTwWcpw9VeHzmMiRmfDQTzMjJdejQDYKtEUOQ 7KTPsn5h6y79XSfwjfg8VsbIYT0TzGP2rKbX3horMh5kB1tvbO8Gg4rt4QCL0uoulEDSeT+LycyF 8wsJ8ulJz7xAPpUM/1xwqxDBOismTjJ3nFyODW9bKQ3RmD8Gpx5uo8XtuH8mzpVmhzM0opY1ml3u 1uYH+6wsTJwXDbZd8Mqugzj4Op6MqALoWdkQV5xXA0Rki+zLIelp2b7B/4FcMyG5Wqs15Kof9Usg yR3oodK5drTPBcp/h+t6lA+0U4vNQuTbb0+sBsgz90Mui900cS4cN5k/5jUn+Ls1ygCsf4mWa8fk IEIOFoPVtTQb//gHiK2KYkpYghs/VN0gw8wNYvgFZzJNiupr8qti/dwW029cy4cKuUdrwiJWuvHY 5y/IN4pHUSYI/Ypfl0fgORS7Ab0g1oI8zjmXObzhrwG29Uth90ocyj88w3UdRqDTvSBKdQnjyAR+ 8c4FUov6OlJiyjg0us/pcIz5OzcA2Sd85l53Ble/z0g6b2dKMviXCP8FqAMWkVfM+S4YPU5Vys1H tuswuJEuPmM9ip0VjJd0xijSNbQeAemxbUBL0kfQHZX117oHIp+obRybHQU7o0h0Jls7Agoj4C4B nuKMv/gryPIlTWyu2HWobl89EylkIrI0+z7cx7XXs1uWXINo9l0CX0BEnZx4iuYEYaSA44LI6pwy blMu2v7Aw2I7IkeoO7RnD2j6dpXPpF9V4C37/ccotxofBfAOxvD2k0ztMtDxtXA5GJG57fmhCxa3 4TN3E965D6uq+U5deOjXB1jkC6lXvGEgjcyUy6KaA8AvmHc85xCTM9u4TcOTcz8cnlSHQ8AL+75j x0r7yAyjkAaVRG6R/MTkeHzm0bSVzwmo8GOx75C9i6kIZLbLRoAAtM7aZ+qNDaDZNy3u2wSCDnvD xtVi9iJmZgmR8f0Nw7kT3FjZEBxs7ZEM2b1lBjj94T8c/UIIemNSUaXCYKhqA5SGW32AxwArK+yL FcVNr473r5jdyc0bAPblR0rRV3BbqWwn/SaH+qujObMcxY1qevCkINUKP8nwjVzZEvrzCRRTnIIt 2SwULMQewoLxUUuudKEkD+dOpk4Wr9iTHeGqJoe5ban/60InL45gpNU2wcH9m3ShYp0S/X8ngrT7 sbtFDK1j73mva+s6hNmcX/Wn02abby+w1DSvHJyEr32lNA8eqT22LlnNa6eLTxBVbxQNOaqRNQXc e1KcADUgaMqyr/G5+UF5rfH6A1oe2Hf8iCmNFWv5ZtYR6l5mV6pNn5hPB8vfkVNIGMF1mPe0/VOl 9Tq9Pc12qwRQuurfCS3XYjZInfiKnO0ZdO//VmGfVuIg/+HMz+qtRc/ZcXx4BjwSkWVSIks1rl7A jATZZmBE5Cn9ZuZC4tSW8gKVzCCn6qQ+5ycfJ8JUt20pzH5PXJqk9qD1vOp+8qoeDt4pkk6/il+f qqYGBrBdAJluV0+o5YeYfJdmTxqWnUOX8jcoYO2Tnb5a5Pp+FO4I/zYnfu3sddJjLyPXQQUJyoRE B/tLh2lLdccXqWKCI3vaItW77cyvNRj7mUO71XpuZNIBhLU0wnKQ8fVvA+3H8jm2ki3iWlUEuKRO IijjFSjtiJuxYrrEXKKzl6f1SNmFw1sQUTcCN6Y+LWp0/XututUJLSlMYrp7s99jUEUjS1Zqz9mE 2VT7+Zdj0rXZdZ+/cnKLBSYqG2+EIGaq/hZBoWhB42Rx3QzJtkCSQqv6Bqg8OiICdruWoJSwsorc vJbfWT5+xBezdrDrxJiMTTwEEeAMTIUK3w6PAW09o+3IayZPFeT+6+bwloCktXNIe+7G8+DCf3zx lHuKVCe2YAqcHgL12lLI6J1cx8xi/j+B/Ynw7X0CQqgeHKwnS/qjf94npePwfocJ7dWXmbX/s6pa 02B6k3p6yN1hMWjnodyEtK7QphhaBG/gxocJ+VCl0OwoFtRCPZ4AzTxcX+CBmwgqnXvMucRAmPD+ 95UbWOjHC9kXUjbPKnPuhh0MbuIwPX8cVd/dC66DOmqwmPv+/n4Yd2T76D1B4CpNHYi15PztOCKh 5S16EBcDnfjA0jX/aqNW284vyPrHy7NdF2OY9Kc5veJvIOFW4oNMRsi+5pweRfcrVo025k9QoNEB yDQcDX1MpCSi6g0Y7j7ZeSwo/kU+/0JFq4XgjVg1juqnml22uMv+04EWJ7bPFY05FuManTwe7GRf uHjOLgGpzrVIhLKUULK5KyuZVlA7NzCgZrp6BbdzZEUO/BCeSbq4zU1n0FOTorSurAETARoki3F2 qV0m26xcKqA7QHrPExFNsSuSxR/VH6QcuXr7dpoMrVXNvY77QuC8r7X4hzysPF5KjgW28YE3DUjb NFfdZVMzp7QX6Zrc+HwguYuznSu7Y+xbdDdxmoHVqmnO34lwRHkqiXYrkjLd69OgzWenlkQk6a0F Rti5mzLU2IW16OA8OpRtCLhmQRzRlufLwUDbAzXgkVispXCcyXQBXtFu4paGFUyMPBh+Hz6hH0nj sL8A0Xj2A9Kp0ndpZNY8KqQtPws6FE86+YanEEu1MHpEPQREyNQSfcxtqnGC27xBUzU9YOKyDIRl EHWL6ITvk5EF7BZRRWMgb2TFhkUMGgAKx3C24r/iMXh8xCwJhANMyGZh/7hb0uIH7L0+6Ddumdrx EdEq4WOU30exoS28UvmCDvh31yDgZnvAsCkp/vwuyo5ccmhM1qDD/nTwue82pqa6+SxHVcOXjJql /MXTXCMJ0mX9dGTtWZSPmAFTvhyBpaU19TNWh2HimH/7veP6FG5+8YVBHrjJihZvEmIwZqLuWqef YadRjFR598NUsXVXzIgKb6dWbOQoiMmI9R7wCCVP7GDPeNZX+cH7eYUeUqEzMOJrE1Sv/074DbGV NaVTlEDXwLLLzpds43flW7yEYznx/ofN8WkHfTWT/8bOwDdLA5F21LQgXK2Rpf8s7Z0dwOwzqpMO 5nbznQXaOEXvL1P8hrlgEzvANsN9mqN5IPry69G4MRec9NOqr00/bn0c6hCCDgMhRv1khVQEaSY5 pe6BYfyh4EOTDlsj/w5xCJstvZxsRfibKhr7TMoyO/aAPWqbHeIEpMqSLNTMavlZQvWkXLE+1Hi8 dIN9r3oMlyZXkDLUco7D+jO6f+ou5tBMvDFRTcHn5e0nWK5HubxyVFfAZOgz42ZgnFnDyUZVnvhA sT+X7d7Xjz1ZxWeoPIzS5c86ZXC/C+CybdVw1D6qN7ROcwJf55tXbDoTL9Hh5PTLNBcbAngEEKVo pD7+vC80lprp/cxny5Wxd2gczkJQk+KuN7aop+aPghf7R4j0CipNCdMlmeT5V9WomfD7/x1kvtAy EWtZc52skZ/g7cTZgTty4wOw0fr4k/Sr3ilGswi5islgLUMmEZmW9MmIJsGAB7gw6mRxUNwyyEtR 0HYmnfdvTrJ9VRdE8HOM+x/coTPKH8d9h5tcrUOpDmyceZ3ho20L0B9bFGxMFYUQFf97binafNd4 lRvkYlau38ldK/Uuwe2P9HuJI+ZLO75iNR27YcWu/dqLCk554NvgsyAo0YdzCZI1H3TtseaGANBP cDbQ31FL3IT8PNuR6vYfkUKVzRDST1NIhN2GZlnX50YuhS86+Sx3Ynmaq9OaHWfwiWjlbF61AnKe eesK5MObDHsaM0Q4rVjK4ROmXSX12RywkmSy9CTg0GYCJk02pltAC6eNCARbeLozvDO0zS8l1/GN nWEQO5mQnziU8aeu7VeQYYxizasZMmxpmjBlHiOLtEVMzrr1+Hz5Vtd+bsViBwYPumIcdLa9eUGv oVSnu9KoKAGayANrJ1iCm/riLhptRLQxKZLNjgr4JLxPolRfUGXMxzQmYCSTulSBFquXY39MWwNz a6HqAp9K13Lb9GqdlKabdw7UjxQKWY1XoL68oYvxPQLNqX/2716YAsKnX+a7kZkduocWGGYiOZ1L dbDEhlURJg/gTjHvHf3WlpIfldtUxDNgo8z0lSIiUXnzh6UMRnIfsLbjvujKspLwH3eQFiyo0LoF HX0NZg6/AWTnGx0QdZqvfmQeJZxRqpZgrHS1ovPDivlhyLap8Bf/eGRLlD/TH2une7DVmrwnzu+S mGWp8PGZ5V3jRNdzMBNrWnl4hwZ18mHAM5bWkpNMBpg8O9uXz4gUkNmNfmFFFGWcHjvu1TlGKvvU XoiRcLi/HnE3usK9g5WuoziwwXplpsgMU584ZrNj+CmFtPDt9g3K4bu2JxUoFKQT76nAJt66VtAS d+0e6cZfgoonfEwqzZ7EBSf4XV8mWkcQKxOuncDURRe3f+0hmThuVLagepJtse/K36JkHefPBR18 Ves3SKTaM6imF63/iULeogz4hECeVgYPWJIkxxiapd4dVxUltqvLoQ2zWcGEqfzI1jR0RdF3xcJj wbFV/QnW9xG5ikvOGVEzPYOejrYKhdaBLJv7vPL+XkATD1+Iny3B7M6ufXPKyHkLYftCO+AQE10c 2IynCxRdih+52Gdz/+vF26gkCl/Tqcblt8USMf9kzAyWhxMDjsCA2J7zJEg7y8hCs25cozqUSz3t Z+mVb+OauTGqQvOX46AMLQR3TlnDC4YujQJ4c5kEpfr0MlN9bNw//rU1pQzjaoUGgGoPoTpnFG4R xzpK93KTwO4RGlkCT1o5zDjW0APgRFtV8RVI8Jz+35Tpa4QIu/jlCNzXAQ0pDTmI7nR1F0nqUvRk YiyRc4gAYjQ9S3oehCNgwjjI9ALxOJYHEi0d93zbZ4NG8iFLrCLI7EQqA5Gnsx4JSQ+UCZ0lCtNt 0jK+qjPRP3NdfsJnMzMlzXuMDhH7sWEo5fOxZYTMreQmr+Z+wGzWOmRqAAbVM5uYyGepVul8I0OD TE9tvR/djQiEnRp3JeG1a8Md4rbL5euLbLigItgWDI7CXUO+3okVoWmDzDDmoIlAuC0LUELTKqN8 iMf/tjuaagg3sU3nA5j3XMtesxcXFaXozz806tDR12+9/Azc/B8cfQPQoSXoZH81zhqoCv7+R4sZ K5rGU+QYlkR0E9vomSJdnSXsZa9tO9RV/paSIVUus85cbQ5rZaiyFdJu43Or2BWgLb2TfwW1pvBW cGCfB16rDb0f3u3G0Ai6Gq3lzA4/CnQVwAmA47oGgTYE/1yYY8cePWwrTaULh8NsnUR7n3NuRmvw bmf4WupwuTmtToMXZdCXpkJrAwiYnR4yrR0zZro5e5q+hGN4I7B6nYnAeuQ080N8gLEt4ZiHk3K/ cUymvsYYA9XVxwW5uVsa9tAPCA4wcRR395Q6Ijtmmj9qNnt4pCpR1CtNaNwcGsB/mg366LZATAv0 DQv4FFSc7iEZ2bagCbob1U8ic7LzPR7KakUgnnGqPHm5i9emQSZDn4H23iUMcsWnwhZTwwBpuxrg AFLl2WfZYj5UPKepmq7/AfeAP1h/o8iWSy3kjYUjFA8dvJ4LCo/Hc+ULa5o8l/PLoiXRjERh9rzJ jXEHRv6wPEmqbXXcCW7UcY61H29e5RdKpU+wQ+yeyNAgekKes7Q7a7s7Upl/efd5p8dBk0pXSYOY XZNkSczXQxj/l3ga32gnlN/RX9FCvl69dP82Rdd3LrrmUu4NVJii7nZpacmMwheVAGCEMIaqpLSX AmmnvRgu+hHnxwWxrXdh1cwKkbSni7ojZUYMnB7HurzgjUxsSqGFW/170As9oy/UxtK+F3Ftle4z z/ifOWDaNhbJf9pi/NIyKS4jHwukq9ZwMjUmptnC3zMjEOUsrdif7cTBYnz9WwuNVdwwsawc0Bl0 5OeYG+yp3HRxeN5jiiryKLy45C+bh4ER+RcHBk6rUA2uq2bkApWfi7AaS6P4Rambt5poJbQKPl2K j9mLUiWaFEfGoVj3OAvWGwc5JxDsTPiM9OLYrEzqrK4dISL7pl6QJt07BGC/lLTh/FcoWjsyNiHj 9Xd8SP+bDywXNxaYd2c2sLbLds/OezWVmgD1QNsDKvc5v2tzIq2uNoSVZCHZ4oW+PQ6IH8t2NkUs vVJOVvPYN0zTkuNWK5KbjyK+PtezbjCbwN909Wy4ygSckmS9TXlTagLe+rOjbZ+COf4841kCGMeA l31hnQ6fPPvqwymem8H66fKSSA7MHADXLkrld4QpzdLjEAhbsh8r/cIOQ9Sg1VgHfILkcSXNPFw8 F9mTXtpti/9Xx7TtqtHZoLpOrHSJ2SNSct9LvUuy3nPTKEUkpD9CmhkDtylChUHVPAavRhUCKOwr bbF32S2BHV6cvH7rV/HP++oBXPwcnxcYKAlEOAc8+6Gzx93wHIqMU5LSGPnQnoeObNnAVSQcdEPL wYyY2FwUw8RqMexW47pjhaV9xnqI8N4yt17+d6oIie/lBP9yh6XNfS6H8KEAVcJaLXaOaz+kcicN b9YHQOI4pJ7QJTV2NgkAbmuASWQuTfHB8/R5xfG22giz+eTXvxGiDt+VOKklk+mVBcGLkwcbQ3/5 HxzqwYVCI6tmvQfbAbU42Obl+vlOyG7y7Sp0XMt8BoJ+HN6OnMwF9/0A3qO4PuQ/EtIQ64C7lBIg NMQtlERbplvzgShFPWw/qkXqscbNBfaLYQJVJFtvmJrVatF7X1GNhKo2yzKg4bkyx+itxViYUX0k nGZlBdlfe871xKAoKksjqHsz1cnLSo4UhyeOaFJgbIP6eQJY/VqUV4lfS7V5x9rEDDxhDxO8p6OF DamOlEIRLJdkYztNX5zKzvgM+xDt+jpeIIlgPr5+ePCJ1bRjLdUewYoPlqYV6dlwCd2eoNu1gWe9 q3FI/LRmvbRVKHssz/OQRt4yb58/fHoPdJKaac2ptL0XX28e4qsPB3TlBNhkLCaCb4w2O5P8pKAK V7dCoTyGpbpSQerZLaiE6Q0t/3YW0Jhq0qDwvYkhr5KJCaKhSKB4CJnw49whuMiFMGz7zfStZQC4 QrqyAGHDBMvYIKTnGncBQ8+8zmSUNI/p08nR6Uk3cgeqCVjpkMmwx3sBIavJqpCZAVDPWx5/QXnM /rnn8cbjieYI+OuVq6Ix+SvNQqUf12lLY953PYIulgRZicvhAV0XvE7g/Ig4qPdnTbE0YoEAW6+y LLCEiwjdiHpD+t0diu9sH0nsWs68rIP8agN1ndUreFLcvYdTm4KiN0a6+5pM7+Ll3elrIh6AKJyr heTcr9EiMZm5tCBVr/0yz1edkWSluqGZpS0QK5sMbHWEq2JaCr1nwwyAqBIFywkr7uiGdGCt672X xOv282v1kA8xQA+I8dHeYDxSNZLGA1lMUDWoQOaXWncK8YM4U8EKJw6TyVx8egQ6v5nq+llulldj wofbtOBnzH9xluPPeu3QnvY8cxhEAn/hMec88xLt/fyePwHUUJNj1SkmKaEwP/DmVUGlIJ4wolH+ /sYXE7kbw8vkPZn24cAlow79npjT75pjl8LfZHAEE7+IY42/9NYLlgNljvZYaVSGws00ukpqq/gD kHq1yMb6Msy/RIyTwzxRmbsDNjegEIwlZYghN1o6Tt3bwkqKDHByb8CVfKvfTtxFZ2v/x7ng4799 pRfP+2FtJIqEFn7K03b0tJg7Dv2T+tacvm0VqicaG44/iPkcFpwz4rM6SPX/6kUQd7k2UW0VFopx y+rs+eaHx48hSEP30mB6tzejBZ/yGG88s4FXsvmSNzYezK9NisBUISHKMFqmgojMGBrjRpSqUsjZ Fyyl1wUojHLumPdPj/1YDQz5E0WwTS9/hZpDaNWY/yvs3RUEbq3cOqMdPtEY1Ff17+b4M5rSOlPf 4SdPX9laDVDZf3BNUwzklXc+HKW6kQevqNwNjbqzs/6XQ7gslZoKLzH5zjmCwpGZYcTE1lye+6tI YbPmncUbKvntQS8yYG9xYtHjZI9yd15PvFtp+c7lYcsRuMVnWhFPwyV7hquqI0kkEu8vjNuDEOVC 2Vt9DCU1dhmWthaUsxypGR4Wef+eRtaPpcw3WPzO1kFyw9accr8dQgJ6JB0Pa+C29bUevYlbhyqV 2WuCmDRNkSrYhKrH/KKwInBeNlt/mnaalkTLP8MmiV6Db/hG8vmW60o83lGS0LvMqrgOPogtzdcQ qCoFKWLl48A3lLHGi2lfZvlxavYwAxHuCQYKWUT0/dF/R6Ziz+mg5TsaTJihX80L4A1kHliiZ5sQ rxl52wtLTevi1lrJ6z0tcc3oNo2hfbZT6BC6w6bfBBU4OIClJwlB/Qs98r1jxdCoeIM1BhcScM8E i+KwFUn4Vn7K2Td5STyA05EUAz4KQBYcQChmChgB9gNsFezIVtJSuRTtx5MXic7cQVt5r5/XTQNh LvFPGU7pMblbvIEttVThQTtXTKMq86CKLHmXCXO3Z8KwEus64+pXTj3b4do/0XIDxVOuKn68hDYs 3jv0DJwghwIC/GfBqVe9zfdKKo7KRmGFaiiP606asT1bsyKn3mL1mGUQwIVIa3jlRTFA+12sUgDz Lb8PljFLNnOGGtDqjeJewniw3BnPdbi23c7GVzvh5Zlhy+rzaK5DUWjr10EI/CNkYqgPb8aMyNEh DMJnguT70XqWStZrKbVR9UfpMIRgCqsKKAbsYaozEeHr3vMXnu/T3U7KvrRN9pyV3RYjoHeKJlwu LQHJ39AWiDNlmxQoej07EOARnZ82QuST5yxPy7UqK9R8NYht8aD5GKWlxmynyT26gPGSnqx4l2GS dVKxp1tTxMhxaFD9d90Wjsso/rYYdU/9ZzSe1pYxXPfb3JnJXiNR2jIuoLtOEVbZ6v1pSyY4Zv9S LsxVicSzcGa/Uit57IDbEixEqb3CJlGgCTcUybt53Pdl3a96rkBO9beyrpa5eJlBWrfnZzLwaN1+ kGfsCgGEyARF3mBV9CbUhEueKcsPO0A2kOkuFBOCzHWqnSUeJQp99mLLmk7Mo2FpPw8YdLKUggZY HpDcN1hVhrDNbnKwKaxLwtu/9dJNwm8+PnX0TYd0pbDGlyDSmYAnL8N0ndkTi6y9v78DpNmeIq8r FwuD82THefdBjEntmTYkATalcJJlHcV6j2neJwB51SeHpQGSexYBHDkWT3wvzK60NJ8Nbp+MOHjR kLRLC2RGotByRm9oW5xzr7t39h2evxo3KeF0p5OmFv+z3NIrq7Pm9ahvMu/OC7PWkl8Lkjapi+PD ALgbcITJoIGJQ1KRBd/HFLYFgTOyyZzP7j2kabRgyJrylIeQG9CvfDEB7x2CkG4l4nhg1DVIbnDp qoxaxcQq3Dl7rS3vDdhkWypHvLEykODquDcF2Je3J72gCClJBo5jroFQ3sTJj6WyjOzWUZkgdlL2 FQ+gx5wliFK/7PNtP5xGGC4f5Vg2hRFrSiyf2JFQcl4ysM2+uURzdv957SaHh2cdE1m5r0K3x+tI RguXxe0343k3PKDXc0vJzjwjSTbkta4hrarpvT9UasoTmmnTmnJAktCBY+RWw1ivk5jzK8hCc7tA 4am6P1D7bwBg93vO2vBLruJx2LaXTBOsXe5flgGEVPOpo6S/VeTBrrD3aE+Ov/mZW5d00sHgFCMt 19U8fW/lfCwo8hJIBHrNWUsPpiOgfbIWDYqp0uNUkj9gEwx2Y08VGcBkmp5f/Q0Jk/9ueVNgxoCj QKTX3JKqGe2VNfwdScqxwSSH6haW9g6vfKtXT46dzPGKTkxPLPM/1akBja8RZIZRAlrWZJ8OSBbF lrIcvKCokzSR4kSUvF0Iec4w4DM56emm2779VTccrcwMMPCf77KboCF3MQgU96NdpWRMvVzhWxXV a+jCUGRFsvS71sCMiy556MFOc+7hc0g519xQisSzVQ/Wr7DZAQIHQ8w1be65jXenk0QKwx28G+oV YR07BGURA6szG4YdvY3q6weEWkW8e/XiWzsQnsWPBNUkn25AWipnnHRYts/VcdsKXU9u3wDTaDLp Hb4kaopuR+2FcmadTjc0XpqEOT3IY/YbfPw4wshVIkmbQIu5tzcqn6UpPZo1JGCtTFRg5VD3un1T jZPieZ4wp7cExo0HCNjhQ2V+5OGDwsGYR4szG9ojSEMyTTL/LJ4AEYQDX1bgjEgkDgipR2h81lVc evC+n36k4PQOI4XwyLc95Ea2W5LHWmCggKBlV96KctJws6g4wwcKwR19Diy0tjXzD+dK0kRWCWQ2 mORaiBIv0V6ccpFGqUB3HqvhBE7vOkPkLVf/NTjSCoqPhKNROANEKImqylUJqrNgm73dvTuhH7pd p4F+OvPRRAtoxHHXkvOvFVA3T6BBtcQxGLf1+gdGJo8ndtKmXNBnfCnFaEiOnmoTp+zGkaph8VMJ fwF7/+K7zmtygxl5PTthNLkjIaI8k1VKKcVokUZF9sRB5JUMlNz4WmsdJ0ONMFwEl++ZH+p2sgLg qEpSa2NSCHCUevvyZW0wnSXsbMROZ+qNtBo42byZEMIzKCEYGpiJnvve+B0og4o+tWgvyjNjyFWT rDTx6rVsWTQR/bJ3V5e9i7TuUqcve2uZICU3w+fX1jiCGINQttXAvDF8vBnSdYHIhAvGJ5u5swnq BjTLYHNSBkXSqERW+86uTGokZkAvKP/n1QZcOXb4KqrmgEyaHn0lFt3psDpsy6z4L7WSpcYz7wcN 8sHR6ORdRouOSEySuyxFDlMU2ye0MqTMue6H2+lFDPWacW4XHADzYaK+PlMSYZNf4OuUWv9Glqq0 T5I93p4BuMJ/5qF/FZag+jeWxNy20CTU3UagIT9xUrv/EjSe2SbtgFMhwfl55fQcJzif6mhZR68P 7WjoQ5Do8fK7z81v31BHdxPjKZIFMECH1wbJap0qyjIrSobf7TvXkzDPbzRtfJ3slca00msmEvbE Fx7Ni7ijGHF/+VoTx7G2OxePluhP6TFhcwgE1zSdVYVIPWlcAkToXzntXGGg0C9Cx0v7K6VaT7Le le6xadeokbzHvNXusvK13vzDo7bNGxWhql42PDHsdhA1Rvk+GAkwT+vmsah4uPQXwLMFMG9gMwbT h8DZZ4eJfWyJxSjhL3lSJUZ2xFqa2pDtoUV5JoMeoGvDaVI+LVrSdUvVi2FZKsbqp2SX8OVHK9vk KJpS0q2MInWdOf5fG1AkzAjM9BVs7GSTG/cfAbiywLfHoVb2Xt0B7G9JQ6pQXZURrQGXHDXzPxjQ 4FIivPvGn3xndOd21S0rXtFMjX9QOlDY3Xj+77doMHTDh8PQWF/FoGLyyGFE5p7Nzrro+fw/han7 Qe2AEwMxy6Iq+f6WM9LS0YBrc1/8axB8Q4gRi5+AOuiW8TWC495gY41TarMj+Crpf9RtyG1C8elb 765Ukwy6Hj+Z7ROUat5cvYTfshS1+K7+MhzIUX3+06284TwgdzkFKfP3qP3UakMglAP47c2w3bb7 2E9dp/KcEg91AzLqNtZEhT46RFZR7O9KIW5RYPNz80YWnXZtMzNPFxRNnpw/6xqFtdKqKc2ObVeI jZlSth1ktqLaaTKQBZPd4qmSIipBZak89U2mwmaufv12KdIUzIp/1BC2OdiPHPRqZMJRYoA4WcIE z85pi1fQB+OkLmtUmYmb1WfHIReGLH9XnBQjQ1BvE511gMMogCuMdtEqNFX8O/1myMZyENOv7fZf oNek1CJ1u4JpDhrzd43yTDDliA2/4nnbbTU9QLECU/WMDwKWUmAZCXPSeVq7ZIBPW5D30CRGO6WM e1/CMhsRMDJGyBEoEQ90labNaAAvGsLyPqS6b9rwyvLmOnkZjkIAqPOFaIY4C1pgJsjhYpcSfBBd aMZmx+vttVa6G6Efs8avAfDhtyOebPs5Jx6vPetJ/L31nQ57xAaso5HL9/sR5W6Sn+gOAAYErR+G ekjafHBFE6L7/3/n0YwxuFydvIxFYgCjCCiXLzYBbXbIGXq4t9CvoTBpUBfaSF3WvTOniyifxveO s7WMrcmoXgXSewD8jSj7eZqrWGk/0SIxnhAYgoyQO9RA7yHWmYl4lf04/CV+c8YXgnJqqHbJFMsr h6L155a5XWniU3wiwpdWBgcfYygU8OZhs9FAGZO8Y3AaAZau5KnhFvLM56sCdUkcqyjCIGppND6X ni/jY8uUTL8BpTq9fiwfXUOvs38frIzl4+aoud8WvAGJZwpEzjfve6C+1migB2WyHgOgfjbpqFZi cKFimGnkbuQROtpojaCcxpW2n2cS0REpKhxLir7X4kWOteVYYymQrNNgC83/miAuY8qsN7WKcndp AW0uXoTZovWQxKY2AtYVJ4Zh4QQyw/RWCsVFf8io0KXeUjJuSxJe16wQMz4JiJOn4IwmVC1J/oet TSfZ11U9BA52Vl7n1dMgbZdtEFi0gy+K2W/pnpmLOu3iQV2NDSSslTtuvAGv3OlexqEj0jfXmY0w /WkMY2vKmEWW0f/abCTJ2g79RJplr8nhGuhMJEWaXbizZPslEKD2t2dGYxI0C3fxTasjtcvLfV4N Z6KWBe2awVP5mq3DyE3kGd/BDnXCXZ6WmbpfFEUCwtfHixGsPfYtJupT5NXQwN9wVSY+kzCey1Po INF1580Pqmq/HxLcK31Z9uT7L6T7JtNAFEgfXb8edABwruqML1H216cFO0/pKFvY2SyjR4NdRNJo x+z5TCNeIMh8gpt2+bKg57fztOzX+l4mPj8cqxWF2P8jQMnGV54ou2vw+7RkmhQ1iRznB5xb7viP 9NnqBRif6D4tBa0lj9YoPCkshEebJBQgJ/RF4vwQCvlsKyC/K9mQ31bBFaEAKIbSGcRSdQyF6zgg aHWmv9GVG30K1LSVnY/w8k0eTX7YKukyfFXEswqh6Vyrpey3AYswfs4zFZTILZ+BqjNNy603eo7v USPOFO4gN7qMIuCKd2Ip+O0KhqYblo+RLO5qApIhXgQ7AOR9auv97pFKPmctrjfB4ormf0vxFLl5 qSSJj/lZMFYuJB+wKMLqdMGcrBzPTIU746l89gmNiVP0U65oR9TBBmoaZhqORIeUNf3Pj9nyWZOk 8cdY/NGUu02MLQIQJqwScmVTa3BzJrx2Nd+Qua4tbdsq8GOIhuedApEgB4s3G1j+JISwKAY5jgxW aUo99UdtMADXhKpi5aRSxFQEMKTzpPDx8u+hizOD7gK5qQq+DleatlkkR1/T1r9LXT2OPi9AikL0 ieFjBTUJQ3svzLAdeBJmzYq8TO6UvCGrb60/6rc7neNzNLG8K7izKeBg6gq6fqYzx/hO+K9oImln Lqu++NAWVNhAmKVlM1rcvuzXAvnrCxCJq4u9vYrovRuTMGIMx9+2lbveIbfZqyE4FwYJeCtgnKqC 8GyZ6wprHO4rISgi1coFW/gn1Jo40UAMR++grQZAagzcjL3s3FLCW5gkQjKxQEqq7uArBPrDcfO4 90jBhCUho1uikjEv1/cG+8LscszkVyKkGCPMvieENwagYKHoH3y5SlqgbEUCIB6nhRqPH8DsSdfX 4QWhRlss2tribUEJ7dO3EeS6oCNvOjx7FRWsxShIYohhGJ4XXL7iDm/ebtoTNFdx6SvrtwC4GCae A0PBd5t9+7h0f+yxiATWZvSLkW+laOwhKf5eiKQRD2RfBOFLQFXnJQDys1ezDaLDUex1cGcq24SJ F0Kqy42xKwPySjVb0+MQSc9Hyycr9nsNwihpVtNhMV6TyMwt9u+ENs4kf1PdSq/vZ0Md3TWoeMuV h8Z1ox8ljPqlJxfuLxbHkl0sDj57hW5ogV7/RQtoWSFP3KrpEyvSavFoFhJpc93XmR/h95S2eqV0 ihjjRiDd93lc3v5HhdcA78Qk8s8B+zZuIa6L+xxIjIXcENFbkp1ADVbKl6Me75TWCSYjfqjoVocI o1UWPcvGZZmOMjquVqMowDoL362XbLhDDcv+oxF/AsutYqvwvV3hDXuyMGvEQ84iqzbnLxjrQzKZ 4m8J8Nw1KQDoCFdGfKr6WK1cva+rAEY3820pwaSi2L5To01UoQAwCRFyS/jPoYJH2vNw3+/paA3+ 6VFI/SmX06zXz63P3iyJZ7jEHl3Vu6hFnyO63TzVy1UWPb9FBggDucyqMEG58sVtkCud3lkyt1LN 6cuSwo9CTK8b+FxEHb3S/fEZ7fk7G6KwIFBAp1+gTOdwMGPX1N+PI1sUhqqp03RRRwfQcQABQsMU H6StgdlPoOnJr9AIzITCy0UYfug7C0ruEFidCSILbpGiXi2A4aw0d5QY3iW9bnkv/mz2CUlLhpkx btg+7IYdUjh78/rJy/nT9dGnCSwF3LNOnfnHnrOvOKIY27gA9bE0p8iQN2iOj987yZJl7ea2mpue WkhKeU8Pgm1j97Enn0Mqr+2SbpCMnHT3faef1YxyA6XDkKY/q7p+Tc998K+OGtOrgyf4lffncthy 1FbH27bp7zDP6f75EuzUyBYUWSbqPX8wZWG2/GIvMZS6Wfy7mWF9pyLz5B3P5bjKQEoKtD8C5bRS fkq7hIHVLOWxJN1RBqsiTt1hhVnPXRKqOvj+p4wbf6E692J6C178fJgsKtwsaXIvjUO3y7faWKmZ xKyMIVzyEov87noK7ZGGCzGlkLXTGYaAqdamNop8aIJGKCrJ9Q1jxAYMGXKb+FUYSfuUO9Fys/Tv 9jBMteUMrEA8A3Dy7VjVSpqzP/6O+02LF2y6lhw7jp/5I3Xl3vw9uWIe30V/If1sj0h7Z1AiWP77 lehutFMyFFoR56fyApbEDWIirk9Tn22JmxeD5veDFZ3ywCW+jz8ErZ+jskunGMocENd3T0HTnrtN 4aBHH8Irk2zBV1ygdOTLtUW4xycVxfQg7C8CarJYkk5k4DYmp2zBP6DIL3ZTfi4E/886YEgXDJJA gy8GyJYUaq+IMdk5hgtgQ+qNEC9yKrKhpG7EjwX0Q5ji3w67L8/1GPBe2DVpb1rWch46bB2o/a46 1sJLdhgCBAa79bfnt4Rv+K5i1Fmgo6M+h6D4V00EKSI/DNA+WHSyPCnpyszcblPRC5IDeECzc6Y6 qanutUymp7XAcmgE7XSW0jB5e++AMXBFlBOxfeD5gzSRlzVnQKoretPFfxIauSPILtx3w86ZOpsj iEGTSu9vwy911V+WMS+wdxWe152yBSiaHMoSIsK8TpReHhFeHN1KFGLGtgBQEBMuE1IL7eetm2rU RZMo83enmGGbAhJc28wwrdNxiwW6iuFQOHOCUeXZzMGWfCC8gdwCUX8AzlsBJGk0Md5ukLeNvnlL 9YO16vhXhLrMNcCv84MRAgAK2XxMhfItyhYUFYIQbL/SjYOTSZv73Qs9br2o31jL8QFdk0ux7EVT lDBev8LNVocHzMTxcKJER/bMDQnNvBUe9wquI68ld4ZWXE9i5eW0DAurGNwoIp3omKzIvTnhm6TM 00rYZr0cc/cwRUBW6Fw3Pjwmyq2Fbshxoi4rlkTM9abQBMDQxW+qYOBKwhh93oGrq9pkkOtrF87c jYUk6UNC5/L6KC8bK5hfnFKhVmJV9pbxBa1+M7HHiPOHz4LabbClusfW8bTWzeX4SbTq53bbEozd fJhIYLt3r9dIYTPMVdFNVLTpDoJMJRQkSjnEhjWX1bzMOsphO3U976TswB0g29XvKjjvhZnTxdDa oL/dFD6Lgy6KXr1yGNo4yjQG1Yx92M5dloe3PxXBEQa4rJNqLG1rO+y2gNoLGdg8QwlE+cihgZ0h 3AtbOMSYxdK7l5erNeYUmWWYr5OgAW4IC8zOVJbAAvT0boOPddymf4xNzQ8BZfCvklqCF6Q/bsBG EZNQbeZ22Db1p1tQRmQN5HXJwLYpoGEzXOhsjh+HbVAWQTVf/flPHX9/5W0SjPItAkwkaaIdgWj6 QfvbG5zlViCc9cbSdAlE3ulOWFu8/oH4yI+B2RLb3+TmypP4YdZVg3JWmQINmQ9/IpIy1iKPQt2m nvGngvFJUWl+Z0fW1WCUalJ0q60ruNXjFm4mHqY/T5QO02SkFZ5dBJE6mqC54i3xyTCXeNRFTlWy DDFdzAfPV/8t2cm2nfh0CvXdN5Y8ayfp0Vy+FFuK3kUSwT62MNB+bcT0fyResCYT7l3IVu1yvKBc /C+HDUxLQpR/YMl4h2sp12VG0a1Ei+QL7CBgy1chKKm6UAN7K6dA6/1xZ5O+l/ku9qJFPXrKeX5y PwqxFRSEIhV62HTdM5R1dclTRlvlw8tuvJetKg58DVTPKobMJQ9SlS1DCoKhNjGj2tSkpHEVbIis Qzohd5Cecgd3EzTo8gqZ0ol5R5GShHKhid3nkwSvBegV1wmMTALqyEVVS8a4baUE3s2cwfjU0FRD 1JQZoK0+5YGI/KotSYKp+YHlIabGTF2mpT5icDfXXRVJmav7bQNH1Xp/fscvefqc+sD4aRl0DPL0 yl4kQKtETN9RtGaMkOgvtMVXfV/E/0cB99DQJooN2RpkKFiFkczoZspOvlHji3KvhfNjezaN4mIa q7AnPbA4nEmxYWR68k63Xk8dXaHF09I951DVdMpj/kfdm/QJYivXKShDfDfcCnx25dfU9vbs8sFl bgQ/Si/zqQ1P79yHQ7cxA90WzJpjF8vwdjKExrd71B/lvwb6xam0Q3aYNsppw9GfzYcFzWR7IGNn Ps/kjmUKU0L+9Jeip2Vyi3boX6JYaL3dXpUnIH3i0D5NtGz0KHkhZCMtbDJI9UiicnGj6hvUw8Ux X4lENRjs1WWgtpQEjiNoJqSJScK490BXZqBOtfZn8oC3X0dLLFiOmo/htfbATiAhBta3+hsQTZei osKOWV3MtE/Ov03yFyqqVAfhceX5j9w89Y+3KGYGfCjI7oSrRsuF05EUa9QsdSPrbjK1QifqZe/0 dtX4rB88r+Lcm2GRCLV/QnU7zbyzvZOtPIodFae9DqNtmJoS5Xi2URTmCU7Z9VyismqiO1iWHeS8 KTXgDQplah+MzXFNt8vbot4x6zsDXeGEQJ86FJGD+f8cEpQ/A4E8y8/GZLwjrTIyVRQR8FWLS3oe v2mVRqQuiK/Cz3nKkaM6b78FYfLZHyDlYppJfketwQNB5zoV7JrWjLV2iH/Jc8X5efIh/wFoLtYl SRrVX8OWbr4GbpAbCdBBBPNzxhUL5zNyVKBtVz/1j37XiX6AFmzumLHyL4GCXXndQhKeT0nzUNrd dt+8Egmpeebtb+oWubRPAF+DDkHaY8yWKpLXtfTb/JcbXZvkx+eMuRIk5KpobmAdieqez/8ScQOb v4wzigqxrHgKjKjmij52e9P7fSmDAdI3Y86NgQ8/ZVibLbt55gwzq2hIMT5E8YVNs1KJlP7Am5Gc 1kAsl+HPZtF5w3uj7uerGohgYR6ZUgpZ2tzEViPU8/xoklWcBNvojGwCY/2w/QknAkgvmR0VHhU/ 1ieKNsBJYpToRbhq+LUwEIOp2aVZMK+BqhTDyl9TEi3OszTQG3zwWgwVoUxTGn7SRQ9fOcEa81ng ZEMrNw6d/HHOwhepouS523j/R7y1mAQHALPCoOATDYFps5bLnHWzXqP37lPLyyKbsJTUF+GsOHd4 nI/Zocl618b2lWPjDlYFgcV3QOqhAFs0mhUYvOOoTEjiMATWErqk8AU2BXbYe4DNADJWPhiXHor2 oCnTEv27zOs7i27Wbk8K5DquhqAu7RQkn0QIpKVNUPXFDcGGmBbIOTUcXaXdaCtrTmw3f8uAsZ0x MtUJDhNh9XEebJGk8sGL7Y4I8We4Mx7B8jazLpJQW/Joe0DMvT90vP28N3TWmKVNWEBjU9Lybort WvGNMFPOnOoHJA/1Spu1zBh9pkXQRriEmjzyvuHFqd1E2KNRyRC2JsZD07nRFg+Md2Z9eA9ZMVsP /wSXEcgg1UODBlqtZt0PO3DPWNm+r7PUu0cnwyG9GFlP5xGwvSahpQhBUk1KOR+Z4ZDCj5s0uwDw B8Ap2EM/V9CwYK5gkRK+F3R90HxuoLZ9OuCht5i+zLQYw9GuYKXhmp4naIX80jNdNhpSudFv5GXA gE4avAdxPBX9uCzzN6Okh8mAHN1GufTy1jvPOtAPPvvNaHyP4649nLvRX/85QQVrbEVQxZapuYIX GxF5vlD5/IdSSrR6kns6iX/Xl2Y6/PsSTU3oBRjw8L+A8Zwrx3xGrmb5pWNHgkqQLNbE1nWMVPd6 hHIPHg8UVrmw0EkWs6tMLajZ+p+96Dt2fgYWglTqlgJfWyxOFVd0X54LUWtWENYrNNPcS5K+Egie UrZCHkxnj5Sjt/EXHR2HO+NeNjcoSW8Z9L427rQoXi9zx6Ynqmkfb7U3w+jYuxm91vcoLDY3+v6o jZCGk8j7BdDXIrtMcGnKDtsqQ135Nx8r3rNmt1rNeR/zov2x4+XUkC456X+lBllzO8gf6ASgnAuy uCPsnZCq/V3x6A/YU7lbqBY9VwjiSsJRxbbjUkFmhEzdELI5QkYoVfLeUxlNN3l1Cx8Ex6vvZ7Pe C7RANQZBfX/bRel609x9JtX5QoDn14aDwu0seQwb7Jo9eeylSeFfvT7nUgGQPg1dRInTXVVvNjjp QCvkc1wpLuQl3Sm1fdNqvZXmCwqS7JayutoLSn7rj4Vf7i5Somr9F0xvgtVXc11BBAG7F3ZCJZTZ DAUiIPxWDzzPIJEn/R9p0pUFZhghdPEaW0jKPRn5rrRUHBxseRv8h3+ZM1EVsciw6yASx4emGgoM /fOl5b8Wga8Aqxm0qNx/y0dDoa6+kUeqAvpEUkK4Gn0vPWS6qaVZfcurclK8nIo/Do+9XkB68iCI nvJIsEd+VHpW6sllKyJlZpcItYOkVhfxqJecFLWQlYeUqZqpw49zttcQkjEUn5gODhaI2RsrhD6x SW+STH06dq0g0apXzGuM7rtb3aGZgjXkiWDd9ER5jZsKE+fCz9+QbB6aJ0/W5Tt1x72pQmBTexJU 2GoeYbwzNbEH/FiVbxyr0ajb9mPdB8UGr0t1o/GytkUsQS8khCrx7Vej0ZcLqqqpw5YRpyRrbUWV /v4BVHi07DjMLRKnYW0ERSZXGA1ijhgeL23b6VuzCdSQMmOkN5oXhRT57K+0+NT7iCNcZlz4uAJH mJqlOKCOt4Q+58LFPuXgOiJZWmgUGUpN0WVEhplhQ0+W3FLtwRghkGFH9sLTjHGh4ts8GflPR/sI qVv1tAvHHLyxfF8JB0/Jkosg6xyapVTtau9lBiLeNXfSak3tAKWLowlsCYxSlHGQjF5mkJTqhQvu 4rXYcDxztAwWjulW2q0Tk0yyp6h09Cq5k01H1k4+2szlglye6wy5oOhj5Q2XXMS5VgHrFkZLJxDb +dzJtc491GWcm1Z+MXj9l6onfwOOHSckrSTQcuHrFmo2RNcad/sG7VkZ0gzZIUP76twSxljD0mdf Q+p5ADTqWG+TwyT9jcf016ZcejI/GNzzfGyX9zi0Gy+adBIn+G6ntlSh5PCujeo72S/6mxR/IhXm l+SuT32o8VCpuYBFWumCUCnFUSfgz9JDoFL0dnjbdwGnXHZS3oM5E3EfkQrAQ58tEPVDa+wunT+R kNMecJJYK/zRHI1mo4GMeGbmZxw1M6QV4dx5PgvEyByLMJOg0VUxi0PqtvjGPe0s7+ONqHs6CzrL dSP1TMAXBvS5ePbPRvoHrUZbTYUJ/sIxN34l7Kuzm7YD4TmSvYBD42TtFFdOglZrirvjFuYyFOQl fVepSNmJKAGMIJDhlYnoSvROwFnU+jJuwF07nbvhvOBifYQaUjBm7SHMpzLsBsdpIcVB0NrsGIIX +HD2DJUCAHDmTR/nmC7dAWQ4ubcbHtSJNxEYGrYAHiDFMXRCYvp0GPos8CuceTSdzF0yqWeGdt6t EhR1o2crfvLPwsl97fOb6VEphUGMsKcdyTq+HlDQ6Fjx0zkf3sUQFi88W4bXhd5AsK8N6LT1a1rn rTaIEgETSRBEuLjMLgn0aGnPca45BuA8g7+hOJrSi/YwPvypIXh0ese6AKgWNxhocUCix4llwRiq yBD/uJDuKeaqHLf58rmRxrXQ6jIadvUxeyXM70hWMJvLhubEFbv6u5NyhjhXmQdYRUVRktks2HIt Sq7/vWpDbGKRtYOH0OrWpc3Dcv6Oe4gu7ofWER9SrCFYe7Im7YnLWeCzTPfdV2ppFSVgAdydpJsA AuxSJfF1Dzcj+paQNveMyZfKeYmipOrr8UWmcuIWZzpTH9S8Kiewmm5TLSw5NjLQAebNoCM7sGYs iLoNICxo+4KW8DpL9m+gEYH97D6NfIzRrdOyB00BaLRHL6c6VBJTLWEOi+R0oUV39w8O/FBD598t n/pTIlBa8e76A1CuVKFTKYIIPbFJJoL2cuLzJAVuaX+DjU8OTvpJ68K91t409/Hy3lMiL0pF2hTl plhJLJ5V2ZeEk7ByJlSwEghddY2Sn8BMyEwPoLNlvvr74p08ZXXWKScGVWGd2tO8C9PkzFmjssfv NheDxAMuV+OyABc/zCNQE8isubk6KWZOoRD20FFzhUZ5/rud7t0QrScdD69d0S2tgFkX117UA/gY 3mlo/Xp0k0w/vIuj5ZmM13wKgPInQ5Gj2g6j5UZoamfiTbzXqPmpMCTtlxyE99YvCip+FbE5Gzs0 qigSCnquheAECtHpVXNlGpRaCUoIHZQpEPw/yqBqiEWC7B8pZpJl7uBEBA/MwTRaiqxFg6U1sj2b r3DhaigVnc9313vojTDv0cMAtCDHyYNJ0ck+3OVkFl2umiFLAUo0L5MANokrVn7gNaFZAbyKIs2I MBolJWLjvkJ6HXEccgmhUXqpIoXagZH8B3k/TMOIXsu8t6s095+WQL8/cdxIPYMw/g4oiUUQngLP jYyx9g+eQO+FVd9KtlALHVbVKPhhWUlriAmUF82HZ93ygRSzT4ImSAL1WMq/HwEinc3XUz7NTC+e +2DjbONKeoqglJjQ7yzy4KwHUJD6pKU2zcEmvIr47wNZNzIXbR81OW6SiegCfIFkc8AmXd/pKl4v 8c3WzLgpllVMKR70q4KOwPm22UeqlehhwPYmYVj5xjQmxdeHirzoGcMOWKQ2Up2y4BfOBlKu+YUS v90LMMNNCQl2IJLYxdD5x96mfu8ZXOrQWvEciBLORXc+iingzdYbkInAoR/7vPAd9HTfPpdpOOsp kG9m2X/6WHUcGLwWZB40o1lBFkfteMwVr2960VTyeS7Hhn9cW1vWQ+KksetEAu88ahbY5lT9aOVu UNbUuyOOCmi1bFEW9baIDu78UNip+8nQZDWTwChko9GQbl65mKvZ7GyorjXN1zJz+tRwS/vRVY0/ xdrcG2wimvd0yc/6KBpHviof+A04iqCENpY8uchSa8lC0uaflSeNE2YTQEVt7c/jADJd0AviozU9 Ysi1LIZnq1/oBtCVK3qWm+lW7jbF+id+6EfjDTYWvJGZ528Ijj6NdUQ1UCNEf9kEWOTfsCTmgNKd FFF2h/4lyiPUZZET+GPspjJQkWQmwLp63hRVCAbGbmPS8zr7opACAwx32UENJoMzD7A55hbll/Xi Js7HVM4vVJq3XCDraX/7gTEb4+j3fZRMn0XiaVFDyy53RDDXs9L79BcNUU2wl65ztBiTu8dGLS+7 ooEG490RAOIzDFScCvZh1hP5n+3wwW1fBDy2kdpWrmNmOSp1ZuOzZk7TRaZCKFpvLBFO+E1JCHEU c+bdHhb96JIvdm7qzankqdqBwd4gX7fwNRN2wjx8M8ujFrbElYLbfrhO3J6n/K6mQ0yHb2CDBwkR gGPclutaePf1F1jOyZMZK4/M9P1+k2X3rIa52XbM+Bi3PyW/Hy1VoRlPSyx3OhBAklQiXGQPCTaR /RtTOO9/aykP8qExWjewhHD6m+yosMwThc0PoWTehcZ14baMa/FX2be5fis0OucBtI9eZvEycXfy qKk4PCuUOq0ySQ9+OEX1xV7piskaOtCKAF+I6dcSq/ayWw5FD7sVXBE6u6zeNSzPzZMdZt6tgLmA gFM4JGix8PP0jO43WT2nQKq28o54J0zT52R3t2ueVFFRBmkPAk61zkmsuCrQMhmx8mtf0zLG/76c znWhEXKCeciPR3T5lB1lXe9rfwTxgDxpOwDUGhUCxGatYilnqQhooLkZFREskVp2o+07/Rm+nAMS EG6ejTgPNzAhtm0oZqYy1Qiuif3ZL9FWU5OqB6SR/hl0NqMaWkKZLtkc3OIiHUNK/lTscvw98Ni3 ztgMNlNrbX98uqZwdccZNssIep/UT22qpNObxLwiN4WuWBdYghyE3rpqmRp2hxqiYXMr6+68lnN+ vV8055nliBtiHCKfcmMH6e4pRXYWuk1m1rSpK/8/LDQ8eh97MBrCGGe4UhQaw10rgrHw+csHe8rJ YkR2UXxLQHR90VUo7b6kiMrEldRYQ6JzeAhF1NnA+72f9Y5/tUQBdCi46dXLFlJ3pfe4/l/pIzVg ilCUQjqGnWiRbfSB8C6gJBGF8Y+hsUDaLxA46aGUGhFFhj0/4WGkgZWCE7RUQ984HZVS9TfEMK2T RFBJ+0hRqhDGkO41pBCZG3HJUKnfl/fnE8t5XFnRcJFMYElLv++g6RdgVfE7UHJOkV2aelYbRYIQ eULttSN1rTZpwiNMXT1X+x2W+MyOM7ndD/o0ka7eS/9bK4awrkhHYnjoM23673Az4d4CntjeJxcG j9rcwl5onovO6w59iIxS2itU2DCChQjokX5dREqsUpUDrdlc/TwYj61slP16Wolp5AdgoScX1eaf OKIX7gD9/hdTDv3eslg+z1GxHwsqJsk17TZk9BepA7TRJ4UrxX4zHfQm61MENENvCtcmX+iOVlNJ PqmHw8qdO/wNXkkKyiJqpc45VaNEtDgG0EJOhGZ/3FoYvZb3gYG4VkPPqrwU7OTORT29OW+sBFr2 KiNq+w9Skhznwh/uby4ZD/6lvCVwJQ17q9pDzKQYozXvBAh+IFRCv/5Y3/l6HA26X9PMxB2s8UIg CO+Z/hf4s05WmA9s3CyuN5Hel8y1qeQsqYaU4lAe5OHLPDHftXXbli9Qd+0uJzRigUbb2n33gG2a 2UVjgfA25YaIS7GZpoWSn3kgWMyaF022XBBSYqEnS77YnZl2VryjHgLdb7hcx7SyeCayHRK+ggLg w8rukVwPds38rvhAK0cWd3Cde4GWF8mD/KnyJXL4qA7J5rJvu3IqLbvP6TTQ3vETDsKAlP6/ZraK pZqVuMDQjWC9IxjlBQBteN07jK2s4I1SGwJ5B/DxXeEHcPXoP7LUr4nad8xt2m3p8hUY40Q7JnJa EUfrXxKIMsZLn630nNe1vhs4UiTYF+t64UOyVq/dBFOKZiwtVI0Ef8cykM2PTP8A1nJRK7rD4Nvi +ME6q8xulopUYOQz+fR4RCvvli9nBzXjRhI1aZIFLmz6vVFJuq6jyje611MhTaG9cbbLK6Pa+c92 8DPEOmYJcIToJ/NI3jKBdJm6nj5mVx4ErhNkxQOlLkrZv7xr4TDGuz0Dw71genl23IMHtPjyec2J UbwT+7EGD7U1RM0FQgVjutWA2jyOvMi0amsgo+HE5ygzm8jVPpRCs7y3Y83ug7fpV9bi2eZQI9Lj 52PCGRnk9z+oPVdxRznSL2/nEUCpetntioeC6ugESz9Hknrp1h4Zf/RdeKyXiYwQZQ2OSDB5dCQj 070yZwu07VaXJwBUAFgKX8F+mM03jGMlXZY6zTS4YSKJVXTKVO+/71QmvgjLnfFEzcMojpAZMjxM l0zKQ6u37OudEhRwRdQEZZB1O0i9Htb37Ibnbsclq0sW22NUSMz+haP3nAkgx6BIuO2B73BAdibF V0dMNauCNYP8yAj/GkIRHTFM9fm3zqBNZDjHkzKR2hanfAJ2e/NMnWWBTCmBCyweblP/3CHtiqHZ TJkFjVqX3+R4CzbLYuf8/YIprzmumhoJVl46OaFg+GXo+Gc+bEx+B75BsFU+FNag5L1eKUnM+C2S ujQa52o2bfNMX49sYIOQxEr2KijmWwpacM0mGp77OyBvekB760sG7uw2SZ1/intpy0CBpQroNkUa JU5ydeFtHqzA74kYGINyREm1S0C+UPTcrbiSkcxTP4cviJq4ylefjOqwDXbRWJSxom36FtYE/qHv TMSM8e0tNJS3vm6yAwa8VhA8FDVfhAwK1yrzwHf58WT9x13F9G+aPjCS/O893gQ0MTFtdrR3LcI0 cjzIMn5C2cBB+vmKGPMRqQehOif6dUxJH9dPrpaT7+KIQ9p9OTPapiuampV9+NdjPRQolhV6IwBA gSQ65sWpMuX46ry/r9xMkcNqZbxjTUKUX7Xg72Kn96BD9F5E+1+B268cWyIJ5kx9BUxamJqP7fWR BRhH2gnX4HS1pCSIHjHV8R3ncwrVicel9dSiL8k7We/I+pTCORmB39LCkHohoRqmkJGMKCgcYbCx l+MIezEaQDy9WwYPAN/y/LGyH/jGEl3B3KZI0YuTZm0WzTrBZ30/AgGkbUKMXjpG0oWlyK5Ojy5p njesLz+7sV8oOJ2+rT1KYJTFKyd38NpS9OMq8Wh2llAZGn/Ekr5KRBoYQxY5aXeriTle7xJ+bOQN vsZkqaCzUSqlW39/5d3FSuJbjDaB2Adve7Cq0E17XJ2O4xtHoq2RZoJ7A/UbCgFn5ju8SwbAeAAm css6/f9lFFy9b9hs2kGhUl7TQP4z5jKxAI2MBd3nPKsdzP+8aGqkQfk+PYwEIDC+a+EjFNFYkkSm jzfyxOSG+ZlP7LHXWeWhdmGw5A0ubkUHLB+ZCzARJLuslMQ2HE87rnPqgBRSYYSLgLumjuVLHIW4 /lKb6/Yp9mfLFEVJ8ZeIfmZ0dOO1GrY85QCf/seDAwU6P2HteUR2XHD83+6CtoG48ORbUXDe5c5s Qg7Fp5OGfAJWaGFzYdabp73VQv1LvlJxRfvv3ZmEuVsdVPczzbE0L9EOXBWfb3qOLb0AV6Gmr7g+ XZo7m2U8fIAZHSiBB4VCkLTNt7uVPvVWtwsAOpTDWxk+G+8K6jNv1QSriZrJwDo3e6OUJxRwnXmB qdh7S8n5xDsFzBHt9KUHMm4ChZAGd2aQRCvkSBBw6I2Iem/h1ukAeOEVsqwaEmyDDYbQ7DWp1uyb 3MA0JZvmRUXnbYLJqosZ1tf/uCRQFxjOXrPBPe7pG8rwbrsNL/f3ZwP9N+dZ7+acQIsBc+PENCjh demA9n6FjAh2nTvOqudg19/ikXEhVXU6K3iark38JFl+ESY+UTwvfhaKlBYs4onsAxiBYjEvT9lB m8N/wy1cPdiAXUZBInFtp1OI4+v7EETs32iv1nMcF9B0eJsng6sToyPPdLkyh1X+Kk0giNc1P6aO RCJ4MI5VDD5c8RV3e00WK1Jzqzepex0PGDFjmRhDbmu4+p4q9l0aBbFnlMIpRwah0XxlvIlFdGET F4IcfaBNe1qHXqnZiatu+eHplMrvzT1DMWaeNRMRFj3WPu8cR59BIolVKzCFyim3ujqU+xHz7go0 M2KbPH8DFZaBM1LE9xAOj3mHJnYS1EoUKfDz82+S5wY8we+IaBUh0UWXqUATb42E9XsvBPZ9vCx3 /aIZsZjZKIQwJ0cef7/YFdcWNHvAGn7HfXDVFEieQydhf2tapEhiOduEx4LkPNEx4GrYffKHsaD6 K4kKewr4kmrKHJwsJawBkkTPZJ6+nIttgDloEhSnex5/1+nM998igFpZweZoiYlqKtA3CUdg2hg3 gdPgMWEqvE/pb/FvMo/AhSBdA5Gv/PP2kcZQX/GfbVNFKKA+p+etD84pm5p0jxrAnQDh5sa7NPie q1Ds/JR1S9fxO9iiyY8FQq1OXYK9gnUGtK8GDBErlIhyXRjHz6Ys6PCGig3pFKBhfgdOJXaxZ7Vh ArrIr+F1MQxOBwsW2lXRPSTqf9N+LWA21YGzXXiaf1CWueDW2203SHWftBFKzaVpor4nKRmHv0st Mriwk43rn7cEkeCYUZ2ZUVhnZlyFoEC8AlfXcfW0gR3APNlM9ETmp9Iljl8N0QkH/E+gw2fC3L7R 75CBc4ZgoBOvj1ApFPuAgdGr9qfF3lSnOg3exoYTkqW3UacU/GGT7XPHqcWyDwdVAn+voPflbUjQ UMjv/RsIqjXDLDfU2P7j7Ez9EoYD5MZaiiWa6tItP453/c6SMtYng9WPPY4l53FpEWwiAr7hWFCE lfUqjV0DpKMWAFj9WoK1GKHwIGsSKtNIgjk9r62XMC+Yty3pYhg3ztq7axaTanEHt0akKR9UU5x7 H+YgQA6RuQiRW8uT2to91oFO/xowEbuI92PvyFP+RT6KtisrcP/w+AYx9OeQ0cvG//VkkhHOkOKp mFd8Q2GKXvIY9ikmQnA6nUETF03G1ifZ4FY20+PHVfaooqDcNP623q74AFUCYv+4lw+H6BoFHB2P sp/TQ/LXiB+NjMzYKGatSPzdmp6UNEsVCPV3SC8sXlGTcvjVodCUd/y6suLhvR8ZA3d34fCmy8sQ a8Iis9Dx24twaigseV+42zTSi1U8hmeLNknq6uiZiU2oOP1qjy+3mqNTnhtfZKi/zIwS1xUfVsAR a+mI8cXfxrSdYqJhgzDcPEgKVJAaxN1fle3mAC1DLT1kY58Vtdac/yvziC2TMYd0hZa53ph4NCCw c9MGPnaDgBjoumprZqYwYdgRcSmCFMnWFInuoyFDf2mEfzjI/BjQTI+YULoRqjHNajNmXbxhAPmt Ji+vS95qC1bKZ5Crv/7uAq59Tsj4oWCm6PnrTHJhHkvJVqE1Bb/qinzgiueuGUtbL505tY4jUphC h8DDTPn2F+7aCEME8O/GYCz5HwPZ/9IeE//w7U2brMTq6eSH4yA0XzorOiZ44FBFnDehn+8e66ln sWkXx/+uREue+DdTMXpx3NbwcEKzpdcAgWKRpD1Ip3j2mK+oK+p0dcs3HotsyBYoiQ5ctKakxhDz eMwehVy0G97cTFcxlKx16WgSkliYudSbLdueAgHsa87o4zIvWJUHyYY4gBgRmQgkwJUmgPN3QAVA Cutf2zk26H4TgiUXtEkcZXeLwreaPGHiY7kfCl0j1McOkXvr9KBxtPrVxSAY1GFMc/VgWPTeDXv7 COUmIZb0PpkossjsnMIQnATaxtdgq1vjEFizYHkl5XvxXfHCL3CyrDq65pDu/2tqVaqUiFC/t1tz deynnAi1/AjHIPOsohi4oEZ526HDLeqeQ3QHYGFW4eWdYG8zo6iD1mBEmDmI5fnRizkQV0lH7+cI kQ2smeyMmOHtzewz67f9S9j5fgrHtu6D70+EkNoZ5FYMe6sx31NwBbdbhQzIs9rlWKUnUhk5iSm6 +7yuEjvre+++o+5jN/gKHtS3YEJU0Xsb3kd8zDraxDDJBcUKPr/cC741SertOg3EZRwufFygBQ/b kD3dLbdjWaeNRC+4mdLCbDFf00rcXI+MlFg3Xb6hrWE0tmjjxu3QK06zruI3O/j5NMD0kVbRA30P kdgflReqG0iA5mYHNF+XM6Ksvrzlq0P+n0SYJjNH66JlStT3mYAlApJU1uuX2luyZQT3k2zXpdAH EgwYH6nynQSHCdpqsOUfGjvq7TXN3Ms/Bi01FZzxySeia8kPD9rasPnNCGDT7BVWw+9MlFPbwhoY M2HHzRvmO9maSf4Oz6py1T7ZyzTIy4VfdhZXybEutGnp6mzJZR0gu3dv/HSf6+tAzipe+1MuEOxV HEQU8jtiSjgCoWWskVLx7rEaICPHyzsD57nDsLTKV/vDMIxOrqD3lAeTG6e+4+whShRPCIn2Own4 1J8VrlrNyqVh9Y/u6MmQMxxpca1m5KI9RkOy3wy6wUWcbxCoP6++yWZ+FOGPvIPKb609bso8v/qH nx7JwKox5SIVNflcoGU4ygi5/p1N+0MOuEpOLvjaxzTZpwcsfMosMIpbicPcjNpaqTyzG5qSfxcG AuyTKD9AkC4nZSSvt6GIOPe3fnNVEfJZbZUqEXuM6GDk6gZbzlH5w+/hcyKq+toKyLk/ppMsmb2O slGnOTxVWYzRMjch2SuZrxN7XEhV//FkEGVFG94JxYYEkJMYSnt0PTObHq85GTy1XAUpebAdANBG YDume2tsDssmOJqH9M0b01XMK/Wc7Lsx16KhONYThd5P6N/4noTR6/Jz4trTst8GMVuhMT9sO3B/ DIxqtAa+6TKdKEi0gHfmG41BA+Hp9gTBxAYE7geZkowRnRCOQejFMqL5eNVsCdyNGbg8R3G+DzN6 vEIF2ABVeCWXWLwTflyjvG9E2nsRBnf4i8a4tVsIVj4Ph9/kg+0uCFgkl2XrrxOodyqmaoW4csQJ pseGBKPpc2V+QdRKKQSAVIqZKXQkZVUk4/fZUHavhrJlBrzX/mEDhE6x7cyWL/pwWfRekeC0Hx8I MhjEQ7QZuXVlhV16DdsZmke3KUxGuVJYxJZ3Ht21TAGqGdMmj0vcue4WU+oxV36BSvTYRTQl5Cdg J87Ovtvq7x+G72pJUMdD6Ym9qdWHwb0jtA+JzyP1JfiJ4vIsAZf9seCikvCvMiKZ4ixsarWUDO7S HLUSSxAKh7BGpewPZGVim1mE1/qeBzuFqUcZNWMI3b0xxXgtsPuToLytKhabQ15icbQuAM7sW+QH yBdW7IFadHkTPNES2j3upQ/zomzcKwRBd6PbSGnmJfSDTJFryl/AlQqpuQIkp1qMOrInlP25bQlr JSOobsN3sOauBHzCy2TZkUDGYcHoMe7+lw5JE9VyXww4XvDLx4IYW336pejDjrpIu/SZoz2I7BWB Cs6kDqvPJNbi5xkdQW7M2R+P2eMhDu/DuBB6Mwa8PsrAt5oTQ5NuNJs0oU4feZKcbRxBzykBUyXz 8pVJdD/kr09rKWMnxxwzRD6BFgZqb7Dl9bzr/SEixXUzPJ9K3fnsQJYTdr7ZN2az1QrvWAkFNrHk SdfnuJv/h30qKpPQdtfTWAJXkdaYMI0WuX9IVfuFSbe5MASHa8DHLDUh8jTeLkCxzZ40vU4gUNco z1YWfE2RspQo3VUmfddicfs2yBkzPUDOF9AsX6XypTcDQrNzrh2i8tEdabTazJkA0WidXpV5cmhA Sts2UysxKhujwmeZOH5+yvZJ8Ja77f2o+BjElJlTVgAKv0QI3J4vnM0FadpzadgyeqsVDZPHGErM ebbE7OrqE7pD8qlhBaEZlPs9yvk/zQlDNn9FSdHe7wqy/UCJmrU3CeX8GRqgUWdtZklHTuXgCCFX 85BYuG1sdj3Xwuv0XLSmMZYepZ0TdU/CdNGktzT3x+xgnioNReUaScv0l3Nd/7iKctaxPCZSDyIq qVYq+zTf/LTnSheqpcgfqTx0GGWXE50LPUxrAOJDC3uHAXw0B/ybEJ3lwt5Mrotoi0VfZxSSUNuE OOMBOFHM0y3Hynxi+ZgPI80n/9X16kidsyTJh9sn+sGBRPNL+Li/nlyJzKSP6bt82z3FjJDZJmC2 aF5jsiLKQ6iGuRxVEdUG9L9/UiUgoEdzpNGkXg+Wlui7RcdoykOWU2F3zTh+sd0qqvJaKpDCX7wW f9rg6R31vNWh9JeFOroprNna50rHud9x57gqF9UicHmj/w/XhEoiyy+kOKHP3vh8V6ElnxoNOXAx xNhx12aOgguo60zax8pAMBWtRQ7Hvab4ov79hjzm5Fr9ofWRaY/0KBeqkbxO07X/jLLdAUg3sdE9 PltPXw7sFJdX22ZMX2gjCMWjewn5xMdxdDIG3UhwV1iLfRJQkGKXe3USfULIr7E0FtQyI/oQK7BK Dokei3karhEPUBWWwZ/PEzWrFkLcSsEStf4A0HqAxz+M/yuSzJeh7AtLCHo3hCiLqoZ/UyURjF3b xTNrIcdlHyXx+vzUhT7RW/7/5zPeWD2W5pK02iVOC/Z0lH2jT9pgxhnPiyxfJg3LWZNHySleXtQ5 h9Q/aVoTchL7MwjGTO3OvloSd1npvz5PWdtT+CVqRAkvOWhTSnFS4VIUmnHzp4lgraW4S3kDkX0T pcqxF1CKTApOSugOngzcV/8JrZ28pMaqiM9Npnt5zxXNkM6+zZQ1jw+e+PDqMZQa0XcQkviFT/e7 pmlruQgeT872jVLgkZNj1M+tx1Nspy769IxC+49aRc1gf/QeP6IAUcmo1izwG4DJPKFpINunaatF HZxBhN2ev7L4uaGOVPReAPiTmzR/0k3OK6gyspHFfWbhULqVB7ehwkGBQLK2ctI6GfwWpegfRrJD w/aKaHAfm7uMhFKcu/0gf/lQqpG7IpWoBQBB3W1ysN3ek/TDhmVT/ezdI4gcnRMhLizVhJVK6syB lnjmZXpRMAtyX5++jLphUsdm2bVkWVUql4vM+2zQdg4DLDz1FdKI0H4RW3leTA5SIbiyk+DWYVRR arrxJXb3p72fgh9AmH7Ggfe442LwSzjOnmwGUXpXBqbHL13LRttmQCKK5PTSyfsEmfJPaS8FCSND SdC9Wms8DUqjbz0wE5fHth0sde3EpIgj2j/duI57XlpDxyBmZuc/VAi5LJXDxNJsx7Fq7Cch54N3 sQyXxNNci49DbZrMu4MjQiiYjfU73zNqoEVtzanvi1D1esUy3i/9SMoH9S3+v73Q8rcM4W6kLKSR 7ZdcxWxpsrzQ0YHjMbrI3h1Wgu3LAES/BfJTK16H3SXlBKJpGzih9RiylPhyN8cFwGzX9JObyCXw ufAW6ndnsG+lPfxxO5TaN7gELNZAAbN2cMmUPwIzG+l2asThH2kpcgnlUWMjYmUaa0qvIGHddqQS 5h84Le6aHntp5wB/2z97kFcLIGjUkvvhhI8mgKTaYUu04lyCulW5EA02muzDDZAEuXaJvb3+h6pk uAYlsg5LZBbw3BK/ND1qDzrz3ngY1z8cz/1DBVbnx8DW2dbeM0FUmM38fP2wd5Kh2tFF2oreblBr y5AbXtBh9NcyHudOMk+ymSf3e11gw3jONENknRlLCXdTikcg4pv82ikeSUyTAI3RafIFWeSTxrOQ vIZrdXEVqZonKkB+rKCmkAt5EFpe2mFsuuiDmEb0bAHaQGYjLHuUhx3A8aJVS9+Lub5GG4P2x6Yr YVquKh+SCx8UOczrbDch4jxgFg0AO4AF8iunolgWjz6pRBkGGFA03ktNuQM+CSgydP7Cw6jFGSYy 5PUwtvNs0aK3iESJZ+1NoQMGCr42XbKlvcl7aTL80gKNcfJPYgG9AGeEf9OnZkR+FxgWAC1s2tez vwc2GpMfH6rVjEbAKSd6yftPXx43SvVF9CbtzB2TqaOa5pBMg1K+j+bRwyrZmN7zQjWbPg7vuCXR Is5kRBA2TOt5DSZNKTwy2yBC/fZGr1Ps2UXdLwNVQqcOSbDE5hOJ53ZKgzk0H4RILC3GfUrA1YMH WKYOyRczSSrQ41ArzqWGywOLJunIOa8Cvc++MwvWiwnf7SLG+ZVYwDK7v5rWU6mNPFPkpchNknL7 qbP6a+8xvgyLtS/X5ivWW2mmK2X/51QUcBYuwjlZCwnhei5cm+hIN87/JHVwBqKA+TEZJKFTgFMf yifNa8EoePhaTBbVENM3qrcWBnmXAJw6h5O4CJ05LFkGpnEumQazVjy61DyFK2/c9yYdJg8x7swO XTPWQmiHkiAAepIQwnn8TSr+DrFq0wr9wljtXe9BUR7yrKvlkg25901yvouX0bNSmnkIMmx8ClM8 nrp+buERaHGjqMgyTmgiq1SSz3kPaIw7xn2NwhacVrWGOY1iY6+/7D8dMSnq7asx86RWApyYD7Mi jcfvqIJmEiRmgNQKLjd1azM8ibgYPKjvru5K8gE+h2C4HU0e2YXB6MyhPO7l+RU07YSe8J/2Hggm oeZvj+Y1AGBVsndQIrTMn1GVLk5f9otkLluDWud28Wfd6OkX6ruVbvzpMCURWlmE/yINDvoJuRLS qtgqK7tK26zyOIUvpcxEEY6kwhWdOksZi/tc22Q+AdNyRBpuxThzNpP5KhS4Dv8qx0HhwEd5JOVF SRMPjbfI2ZpVm1SuOaVBBCvXJaThZf/kE1wjp9tuXzNd9JJid+9+4GJWFnrvspkbq5ob+U/VXodm DJVE5DyGPy+hsFzJixspMLcDGx4W4UZ4WKKQMgWB5XrL/e1MZP7nM2n2TrgTMZod6Py93iC5KowO hdxcg59eDoqEJym6r7g0SvhRU1xq8Bg7yaq/lgQmu76VGnnhT1iIaH5WtzXoleuRJmnpV/sE2BOA ush9BJlCsbEJTMqGvaM7O0QFi9vEmZFWNCMfo2Ez062iz2mJ8oFact7tcKxQPle4TlfdL6PsTfEf bBFBvTYtANAksmFR/NOWQGaigezCfnv3mlzobyRiUVx0TMz4NkTbGkWtdVdmjJtL3NdGC/buQ7Rv LKycMddm9u8LiXpvGAguS8QhByf9Oiz987UKIAOiaJ2g8trqsSC6K9ORA2xgMnxFbkVHdjnY5QR4 samHMcgqFPKODKVSW41hFHLVDfqugW7qVMmPq5yMY5htq3POKW/UN2C7d7Im/13bV1V0gUfHrsxw MQerQbT16Z64EE4jJZfxAxsy89HxZoeqjBS9sT9bHpSD+0p39dxKnKvn8BEDYzzv0ySOYCMUv1ma PSZ9XdmlzmHrxqdscQiG9E2I/J4FQDKyhU4wUP2CMlMg6NomqhT8kdaI58u71TxQpWBRNdWkysgi hpzUEmCJQ97mjq6lIBmLAkOjmgCI8EamBrVAT0yms8+FBNBVI9QXFJOe8vLG9SE12qFp2O6st5Ib qFiTedCGBnxPtQVaE3eTsHLJ82NvI9n0yVtoyPDucM+pAn2pPaPl5qvRfaVahLbPxvIIcnAZ08Jy Z5YUe0FoeWHHhlJ/PTgR+BFxAI4zO1mCAltgjtabPROxxZTN010/n/nyAzEhu0su4oRWIFF6uvHx iIdaktm/FsPHcdT4W05SHAMoceiPb863otwHWF3Ns/u0VbFC6+o8WEI4A6/oyMm6wQquIWUExwa/ j9OGumTzF2C3nNMpSTNligcfyku59YKxs4EhwHnKdhHxKHGS2xEnXx8TdWgigy/3K0fhRhJvq6ra iF8gSWFA0kLTB+7OhB2wWxG7byIhdcqqd1y2n6blo4LGfME+4gYKOKEyRBGBDv8sGu6IZMDJZb1w LNRLTjzoFAJzqqBYSkomBc9qF1QjLbagLrosqN+S6M637Num9wIwrSDwh8f5wK+2u6fmMjkRk92Q yt3z6yDiUY7RtrHClXwPek8mOdx+Yml0k8QqENKUfGLSav9NfjAkSV45IQG1N60be/FVdqZWD2sw +NeCws4eTVFnA1V3ZFtKexJ8nzcsZjaFQCyEaROYiDkqrrTWnq8N1mqbS3iLJlwJ5nyuJjZ6lo57 5ev9CCy923N5i2D1O3S1HPIHjitcKAi90awZMfEad91KD9Lm0Q+LXsrIE1tecX5VcdwTitV/BEgw aa6u8Zd3BZu+pXD49JQvt3bhYabFqkjpBHPuUmyAd89bmHK7oXNhPtasJRHW+GtHHzBlYKy75u/R W+P5v/ynH5Dm59sJTq5N6bVzmtQ9t/GqfOsnlOWObf12DrtwOO8RliKYlyFWyRtYe3Bae+udLFsv UGN6GlMsI9/wVsNbFSm9dl+qR+rkW6R8IGCN98RDgPBQhCQJunjU7SEFen0meo24qaYfu7ZLCjUC QzfPjZb8JoP5WbXUK7jgbSu79ig935WOEpRGW1ixtiwXHpKfRPrkPgRxOMUvLs3nEPzon95hS1HH vc+b2ugSuzHH0ZpCrpxzRPOllls/x4tqCBP+Gm5vap/lovx0XjXPpigfFohKqUfbuNTaMysBuL0v kAa91W+9/F7+bU42ZHRMtwsjI+M7AMLyu/L3jptVHDd2oQnDxRn7npOmuYPxFclqRnZBV1B+z5pZ awdB247/QMOYsMmdaVKnuppeGNDtxnACl2aZzcidxzM3JUIT5d+S9K/FeBrk8HzYNUrhAs+Pl49Y LvGC6fwTYnvDrX0f2pIIhFRTiYSKrENVnkVaeRbeVVeKIHExdJpxa61mWWoHjdaHwgjNAhs+GUtI 5vgHe+zUiYTNluMd5Echim5IG8wz1gDfRkOe7h0c21UYE1xkLz3dFLLcjoT/CIVFdabriQBAbibd Ay65gg0EPc4bE4qENOHx7SMFVtzAepakyp3pwjT6zHpyEADE07fqcfs864dk5OcVL123P9jGSaEi mvq+XP1ErL0eJt3IS2Y0oEaJBz7xQcPv/sdA1UbBUu9PUBJxFtrVAAtcWe8H06BPTZW6f1Ry2fAV 9V0CTVTnxszwV4pvioYNa3Zolm12/j/7ZMgDxbmxy1rCWn67izwl3DKSLzmg3kzCBx7G86M4EICt 5O09EhYM2f6k5+kqRUfZGYzQDLIw09IvdyJXnwzCPVaAPv60/owrnV+3w8THVkniy6nSPggX6vSO 7ONPkfuu0p62t71unniM4JM0ORDfBp+jtQo3rLG2Dv/XMp8Sm2aBOnuKIem3qpg+bHZ3+/Om6d3m GNyZQTPzvbb9HpByE/FqyMy+2fzDP8Zp7gb2t93t/SziUHO7Wkt0Ut82scioTnjx3ikTe9FVNEYB 3L+78g2CElJstwrFfQCsJUjjQd22yjTUEKmDOSxK/AsqJSd2weEsRBePsaN3q7khnbFHeFD3sMI3 /heZlCbVYBHnLdQKtOee0PWCxk1hQl0L2zEZQdfY3d2h+wwTJ06Kco4c6rMYJfJS+0lfPGttdV0/ JXYewr3xdEBS9gyWdR+7UboMCV+St+wMysE642DK7WNsx+5nMfu5fAb/WoB0kdosFchDfenEJgdN eeJ8tswHTlLntiuWv3NpIYDFnIi8vIQ9z/eEmNk74Ewf+Ohlk6iBWhYyeUiwR7uDJ0o2FoBvMbzV D9TFaSg8shqhZYOjrwkbqN/OGFgbA7w5NvSscMoXmZrn08ANWisfAHPau2R7CAlPFjIrF2CWJcjW WpjrX0wuhM9R2ZsBBoGbeuetQjWh4D+asNTt2ygRtUonlFeNCUE2xh84/bzcpX6Ma1M2eLimUN1Z px7BDLATo1jdoQsZtJFkF0ysf8POfL/XTlUfuh9MFdjuob2XzSLr1dCIrSLehHqjQeyvCNy/YiKY 3HLB6VyOAnyll7LjRUj29aflhLTuLpWrKsy2aiz2xX08uqdxTXyXjgtii+m0IbvW5kiieS/1FDJv cDXLmmULpPEn92gpPLuFJOKDboQvCtoZ9wq/Zh/rW1eKFMtTCeOVLB3/tC9OLMyO/t36vYPG7iH6 nuicIUsf/IXuBkcqdhw19537Jy2MIY+cIj+ZcF6gqtiJ05sld/74Xa52VzyTdPkCvA/tqPyPFccE zEF0IbtZ3OnFjWXf9NZDk34SRZLaVQun2zLrAoPd0GnUUlwiqKa4J71uHO4pNDcpNQpjW2Z1KlJV ZQNKhpaFvb+J2de0sb59EPbjuxg7Ao+FOJi+F5uLkl05ZVpumUL0bIDB7ewdBe7C6ZeHsmaPBS9W ybOZGrbMuaJ5fTtoKI48VVZBU58t00bXF7lSQugP3Vjco1Z7kdy5FJx59w/AE553opHjrROaSmtR mauxs9FjzbGlKgqnDcr1fbhWfhihYrHPh3tJHsaCJQVGn5aag4kO9T4PlSlqsKGHCAxm81yquf06 h87dEZqBA67rF1EuX0zoNbSsqE2dsTZok6SmI3NSGnV4QfQY+hCk78UDoos9clm1YSXOJILCQAl1 JUa0HyTJTUWT7WQ07OZtXeRzQbtnycE84iIlYyWeIP/u2e1v5aFnDw50+owjc6JvxFbNBalxnb4q VQ/7Hdahzs6iukYN2UxfRPF5Pjer4OQwbP5PxnTK8ODpn3Eqqyw3UksMH8l1BlD93LU8ZfilWvmw OKGpo05/hpsm674vJcfqYRqCh3hTmqUy6kX2+gKRDe78m1yWQJ+ro+k+983RMpVkVGWVLwbqdj1T v6oJ0JOaxOpJJ33NAJRSvXfyAFbOKz9LWXXha0iO89qVKuh4IrzFR/5qUKwnKHNHNpqUTa+nn7m+ wO9S5yI8JNTD8laT+m7Dvhg5AIwvfNufjSvs63gg93XAjcBdF7KDvIZhqVGLptxKua4hSRq1ztwR Qe/dAjFB7PDtYgpMB1+Pa1cV7FUme8r2Dk9QmkWgz6XnSbkzIFXpH2DuA3X+NtKj73PJm05rTsrR FpRNJOg7L4ZeQNm9+7xLCE/GtKpxkbk2AVAV5upfwPt9z7aaNRmNigv12KwSpu5wcx1P1hGiL8KD 6SnBFmxR2ZU/Lpd6exUretHO1UHllsFA9DjZGeT5QtBSIckssIV+Q0Fq6mQEACb10zTv48TqWTrF N/DWB55Th6tp/zjdaiGzWhrqfshf+wL6vxsWTy/v015Gg6okStRUpc3U+J2gzCnPtzxZLnC2z1XS UP1D9DyDj7BPimOd3Hqn3GYYFUw3Wm+mfgzMd4XhBLTcVadHdXp4Svc5UIci0XOR3f6K3wo07L28 uDTFzwJ34hawXqMlkAaI2LSYwRwa0MPmRknmh+9E+vP/NlS4kl5JSbYNYTm41SwHKkNiZBDQL6ff uSXiW9DyysdhmdbckrnSAUJszBuZ2Icuq/m29v/DQ9f75yRpvSbgmw2/S7TZoC58QAfVWWGp51Kb VOTP+g49I+6/n3r7MgrF1YU1i1VwZUPZ1ODcoWFTRdolidbcD8SkpXP5WVOen8oYqG2UWwHH0co0 SJm6ACsyMskdVI2syLZ+m+puWbKcrhKdZISmnd/U9++ml5nNeQFN/YW3bqE+rMGhPzVMz16GOHB0 Kj4Jyf+pKfuGPKq5HQlwTvDqSmfQ9+P9371GI5ZQqWJR1fgnWuLzD6yGgdHXnXhlFSaev6UBYdTG F7P0WnfoJ9dqHGoEnyLTfeoodCgt1b+4fS2ZQlolmFn77z/9qR9F5oa8zEkBdoZV6ryrXEiK3DdQ i+zqjcnuWbk0Nm+q8zLOXEsOVh7FWruAfZeKHvd82HJDSaJnFKDwAUJrlzScFW3tAVapWNu1gHqZ EKfXIUNxGcLI+CNdZCKVq/1CqEW493k9H7Rk5/HN5a1niTPgz/9zTomltPNObFbiGDDmLZDJBiON nqevMipSf1ZhoDwgDpyYYW63hyr7f0bWOcHIFTyeIvZhW0MnHMmSYANVC/pfO+0yxhkV+BNAJTAw 7E7WJBhDGKrlxwOO2cqr473hf6CAJ/O0CmMKhFVm5jkd/bJCBtPetiKNoXP9fI7gsmMgwzty/zQG GC0jQ/odA/sXb9UPCsAoCyv9v079/7Lm/f+tv+TIvNfYmHE88dOg6aMtXum9455Wc+9X1AcHUQdl lnQLLZjHAct/8NL1lU94RpkQHAi/lAO08m1lrxRjsaTLSLezNB1JANdNVtk5wg6k/z0EjjDfrIWL fDdK0/4ItbZFnCA4GmJr2bmEMujMwE/5EqVxyY27kct5QL5O/MwpMJcCfclPrmBxh6ByiM37s0xa Cax/3wCluOAGP3I4SyqGtvK4E1HusvqTXmeTB4CVdnlVYs2BIA53sxRuf/UX9V+SpNRpacQZoDX1 bQH8i9xtSE4rqmZZD5OKS6qmi4pLX8EX+UftlBFiFPtZx4b+70qD/omDcPKAHitG8JNxNP/WKr3g vJuqRVi8wecLKWSBBaoptRVkU1m083c74NT+0pEdwuU85nr1PhRpCvJu5134iP9g99l7bX574M7p 4JLDK8gzYDrZnv9dyKzdWZ4LpyWnT40li5Z/uQuzhAmCLKV4GrZf7vxDjrkwez01XvBsaghQDuah bZwVdWdix60Y376M/csUCH9woSRtRRD8yUwGQ0ib0QV8r8nwAFymG0ihf8TDkEIyjgYN2ypWUJ2p ryA8EnmT2IAehiuB1wPD0+xxqgZf9JqqsPvRDpo6X3DIcNwqGzlzpj9dIYnB0SnAoNjeYo95mZjd lTvSyEgiTL0ulKorsmSqLB89Yj1c8F19TIWHByEzhTw5ow9aAAYFsb4hu2aH/57oko+L64tvGxJR tbR7dmrB9Eawd59lG9ijNhEqTJH4lrCImkmpvlm0Q3TAl6TAYuOAMNW9Yu7cFuqx3p3HIIvEnhLp yUWyC1e3EN6AaC/U0b94K70mOGOd0J1qm5hHu+P0anc4BiB7HwfiwG2H7tWEue9QfmpPlJLR1ycE AiEsk9X8WqUFhHmbEzIJi/J7RPJSUMAw7XqlxPWDMfn1MbHVWSs/cw4KiJxp+RDAz1toLyWpiPDY fqkUodE5Bv9fvL10YpRqlyag9p9xFJBPdEE0B0Y0lNkKXZ5EjFkt5yNHVP4RGGmoMy5xjZmKHo2z flUGQxFSPxprEvsG4MsY1ti64h/ZSBGo9EU90tmSHyz9zUXviNbJLeUydTcr2w+mnzZYMyfr+QlO lXZSlGL4rClaOIH+PlYPjJThQGA3YneTtIt84GTrTFhbtvSsFgUhJ9mnzHteJnFi0uVNyg57K5ut U9oT4vpUJ65awrtBTQLS/ptGboVzOtODqt5HjCrXPL8Y3EJQCagzdReOl/BFfORdO+psRk1vWbNJ ThTckRrcyUJLtzy3OzbE0W56c3aRwaEt67VBEOjnQq731XkuCS2uhgoTgorL5X2G2jYpiPHV3z33 uypeQzAX5Nd76MPolHG02BM1Ue9zqX07il/IxrjXZa4I4E1zAPChYz9DHxKNAnpOEY1ZQ+lfN+0F g2bC4sAb5St6ZTtKBuhL50BOQeIBmxsFQYH196E9N6R2q4fq8Sxizz+fvegPyFqrwHMsK0DFKOZn BAq4tB+Shj7wm+RTnzyawR6JE5IewbXUmPFFJUXJUxYBT3JRkiCJQSl2ywpdk4u+Mp8n9Ret2y2q M5EJAfFg5WJG2tBm8sex6Y7YQs4doJb6xpcl0ojcT+EuDct/w1yCzAMoe9fORmfw73erCW4DAbvM IigWwTyD8JHRIfLUGYpHWKAwU8ZOwdq0SV+NQFZrjzY6q9Lhog89hnPcXDpMKs10FiiqP7jy9tLh pJir4VPdoBx6zcMSjAp38f4SpooA5bfRPiciaagPPGfMh+H27dCPtNkUOG1tymylDwjQqLSl1AHV opEW4kNXdteiNqCpYjXa81Ph1COZ6VTRuuXT6T/6sIf1gtMkKj3bGWCORHbSl/cbtB5IuL2Q1U7A /RQSsq+M5ksBJr+sCoI8tglRNbwfV2KJm3c4vGCze2KOcidkfEmBFXEssk6l4sJb8eq3wvbslwNS eAyL5uGp2wp6bVwoZBz9ZAxymbGrEdigvM3oWnB4Th36YMwFnfNac2D8enNJoGtC0q1vBleJCkkr wd5xuVJ8MiupIkCvTcpQYqOYAABSu5OfL3yoH5HHpAnGAqNwS6MLzCteX3AfsX3nnsYiTpjkxPJe vwiYfL246axjWdic4bsTVNAvEvacIFxpZvAXFHq4x4rWTnTXFIz3L1fez/gY6PfUqcEt3Nyk+2Yw LzwxPbTpNrAhV/5c5/kQDDFk1g7ZPLInW8UxmmUdbHy4dL/kR27jMtpM8Jpx0oH2WJzz2DhVMkCc fZj1b4P1r84ziHBKsn4zFUOTj53ApMlYrf3FhJ7Z0Wz0hoV4bPIXpyG7fT1drAv5kMjeITd5QfXo E0IY8hlU19wD4tCAhW1tlCPX93NmjTlucnglSUC7ui/OysZfO/rUxcOFqhWLYRmVYhaeBqCSAFlK y9iTYZCBnpKdpXsNOw0r6AZTmb93YRahdw== `protect end_protected
mit
Nic30/hwtHdlParsers
hwtHdlParsers/tests/vhdlCodesign/vhdl/genericValuesSample.vhd
1
248
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity genericValuesSample is generic( C_FAMILY : string := "zynq"; C_BASEADDR : std_logic_vector := X"FFFFFFFF" ); port( ACLK : in std_logic ); end entity;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_fsm.vhd
11
83900
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mjgAOmmqpUt1V+Rhx55PcsZFO50ANSJzUkq4iFT2ky0C1WINgCoS9aiI2Aoor0/FtBYKfc1lhyAC A2yQaY0u8g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gjwaSbcrNPL1CjiRK1olSBjrq4xEV61J1xGlt5XKQmKd32CsZVNRVqoIdIOQw5EEQ7uMTa7bpCef /RRLmGjz/2hgGrgTwg5h2PJWHguc12Zs6C59vtsbmoplQOrftqG88iqVPmgqwLN+DTNOr5arrhn+ WjYZEBGst63L9iu3Khk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q5P6x1wYO7e2baBau0ec4MDS3ryR2YzQRaQhrf9aLeN+93m5ew7UeblmK6P8em0XD3V/frlrdjJr vuNgfu7erA60Vrjdo6kExSAievijk93kh7MPyG1C7uDY1IKWawOPN0SnpTgnKNqgJWNP76YGs9dE TN9mEgH2ADzwBzmsnM6NspqqEoZYVlXfQg9prMYa7vubVhbFy8YrYeUJcl/tvgZJjPwfOXGv+Ce9 6Lw3eVnbpPe/UcdW9doBauijqG3ME0OMECmmQVx3tDp7z92dYCehkqsqs9ChFA/rMqv7yoEeo0Tq fYW2vFa8NzvhoAAn3MGMFPC05Hn9sO45pG9MOw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PhhRJ5ByvCD/sp7jeb/K7V07j0XnHI1QE90gfVDZlyBNRsnsijVbOgPrfQFzzQckBnxQRDgodqBT UyEHG3baIJtqnx8S8FzCB+ms7FHJzH8qYiVcrBJbki9Cwu1coa+dV+gcYK/TykBXao/zKErqGGQe o5y2fe4hLUiI94eeeb4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TAojQYz3bVMMZK9Nx5h3lda3DWpTQmZ9NPXuNw/InYDrG2TbGUzW6Wq6wdSILd3J4wAwg/M3zRal 0Okl56IhevBfwHBHR9xLcke5Q+fEjahGlIEiyHBXondVA3F5GQ343Sl6RxPF8lzUTfgXL7wJx8A2 SjgajF3hMQRocRSQ/AaGLTSAnfM8jJN9MMLQuNU2wvBRfbi8VZAqaKMgXz46LlEZJBasUpbtUHwL r58zjjKIDHwYMrOB5SRbeeIszHIzYGbbXwuaGXoSgqoXST3hebuwdA7H0X6lL5AHQpXSqppzPZ6p S9LM5cjn5dm06YUsC+fTFEUGkdx92p4gSMtILg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368) `protect data_block hqWVuul9hWaPcg+Bmj71a5uWJgAz7ISsZeFhHHprOy3ttyKtQmt0NlGaWvBOwbbDSBGw/XDieEHj cNaDEJid4luXvGZX7cDOVMGF2PDScXXbv3NVRiXO3prdT39xvWq1L7nDe11NLwMQ4rtE0WbjzrkX QFacVNCry5HL6dz9TmcXk2aMvdB1kw2XUsFRy9W+VORpFO98vA4NZzMn/7zY5nHbBPhwWUUVDI6g Zt9xeN2Mi4dsuCQSuGaKaGh3r0IZLwrehg/kYTqRNuBdAdIKnUzAj0HwNAL9gTtcQwdn72hTDkIc vnGjFV61w6NUnj58Nz3c6clXOLZELvVW4y63Gw6zzIA7oBwpUMNobEANKn9rohFNkU0eXMIVKITe vVXOTUOtcutuH/TeOTw3xhpBqicjAFt5YknGat9Sq+C91utsqoBRLHWo7L7cO7HHQdN9Uc8b/GXY J/qjW0L5P927N9mAWNQt/ESywLjjKiCX9QVT8DU7gA5M5jVop1LZErBldRgI8sQ0KEcS9ep67WCl wotHX8M/VKQOyJxEFHXEbq6YaHkM4MejHzoZQWYIqSRDbhou2Vz4Q+xrR8p9ax0kP4Au18WrwA10 hI4gA73RNxy4N1bGAGCkfwc15tNBVBOLsA+rYsH373AhbwBSJpzYIg1VUXFWV+UJ1fC3NaAZypqu kRPFSbNPX3ZkwSLkH8adP42D12I54rgjXE0eh/F3pA4s4tPo9s6x7QAV47oJjz6wKHPRiF/JKCuQ SOynBPmqvS5Z8JgHaSV2YwUbiYQvLb/m9Riz6SiNHVn/KbYGB9Kbu6FVQKbx4sWJyalzh2WRtrnf g2krP+ZQviYrIQvjDDGAxRFOJYqAJZrKP/wxuZ8SJVl79/HUQvJXAxZumYruZSCJI7bFrJMFEuec 7b8V4R1ISCgHdqFVqv9jnsNpRkZLL40Xk7yY9KmBSWF22a7nzOBOuBFjspq9wlnZGgOCKk+Boyfq I1gMz4w+kiq4pl7YGDKEJsiWA3SR9wjghNQ45JcernzBNmHKVPxj1xRBA70LX5tZeO8AVvVZp/Ob Bx/T2OJZiNtLsyYYRzFxWvDm0Oow7l57blWAamPDx/507eMgHf8pgOp2UIByj6L+BwJu21mc91q7 Ftq5Kn59AFNw6ICKqJUBQcEThHxk9psVd67r8BqFA0kAUS/B1O1e643LW8r14TK7Ur49AGsLdqt4 +EW4lVxn1M01ATOKLYCH1+hM6QxowHgbYheBS+CZNZK30UtWyQrCe/ndOuU8LkePy93ASw3Eyflc 5MtKcKYw69CQyyN3e7qrCbZQkRnxulaFDlFNXeFqBHhTXBme966xVYhTf8Xe7ZscN9kMnuv+9Bcx dmtYPLZhBQpBDZuZvu5GYj5xdnPU9UxAAuotziioooiHhj50HSulrsid5xFglblYUhyU8EgFsL0+ JScmOpcR85k2sQFycVoNDB8gogZISZk/svoT7DqcHeGeRYP2NcAXTsJo9qYylTUHGPdJFWoPlHQH uuqM2qrJbFSrBHdWxuMUAZ4T/kEc5q55meNZBIhtl5pEobdndn+AfhRkcoyWEefDbf7OZvfwzdTH wnipocvdvGFAXufIDO3sFU0U8IhRrhRJx5ALGhlDk8MScUNgNtb3WrmOugDOo2p/LtbHzXCaOAzT QSHQ4VC78cT882hjYhIQWoAGv8qJE0Gk6UwWMKR+ncEkCghkXcV4b9zLTTunqdZdbjYF+Z0lmH4G oGqePgdQOZcsnAwhS/9IZqDP3io706B6/kHBxRgtb6xaymC0U/86Vh2Mk+uMCK9Hd2fPF+adEBqa +cpZy9YGyIxA6FIOX/49p9zb6Rfd0NVXlMlRLCzZEsgXzFbdEzkVZsgslDRWNL461AZqd+qaN43Q OuOpebmWZTYCUDBPMpQzg9mdA/dfFZ2m8DJvx8BEXVi6XFR703bURrvvH3CSSJsilGRnCWc97pFo Z2T6rPb1PSHwbZZL/svKGTtLhu4Flqi7ss3C2UfcUrqts8ZzJ8/oqM8cL4ujkK0HOIfzhlOh6ovW 9oB/DWPpHm179AcKqZ/F3Lv7ThBiDXzI+3w6+Gng+Zt8liHBWjJMJ5y9ZITMf0B/c+7gJxKUu9CP /FnLacn/Uj2ohzdqwgZoFE2a89E/D/p2MfZ7P24/CwZqRxLpk/H4bkOewStrzOB2VeZL35rCUZL0 2Xomd0v1jPQtDD+nfTmKUgWUQ0sh51+2OLCG+USKjq1Lf+LHiSYM+TItM13lggi3sJxYNz4hbhwk Vz50xvzSY6iQ48smhE162LaT0nv7Pnanc0BGotseTGHW/uxx+N90OhZc4XOJc2TMuTC5mhfwjhBK smJz2/WhqFRIJGvq4BkMAu7wCEBCXzNLzOXA7dRw98by2lBoc1Nc5E3aen/W/qBM0fYxGbM1zRhs tsjMDd6xYaTCs/8wbUUhEkc+F053gO72hYPrjrYNNwRz/hY+erPvRSM2TYWDuo3oN1DeqVmnkK9n zWKEeClVgUq6k6Iixi7JyqZh+A8yLSc1NOemWdwahaLZ5mRCu1u7TRbPJVv0BTLIzT+fwj27X7Ag b06VBTyeUc+IY3NuIRrh137n2R3EpSJOF0/uiZMQQIp7J/61h8jPk53tkcfHYvycVbGtm0bl4Oxw MHm9fSFFUgSZJEkWKXlP/lmAZxh5fFWuXPf5oIzzMV9v0q9nXF7Y1DAuXqY2QnbpemVjW6bZM9kR ypMp2YPA3pB+trwIL/VD+onqYgEzzVYi8qmqCe76OBgIMfWUwQX0hQ8WaWfCG48+4hUEneHU/laJ tyrzdj3451EiUZbiZaFAWZLUGxZ00xtljEaa1d726Aq1RCl0CgY+zxh3KvFxnzPwdKm9m9JebIIm 09uZOhGOLXqd65dFfmH4JeyIgwJNSaKYgge94g2vSYikEQTbNPK1Q9/HsbetNJE+L6el/YnI3xi+ Nm3+/Xl+YKHPQ6uEkNhbc2eUgGYMuOVK4MH9rD7yVDQqLkIifO9PYxIwvafdCOH1U9qYS9coxzAf KDgPSdWh/lMbttjbmg3nQPLgDf1R+XOqsbizO2u6HDgMWOVum6FxLjjb6cLTjIOmj8ou3VvYkWZD C+SYR8qU6C8rWzNtbMXsdnx5MujaVdWry6xBTA7qW0u1ccx1cdtEI/HXsxETU/aO0xYxVT1EDCdw 3f1b7zOjxSVsklUDdei2shBubc7kKMLMszD4NCtyEf56XCI5NXlGqYD5K92D/e2rBuVP2Ab9cLIw ZE8Crv8pBtb1E8gcouarxuejhd8WwtSEa+orb5CzdO7wealpl2sksDxUWH6LBtMn+xYOjxH1rLD9 Cm/SH5y/+mkbLL6LhUZKqei8eRI7cEpDfC4+IddRCZxEGPX1XZOt+keMMmNpkAxSlctkwNySCJfM WxDDK7iX0Pu2aBUginIgYi6kmtpuMzc55NjPVt3utkVds8o18BtRKq2X0N4Nz5ZqHdqSLEhLxCVA L5Te9Y+xv7Us/QiMvbYD+jAXTk7Ypcm7xKyfBvh8cokKt8ZaKtBMJ3oqNHvU+VgMN74pDa22zBKi Ly/woXDMdgPLFYQtg8xY5vyizwWfSLwJj0p3YBFjRa3fKYyRboa+fKNk63RpRq7JK0+Gf0k5mBlT 338bseMdkxzpoYdb2YrMqhwgdb7fEUZcx4llDqq90Fgdw0zR8TqlJWwZWaOhzPHERZg11qDV6NUl QF+g3PTM6ueXSHrzsXYcbc5a/mEVp8RpFEpNhqiZ1OehA1CHkjypowlJmMxe232hNDar2wsY9uB6 L+IkonEUyWcQgVjEG5yBeTQpInipglHo1U2AuxTAcoQJxWxcQzOdOBsiqgJezm74/8VPz6KeM9RF gC61fSc8hA7fcaBaJ7/eu3kZgc7GVgbBvYuq0GOxyoGIT4ACfs2g0uZ4UQ8uCrLQNkUAu6kN9F1U 1EfIA9xFP8hRxg68Maehe84Dg3VG5Oql99kz8l0XUKLg8PjZ5p3a7HeEkbUdCMLCHWVDfvB8p6VA XF+BIOj87diN4w71cmWYgBK1oUBznFXf9QMNwbsc6y23HVFnAFcPq2H3NjWiEOXE6IONbtVi7Q19 rTGS4S5bzPD4fR0eqOdsmoNEtBPk6KF6FqnfLjVpv9MGWtq3tmZVSb0uM64ZtZigo2jK52vxbG6n p1pZdoJGufr9Nr+N2qSqaaaaibcMdX+mCFV1E1yc6Coqk3CRp/wTmG2snoa8oHgxGuN+TgndtYvR wOYdKVWN+zfujAi0XW6iIjINt+edddiR5sKUgwSDaAybwoAqUf/xs3MRALnDxxAl9BjKBEexT1Q9 9r4x1BCrV8XX6ErsVm4TJwSuPDw1h5lxFRVZysp70GSF24Uf50T2jDJ3FdD2lNeYlerla/Z/PImI Z/t6gqU/6Pwa2NCqfPJ4qrTfh+D1rbNz1zW88EAMKLfxw+/kbBokIgRQ07WdS0sNr53dQPq3QBxu DZCscnf8Pvf98AIi/VzTxl39gv5seBkIpyCzb/RHPQXaJrf8lysx/LMGYBbmFS8JUUbimhvwuMUh 69cmVAzNUyBDm+xKUYX6Vjo1TGyeCBaHAvwdG3Zp8Yl8leAsDpWW2rim9DBBWs0NVknNrJscAKlS yajUp085rjrWHJxJJ5rR81Leh9m1PBc85Z98Xn9IxDjVlMlWfDy+KJaHPQqQ/LNcWWTg1t5wiBB2 zCrSBSqv7HUo1ZKQ2mnaUVRoorLir2zqJCpAo3889yRKaTCQiDeogZlh6itzQXuiF7Bi5pdIOgYT H5deZtGPT7Zol8feOlN9k+cTfFEvIgC9jhkCTrCYHHCK+oAGgPytOcNJYkBYeVk8zwlylFGnaLn2 RcrmijsPo/86hukXsUrij3DTL/hyIfZbojNSPeXMtmT7KPU8ceC35MAccqHzBl7O9MILeKeAuyLe /InwxRiXDzG1JlHFhfU7prlpYVw2osJ7/CQZ5j4tC2YktZjW9Jl6ftYh91AoqJjgH7qDgKknjr3w JDlYYUXKXig+JT2arc5/e1HOwoBu6gvynwIt8Ak3vTo1YBS8A5ZyBjEmXezixdNEe0/90zwG6plF ciaYGlUqqo+Wy4KgF4+z4s0F1r4xyRsZp22x5Nv/XpZOJrYlWHA6MyGZUwf+YA7gyzchwsV1+wbF 9kAAT+ug7d7f1vn9pCFCZz4H46sThsDc4jB/6sdfJ0+pCARbsEOAV3DtKXG9MyIno5mmqD9opT0e J+JmuRtC/mLrgNi+tOUoSFMiIG3PKB+Jh7z381HweqRcVRnlHkBQJouYs11cHG6c0TKsS8U4aTho slp3scTX3qco2wQKJa6VLnbNEtad9pm9aRHrEZd2FhV6kUQTKe7SxxQMY8C9irr5mH+nwIgvZkEX v+o4EdACVM/w1EaCgRP4QQoO/lqPGiFjL6vgQtf9sgHsftN4WTfbMmGEFijOx5EAi2Y98vxhsMmE unjFpPiTxq6fDc7WPZGKPt08tlQoYhLyC0ndoMzzJDi1thUo81CL4DzHUugm8Dldfgg1CfU0IeYm IFekTgKVCzsOwy0ZwLVN5Ad70cCZSyFkUwbSi4/OWMtveCA6+RctQQhtm5h9httep6Najgf6c3Lp 1R/so1xurCXbyeXH1lhzcYpPRT7lVO4PeKAj/jqUN6F0bnLH4DUkh3djkOf4DmOvJysL1P8AJ/yj k7UR3+6h0bizHgHCz0nsONZsNwHgqF49RuMjP6hTm+e+wzyu//oW3hhadbGIWs33jzdMOFgQklyB 8iA/ShHBmryCMRvo1Ko7fYUMnE37+MOKuLwIgjwyYbBnBe9izlhZK7E10uPSLrm6YmKLrSxtDHMz 7gaBK/PfayVaB6tk0PwckuES34Railpu7THex2Ys0suQdRF4ZjhSdYpaY7s4BiNMt6x0v3VqnnOV wf5PXoa8UN9CmwRdP/9doP5Co5xn4Sb85sBK7MsGXNwrk/8rign89ivZn+f5tzzhIcImiDALD4gv qKwMIU8KGSI11ka49y1mbbtnJBoWPCZoqb3hHCsZJpgdCBO5IJCV6G5N2DFDas04SYPx5sJue3zj FLPuWJpKb/EVSybfGGKgihJeJ8KjRlI/RYuZaLGttElFezj/HSR0sHlO2i65nUcx4Z3VUbJZzHJ9 1aCmdZATexEU4UEqDgvZbj0W/MZxu+zbUZM6lpePho4USkwLMbODlqJ8UtTMMlfrFvHeAHjtuzG8 Aw+N9bWHqSW0Ke7ugz/Kznj9lSo5oTEsxTsICTf965KueJaB+EXoVrcN6tq8FXjxuc37BNy6Rp9J TMqMhtlovzINdllyTMXp3JJBF5Im3l57VZ6CEx+pwEFg7n10cSdVEjbXiDvOIKkcYPThrnRDP4CL 3ucjS94/h+mxpdyiZdCX+BEnhtfac1fAFe9z0ImmQlsujlMzjFcGsmfLZRduuYdepZCkK4tcdcAQ 7rxCv7/jMzaUjjItHbtYnfG1Pc2bqKHz/5AKtjVcOgk0eq6oLmCPoX0gNBlk6quoasTlyxY9Rj/H GmxBLVnjAeuyHMAQdu1+s/dyfGwtub+wQU1DXQENe5DjvnNVpfYuj2sF8bAIOxXqLabuf0MfP9n5 yZaHqI3tjtLX12zupOMd4hZYAMBOj2SzrEKOWjnPqV/rs3goCdV0hcFmvgKN1S0udRYIxNjjtxIr fNEJha9D6JLzqxgHKTpH4Y9Mz8DeLDu+NRuj42Cd7EHFRAkFaSPQBgQjf0RDrNKDi7sVYX8c2zda JeRSWp1QfjCv0hZL9KQGG8O5J4iheiWvcHYD+9A9M7uwt89HU5tGFAGGrZNntpe2n8yGM/2a9c+d dbiwRha2gidXTyxopnAxx9GZRXiZ/hxzo7TNm1rQzxDTzWvYHwDs7AlW57jUGe04DnCqNP8V00U7 w8EcPJ1Wy2tI9iyhdWa6PeYt7D9lbWR1aDCexeeo1pZEI4HAk/fOBxHFGJer5geaNimTXtxbcJcS VGx2uJF09JkT39eR0MKwkOSJLr3e2KOJT3DC87HhL/TEZOshWMgJCBFQWsRT2pWaq7yVb4PcCjTf 8GhWSYzGAdmvzw4KiXq0jIKGZ1rnklKd7wF4DO+yrMjqgT6HXv+lOF5roveKTFa4vfOiFSB3NhwU AFKaOXrG0Gtelrk8dCDTdlUguudvnvv+/A+ysv/RRIQAzviVnAnP+fgdsnj+PD3ZkRk0XKGZD0zg srMaSMbUhOSqWNcAEIOaH8O7fJAAlBDAdj9LsnbLlAFA4OpwBKirfZgP6CYAxxCPsrESToS5GF8m ljH3wfUvoMWmFtLqbPi7LLXfjbC6n9H6YWWIyxT8Jc+1cdi8E7tl4MI6ynazrywHsdtjWOnenXn0 sCS7kZy6eGO66VDJ4kbYmk0tJPt6hIU5VScuhRpKdJr4M6qzlcR/2KdiZQLy/wsHReK1mYpGCL27 7JT8uggrWXu11U+fFrRVivP2P0cp1dDM0I6bgLOYdXsdUMQWNbm4n18z8be0GxZ90hxn7AlfkE2p 0G9iYGhCOtmI0mIrhbK4bxkKYc/2fR6DJB+llk2NQT61AxIuBxbhIblEK80qrrpKP3uleU96GW95 bdWott4iIqfOYV56UiiSObeljwFYDkmWlARfQREv+KhPo+ezPWs665rf2fYzbDLgq9hMDtiOw7Ml 4Hh2p8RwIAeAKFQhmI4jlUU+pLn4VwnzN6eRZwOp7BWZa+mur97alQtIzr3eop0CRsQdYmn8Dec6 NPbGSF6OoXEkLfWL8kUjeoR4hFyyRE2Q8VFvRJZb8zmB6nksELgaCcOMwiFc6ReOw25o9uRCoo4p 2bS3HA/ZGzTUaXtAxb8sGLtZjN9aJJpOrTEC3wykH3Jac7dPYjAtl/6yefi6yi6HNL7vv2MBG3Sr psV/dkIqQTOxJewTBHjUlPSsMeKPmEF9vBEX+FZl5rWlSf4KDHZ5bwizwb/OIu6Rs+aSk+XjHUDm 44gWXu+AX9mC+3GJ078bEIdDtiPsS0aNG92kEVHg/iasPRXd1dBHcZtS1RV/V3fTUCZyug4OgSfZ 4FvXLEkYR68JUqQ2pb1LVPbHTv7L679bltFkGIzmNN7wyUfqwJinII8iXTd+wLynBaQQ48EVznVc PW+ngfTh2mX18TO7rs0Tg/HsTXJ2kKShf3QcHGnFj8TfExZi1eqcII4VXbhjnw3pE1Edy1AHFWHR C1PRp97SVWkNZ73Ghauf/KljE9x264+LlmN1wW1M+eJkphMN1szZUZiVPpdmwkN4z4U3Fk6O0Y64 E6aQtngTCsgHw4DvMXDaf251ValGTA51hmXx5T/hAJwzejpbONVGY6z5xpN+AQisJSUCaMl+EOj6 oOPJQ1JRqJiJV3TMnEp415NdFuAi/X00S+GuZgVRUpR110A1xBoZ76uuNlbs1JkkR2nRXgZPgzR7 ei7/TwEo4vMzrxsJ/loZPBAgFl5BqmfSENxi1C/8ZfaAwUGykD7j8SSQYlQs6YecbgU0KUxr0w78 1kEuTpElqiHHHPaf79EGFqHt7uZdXTPavkMA4zRaSj7QJ6b2RS1X65LCOoWDdfVy5MXHdlhfB8Is /A9as4a5UPTv0yXGMItV+ch7uR+V/hHg1uF6YONO01je/Io9J7bQCx844zNHoK0b3eCvIA1QffcP mJqIaCUYc2qX5YviMS6LnI48rxAtGcNdTSC6lYoNQOj5rXwCPtLVmEy/k3NE5ECPDGEXcZHUO3iZ k8M3ntUJfku68BAdviHBsuiff6vK1ypT4KHTMzkC3lAVZn0NDlUb/gceVnI1YnTnDms4JfrzD2bi oYmCWOQsYrpA6lkfU6ncK7JhV//EGJRiAvXprKiON4aXG02ZUnZs70BxIiiTclY6HjqUzS2XeXsP CCLkKsaz75qXV+gc8tW5OTWAPLXqr6OJVAzRKJY4bAfD+SNPDtTllg4BXrJsRKuuDlGr8oFe7f3s mL8mYqrYq6wEKah/aLnablnWIjO325ML3IvnRgQ0uDY1OH4qrY9kCBTb0Pmz3MJR5bXLoikL5/w1 1aT65oC0qy6rY2zXTeD7v3mnUKONxY6gGygq7dPP8Mf79SOB0A0Aj+HkmPlIbtF+2IPSkMFHnxFy PwMABh5rHYRsHVFIJ/vgjjThVGOPWOKSDdI1XUW/qTFbYXwF61EsBK+3xOxePbpL3uFGmIvnm6ud 6xFpagu9DANFpAnaNJs8fzAXMgLXLytl9BdeLdsIrOKymUh3+fR3f5K3Q0IAim2YuvUMnwBOYqrE tUrNlG1VlrYV0z6TP9ycKuiEwjtBUlrcN2Qte4Ltya+IjDCGYD5k5cysbLHsddVMvxGv2zdwB7s2 JNkYuLVoyl0TwR8siqyfh9ppFVXQ22hQpHXjThSMnj9vC1ESn2esRvI+3VLQWkr7vTd3h8diPRrb 3PknZG+xHM/aHrNU06QaJ6i0lQe9vF8U2udEJc2C3p37XE1Rv96MHiHRwOJUa52Ml67ckf6hsM5g MIEGR4FYe+cS7uhzZN/YQhH8QhThGQjfBPu3pKkZ1IAi5xIKuxhbjkAxN0wTQYlrO/8qNIljNwTH qfswFgXkcGNoGe3hMO/VkboaL+NcHW1s1vPd7zAI0nYkKVl7L1tbtEqMm/d4kTcnJVJSTOPaKkna Q+a71JnICL3f/eqrbvO9mY56KnqN1lrmFBWnJ4+db/KnGcsXdtD6I6z1dHjIqHnTyrpcfrGT6oob x+Xzg5/JuU1wUZXprgiZ+xO9/LVntmKLmUrOWE47xO4aXIg4r7S9schkQew/AMEu31UXoo5HhxEM ZDTfoyuq2NP3+RONgH6ecEtzTmRJRyTXAtoi8zisp6mBCHjjcBrRH52tM3D+77qWCW071LDdbEoY AAXsDL2C+X9Ru+IMRCJlJXoqPX/hJyEEoSKJU1HxPTsBrCSA8PDXNO9LDEmkojBhDqGstMvouJsH EAQ7KHTV3HsBwUGvrsUnk92FXQRcorQSwS8fKdjGZlgpjdGVgpIFEiX8y1/zjhKqJewdhtCgIPNy Sdz8NlhTBdo39FC5fWCflj8U9VMCNBMwHUGUJfpPwSOST/yCZsn1p/49eQKuIugZXgaw9vvrH80M YbFpXfcDuNOMnuPIf4f+gB31pd+Yl7w15rU/7iAQWLq9NjKB+xa2E2KbZi6QbvGZp5ZoGj48Z2wJ wRnqF1Dut9uBgvyG5XAj4YGxTTgzMW5Dh0DvCkxLs0BfSUuVYxGR7y2eRg1kOvKZsAjHopIk4upr DtzD14HDvkcQsE7kdFFNB0pnlRyhUr46qj/aaEmYjbvGPyrMPYfuUwYWFFGySp8Tc4e2kz7zdJD2 +qDjFRQlxdt/FWtNEw1xh48dMqMyXj1MC3DbnHwaIgWi05FgNsM+ORAn/DJFxPK+EklioG33NVlE tTh/CWEXb5rFUSwcFsNDJp31wzSEHLMDMLAA/eqC1EAq+QijA5x5X2qTq7Zc7L7G721PNGmtys/O jQzJhcf7JYLSeHGXbgerKt3Qqa+vnyI6TAknGg8Jz1284SR1U6POQp2lC+PpzdOb8QDRS0PyyBX9 TELdxVLMJRjqrPso6Cx0Gp9y+Crju5POI+mjFhgsYAQUKsLESrszXjUfRJBDV8JV27tZVoZLn/0z 4sFzsY/w2NEB6JLx7zhRYT+51Qz6Da1t7qr6R4PsdVVmYXohwolHw0FKS+NU2BfYteFw1FVJn2Iz QhI5F7qk2VU7o8L1IKtFVo0l9rMctbrXW439ZzV815TIJBrOwpOrjjBv6EWw1OTjVLesgBGx5a3+ EIZVcfKR/YQD0S841wniDp3NqlJt4V6xjGzx9oYfptyqT5TGtQ2GyI/k1i8BIi5k8V00RgOxsQGU B5hj/H+a8/po1TJn7a7FJ91Ri/AtC7WzrAHKyoq42c4+tbBKyXLqT7IuMAMPFoR/VhH3S722oTYg ouIebz+WNmgFF92sa/VR9qdkpgXwsVxGT8CEGIuR2WEs/Esl8xJM29iXdYSykb96VZfiF+cfEysl zkkZ6JpfG590facOSQvBOaQsh7zJdYAfbdxcQs6AKYuGdClt+CtbzfgEYqCnnlsqsw9z76W2FNEy 4L+pGEOKgy3M89UDPiNFsfbAzlxKxRzwzDBvMTnjjBIBO5oDK45Dvh7ku+3uCgj5C2nmj0U4PZ4Q kTSqBtuar+UzTsUxBBvWnFZbvJX2ijr2/2d8rqB61arCBLoMVA2Y6NDJYhJMcOy2JNW1QRe9P6la EPohhZYPijNT6wDfqKtKpBfFA0oPtOZKqtr/aua/Cisfriy2YBziN8ahVB8jLRnemiom+i+m2cLF 3eifk6LJ8cyR2O2RqP8qLCG5YGe+CVHdOdhUI6/0r4XHTFzbi65e8qaYVCMdNtJKcOLVKD42qTt8 paxKF+NoC1tMadmC5GACF30AHZbo9ie26ty57+GVAlDp4HonFFXXlc9u1Q9o89J7/LVqvMLC/L64 Uv4s7+A4yLP3nOdLkfJxKBw9ONZgLwVWa+sHUaLGM0NxTa8T9n0CsHFnN1MC0O2VSIHgyjfmpAq/ tGDRBrAmXEv75zgEMjI5RoTW7Uhh4zm5FAOLkwYm1VsSKcY5o5zbr497sP1HbqxyEKJX84FlYMCf ZJ7RBodRnfa0MRffbcQWz+1DmcaZHkXCNMT+UA3oWTNiXXOW7t6l5fffB513qbXZv429OhVAm+me Zxit95OE/JG/Srlw88cmgLfqMg0YAwLbk/MlabvilvMxZVtM73hw8l9uq+AIaXwGUIO2pHZALhFO LQJqJyOzoxMXzB0ISkhnPyW8wTqA6Ecxrh5DFz0X/qyXs6W1cDTRgv/BWylCean0GHSLOTpxeXfb a4Ts6pWanlYogYJcQ0YxcF5bY0rqzrT9E3gTAZ6PeFHQs9+eNh60rmR9N/Vy7ZbH5Rtp2LoC8jEF ELVCxWzgyfgHplN+jasKo+fHQYf8Fylv3N0epZA4ktgOivaft8W/YrnDFd0YJs1Ha5gI+729KzSI e/f/TN0SADzgRRZiA+NtY/oN+Xe1BVsXsK+e+P+UwVMXaUc7bM6ZKb9jVe1Am7laqF2ARKMGUFW6 oF3hQBwlPurjIUA8QA69jGJvRTGz2KUa6d9ghnKuZVAxEGhepsXpx9eFH3TN92G+xY3r/B7R2nOu wnA6Lg71OgaA7wkqdFwxXFMaZgx6uoaBAD1XulCIvhJQtJOhBOwpZhLcGNVnPKS+TrgeohW4xYyu C4ai020eAIinc8OPineNmbHA07T2uOBpxQY65g/za18kf3uiygcSYWij6azrtgM1LTVZoc46bEi8 5dNPYIYc51t+6YoftY+vQ30863Do7WUsu1s4WYzn0VZkM7bnrAvL1LakhKiDUtu9AOszHySyFv7G 0SohAl+H+VcNTxTCucB/DfTXvBnDkRsOMpWo/EbOCbkGnmOwn+oDiFRVi3hw+utpZOdE9VoFuPbF SCppuAw1wMXl1x3DSFxK1KLIsWFy+Odcf58ROq00WsbtKas2phhDZ2tPjMJCnfLmxH4aYSfjniZ+ EVKtvs0bPFGBniVhrF02Lis7r2bcA3sA4OeHqNk15a92J1MvP4o3XxkYwxNpMbhvDfHFh3MENE9Y SVPL4pZWZ0UElGJq1AqQcyO+xewgOdgmKfPjaCxRjv61siI4xP75A0/5Q+X/iWGulJJ0j12vWZ9q v8BvkukmLFBb4BTEmd9/v7N3SaIYeb/1aT8BtobBA6jhPHvPv6ljZY/BS/WcGr0lCYX/XVceamTF ISmKwv+b6SmLOBgPxvZi6O7qhAyCab6sZZscpRaJ4MWg35ooTliAxtZJm7DogNczoHqzw//6NbFH wQMN8TyFbfkOTU9qr6pBOKNXoFXJT24zNkq5azn6ANKP/QUBXBEpG1anifMUz1DuEXnZh8xLbS52 TgOZL1VV1bANgPTSxZSZGP24Ags4shY5koaJWEZtrXi7YrmvWlSrR/82duEffOrvyB/rI1wG1W5e v9tdWCsKHILOw4fgki/++CHA4PdaL8UuTVMzw/nQHiISNcNBJGdX0s8tb1WYZsLHkExsPK93HjWk olLwqRg68JfO7UeAhqxmH9ITnOXyeYidOUTx9u+ayQ627tmPY3Wk4kP5wTIKCqR9ZWBitx0vjXgP 5hjGbZbvwM9Akh7gNk2XUE7WKfvKYD4eQdDt1Xd0z5VF5kl2zXHfY9p/s3asLhaB3jbTY1+sCFMM nCx2kQUDS0jYd1cMu2KSNdyws81Bk3uv3GuFwgE+QB5D19EZtkwlcK/JSTeF1k1CP7dGarvIqMbo y9hOwlgM2C/Sqwc9b+CaNYXSJUciLq82Fhy3c1/JfgsC/LuU6YV78EIkMM0i7L41osR1o4ABzXKr zDVHUfUPGZe2q6TuuKBhzUymgTN5OskPs5SWUsFTyojo5u6/D6ddnDLa2S1/ORvNjqnwgxMbb5iE AxVbrnWnNVSAMFb2xfafxGUQku56W3hC48vdB/NBx00HbiT87MxZQFbfT1jnHhrJY16lBj45bAkh /dXDdYG0eRkblkTEy95Pk2ell/419UBWxKpABQHvMua24J74vMNv+o7tILFsj5EsLNv+qA2hyWtS i4hFCE9+s1Dus3yvPu9YvRTtRXqZG1NTBNDx+Q2+pSJ+vU9q+/4eXP6SgcBBnVRkMYIqrgglIn4L WwYN/0Y18fXBbNFmb8z6bkBcbCKI2WdcXjAmlD9BjaeH8f2yfALZICYonqotRMDdlh80HM05O5Fa SEeCUYcT/zrK6vQAJTqZALMcCD79LdAjvjpjMhvTQwXWX+ynd/T3Gy9e15XtqVTdVFpGf+BnrCEN Uyo3ylV7e8Fz3ASZn77x8kXv9bd5DWFRuCTHCnqYHapcBzbgjPeuTd0lmwOGhDiBIvjY3rzMofyM Trs0tO9Z9YpXX+K3V00mU60W6KeTvmpUnmYGswMuLbnKLEIPT9wdzQWqandIJ817p1lLi1KqKR/7 fkE39SUkEjuZlCeoTpK7vbmqF7jAogGR81u9M4+xCmREl5FrV7etVZZfssaiaS0r0qd4sxrGCvu2 JEazfHheZnjTe2i3mDPytmeqO57YLWS2KYkegDIiXUBgYsXxsARcdchovY64p0SmQtV92rBmmmP5 beXs4cbaNa5hjo85yXfmvFXsBAZyGKv1XE6OP79MbqnscCanpK/yk25oNggvP7tKAo02CVL5ZKFY su+g1pYHVYq50Ab5t3p6U89DKoy3/6I6dgEp01F0Uqd5jgoOT6dtAaVFLGdKs5mHF3pvUojPSaEH 2Wl3C8R5To33MKH+c8q0E7oYrDFcEYc3NVXpUaO1hIOiHlIW2IqYbcRCmHnD2ooXhJKKXaI6DRo0 UYK8akrPJXPbB75Xk0T4X09k5DWE2JfRzNWXhX+jL7I3kbdY9PiUmjOCk/ZZcwE4+NrMdpBOnMhw aKCZi8VxwGPieK6Y9O6tHtWAeiWDs376MXITLMl85z7IMpmrwSEoIvs1v4ic/mDyeZ/I6q0o1xDh SYT3LxapZ5Ps7MHHFTq1jMheGzGzZK+MEcjGMawouV0Y9s27ZUtD4uS4VWpT2Ed4Q+2lClxFyloi r7AnPhHQOxO8y32q9Sz0gDG042CqDQGWmA5oACyJJPP3E9JLlg/V//f+X7lr2aRTRroCY9SrumH4 SX+2cIivG2XJvYoptCwp71EZMOCpn3ojx60KMrDXsWFbsIEHwvBo15W+h4OkLP9lQ2/IkwXpphmA ou5i26KdysVWHH50HdujLOqoycbMH4DddQXiMVXvvbI1NVBvV2wsBqaqi6qJI5GZJuMTLHJBHloA lbVaCqVA/o0MAzsO7Dsjoslu0gnWpB/P55kKd1zj2wKFMEWenOm7IREGJ2/3vE/ZVqE2LbL+UUIA r1FVKNfUbxJfSoJFKA8dlorDB0vb9I337oPMtPR1z79+3qsZHsJAyhT3s1+HRqot7Z4AEAC8aJ86 SfYITbPstpz/Pmc1AntUgUzAVRIWkXqkrx2vc80QSfkVdTpvepJAz24c4TdUKfPNF0n/o64li/Nf OZlPXrBJelendX8yoSs9SgWMlkRIgfUzTDQvBLrH6wLyxtmA1e2GCmvH8s1cDqJj0Af/HBhB7OEc IlKiJz19zNqGtp9uz22cUVoyFLC61MppFuBu38Sjs03ho3A858QoO8wKPqMmn1xrH/UA9+AqCceD pVWgbLYA3+o2JcHZ3sTtSzxuAccmcH5+hdIcx8u/DwIJ1/k0DXaZxapfxQyc+pQ5rKdXjsjC1aAY OketRhHABF3RXQEtp89EZ5Pygb0uQP8ELvQfk2oUqP2p7b+/A0e3eLGwaWV0YdVvTVb6+pvzagZ/ itHegA8xAwfq+nhN2OX8gC0LT1oKkI1xoyIjRfv2Hfm2WbuWs+p2wC0dEKuhw6w098Z2Kdje96K+ GTSCEtLA7FCM8chaVwqSaOQVUJJOSb3T982NLO8L3dh++goIWaLOY670GJT6F2j4iTXLZ0BnO4XG rnMifKEW4tBuD0+4fwOWSKbXEWsdeQW31c5NmEv/1lO6W62ECdqrn/OjKHiDDNs6YVxPp7e4cVWA XcLUM9cA5IAA/R5IoiKNf042mpFnmhA6mSk12g1OR2EDivK1bd9YZYALshE1+TB9qCUnp3tzMeZX inUEwrxxesfdgnGD/tSgsvBmyB4Hlc9zFjWEqlcmzVsSYhOPPbj8VLf6nQUE64kxHYcCoZ/frKz4 xI2FhbJ/jhj/pfpI763EkMYV/NlLld7um2XMpZ5bAentKtr5clYhKUyf87lH7xW3Sfc2m+vJKQmN NGlgMol+VmRg+nUEK5NnpAd5WBouUxz1b5nvlHIN0IJr7S3i0XE60OrD9ksoI6WFbZlgySDrmyMm SFpnx6SYYIg8w6zsY/MlCntWrRglUNkAciC8lH8Ib6vTq9tfuN3MEHpPetlE2NblYULFvi4j/Wrg E298gmUXCIigpVMOrUXRcvTbRLbKJDYoNKqwxw5X9slnWIz05uAOwAhwCe3f4PEpBu7tGttW+izL 4jVBeSESssh6lYTxK4/XmvamnDT/ULKMPe4SghsUftjbTJru3ao0k3avhBNKVtC30XulRZ7opvco sW26Fx+hcFuKSX1Zf43VMj+/jNjoiqQuX864SgZSEcWNDDBKvpcH3D3naQgcaKEJ8F4qK0xZjjNL mEfZgo3ZWOe/gVotQ+6MqCSsrOEKfkbrGkhj1/E/oD0N3HsXVJSJf0JY8MHAQshDX+v3jBlgjk7l kKOIo/csOzitOBEwiVFxe3933Az3zLrWFFLbrooleXPxPBaVVep7M1B+qbDLrP9qv8hwa3zt6c9a eXkbZ4Cl51yS+02o2neF5XcoKIOHCFnsV48tFEZ/XXHO+gfKHHnLfSX6U4nfB6eYdrALRPEtSsJK G4gE0Fad1MLB24Dw9kFkT5oPZgUEl4CcOyCU9NOICsz1VVdwwdngBa9bYYoMKiSzKlXXtD+Mc1Do QtzNBzF4KT0Yo7w0PdXwHFr218JGPKxtcWkqZu/Z0tJ01KswHHcIOZu4/ZexADJPQVrg9FyMwWuQ qjclgwSkcCe8GZYg/lKYuHYJ0zJEMSNwHQHRMANmRMuDt+rynhcSqdZrpdTbfUEoB5phZ3psDUWj VQ1/iPMnH9aZNAHs6pepDmnQCx+TLoXVjvTiAwcxulKfBAkdMQmeJqJgV4KfyV5hWUWSerQmPZHq FdwS7iZK5LA8gvQAWAhSOobdvr/bS0cldyysh5v4b9A4e/vZ9BqcdF04aA9pVTxGwbT1Ibft5Lmv JHwesPq0aTmHqpaAzHwl4DxFFL0RsqnxjvVk2oBQ+++wcRfEwsJHtOxucmHpVJPQ8GmHFvQsLswK ckzicKjnYFmEi7vCW5miC818BKwBmrqnC7nQxOpa5gvZNnINK8euJy/6O12NNGOQNms6JFHfOvqD V3dI/2v4jWGq94RMY1VgoS+3B3oNlDtGeabZkpDqmXM4kpGcgGUBB+lzYnu/2bKswWcvCi6XdT43 TcgQZWh4D4D003db/ImKTZiWxBsg+GV1ajn3OSh07t6FvFUfja3da4oB70xhhT/9b6rvIdmOyvFD bvg+CCWkG2doyg1RkubPlK3SvVpDzMO/Nib8qySgzwhu61cdzgiHEGyXXF6KwvyPXao75VrSe1f1 bZSFlgCL+2a+5pG8/9xBBQx34Num2Z91Pee0KLSvM91r8kaU2Z0XJYox0E9TETBDCoAQKwyN/OIv L2JPY87boyEfB2zvNqL8sBroNSQozgn1jGI/CNZpJFCM4O6aCwFsKDRXjvr9KwtiTYksu22nFnY3 Jqez5hnOb0lu92b/xJzkMHZNd2HfqwtRWdZnjkYMqL+gavmGf0SQbhrvqBbD91ghQraXIRrR2e8N 1FhCGKI/+jEwdx1A5k4/QkH3fwVUWzE9uEkHcsMrfkWANBUmrfWEo55Pe5gSJNBKdmjd0kyN4KSy 019gSaxTJ6HGev/ljka387c/++fHxqA25LVGlHswuI8YSIJfRw2iZi92Ftb6MC6CvdtSGcBlFfs/ 5YR8mAJNJeY3cIewlFrnYRec8/57j4JLtF/JHzKWySQP7FNIqp4jZczzHeZOGNszsdXLmJ2xvT3h dczjlRxRnj3g58mnUuBchDzks12Hoi7VuqrtmTLvTInz5ZWIF+4HOA74nwwRhCHty+SgS+PMyAqI wbcdnDMXjX8arKQzgUe1qczZDY6edf+KFUquBnwOGrcYtTVy2Wq2/DM08zgUwbAllJhmzv20euy1 EOW1abUnsB/FiZCVZ0sqrHLGK2ehDxM72VeIh/elpgCWRzO+2GZfJZA64OkYpXUevhq1boHFvdvF 1cT03UJiMd9ttlMFbXJGDQq6Ld2UMpaEnzhjzCzbo0zkASqbz0ZhFG0xS/78fugn8X7+VpTmqdcN bygJIIdgUp1i2/qW/D0jEvs9zh91qPGjyejSu+1Aumfk3U5waqCCML69DOgfcF44wATFP6X6VLAy EAuEqVAvY9IVi4ffHbUmo5K2eiqePBR/cO5U+AJC2acvO/tN3pt/BMJ0avaDVwdhRDygTKNYkQUG roH/8/JA6tt5UtRVanzsHhhEGxkhbXdXquYCkpundbtik3jmrWaBmezAyahPTGAAbaXdr8kMemsk YFEna1NvcaObSA1kX1mIEdeogXM4+9EZbAfDg4O/eGjm80FvTwmw+rBk4AlZWFHnY7Ilb174QmR4 KYZnBzZfByGSonmOUc/UCgL/bJxyonA9LUuyJNggxLvAjKl9oCK6XcklPMalU3zDsb7ev6kQjzUO hfMboXnrXBn7dmkc9fIYIHLFP0CO+gqv36ZLePGO87nTLchhr37vfZtD47SDimm0flWiibPoiUHn iXtaq+ELL7uUyyKa8S9j5mwRNLHAyI1iL7gHAOIdQ8qAqqOixpXeyg79CEPRxifyzYZve6aojewy CnuEqG3BpzTbUw4Ou/TmM5JwjqC8GfwTW1Kf62CwwXkelSJq+8wm/haQstMdUCSg8jbz8OgMqsQO G9ZZ5Vtvoo3dVhICBwGj5USNI6q+5OWtdz/azbc1Ccb/fL2hWeoHKc3fS3YPKl/ZzDYDSOUlh7Ll 8z3L7mmBPHWnK+kNqFDOYL83q1OffrBrUbF+NuE/FCnuIgPuC/TRdwscx5S/WK8DVf/o4ZI7Hn/i 4TDc6xt5pbfZgAhgrDRSFiTsn8VY9sd/hlFc1sEfbTEISMtphgFW6a4GkOAneVE5Bb9BA7L2lFG0 xrSYSMT689FGucNhBxP1xfdwsyhgqNCSB6E5b9sIcLhnzJ16Sh59H23q0PuIYzW1M2F/5k+FvVir fRkEBkexT2jl3c/peJzjxaF7bEArEoH68GCOZAzBSH9FdOHyunRo04oNcUwe6VJwy3r68fsvRnYC wWSGQZcbTKhFgVL6XWXDWdvCOE1hWQHH4RQM0RbZfzyIJXyt3Cjf5AyisjtyrPmvwaXEr7xyZfJm dBMW1f73DsIazFfccrOp8jQmh6zgX+QrnQ1R/B0mUeNJ0S0T5rZBzcXWU5HiRUjmVK+DUX2oyUa7 KbbSY13nbBPZA/l2dtVZYNx7RlLLAXJ5vm1c5z2tonI8mKkswMD6p15RX1NoSH6y1gJzJZew6+we GbwIOIJOToRCGutzJiQ/D/m5EjTnmlAgGEOrCGF+dHvI446sAPi3lwdkdoEzeSAefEM4URHnFWfj PsZYq4PSfsSnBpFYNYYZD+Dnqiwe2FNQ85jNL5X63mo6PkYGQx7ULLtxM6ROU1eWkIdU0EypM2+Y +DOFa6KsyjHpWbgalE0erCEifKHLhStbjUFNSoCrpKT8vgS5RCTCXGxCWpgPOTYiQwejBFSe6bEG 9wWoBxF4jecCagKZS3qwLwpOAgUynBs9crEmSbOZjsvXTu//Cfq/eXWQcY6slpStM2+4niOmeSI5 MgV/A08JW6mDDGWyQAQYHYtjfTDmWIIUKXzcoUoSwbWfXETI48oVzdQMYtw3YlQCu5mv0Cdl7wui bSWWLFYM0RjVZ9Dx8TK2M4CpOdo8ouPaB+05aUCpk4FucQtZo5bdYMn/YiPFHtwE3YKlYqp0psxV /TGHFi//Mp3P6D71eVCm9cjjvomsRqBdj80RfL0XLjmMix/gip7AcKl8/eU87ojzsWOv8Epb62wt b6X7FniGMWuMMxS6mdyIq1Qv2zRasyXb+QOi0kjrviB0VsL+fwS6/gFm9vxUXaQvvlL0cuBD4sj5 uOmYKWNXTjKXTt685qXt4camm/P+z5HhuSLKhlKVDomRwnt8sCJVj4XNRhAr8akorUCPcDnVVAZF 2+yAn0NOFPVMdUf2tKUTCXTMNPdL2ywj4Qsr6x8SgmBmNHSnvlHCtokxCmoHqo1LBkjZQ3arGFTO VErn+EYMi3xM8TXP//T2IY3MyRZTqNVrCy42gmsxNY0nsoItuOtEytvQ1RYKfMmWedUo6qBLvWGl vOSl2MYeQs2EdI/QKFN1Y3NmvsY2XfZHCAFansWDxHfrmg/El3Tm/zh98DVyOPa6MYnrc0avivOI uJBtpvxkC8PiNafo4Ys35fNjWvWV0+lZ4gIp8FfSJY6Zq6sUBN/JUrxVsy8AzPqPkVl0HL/xyMcW VMMRZEx/sBfsuuajP9hUWMwj7IFUGhH14QioE9VvKwoKR5bsIKcjnm7jP9PQ/WftSqQ+luPGMNIa edVcaZZ8H1gK6FyqqXd7+64MJpjAmoVpWmSsKXrI6QPw/dZvAYLMvxvH2/qO1x2xW6mIcHkrCrQ/ Le0KxY31iOQQvM7A7a8KhMHUp/mAJATsb+XL/cYiKoQNGONOvJB5NYYoWoSrk8aqevw3QIvsj9li GFf6ASQgyu0VpLKWmctxdoYM1GMBZziW2Fhe5QdVMYMCVflBP33YTkw/KkX51btfStq0r3SDoM0f mGQdcC4Ye2z9qvTp2IA4rUBnAqHhOzglCfOAjZ+4bqbADQm2HhxmT0FOncwrZQ34YKWNsloVyVFI uUegGDFTSPbMCpd97OTeWU2FZ8AXeGFX5Ko2udf2wIZl8BS9k58PSfONO3WeZ1YwUQZqSGAlCk4v 7/yoNksNlFG6u2sFk5CcsfZvL7ekWN450FmwtzEAygD03dBeaQk4QAYfmAkZSiiE03VZgvl620w9 XJ4D6YGvlmxJ5/O3RU1Jux9DTYik6QOhx5sz4DOO0b5ZVxRQeIj0hZVb5yvA7Z/3dtCB7ZmjDB+5 f+1AA4BN0HXHStFT3lgx6s4qkXeM6Hrk7fJKaT/EnY24h/dB/z/D/hxyLA9MbLDX++wLVwnbTTn2 7i9g1lHLoKeoOvvN/BQe6XIKrx8myjt6wRbN/hdnGaW622AkWmy6YL087zyogAklNF2A+dxAg93N YFiQvNAG/ukD0LXKvY1aKnKfTI5HlyuNQ16W5S7Azby3szma+RddedxgER0WGEjmgJYgs5x59k2r irytYkUU5m1pc04FxBn6xnzhppnELhVStIjuj+XT00326ToYWe+uSSx6TmbBmEf5hnxtTDBrU3sd Aohv/K1miY9FQAiaa9LoTpBvMhKUDlbVVqkL3H737joDVYBPJtXNVbvgYFkppSlw/CzPyMYXx9bb gdksAM1TgU/FKo6mWYOCGEICqC+B8Acwk6LynX/Tpz7QTnKTYYkP0XQC7vz1+9+xe2nLjmLMlnp9 P8GnP+/ojJwGuHqr4TxJMpdMr2L3mtouqU/Gcc3NUQa1o/0S7q/EfSvQtduZzw+/lbf/JzUaPMvG MZeD/phLpRe8hOLwW0MZ7X4OdqYYA9m6vqZjcAK/MJgIoRbgZcZOsuM7YK0n0Tf8qEtX9JObPY2J z70fp0qg3fRLZayUomUcD6NrjlezDVCfV3AOqs3AtubGY64EGYjknAjK2/TT8dKNS9Yp1QQ4xa8T D9ub/9MtETMZpbFI/Rm4x2Lfqyi1T+Lkj7858HR9xLzmcm3jIZtTyHRIe/Hfu0d88ouMNT/6Q1yV BBSPQfJv5GcIf0hG2PlSES9lFZVDNJSJNIYoruOKN+8Dc+TcrmbvjkXRVZnRohJKY87gpMQWFgcC 1GbT3D9xfxwucYmbVWAU/BwwP9imunoMI7V3IHfzDBmw+wktXnVif7kr6rI5XWkAw1yM+Qyv3jx2 I91dQNTKyDvnRWEiLWnyqLnpmsk16vppqPbPNuMFi5EMjb2FJQawt7ujbYveSmGJsOFti2R/mf+S Nksy13RXxMK3yIAudiXJIEw5xGJv7VKZ6HpqTkQaioAkXyEizbqTpXkw+XUcXrXshRtTXuMdNcvA hixLVzdJzjgOdgouePAou7/MUkqPyDah35HxYHYnKgtUW1TOsgWAQZ25oW/Scidupm8qIqUU+fWb 4j4WRew86XDHnfBto7f0gYu2yjYSrTGWBFwnHnuDsuPrgPb51EjYVLYSA7/OgU7YFWVAsyyTFdAc 8s3vdNTsIpSVFpHFHMtX4nV8Ga9yavFd+7YPx11RrjTleVJEN0XxnkAA0C9na2jg3ah4Nx2YMiTx 6wq14kNpBQ3/645I/Iyel+1mA5sin8UDiY3GRs4sxcfR9nHuFV3Gzp5to76fWbyGzU3jcB6mFi5F 6FvTXdFDvaBHvmDc7fGneIj2Knmd/2g8pM7HKmub8Y6snsJoCUAFBDd1EdGCmNjaoIJuwRfvXyXJ +zGsm4ryP9LNsqFMWbLIlB68SsTfr2JaNJvXEKeJut/aAkdyAYPg/uPpedl7NLfzzbH5ri+OdWm/ 8HfO9q+14KQgkWOiCxoqXrZvXgbfOFCBRq2M3tDhB2DRe3O72RQeYQMhzghW5ocrtsM0MexvSF52 m+27o4SSF7s/h4QYQsslY0pGsBQatMa++5QsygZzwdXxfshe0Te0i0FbFjdxv/jdQGkxYyVsQp7+ +zE7Nb5n+RymWwczMzk9ji7ZzDaFs/2BCfLNGu394krSkvEgs1lcuzjiV43hGZqOUB/2RME6i42H i9UNFmI6XMAmOb79rparUkb8LA3bCNj/GXJTqC/fcsJD6OBJTgjTMliJvS8WBOoVw+w1dMUi33wa QG+RfBSDchbSLQuljH+tUrW9+EyWTVmI4bffBohkOlB2t74eBM941KjHivbhkj+iuEGRU3K+MsiF pOZGsxA9MYpPvPajZ0u13yFUljuJjyFUbQKCorn93ZYvfpD7G0BRafGwJ1jHXAPxng1TjyzLjiOt lsE1lGzq8srvRRKPXgg7Q/XeO7vo9KSEH/kvYB30m4ggqOzI5DVSpqFfEXLF2TFiVxaM26CwsoUc hfB2cRbgGQrxCPDe7Pu5X7w+gC0pBikHdG/53wGvODvpCgSknDOzk7Fr+AUwIj1vnXiFh4S2bkq+ DVI2AYBjq9HkppswSi4+XsvxF7w+oni3LX/xBWXM1RGFzRniCp3/HpC+YHXiKtdW1E4t4mvrmzYO +TElxKfJr7v1syh66dMQyZdxDrepq5qvVGyj6nWJOASMnAMdn0qCrrgzGgBj1vSEmv7Z3rjZmARh PoJgN+BGmtmL6ra9bziAflo+mc1hAaRLRS4A2b3jrVKhgP3qpYS3NzfdQjMwmxF1sUepzoZDixjH eBnMDZtKyECWpuu6POerRg0057lb8qnLnxMEc6jCV1anoeNtlMSokRNec4f60yqUKtYhbKhBHqyr o3mQb0BRZ6rdXzRIc1AurchduQzoMvVgfgG8I/HyoaXScM8rQo44FgffSNHJhngdeWyasGkm8/5e XED4nGO71RN04WfCTDBJwLn5q2kSunf5XnhwSJpk/waaPBpX2jlCguiIUncFAn23CbYX28TT7wvW Z6m5pAXrGpXT+bfFwJGn9lPOSoUssOxI1WlKRz8ZbY0S/47pAGvBxkv24lVyqSAFR/yNDHE4uE81 LpxC3fbB515I3YilaVY9CPG+e7A3dWvOnaCzU8sZO1WrsgkaOYi3x9JYTgby39HXsZT/s0tGYWc+ qeqY4gc7Zt41OYB8OzA7y5ryPwdG1CTneJHxsHB7n4aNj/Lk+maTCvYpbYq6j3W+HMyDpMx5zWK/ LrfcYu5rVasPyxmq7Y33RXtp9moHwMXmwdJ5n5wTx99FIBlaBxq+5pO6wqBgHz9qh3YWKiVRirxM IRMCKnUsEbwa9nV8IUhYeuh5yTdHEfz2iUXoB8dHvfEVvTqM1FnDj9HhveQdAgOjbfoI3sLg2NvV meNLZM80dfXcfCpR/dmKJrFPHVy4OaQTbBPupWIchJw5D/nUU1vpyix64dDaNB4HHkfdJgSTM7yf RP0PfMHOiv0A48Fda2TzwCQ4JEsQ0qW+ylVL7T2u06/rguwikVgAuHQv8T5VWtoe03gKCTb1V0Hb USd11H6bB3en+qtiZMN/9SDU95ogceOxNb3Eor56n4o+OBliR2p+qkQO9AkOqhXIdgjFx7XNXESA 9gGMdfMioyesK4IjU2S4SAxVsx178O+zEpC7tcYmfGOh2Ycz/BhGcrxOJtFQTssAZWyjRAA1Hzb+ mKQJDvbbxcoj4+sxl0Zbf5kYfFGoT7M/BAs1wlVJtEm6Ra+/DjQyCiu4LP4/ys6RUwsvdBdv3FZ1 ZibYWfG56WgUidf7cnsJo3M9zK7mXYDzx3g3lS+Zqi3RgwuRmpPPryUtjAcG+FdWLIgLxfzFy4Vf X7ZUtF6iXBQTDGnlr9y4/vthDB9b96wlqDDZJX4s7/l3VbD2AgT139AKnvXEZMrxiqMi6n7CZAyZ e2N8U9vC6MFRFKnS7U8XCEHS2i6l2mVhUp1WNC18Kz9gND9A5unUTlghyPRZ+KJtTuhcYbSnGag3 HJdOVgNlXb/fQV+8KVQ1yn7r4T+LI7kwQwWKUcFAjsY7iUzW5sk3v5Gg5/pBI3Kf8WHqzlZjQ+aQ TyOWqB5JZAlpJtJPdIgWo0RAayHFbiN4kCBf7I6H/F7+0IojuJbMPUSfyPCe39W3huBgCQFu6Gl7 3rv4eteBsqFIzaF4XfzETRTR80+bu7DoGnUPF+5HNVeh5IX7Jw57jedGhMkXBOJxyMwb+DPu4ea5 RrxUX4KcUi0fcIgPX+BNz8IXMd1LG4tdV1D6Yja/7iCuYLbbO3UYML5l4OyfKqOc7Qm+7yo1udkK mIEqGdDbL3F/w2GDy1+3DaUOMSaHYhv1VLmUuWrYFnznbe7ea2y8Lo53XGyXCtPBX/tLpfR+upLg c48DvTrgoXEjoaquMalBxHTPfELR7hSM2Hp3n2XmcImRpoZls09UhVgnc1pNxBJpYtyuF4c5U8+0 rAGTMGAoQxUYJmiTojR/JhVX8P9YRKR6CW6lkCSt5EsKfzjtHV+3Cp5L86nB6yfp2LNJidBlawct 5BJoN9ZHAE6dNvCDmVzBn7Prt5u3/Ftkh1dgIkLqqnDIrLh/dNpthV7xa+yvEKucFZX4xk67tck8 va6jbFVlMBBIqwyqk09+NlmhGKNoowLxQASX+TifrG7/72gezEw+DHWRSCq6ewfxipyflQd0D14r KfjwoEx5N7D2h7FjHYkE8a7wxxBkUri+ZOsZGLV68q+S06Ed8gHXAYPjW7RjYmLy27lENOFOZb4e X/IGG8v9AZ8Ym0LKIBclaQR8NgplehvjW7J9jRp2pjqN7CNtUkmMqiecSzsSeVYG0qlQ9TUfolPh 5yUT9HlY2nQEDGWD7AAVl1JMm2VvGg9OEi5jo2UwVAEfIR2t6RGVRVyh6MffU/8/mOuAmQCHrgoX 3ox9L/ZDgNFVTzD3xtXPKhcFthO50LCMh1FBRANFuQ/d/GNY3cKs9CpSRUz2SnT2gaybKj3EbJEE ITADcqRPfBOx8ZXvaN6frn+rzpIZQJqtDiu+8HY9l5X5AgxvqIKuCsp7ylsAMsGnNKZm/h5FN/Gp 68vNdp2gNfyDifbEb3tPhDW/H43O8QIazqJfuQyKUhZc8YBfem+pYMDvvmn/dkZeZjtN7wxeNddM xUxEM7ropesS9KnfLuJdBiDTPDQjcmUpRCjL/nKEkXzeaQqaCLtLGfIPo1X+KbC9cvd2Npqddfxw qk+IFU2+sfHFpuh5shWO9hX+WtiIBv2f3zoxwUXYjBID7uaQ0Yqf1S8HpNrMFHp6oAtw02n0RLvV nsofpdaXVffH/b30xgd5tWTtsE7RknyaZXrdTNx8UV9xzJtIrn6qH1yu2WC1CIaS9ZO41VOwCH5h 6Uplby1kewmb7g4GjKLpJYf50k8Kn6DcVPrrU4o2DQtPVh9GBG+1+EwAlHn9Xn1wdKmbyV/ti2Gn 5vk+Lo+5/fvYCfi4d/wwP+SWWmbPO1rXYcGhPQ0vWO/CZYTL7ZskP7fY349t4yI/o/Pdcp1Z8sfK 3BrDGbQi4wL3jJWWzugvmb4uHVpVkKmY6srcVMA2DEwgzZfGzG3WHIjoutrbcjnaDDKRhg2uGz8Y FU5WqE4pGqBeeDGEE7IXPF2BWlROQNzfzr9p7n4Mmm9ZiQI6KjGNPWVaI4maj2FK1+uGWIOjwtos gyt0yb0mRcly0TyyMRZ8u+zaYKozkptxjdow5scrDFNFyLfp5V8MRpUQi3DrvYkoIb6FsPEKKUjd az48a+DWWwpO3ytmoZihQLbFG/R9uvyU4oHznsSqr1QFXg2FFp8RLGnbk6NDZtBHncL/RWrbMSCI vkv/KZOT/lFosgFadlqd1wRK+BYtKosaIwnMykcATpT6ZV6bcTzR7167nrSxNKeYS815VumcKj04 gdwo2Zbb1MtYc2+Q05dJqXRb1TFFmDuO5sX3uG296KNsskXxrO0V3NAwTAQ/7mzRf/1hC6+W7eOf MlUtFn+MAeQxUO6/6c4Z6Hh4GYSZ/XkiVfo0bMrDTDmLb2hRXIkl4cEKa1Jmnx2ChMKYQ/ug9RVQ EyN1OJNaI+W65Nao5b36e9W+suPwFnf2ToJ2iKAYcwt8zBNSEKRfQU2udk3pIoBOGFEj36fnBja4 4362F2z5SJz+wxWvuCiyPH9xdBLQpY7WACcBqncEtOmZIfS0P0baO379yyVABUZTzL4a5swZcO3M pL0YDph5uaNyN6jhnaoJGX/ATZj6zp9J79o3qgrL8rz6f2qHZLWkvPRMXLLEXA1srEG0fTtQ9XD0 25SKElC/5hkr5zHSKDLt6vZrVzYRVYhtvu6TgOrzysnamjy9mqiJGy2GFPucgqv4lMOCBy2zCdOS 7pBsWCWTrGxQRopvwPGhUP59MCfcIEcS1M6crwLbn/GjjntYIDxJS47cx/hbLy4nH7lYYEtkQHZP aRiN4XWYexWnHyFBZXU9e/L2ed35LcXKsrJFfAlqX0IJ0d0HJDmLSy+nWLaShNVBAblfdWbKcu5t RV2gUgNc6dEeBDPNf+Jb2PF2HTPqlLbwBph1e97Pj0QjhKb5tOX9oRFi3Wjz8B3znpXxv14pdxtv UR+akgKtcwamrfo558uIMro7mvi5PZZUdllbv0kooy8tB9Tm2BHWhNlzALxJN1JORyzYye/GzAmA KjUQtGJe37b2ERPxyVeUhfkBrU8RS+NkBlRThB70V68oCRluU/Mkq2nurTOBO4cSW3oGG4EJuO+W OuwiwFMI0dkM85CFDWvRfxSCLAb+L4CptJ7wstfwKUC6oeGLOvwZCTfnQcIexyzr2v8+mubNU8ZO jLJjt5Ix2346Vz6D+oWrE4rA1HDTAPR/el+RR6o4NHcY2EstJ3zKCsnSz3LvEl30PIPfcnsRXkBK TITn6NNc6drwnFCDnm1Hsp+zQWuFnQixgO7rhwtIlVOd8U3sJX77dh9e8wgoR8k8X+PnQRBjTUgW xZ+R5bZ7IwKGXOtIIztIcxzQWPn161hBOst3fXy6y77Ubdrd8eQhLQOa9q78aRmF9gsdaOppTE0C FzUv/x+7+ChhKTRXNgwaYs0lsJzzpfJyyytHvw+5UdDMMdnkp1s6Ps1gPdqqXcnAzMMJlVjztHU2 ILGFFN30CWrz6yqBcR4pGaClpVBX52XhdzcUzuaGBZQY5Xi1L5XzTU4FjqbIhS+vF1RPg0OTQbAE UZNtsyfpQR6PEtP/UqN0b2+2oAGAH8rsfa0t3ynTRcN110Omyezfc0MG6sIH3BU7uN1XDGS1Ymqi wKhylcX+PPrReCoJZHovNOaUfA+blw/X8SkVQJ0ws3usHVCcQ01sYwAWCOZ6gzoQc96mQe/kkGPj yKSBfJ1PK+vY4uvcbGBhmtQ5NGjZ6z7eelfPTRHwqGUt/MXgxS3eN9sYi314Gi3u7pjXNo1VfsAW k+CkWokjXPk+/YHUuttA3NMvQ7um0vHsPrnpcTI7bZ2s/6cmtiP5XnxZcAq99YdnZUnXordG+D/L yMgp3iBfOQ+Kh3in3WCIrmaZYk6AI/trAAvJO5/HoQLgNC0gCd/Cu5HPnPW7fzpw7ai7QdWyo8hX BG+q89U7hRo717dhr4YFLT8tJzEDtaluPjpF/FcZMxJyvrSscCIMp6Vwp2dJYA5L+mUNGQVdQj3u XZiHObJ11j4vdkWhQ98Dtpf2kFPe7NdoQ231KDRncj5Vys4T5Thyyk2LzgozJrWbFj5ImyngQutX uMVHdifE1PaKhlYWisps1+Tnwp+FSB49yLyZnJ13hHTlFZd7RO8Zdm8LdcIoavCl3YRniCbGW/Jl l7hOSbjDVqneiYv2XXtaGrduOAHC7451k7UI5j6Kx1gEy4zl3e8KrlvbJR/O+0TEl8SVkYw+JK/b /MbkJJGuTc9HkRbaCOvxsIAg7YLX1hkKO1kAL/YJMepW5u54noj/7GOFChXa4SG1nc8+sEB9LXzI 5mGE4fjjusBu7mkarTqXOehSFvxZWmUZ+4WYFTBGs7jOYeV0H9mAFRw/rmPw25p2IsnVZtTfvrHX ggCmYLCD8SylXe3H2POqr5QkD/YMplbBVdWa6DxzR3D+0QZKQCKOWGsPdjA+t0tgwKxC9raTZLU3 ChmmhFxc1yIRcCNEP+kjUqPs/t2OReq4iMKEGOZq2ktzK8bEpmDM5Uso+p0ZqeHXERgmlF8DFJb6 WBjbNWs8FaAxoeG6DKhFocgwXoNhOU0hDOwDi/3C4fIDO8NBpITG1FEcpmgaoU0SMm8hMwT/8PqN DMsxGwC3t7k0cSj1jwaZkeayXIk+4hmL7b1GUbV3GJoLrQDujlMOAmq3qbR/cktx5BcpjK6dbWB2 jiU6PgNrTfHJXytH27kvA0bEAcHjZkQSYk3G/cvEFyBp5XQfr0IwqjE3QzznA0+T7pugASo0lBkQ CQLwmiVXVWtkMNRIsf6+jlAUdiDUTgj+7eRVGkBYv1XhdflmZdejOz7w0Xq5SQEgfeD7sXOFs+EE nmVPX3gaZj445mRbPCnH26wuFM50eK/Rz+nSyDtD9NXlHA510EbE00PLNU4oo5+UsGZ9yY8/XbYm 8lzgN2YT92EwPUHagGJqBJbd0/pnr5SvKm/HXjgd1sKogm2qc++MeJgB/lV3OFOOvApXtU72qyyf d+sbodtZt7cSE60217cGSXo5mcRxKzrLvWYlwsVnzcFT73XBRw3RGu6KcEI1YqGSbluWXeI9K6qo QJ7MEqrfa1KpDtCRd4llPybKAFkksYu2SzcmR8IkI/o0CTbw6LsNauH+TdH026zES1Ck1V8mDclC 5sdyT1aOH5NxbTtk3B1xisRkni2skWnh8bRAD78IsMW3xIA0zyfw1MdZQbdux7nhLY8UasD3Rq6Z myg2hSgljGxtmIFK5YK2t5it0/YRjGx5oTBoAmiAzhOpgR+uQc3C1ZWi4Qf1jD+9LD/KvlMTBoZJ 12r+6QGzojSdjVRpOvbnpBAG827EDcss2m9uAFZy1vLPwcbINaBZCxhsu+lO69Dz6r5g/WgYpxuW 5hQi7qtXZ9jSENpgK/HDIH+j3HALTIUlfvKTxWxSLgztlRP0v/hl1ndZrf/Iv0hdAHnB91BS1Pd2 ASSnjcqaMwGIhYIiNj/+lAZgWu9K7CGFmJniDKVrvB45VBZBENquvguU+hLEiVCfQBznTY+OSE7+ 5CAyHEbTWXOQgKMr2TuLROiotQSvmfFCjo6Uiv4YpQ22zYh69Sp0wFXdiufmlRBQrMtQfsVFT9oS RaNFGLoLOrOvyzUWuK8TNSHDo0i2adQK4nILBLlpM2Qd+YKtiVtwoWDNP5BefklQuJnnC+NPU+m7 1bdZlzN8fcRK4dwHC3i4Jl+P0sUkcgIfwQxyqbGl8Fj4pS3N1WAPgRH7ZHh1d4WYMbOUAsJH8fyn MQ0nNqTjnR4x7rfR8POTvr2G8MN1JNlbe4edGkc9CYPiHxxD3CROFMoRBTbdVSzLGu8655bf8ZeU LNj6Ht0X6S78VS0Do5lOHcMjabQqzHG1RvFUW3/i22pfjhnkc47Zg3NenR7WwpKVOl5DBvhgBBE0 xCPDgGMmc7qxCjbseriZluNyy5vqlbb6Mc8uOYXtyRd2BHMxg8JwU1WFZCplummi6OCuD58dpHNu uJa/rGSVyiJp+PmxY4tYvpgturcwibu3nEoLHJmIe86Kwx8TjFm9Njtk1TuCyTsdRNJisVq8SBK2 ajgsyyoJ4DMLbxOhn7hP53dhI0y0lpSK76SWmBak13iDxOrqo1Cnz7jhd8U2Zm62mNNnq2pBwOpF 8YbGYV1RZ3BfHNX6SBI6GdSsdvMgPif7EKUABOPgnx06qmCFjpjt0y2AEKY/i85OfHg/mEDbE8+w Cynv5VnSUaAFucgB2iwPbrTAc85O0Bdpq4w8vHBTtnWk0IW8TDQtvULnfdBKpuUFA6SAGoY684w3 sI3bNWLrQBsKME1Y9clv5CVx+6aMNWVl7REqOXWlsOSx7Ltx4LvB6QewyC4O9M+/HqhpQLI+h19n a7D3f4X59VpVwa3aRQXfQxNv8BZQF2eDlvYllGtZFbX78kemXc8/XdguGLA3c9cAae4qF3u47gKU taRgrdIb615LItzmPcuWwpl5zCzx/+2wuhABmmluyzwvwPrVLlxE6NeJNuMlvSozbQGZJEXbfjNb PLL0IbQnp/BQExL4RVVglOhE+3XogX7TTIGlpwBbRPMjetApej/7SnGIyADaP+kAXKl8AKHuF22P GFqVh/S44epJmQfLbRWPYiJlQxEzQbr1W93Ka+E/EV1mvsBhVGS0/hxBdJ1GSVLTOBmvwU1boH0a GvnDpSE3wy1pJ0gIBmNhyGfoRHEUAl0AvYUcBiLx/Qw1Vd6Eu44qzJ5wMyliPhDuGD3ECH9f/Srr nD5SkTWPqOA9E1vmxJLg2/GoK+vcg4wreW38jk8/l1jDZEodc7fn08gOWreMVjSBv4DNtOLvGHYw qt3bTj2wSwJwaU/tqLMJfQQOWJEmRidUijQaKFP7zBzYf9PQgUan033vVnZPHaAqCeNngN85wY1H HrRDKzoio/oMz0bcaIoGNe7f4PKiJFMRXn/I+OnA/mC8DCLYVep3zPWGvLznrdaf3tc3rrNRNSJ+ a70tZxStrob0P9E0Ld+ksiXpQiYRikNsXRZUwVe291sSgC93rrSYNYuHcYpxArKDxCgBxrnBvnUi D1m78rj5AsPwSKYgWrD2FlNnVDkL7/gVayTJZXxM/bgRt7DVBlZ3/ymdxz5xhJT1DmqlWzbCpkDm M7ODXT7NM+6cBehF0SmhJkwC2srIEQLic+BsEsWKWuBxlBCwOpSjphEvm/8iYwcODYbygGZ8ip37 4H/Grlk1jJZNSeeqRgX23M74LZ0+NIwaMOxjHWmfEEwJe1/AZc64zVbsoUSMblx/LCB7l5TehQQk yfX0caazNks2TDA/amdRYdc994YNQSuE8dtm8A4PKRsKQ3I/9y+5i0NU16HDR/CxLbyA7OZesZFB vyQsZxed+za74B/1SmMtC8l/YUR/UPBj/eHtSIwMoH/u19oJm0Uil+2ln/sTduD+Q2Ky5lBHVzj3 tfc2e9MGasm+4OKQF1c1+JPjKbK6xjzkUxTbQB1Q4sih9Wx442/AcN1qUVMDENzzhyeMoyIR2IqU Q3NC/hWq587J7yKOcblWk3SOlHEODALnb8QcyvpZezYrSRVwCF1swL2IILoJYHYT3x/FZj0PS9w5 N0+sRBY0MRCrypHLwjdLs/iFHmSXRfk9hSroa20tIF/JaBrLrn+CZTH9SQWqkh7I2bjYdvohQDP1 fVV6i/7OqUXZqfmNTAUj2EgQJZQq8CQERBPBpCjC7xKQeGXNhbP8CsBbkOdVBRVJd1epT5l7heIo IJD5Lnfird337xnVF6+kpXE2AmO5ciPIjVmQ5XmOq6HPUUaG9ReSw3XNLK0bOYHaDrE1R7JaUWtK lPJilW0/h/1B4dIH9WgcaKKv8ft62w0ybpTl5qu4h08K8uG+kdVDWecRkNFFZ+9GtX/tfe0LWazA 5rJvg1TOpsABiwygYQDM022bxOROWTUfa8IxaNvbHoCR563JGv21o1hnuJjWE5iz+c6/Vw6foy0C PxUhS4TJ0/pWsGSOLFokqPtblFBoOY90jbHbSFNLdvLUEs9z1KYIuJAX2uQJMGFxmyQGqVd1QlG/ 5Sdntd7F/e9zqS0eAfIIMpuDBDuc9i5k/Aw09IUxSi6qUnJr/b30tDLRxyiQwePsLEx+xREd4nur K+6IWx9KXXq4tKPX35QK/QdSsGrKj2T2W+xVTHeTTOjndjBDluir/tRkkwmGbl0ZmR4QZOPM5AhN DiyYFBxmjwabBBCB8gcHY/1r/uMLAGe7V53KiP/Zd9gn+dEn0gZktcQnz8mu4EcPKXMy9wmjlGpE MCLEAxhRbfZSa92A06x5oo2gGt8ggMlc52zN53ZQ0EYJsU7k2u48IogycEuE7VpAxnYgKABypb05 0b6J4uMNe6p6cqBs8IEaziKI1U05IDM2CP3MqUxMM5Fd7WIki96PaFp+4+tRwc0UJtqK1FDxdRDQ x2bPR0ki/hbrnvx0F3tsUxOTYF3xzyoobl4rAbGoUvP8ZWUaP69q90+QE2F6q2K1qhUFEhcozf80 b883igI+Ll/y4ErtvOsS8W2EDTvuMEk0SDr0P8rW+62TSe6Tdd06s2xzZf8eC9f06IS9BQZyzTo1 7agvfhMimMTvCC0VanqQO/TLo4xOxrxLRj9I3LHOB6Lh1bHLuTvKBP/7IpJGVN2oHmKP79M6nCTP 8elUTRzCyKZYAMW790/R3urJShPwLwi0RB7FonD6bfPlQ6jFZTseUiOLFPS5sQ+xmdfCjJI49n67 bfHXuGOx+TUdW4VUhKvCeNf3C5FUkCySIVLjOh2EKgRl004toMJsrXwCSSwRar95NCMgL45S4/06 LNLHs5q4eAJ+q3xGPxDDLZ/fHwjkP6vChve5IWZX+UyMMEpznWkQghkIAXLgaZVULUwBnyfsUVw9 fwbUVJgxZNZwZFuMx2W9H0yO+PEIz4IexrvEmlgM5ISiUaKdNWxXmbr0P9NpAISFoEWhwKCGWgNr ovTif5rpLYaItin2fliLMWrISBfboX8hYtvKbcHvIeuRx8PxXFMIyU5/wpvySBYiIstDP31dJBUB kpeKtNwqsIiPewLoceLSpudwL8Bi686mKG3ET1C4clGIaQeelssGfv72eW3kK7Imdr2R/H9P+wED NImrlV2dyJGNIv8h8fBxAKHkP6vjXB0QdL96sm9g/l9+m2jXB6l6z1aRuYJrGOaXRaz4xl+L45RX P0PIsbNrX2j0Fsg7cOXO8nlK5+QX/K3MMmxBPea+RSohgx8lfcP6s1bbG69IKKGK2SDir/4N1JDJ 6AMB3HKKWfPkXbt/zdSe+WiuXjyV8zW8vxg3DvUT702hkBuLwvH9tXVzqaTgRTINBdNyirIXrAbM vCCOBGm590paLpLGxPI5NzwuaK2o3lLWfFn1gbDVnRx6N5HZz0sU5kkzbrt3AgCyU7W6UZ1LcTcu 9y2Vr8iOvmaUvypYCVMjifz7E/Wn8gTHpnz92wfec6hBNx2/fGEo88cSE4C/7MNZWmFxgaGoo3nd +vGp3VHNNvut2ZGtrzk61YmfFK7w04UeRvCeKyzaVceek3Mp/9DQ0X1/6SBSyPktSTwWrvtidZGd 03uBQ7phFpioKgfvzCnRRshKNeYur6zUn5vl0j+co9aTN5AoiRwqngA+SLxmU3TEll+uzBTFuZxR j5li1ANo1bkUWOn3zgPKn4rkJJWTNPlAJC+s9QvHD0q2406eFCCIhnWgz2oig/UnwTy1AJlhSL/D Q5pH4YCSBX6drbhCSVC2WT3MnXCpuRtPkHSgNx5ySZpNiq4OrdiWfpfJi+2u1LcrCkUpAhSRBaYW YHFdqbVeIxE4y1u4Mc5Q6mHEOeAY89PJgxjKJ4fLHf9aN9HY/A1c3c/K+j5bOI3vaorRxc5u5OiM nLUUD8GTLbSlROB7KtgqEL5QTaAm+4uIVDZ0U8XKvFtBCeMSHR3pf5Ijo+ntMGYjNj/KZH5dsy6U Yo0ZSg2uNz1ymYQpspCHi3dUyyNmwygNQeI4h0P43MxY5pGA20TZtqCYzJj34HHVKU3rBYpO4TeZ KGlNWUCp+JdKfU7d4Otc0GC1vl2qWwbWGHyVEpoH90nNbrBb/HKaaH5jJVh8H3VmxMmYuLqW/Yp/ YQy8C+DIIoNZHPVZYM/JGx40OFGR6VJFziSReTPfDpIUJmvv+H1pDs0GfwHTAADmDHD7EnCO3R+Y XYBpvEwqzZ6WNGoDp3LgFIFCgxiYFXfuSt6mS5N7Qs4Zsigk81pAsnIa5RaRQ0oF15JSk5LJynev l1GLbdW0KFuDoWmzTFYroAlbnqvcgbyLMuM+A1QcR1FxIPLSg78cPtbYYrA4AcpEjRXL5xspIjCG 0hEMjCH7GpHh7cDYVrcP6P7lwZN/5iInIfi3bvrquXNzyBM+3gOgn70xUTBC3lUkpgwOAGsyQFER 4piT7dxLIrHqB2T+AeesmWhsel115ae87cggm55TGtOgG/QdzrK0zkBifEdTByuzcgifP4vj7SNE H1kJ37COT8YZEoB4UO8/mZUu3yfRt7YgRfPzWuKUlhYWht7xTamH/bNRO7LfxL4xsSGTgOH30TSV v05JEw5whnsrqAyeZS0t5o7M0fTQhVVWCmI2hYwIbT3Itlo+NErJhIc51wKtPUea0Ig+qLhm9ljs rFbJ3jEtxraw3XxLjeAo+K+2RQUl0V03ISc411ySEBK6WFGD3QZRjEC9wA9fs5SBdOqDNw8eBC4A OzNL3DPug4WGLkk4VUrOloFWrfNIWTrh8TX6pX4STCKGp/lHnGg8orbzewuwn+Ix9DTE4MgE5HoX LJ8xvkbBelL87Sjsg8VWUXQcR8pst5h6JrFzkZluqlOGYsLjPlaU7yIKUFi9VYupJgyOZXvHmU90 rVbbxfCMEcwFAGNKes1XKB3Bjy7pAPaZoVs8NlDmkzH8649cZEDcPVv3KlvlKCizFxg0cGqxA3e0 auSkRL8V9VsPBrBH/iTwMOGiT9PEcXkYGVxnd1xReR/Bfg4boduf14kkJf6GzRH1c7EpeKCw/NZC HntTwO5BJ+IO/5q8flKCSkFA+rtZv0IburkZ6bW18c3q73563Y73lcUQ3KNxULxj1lx6ZN6eneRz MGD6NUaJ7P1DkqOtwwhmnhTsU1eNcimUku5dKdV6v9JkaajUZg6D3DgiksGNOasVWG8w7XZXZMVT G2L3aDB2s3nDnVEs4s23LGMMWaYsruWsQfkJVxMyZSGtdYmCbhBh3rqD1nRrzCKOnaryA3MuAKz7 qAe39vk0enpNb+p7s3NlsWmmAKZRGjWUNY4UoxSkDlAkaGsYVjzdOApiCul1j0UY1VrmZJGKgMrU Jgnj+QpINvmaCsTnoDEmKAviRJj0sps10Lvg3hFpIcuEuPHS9F9ZapfwyyLaRtmLXsK9gjGsQMnQ y3DXWhzcduNDc30ThOGw0cm2/O59IrL/6UVBF/KAxQC38kGnlNNRiwdIMLddHo/4XTUD4JO+s+Tc IFpa9S9fYadUuvMjcw0ReU0VrWGgR2VPlVxAh7cnpKDKY/COwa/RVKRYUmWdAopV4mto824jHuEc lmRg4RJ59JsilW9DYAXxGsT+6p3LuszWAVeX2gnnUXtkK9aco1Fy+0YFJZ6aE3/FGF0o9r2FAXlI rQ6Eu68V8ws4dQbfmB7rHM6lG4VlzbA9thtnVH4TCyJV019YWuddZlPFQ6waJMWzQ3PzwuFNEBxp ULZyof3QhTWoe3sHAq3KlbIA7TjOqcDzPnXHw2FWt4rA26LpNgHgPhOEu4S4NM+V42sG+k1092ai 6SI2Y2dPeGM37vXCkp5um/h0xbgUzmGu8ODNJVjFAeakmq8bO8nTs45nFH7JQkSL6z5y8A8M18U8 BJocTcVkBFZcLWyvmjRxs1flIJl7GyWS75V2on2TFY7ut0vljtEn0pM7herz8Pl0u84FbIms5g0x jZscD5uEY7LO0vOiCdylFWd9mpySjWlu+lk/M7bWMI3DPUM55q0v0152oeSEOnBF4nlWNXjnoZmc fVePbItetoK+Ie2BU+ZiNQIXiO1ahKmgpf7SB4Hs6mBa95sIn/IxSplMzQG9g6Y5Fg4lR+3CUdSy A5Vo1HtsQtA7zqyM0a/YTVI4TbIO5yPKpabm6RoIcmOcvOIk95NJ4Gi6iYj+sjiLSnlFxWb+mvkc vrqF2iUURG5jM8ZCgKUR7QY5XIN/Wm77RJKxb3HIl6cpN1KEX4Cd90ef8h1wSx969VV+6QmNw+Lz ehLNwENG3aG8kg5GcQ9TxJt+Rb8GMmUqANnu0Zk6t23Ui0r2DjDVgYjiCFuTcq/Qwqr3SeG1LIUU IC/LXtXmqLrP82yPrgUcfIqsx1l3oD4RBGGPo011PfnefvKeNGa3nrHovZdHkVUjA+cE1nE0Bjg1 8EVskj/NL8K/YLzDeg0ea0v2JHxcCU3+U9LWPtSbk2/glmb3I+rtHMPr0WZlmt8ENoiZ6w+HhaEF PXxdC+9ske92dydsf/2v5v3D5pU5wsA1muEiRGKE0qUdADsmChgZerpkqb/qyaJXzYv91y1447hM DTGdrOgsameIP3OG3y+JqnoBHbIwFDouo2KkWgAVL/LOFQTLqnHrAAQj/hDwzdNLJtqSf53LZSGt 4CwEPo3VgtIeagY3kpjUYLKailze5xHv7LAJrfK6CUJ/K9z7eXCfcdWugbEpInGa6culAzPf9HFz MKIJIbingc6Y17xM/ldkFJwiAuhsouupENOJYTH7x79DD56Vo/B3WI8fsd2ZtukEBBJRCzn/ywkQ rliJYSmmgniIHa8Go1es6OjvSnrOX9QKIS5Ii/BROqUTxbr6DtsJeDrsoNR35UX1PztOSh/2eMbB hsxJjYfkuL/xrgTT8XjAlX5Wee3QPxRj4EMWSsCMtO4knYtd9kP+1xAk4RST0vjKzKV8yXUnDysR R+YaoaQ2NMXftxgk4RM4StrOXJBlqnq+ndT+S5O1HIusqW9cXy+J7WuItPMi+Vc7mHMD9771cZLK gIQNm8VuH8xc5EwMG+gXKs5nt9Co/6kjXpzpNTcUkf9gz9F9D2VBFAc8niBbVZFHV0to1Em06huO IJ+lfFLLmaArAvVPweiUZqXiwSZG1bJ0mMl1p8VluTmS3PMLI3+SgkxqI+IpW1RRExPqQPoVgS7B acf6Dz82x4+l4ncvh27JnqJ7QxErE9uRQKPpI+ufoYln3DMtM6ws9UNRUcG/pGbKOJc+FFeM54jw Av2gQhtF7ktQjXylNmMXIj7P0VyVNe9d5QVLxOvhher3J7R+0bBHjAYRIluBJRSpBksUx6Bd1LVT 3sImKY2NDC60ibo4h/rBAfEvKuquDEVczK/4gCEouwuItp4lwqBTtraJXskjKj6B7+Q3J8j7NFnv iOn6UimP2unKmvCOSQMlai9Ou7A3d4q14tdIM/dnuzyQONRx6fdCpAEA1/Bal7GhyvGXrgJcKrQR FYO2YH13rgWkLOAeUtoNpGGZzvUMv/nIjLgvoZ7WRF54W5gQhzIysZ8qiVEntGbGMv183kgea1VA Tfplif7+OMpPPFaB4HNBTsJu2FVhYf1E+Oz6it/zzeGLAX9LieNjRxhNw4LSjrK/QpjJSA7vrcbA nsAX5opkq3QdxY0aa99Io/smllr8KaiT8ydj07og7gi2gCCRNiE52l/UG61pNz9LUOmiT9SYaEVF Sy6w2NeFXIPhjE3g2xoA+bUEqtHwQnk/iH+Qx2I3s6qtNb7alvtY0Dq1smcK/sSJvpeU2oxpKboX eByHl3s+pgGe9Nhi8G2T4ITjVoP1Ic37472fUHHykcF0vk+BB9eIakjqbQUr75kov5SEFH3eSRSj iF0uk1JznC5JGNN3JYrUUa3PEFZqkxnLmWGlduRoLrBicekuht3TPJOV6E6fTWen8mLREUKXDZa0 ed3373CFH2yVNzbGgA0dk6g6JsLwAYdcNSoJSlVAE30MikjpNA5yRU8w2Osp07RCngsjNhwvZdg6 bNcyWx7Dj5Gk5EdSZidta407C5a0L6Edh4wi114GlyQYwiCi8EpgbFVhAV6o/7ZpjU5luRfu1oG8 0DaqbstG/FzZoETzBgwFHn10gnfA2w7h23Ybboh4nj42tUTgdz8uJ33b2RlprjB3MPkhLCfNS7Ak ZX4qf51TS5v326clTzMgft1/FGEx82sxRboOdmFZvkFidKXwDRIihQ2bQ3RFHVI4amR02dvnsLNm kgqcvJlBDjE95Rup9DLxpJBqCYguX6yUyJC2NFCWmB6vzmuoxI8vGTraBceiFMrKA+A35t1o9kaR 5ZaoaYxI8/rktXaqqZW3dudMwO818AHTDVTgznxu26XHz8IbHxk7ttqhgTeVHWmIVpwK7Er+Q5hY jqdaYWBP/qPbetbLhS8LrgcgBlQKhY1cbJanJDfsfaMQ1pzrpOhJr3gKRD6ZolqMOyXXhdKNbn3X Li3S0kO8q/rkAaffH+Frang90k6WEcKnVdw+OB35XZSn2MHkbieWoH/pwzwes8dvSw7o9peFK5ao Yj0uYNTgpmvpCs5UhrSnvPg2sTzFlUHc3noYpIPQuChlke3jM6fKHAV0jkbI8sQI892XqG0bo2Yl 0Q1JaUIda37mE3AdebcU5DQWQ9AmmlpQbschhgkcf0FUd6oc/gLCewCMszywJ+kWqn7KrbLg7j7k 3AnAr7SrF5jmNyi75WFBltdzTQ+XWFxpgAR4qFiOL2XAofzmFLvLRoHQDgYz1eXs7b1xMz+WDHUz bL5BW1qLJLGBFnbyOBMrRP0rTt/7IlB/Ptw0kn//81PX8kUjAKIg3v2WfD19ysLrqkr9rIVNwMSV Ifgm1p5kuw5IYrMLyDr90hSIFeS0nxaWtDt0860pnvtMM+OHgFrub55udD74q7XXTtJN7yM3Hm09 AMnzC/6OQFJ7D82DlkBQYRRCH9k3Za4l+fOlGWXoyuBEMv4iZ29dUmgWkGWE13YcVoh+/QTMGoFv DqvgnPX3rBgz1/bp1vEqCVTnOb1lIxdFTP3182zM54GzO+04nF6EIwiVEQS66HKVYJq3wlA64rkl Z5Q3YI5cn4scuwrf7sPoxUwZeIPe1eSLfzoIL+frc2wjRiQHwwmDVUbKmLEg+FIKVT7wjf2ks3uL piowti/z7XFwhuxA+iVKXYtWMWrWhqXgKjMUGr+vLy5LYM3ZmI3KZcHX8czs0PfWZzr4bbcrnLcr JWlw8vRvH1a6p5J6rHx/M8aNaAg1q9Yr91T5uJjCEChnOblyz/jn/fy1Cw+iUEUDb+7NtjAdzhe/ Ksejh+dkinwmEwXJ/EWb1Kee80jbOuaNf3li88N/NW0TmKt+v/7WDfqKEUyOTYl52xC/oipIBTNi xCBoUzxY4VHsVKQDE9jPdO6HhOngB5SlhwxBoOXQVtzX6x+bgXJB3zaKga0VTUWzTfxZks9HkkxR sNyXc0gt7q6CTIoBPyiHS5YWxFQDHPlXIhRgvWzHRkoMIAuHZEu+EcObKHsQs6AJGcM4J+3NFaCA Zu1WZsrSfrS3usmoQKcjG1cJ9yOtXUuBNh7FoXXucW5vdmUxnC/n0X42LNDq8kYqYb6GW5c5Ct9U Mf97PfERrfZdx9RRFVxtiUVNoGDpPLcEEve/RSMR5snuGCK5ZT1Ssl9k5hkESeLqIdKCPLffcsV4 drgIpciAFi/2nYnJHQwhp4GwQGe4zezSo4HjqXRiw4HYTBScMGCJqf4oosn3psCOg2CsrFbp9FQu Ri96D5tUKg1MasTOn90SLICnQYVhBYh9lkG4eaBta/m3ysT8BxjlJX8J5aUNx8q43HNbJPOxV0Et PLNy12TJnUbsRYVHdsOPdH4LRj6ARywaEN0HfTfkuZCiOJn1kGdfTwsEiAfPXnMqnz7GViH/XLzz E1vCHKNtwzKZT+50F+xqlHxhTMQBmblYL4ZosGwPu7eaQSANhC9JoUnqNOtvlmdy4GYeV42LUZuT UMLnF9Vm3LEN52ThZTr7I0eB83bLeaxiQj8zxcTQz+jmVAlS++Lkpem9R99o3iVc3NYpUK3HJK8T 30rlKv0b2dpPU6nqyS8CuhqxuuxFGpkk2+G8tYoTHmWAmsd1OZD1qYySR9Fv3Ux/dO7fRB2AI/RP uydybEQqpeS0tDxooDrk8IiE21ZtKpHJDqN5ySWlrb/3NWg2++OeZAGVUEzbTHbHsd9TyFqdVPUo b9NCw1EhV3p9mIJREx+hXwmap8zivTr4T4ni63ZWYJ0r393gEb2b3vqRICwX0/+o3GaDb3KyIdVF DLDzmoyx1asFcBub+oeCfDrDi7vKnEidWJuTevC0HCpkEentbVzfh6tc6hnkL411/IqV808FkhZ3 GXU05HUIGxKsL5F9fIRFjGggFr59yVHbpWIGrxCvRyZQ1TfLnzUlYIe1e3IFyCyakVVaG86pm2OA gxoxKOV1W/sCNmZeaHaOjpEuWfOZWB6s3t4t4hiqb0iblBRC2NUPmC4Sz2g1HfWrY+iGvs/ylNLi jNUT2h0On71TZXUf1ic6fBOkDPC8vIjUW99B9RooaP35O8eveWOI6TAI8D0Z1chwW6+IMHsxMDTo 9x55hU2BSGOh26gqXLLICjK/hw5FRDaBH2ttVCgdmWwsx5thKM1RV50bM20Enm8ecYYpBhkD48Pk wC6IrQnJJLyQG/CddHt0CJXaVDI/i0yIinM9tMrwnbCqJL5MGS97JoSt3i/X3iYm4HAlvYAYQKiz kmBql9M982pCoJEyE2GEqRtNUizugq+ntbikxaxnl6SOf/Vbz6xAbKt45wGUMD+Ep9S49CItATTs qoFt7vXD7uugmXQG1hqURS+bkcpmRFW+Wp3FRqr+uA3kmWR/OowrseToZIkmWiws+ZpyHZWEYfV4 1ji7pvNQEixCdNdl5QrxtkgYaxwEOgxDHhBef+BcvBMSjSYz60vjGmlsL8NZ3OhSvUMkfLiDcYHo 3HVVNz9cZZAiRxXjXNSE86PhGUBxOK5iD/AA59z4d4WRTLmSKQxbd3ZErTENjKcP/rZbzVcl+O3j XKZLIj5Fv233j/Rx1sY6swLqIbwquBTRKk9FR475kX2ItnZ8p3j9K0/3zmcMsHACCbOXtK8SzVyW gtB3OeAk1VnZynXUsyMkFTLrwG1DksiuPo+toEoV2H6uToaAXI4eM598cVGcTnuoNOWGaAJwbBFG e3E21ABewKIJaNkykZc1eccImRhb9hX/FY7WAc0M8NM4wos9VpS0Jn0T+LSGQzIxZUEJOavByVhj DR5ow8E2zuOkT3ndxHYXL7Jf4Om2PtCYoDK17CPXrhsC+pPYXztVDxni2Ffv08rPSiNkylZmKZOf E6C3WbR+RDP/5l0VSacZoYPNurjzc16Ox/26kDH6oZ/MJMJi9qoh0Ahn+rj7w8zh+U5MhLKiQlHN XAtKpntErx33Q45nG5+uZWeCGUziLwcUxYYaXLeJB74BpcSlzwI0yAtma8o19zqc46zHVZ4GrbjC Xj9dw/RYCTP0JCTGU9AJVlLhNxzfKxe7LnkEGKiX57qIJXPwlTgBC1cDCbv+fAW8TVAmKLT0FhrX nWcBjw4WekCFYoX21CTTLp5UyvV88Z7foU9ihuiyuaZZ1FdqXqr90XzJDGn2LDHW3txxGaSLO0aZ oMKp33FHpfyE/WWCH3BryUHsJz8eMjnvLY6JPBWvAA6NkuDKpSQlw/V1qzxBe92hzH3YRHJFrHMK rKx8D0TarH5udpQTmv7VpETup+lzqPLGKNNfkDQxMcP9IHHxGATaVcJaxslyiwTuRO3jwX45gpDj yVtnDnDz4fle2VvmP+WlPEjVnLrgTAj7Xh+uoZaCO7HX5yugJZ7Uk9zHJtPDtVhaYs/MTQOAU7Ul L/deHZoA68rIGvyjSyON9+sSQYfkJOLdxrc7aue8wK0Wl1R4n6v7BukxujjgC5ye1jF0cGNh7Y+5 fXIbuQRHY6Ec9tGPF37Tmau2z7rZCMkGSznHiICIiraXMBN0EvAXINcxn6uCp8GkSKgSGRFpMR1U V9AG22aERLGsZrq4Xj5W3mpC7VhpC16TS1bYn2uJY9d2Z98ivVfyltyVtZh/aMUiRhbsc9nk8E4A wa0AkTcBe7YevU8kaDTXiTsl8Yu7X01aRviuhKEoT77dvCRRBpGCpLW8BNRJ9GtQo4a9qvBCJuuy pn7a9i6HmG+ReVx1yNzaPjhGkR7jbJJ5tgnU3uhQvJ8UTaXdngVkIK9IHMYXtUlKj94ZWHpcE+Iw B5OJq8L616Qb8nWwWrolk8zu8dWSE0BVA3/U4TCMcG9L0TJ3lggjSBQ6qIKlWJjE1EEPFYrYvBCg Y0MGAk2cIlIbpLQm21iWrWu2uwd8M/9R/oakNsImF1UHl+PrROduJJSP25dZ8/7ExZ+kIgkq+eom 8kgO8vng1BbsqCw4pd4dL00OhdmVrtrcmCWeecGIldgA1f8D55G1ilb+2Z/iVZ8nN3XSOVWLCwF1 lMOJQLYzsuOGSwPGEG05txSmKrwg/nX47dhIDcdaTQV4mzjBspz6dq+KePeoT8Bu6/S+4t/pxqfz 9s73Tt16lwRnhvnAH+kju1TF4UEyhkPKgc3g6Vbx2fHJLlFT3vdK/ZecXJSiDXRZPXwheLl+srnh PqRmvd8J93HTFP8qiF4QxzZdJAAsiJwYlcnVYBnyPNzteKzJ98a8/5KOh2EyG+P7yZWsNcGKgTyW 30fg9TAOkN5J0uMZWvfX+KkfUzxtIYqrmxXF5E71OUVXWygOmsrRQTxj937eGP70hqoiS9sZGuUc WgyNvYU528GcgvWgcvsBWVJINc+jVDfPAr2+KxlYQHfW0aJ0j9tqUDHrBpwOOm7fgh6n4OMW9ndB Y7Br+AY4uhMxVJibT7SiJNWM05baDln0zL33UbyRFNbxw3zFPJgU7vCm1FLm8kMXUgvS7Xo2Chcu umA9MW4pzVeD/fVVuFtEqiHO1qRZn6srj+VLzQWzFdsaBTWzZvbKTUfydi1o5tYu12KhU0/YamZG PptDll1zTNIOW3yfscdgc926W2c62t5nYxSOHmNPvpUG8EHsFJqGtFCj8zCZ/bI+sWK+Tzp4Ks9y zasbFLNtEOKU9f8A0aqF7gNQzfgzQGon59tsQ8GNgdyeBUFyZGJT4G3H4N6V1ZlLBoT5/HK3k7wd vhme4jqy2bkkOpr3p2SXdS0i7tH4XJAqo0B4kPmPPyULeshmDKEriTcbeuekb3Yl+eMTXaSJpolu 59gKJ9PtBJKml2fIUrL5pX6CTQNyd7hMYXKCJa89t5HUzRtoVbQpUWM1HHx1NwWdfHwrzC8uaFaT YfSxPQWI4zyMtki0mzX6lz6V3fHS8dLy/xSf22+c52l+49JqZMJOt4pW06QGWSrlCum/724hEMh5 0jNsPUhvfUxO88B47sF4bbosFlq9chM+9SImIhWiSrXNlBJHtc9i1uCS8cpHkvLzHawcBxpZGmHT NlGZdSfbJRH+EB2nK+X8rZl6KmsCEFjwXTeMDHbP7sswyMG74DrKQKfEuaxG8cByou+8AhRyqRLD tfkS0hVy/znh4wospJgXyZmwGp5gVvn8bs2CnbOGqU42MVTGEBURr6PgfQbjr99e8pvJaQelXAdw FNrBPN4cDFWetJXfwkt5yEEjL/j+8iOMDhvMbPAwPZ5yRzBUS+whUQeo9zpOqnP0GDWUr5vLu2UQ LKGkminsdNcsyu56frvrxXOFG8fyk/Ad+8jTZxedIQEZH81jKN/rr9lRfcZw32NMmrY0UF0MpumI RnCQGaVonuP3pjbRRWUrnrzLQyB94vAV4COSByrkIIjyvUrdpQQ18sRoXHh82GYMc+xjEPc31XrJ 0Wv4QomjHv/1nBWSZHpje/8yHLLuJU409/3u/JiOtGyPh7z/BFNDnVue5CxkoxKylP8Vr2je53XL 1VeGjFU/a8kYTpw3HqeMfdaUaC60HaGFjjMJDg/EKTC41jzYA4MmAiD83sxmX6Sx7bG4otg4cyI+ +ZGTDPImRWVR7jIARnxmQnQ6VUYgu7s1L6JrGoSOK0wumya/RW+Po/+Xp1Mi6xf7KLb2l9iaIU8n rI3phAKI1lHI2AibtKy9ZE7NjbS/Ksw0jAm0MPmtX1cUMF7SOOsPwvkr5cfiI7QhOoycPDSoAL5w SUfa00KFYXZ65jsHQcS4WkLYvY8ogX02C8vkxUXYtzfxVccLMsLG9u1Qz+41NiaV6EZ7nQS94Q3Q tNCHgXU1+K3jHwP+jEkJpm2pEFOoVqbfKSvzge3IQYX2bvhE3jhH3CiILhSkrMPximlCeEe5IC1p RuA5VpuL2DXCgI1cvWuFC+tO1joXiwtNW2kFPkOkVagmKBFvsifrVNS98CLJfXtQbZFrZCqRxUSC b+xUeAiPC1sCLCj7HDcUEQdkwIMMLB8DfKHIvjWlLy06vqs7ttF2iySqrkAXJbBXJvHXmCUYynmN swkV5zFTfwqqcipFXTVTXQWSBBoOQu5JYV2CXnjIeej5wo+SF9L5Beun5zZXTkMQEO57YVsgsfNE E/XGdzoIqMYoJb88u9xjzNkCSDLgyNE04uQluEky9I1kTffkTDeWj6DO2vYPVwpG0tGz9KGHTriR cEnl6nkR7N4MSu3wpvT478qJHOVwdp/Hd4cMWYfovygSpNU5s/pxoiDc6wsqdvMzoyR5QVC+BMAW 5RCejqIqXgHFcEOEAWfxD8uHvCRySsuOzW2WgD89ctY6eb3IKY6deSKSR6ND2XBJ17oPrkXsE/WZ UOf70a+XA2zdGCJuYaULHhNQaRhoB1fXiHD4NfVsQ6LG9rHH8gUpmJli+/VTv7FDxEunWVHMy8IP 4MsX9p6u7x6v6VcRkrSh9gAYZ4aNq6ZBhavrHEIPyo60l75z1golDEcE9znvgO07YV6zPlqJdXwE 1ozkSJR1FogBqRT7EqHplzA5OWRDRMtdGj+SWg4hxF7fLLzeqDGyYG6SyspqumJXEcGrAEZ1LOWO g2uIW/gmpHTjdomv7MH/FvgZ+Is3iMqsO1o1IjYnwvakPNhiUfhcgdVivLXjaWRyAkZgVxBPwoMi f9djDQnG06+VPuY433V+xN20X0UeSU/KsEOGf7ZUri7IoVItV0U48rAPljbvmxYyOBf5fI43z2nl CKR/izHPSjs9FSBcOMEy3nnpkBCRr2bFKLdKwyGEb8gzPq04e9ZGL07w7CsvuYXSvGutYT/f/2qy prmcLJ+9bpaNKbJCWkRsJNZmreWfgLqyE45vTadxZYtJVlpBmtO9qONaYYExLycXNT8Bzu5mMvxG b7fQN3blxGZJ4ekgqBArXdjCfoayfMXplEd3Yuw+p6vQecdozVI21fbMrExgq92cWDWRWbL2bkKa wuaiTlc0liHOgg3a9db0azqw8j1EXUA7PeK6b+y1hrHNQ5WJFb1/jAdCOJ00Z+x1FSZBLpeMH2LH Qn36vBeih0juLTjHj8rWlxLRPqwcfdKO1MMoR78VtgMJGy6qc89lyXvGzTFsc66vKlVbP76YcZZ0 ruSOgtbTKS9+G2nN0N5lczd3WOcmqs2/3IYMDbfCzEGHTtXqGY9vjjd434WsRJ08lCl0QXicO8P2 bs20mzsDZu02L/yqj0X2u3thnLuMDZj/kMzGIO3SlPY4rx284sd+jIGETSCzLV6r8g+JHCHEI2A2 sSKqezXEhWkXQAVIlwoJ7Bl6Fetugb0utM8u7R+0c2MMxwLs439400gjrxOLGvNxrcgAyoTEU+S7 23u1SJALALwugU2KuUOU6mvHPzoAC+7bx0XM7RfMplpYECv9w1nlwLyq7yPbTLRqxdaoCKFSR5QG mm9MGSj5ADfFAVK+NcEdGs3uhe/9s9/jERBzVJ9sA8+XyigEh3k4L6z5N5slneEUqkSkHHR7z1tD tOGIiwr5KSWs5mMehYANJT7f0Sl+gBoQvszxfda23OAuB/y7a2u0jOGDQEkY1g9nr0q0j0J4/kwk rq8hY/IW+GvbEK7YkNtGi247DqpJsr8cajPERKAVzlvX2Ru9Mu4i25/LpzQ17h9OOOx1GosSct10 dTDpXjhut1VEVh1xgY6UeDfIGSbmdXYkaiBt/dBG4AicnaOwmMU+LXuYq0f3NRnPTgZmUdnYInmO cJX4icQQSkgeaa6GBhj0kcbZhCfB/z7TV8dHy9rTCpzJZVemYzrHwa7eV8wnl+MO1b4AQwCTyw0g 5yIHl8XErDUIFSw1lRmpIA8xxmimfd0SmDZbelizKwN3ovTx+UHoRANcNXFDHYFkMGxcI3VKpy9o rPc1QD0Yw5pl2agn4wrJt9gwTYSz8aKcsDr8KVTIDmxcyQEGy7mrFjxPhyRCaQOvaBAbFMiusvrK R+SwkpUPTwXJ2jno4aUFzoPRRQFSk0lijKDuLFlUttc0vHhzkykwo/j7No/KYXj4lh/LHQm+k7+D bcKtsSTZVyWlFLxB7+Fi6GIMuIBeO67KKb6yry5Pzx4j4Fwbh/Sn26m/kN/YUbbHYRGEZSlqqMBg 62MeE5JEBkjIKFl9YBobsbRpUa93nwUsX62AEvTR3kSHEXS+yfw1CroTw9cAlm8SLZc1bQTcSg03 4engVD7twSAPqpSeiOrjLq+pHaBTlSviXLdTTNurQd8A0zteDHlX2z+jXtosrl5rwEghUjQqIwky aRdoCXlLRmSNpJExW/KnOezFZyamJXhyJ24uD67KF8qNZzHmI6V/0pvzmXBr4o/dnkZwP4c/KF/M nsf4B/f/hL5j8xVF4XUSZ4NDirdlleqvDNqjlpRWB2cLjIzxvMr3FfkHeEwSkRCPtCznQn6wbniV y9IdFYZMQsbBEexRK6pXlPbRGuFKEOV0xaegADdvZXsY4vCozSZ5TMCozn9VjoHtgvOt6qZ+2OlW cqnMitfwXcYZQD+Psye0W8d80fYeUZiTZo94ce1y92tw0hRFwRwxL9wdK3zkBmzNTHbJTq4V/bFd 2eovnisqIQfmxyg8tbkTD69r2dn8UbT6jIqWGAu4a8H7l5APUgnGIkK+54XJI9bTnTMQgqmao7h1 5yNIObnpH04CIY5tCMI/EwQA5DLnLQ8AdgkMmB2CGd69Or0TJhg7HMEotCWw2g1l4z2jVfUt1DOz arze1HVwVjXreRYJcRsY77m4lE8gAMfbC8OVah74igevKaMp24KvsZnkapCrAy1zEvAZPozmYTui 5vVi0L8al3e2FM/8+ls/s6QVPUqkU/alWfu9FmxWxW4ILz2EkxbgpVooUV9V4tmSX3Ltqo5uX7Y5 OtHCAlIE1x1tVII+FcZ77JpaCTJ7hQELYvh7Epv1JFfKw9uSQyWzo0ZBmTIjb4qHCstzbhwYyhOW efEhuwuxrG37OJBjF/NJi26b1cnZYzsnIottAFQ1j7GfHjoGsCV3VcwCFqXLBpPKUXFzRFngy409 MdLBlnBni1V7GWARA1BcSLkEJNIRO4vpWWl+67CK7g8N/sewc9VOj1eayg5xL8wiSoeYKEJiqk4A CM9ZXdVbs/hwhYNDdfS/KhC4Alf+Y8Ug3cKItM18v0FkWdqD8kEYgDdoA7KWZW/DnMCJBzV20ISB XJL1pnW3nKcDRj9cH8o66426aLrRn2YIMqZ3kwB4GVmnC6pk+0JIafhoWBvezlkItUHS9meyh5U7 kEW7CuBW8OX3yyVCjZZNDZoS32d88FLsvEjuteXo3hHy+N2ZgYWOvxURNDnVGzG1BlTfdjoszK1m PDTu57++gG0RoCuScdS7A7fl4vE/np1zQ3+/B3q2cHinhdZkaa3cG0twUY99PTm5WlmLncw5KZiU ShEx138nXzaKzqVYxL3W/hhVSdTKMSoq21O/hIA4+jM1aW41AjpuueKwI9Ggl+lzArsZoP8d/F92 VUzl47xkudHdhnxcioiFKpj8dcxDOZnpps551xRid3kzoRaZ3ZLTHvfQ2jBLIhayofYmzU9web0R rpMn+7i73dlwAwc5LoovZNjp+TLS1qiHgMgb6TL/okd0v9NyBdT7UTPG4kUq+KZsE1SrXUKADnBo 1IKfkjU5Z9ie1ceE9a9uENI2ftslgPg+VgKV5rjuk+GsMvelZ+O8e/VM7rQ9/E3PFv+MEJKIGElM JCif3JIDA06XXueJ+aARTMGn/7Ao2S7/gX+2pSg3cVE8wWu8QnvVVSndMRvuIW3KNyZ7C1uuCqSi azPV5Vc7sCF4qL4Oh8zFGffsXAStm7kbM0K6TRI2sBpB6kX7Clu5ga742bQiXc2DxQBsv/ZsR98v Qk222m29hQRAxJ4x0Je5Qe4n0Xv22jKIOWOqyFp/uYoqmn+9I2BwH6+fr/+Fgp9G5XTMjlQ1/bbQ TXODaLgYsUKrzJMoWjZfa2WiFNgyJVxjWUUvjc2Qcyq6Zr778vLiwaMnbMQ6zCxkUNjsw3Y0dr6+ PU8ul8u3JAdir1ZcAGhYsCZgDP2EmewuVvVjeyuXO7QU0hbiRzm+IIpOsse4zLitJrPP5u8cK03D 1w34PsxR6aEGLdLkQImW+Vc7ACwl1+OpAb2jpP0bl7k7XatTVIugahjEynk+FU+BrxwRzVgwp5wg EiGs8PgXzdi68Czqrtcg2r+7D96x0EZY1TQx79HkDxT3IimbZgdiJuYS0ulxCx1I840wN9WY8Djz D7/b7oL/eRvlZqd6LJXjC2oCTTbotKNoyec6ddjn79eyYGvR4vRXPY1ZfppS86iID/luw5bAskWY OoovUdol+50C25Bjz+i9P+zI6mhzly3H4o7HqxUpoC2v4P4W1xTmHSiZJO/JU3nWEejPNHY9Hp9S idVVnbVq0/qYQ4wXo8dUJZVM/27b6uxY4ofgtmJDFwe9riJ3gIPq087Z3HvEYhyblFGdudDEVqdn 3jzWuVYE/AkiipgCLwjNRjSzZ7MRPTnBAKFOl2GrUn3GiLphcy1GNa3EpnJd32XgPRAOrK8+l1va 90mgk9r+MuuPmLDGXStGBsghgo5x8h8TYiJFKqbxQxCfHamY1trexi1zPqvN+lQ2VxtLDUWqMGww kEVNUv3MwVHBvKetSHlHtgRfoaUfiLZ9+m+TBhXatEf/oyiyEToEYLDHWTxf8GpBDUuonyTtneLF je8VROILLutlEe7SMxh59brOKj3ihZp6ALmxA86fjSQsGhibBCvM8qUsGRA3UFNOudYwefU4f6Bh GQYq2kcHO4N39JAUWT51FaRW8zHUohWjwSWB/ejDxPMyIG4cLL4sMpPaziP/CJRbalsP/ghABdTL zkVUmgRfo2nbOObdebM5xO3YwA6X6ALpuhC40GwZItW/ErfsFGWBkmMLpVPTg8QYoSfiSnkMcvyv /r/4Ja0kH4Gxky1ucvE9ep239c7eg0M39GGE08oYK/q/OvdcG791o/DyZo3mis1TrdYq/rlZGdZS i0cR5xTi6TT5fjuneFR0SVhHQ5Wx8MUUeBiiFEk2sycD1ouCdnJNxa6veAdclrbkKrKARpuV3iOZ WDWoBN0JbKtdO+hiEMXERmFSZxiYl2kTjZw88x2eZvKMkTn7zA4uEyoS+HGY7tQr46vWOU9kBjKc b2+ayGQQGSR7u3zUy8VpjmNCeVvuKxufXIGNsXPZE5HLKc/U8hQyb8T7+PO/ElZ/brogOiHXhiy+ lyvdMVE1uyEbllo0aFvnXWQikbQDBEY9OfT01p+dHuINVTfkb4G4In+1SCLiFv3GFPlkUiSAre9l wtbK17kDisXwrwXi8tXfJ+JdJ48cfk14kvCF8cslhHVL+YSSWh9zKBItMZ4qjMSQ8/36l1gmNnUE GvHeozdBUKU4VL9iAHPL9Zc8HOONPoCkPQqEYCyhP7uRb9mVpyB2PqdmHXE5kQXsOYxJAo1jSPee A3RYSybbHezDFbDAVEaeXbhINFnN9Wu0yC58tBej6FsR8cSMFPh/1fMU6RR4rDOYr8p7NB+dm/pe RssqYygxjWbOZelRx7Zl7FQPKsTyUyMjVvkowwlbOhTARW3IDZBF9HSxixd8UHAb25zJFlVz3Hms PP4k9dFrdbqEGOZcROi9Ome7LVFq+5ORNRPYD/lD/vVlhX67yEoBzQmcGTQ8Lv8F1oeLz0drqEVq QM9shits3lTuah6QAO2xyzqRldts0uF3xazpZbWPky0y0HynVvSKjSqHd9t2K9LN66wMKTF8RN66 uGTPDJYVD6AeNsSTke9MJ86Dr6yR12sjjs6oMc4vwM82FU40MpP/tbjUz2zE/NoU0QQ/ci7vH9x7 m/wivzpeKSuIMGxIcYD3eLz78uApEUvn+HBCrSfQsjUGfdce2xoyWDx0zzVBPWcXTFKE0ZOIVwwW WmHhZLFajH9E/+hUVnavp694KJMcprSlWSXfn6g0DcPN2uMVNYpVRe2TmWXtZ2ajEsvWPLOMUB3S KxBko7IqNW6BdXsMzZxolcoAHp7fx8tv0/KSwSZ4cwl4bp6yERG/lpJLG3/JsDRYHsrRQvFZmyEt sKaqJ0AwD4XgFLI+2jnvt5KNeiabugdVije4jj/2nnUuBdrKXMfInB1f5RiNxXG33ziuuZ1CL3X8 Yx466RCtn4rYdYCZAK6e7SVp18zTEXMqV1guHgstwK2cTvjOp8us6385Cuckl6/Rw6lqtQRcrh3A rn0LWjFWQojgDsR+J52i/TtXE4yMD5fg0/QhpYq1saDsVs3QHQ5mENqURKlQJnL9s+QVictPVoS8 2Rlhc7QXd7TrVNJriACSN3SD5Nh08aCdES0zCAJ9eqnOLDn8XYsfwGrm09hbGuCHBFYa//sMMapr 9zT4D6wnNRQtF1/fRY7efMfjVVjaC2fouj16BirlVNCyldBfygvjpoaUQ/mS8fWoc9TYaCIBkLdS 3TWPlGq68RR3x1Vi+CvkSmfKsEJaI7c/0ggNAE6xHJvI7aG6QHDBNAdRjBFwuNLVBhMuVtM5GzLC nGJj5TJ+thcMbC1M9cBNb2MhRywE0gAFq71Xj0bLAzPpxvAyKutNIC1dyRZ0o+JTrZdhRLqWgZoD q9GBy6EgH28CWHIJh1YKeM6fdyt8F3H09FyQ8j5qsZqGFFAjrPerXg1bE4RkzGy/EuDOwBqGtEJm yxhwc8V4uAEcDRpNjk81HDM3P70KmsME7TKvH3kMgNPG5+lIaxAay6oLs9IQbUuUVExr0bz1sI7s q0/VwLtwY6UKjhQttD/Q1//1gF75fiwT1+W7yrhops6zzpq0nysDjxcGr54nO8yYpLXKYBS1inAF rWyKNvRSKBlahZKN71uPUl9sTvF2tj7/7fpyOxULQkT+Dj1D/PYQGv8MxCdMZfoRUZqem5qymy3U egXiQdgHI9tfxEQ3vnPxaEj+stR45shMJvxp27Re9OcVHGp4yd+EA1JSDezWaZyCdnGGIbqigQjH nmLJd/cMO/p4E8mXmguJS67S7+2baTU/KCVONMPXAGudSvv0Btp2X7Mx8DKcppNsZ3NySxv5hO/N CDfqLneAz+1BrcjaWPqKH0FDgNJQpGjVatG83XR8zwQlQK8XVRbETJbMvOyYhm5XFB5KRiWTDhxb 7lvha2gXIv94IASY+/BFrBBBMKNYihSSVb3rYNKw3UrFwHGkThqb5LhQ5guiTdWg/zJ3CsF/cHQI FLYaTUZYj76m0uv5qwLwk8VWVuj4KzVMJHqR/I6LgVDEtAIXGJIay+ovKvlCFrOLhLdm+OKCeihr P9KsUUhID4chExyrNnk2rZFekU4LSpA5eR6HfgTBwg0iAUqJs2Hcq+NBtGNXrdZDWu3F4bGKDOT6 lGYGYbhUcU54RH3laLMQ0B+/cq8qRFH76XwGh9TU6m+eTFcHqb0sXkUcgTRXLBMgAhKk9VJwJfNk vSDwgsyGM/lXJ+rnBWlNhxWj9gUv0vfgHBDBCdvRZbh71pu+2zjcZHOcZ0YdJZQKmybsI9JzQREY 5ghQ2WXyKybECeQCvOnCxzBFbsfF8/mIFOSzW86LnehwfySzpP9YJHvsRy8axSTFSUu8tV41WdFJ 5i3AjgfCqFljhWTRDYUh+t0pJgJ3KfAzDDUlPVpBQ4k7+EwDhAVfirOX+I+oOFZOHLgwxhKpCoNs c8AGU01pFrNxZiyQMPVLsTVlIwSGgi8fikO0f/5FUEuHzQ5NeoEa+64+1eK9IyZxAAFoYV6aXHkI 1tubKacHtZQA53O58S2ELaegXkU5PhCWFejhK4L16765C8vz2AsZcr+iZKj+ZFqGXRZFjm9P+k0r yru4vVKARFMS4V3B56PfmmCMo295O8ALfWRFz1fRJEjOiDEnLjQahPvPcGcUxXIooxm+9oTKz84D EBAdzUmEwUKUtj4C0v5usHaaR7NyjrJ+1pN+iLK0tbo/0oBqgFjXltBlDVoNHQaMWs8c1H2w3Qqs pERZWt+ngZfv0PVB2cegOgyODt0bsRdBSYYZXMMhYI8Sz/do/BW92zyZonsjWtMRPALYWGuqw6GA 5iB4dXBteH46TAoFOIJ6I+Cf5QSKMnJ27GrwpBiFkbiTbSbAE7C9v0QABjTQbzLqcRygRzIB65AC IkVdIl3PmK2BnTOw301eRM/uGVhXlqn0YpswktNLZOm9hSnScojwR0dkyy19gJU6WFu3Cx2eaBhd rnWJFpfNC9avMs0JgjCd/eRdowQnqGRbdUMTSprfni4Oc4Y2gh6GFJGbm5BPvez8Fdj2hh2Z2GBn GJKk1ZpUiclE5tIxuYcFXhhapGxL+ajPcEEz5oqLiSqj+h5jwO72DmwmzC9KMMQa6qxqCWnuvlXJ /prJErLKQFlmfPRi82OM2yKksL+gO94wfCFmfxVBg/2Q6QTjVPfYOSdEGpWgwwM97iOYE+2aLnW2 hn/qZz1eqUuKoihBa7pwjzk6A8HoVwPTrmcmljkbqxaAXRnJ3TvvBGNkZTheCBQLFElIkJHV1hWw zi3VAeNI25VC+KLcSh6k2y7UGK4HjULwi+OZNXjwjzB5XokmPfmrhlJFI3nCFNUWjRwDtcueYTyl p9D2musXzMENym2TZaxg3FhvK1F3FsHnvTaRb6pvMG0nHcs0G6vmFB2gF2lH+cxz+9iZabBJ40yx HEyzQvz2nNVpeLG/QWCk3B/+tHRB42ZQG6REtsssF2Obt7ggywOVRWo1vIMufzCbh3MAuljBOBzi +AmceU2PQPmoh6XDmjv407dKN4zGDOaTraOx9KgWmwQOIHznjM2wU1E3G2xaPiIlU/uTFF5iqmrw mV1S9JaPGE38vFzspwqd/uyLXWsobNOkJuUtbWmOFbVEkOS25xYH4N506rBT3dwy8uuvAp+NAR1W pQ4lE74pwNCECIGp0xNxLQxQTOFwMGgkXB6KyndWF6au/xeoJxrZgnf4v4RqDCl3z7HkCVMv76XX ODFRc7CX2v3QYUf57YyurXECgRrYhXu//picfTiqvgQP3sSIsFudDDRdY4XcqjkVXfD1CYGrQ7kB jHHMyaALPQjP4ao01W0Nh7rUUEGQPpdE6y/7YB55fUIv08NHsw74bKf/Ffju8p2IdDTKSqxjnpZ0 VtUNHq0sWmlJHXQNVcI/2gvHiEeo9eFTpuO3+ENomgCyKSJDfJsANjOUK2NaMZ3OlM5nx9RBPa8o i+gETiDWbd8/xBi+w/lwNE46HcxezN2yrkj01ouWkKrJMM8yo3CRlJKHlscNbvt5q/i1y+i+K4x0 ec2sj+Fo13CSQI98wYIKYlERDqY+ykzFzHASKL9UL5/TwLtMm83JVGt7JOgXNM9Hx/89Gx6GZXAx N5Ms14FVaEPUUXI1bmp1HT6xesbPEqXcMPqp6tsIC/b9nIzN/T94yZHQWBO1paVxFQhfdBSscTUj x+OwyzAvsri11D+Q6yPsJPs+eUOV1TvIkVNK+/CvFnyY6lJbY1hR+uZsnrT2e9Q4vpWkSZl9EMpu 4JHLbZ7cjAKTDZVRZWwWT2RS4BCRURSOIH3o7LDvWxMVhPktCLO33TIyDwoxiS2BeZA/eCmvDrWC aFAlcrKFDZY3xl85v8m8tp9sQgWJCyRySWhyNsDp178NA0vgJzNxf6oCxDOytQlFp5xmSQd6mcnC /hs2kXALVkdU9eF379XP2hB0CtBMYe7PlNgBA02T6FsWycLPg/TwdYtRM5Q0RhVncUL5BWqll8A8 FzRUTDAY/xRx6qj+GVwPbHREDUL1BmES/3x1T7GgUXl5Yxe2X4yNl3q4IaSY1Z8MAwqG+x/DVvRb XN+sUmRlKihks+8rK1/fkh7yfrHLwUqv6HvwpFFssYUQLCJtzVlqCNK+hNTAmr+y/AJe8WIlyl7B hl/HqenRJgWZl3725j2v/0CdnFz0tAjKmIMJNVU/2ehx8dQBIfr3k+C8BeMIW3N8ozaTmDM1VVkw /jvEDUrYkUH7ox+2Jmsw58ig53o10uSoAKfC3+sQQEv9I58XmRVe57rah7bmohNv4f53duYH+xUz e+Zda1YqDjM/8lO9kDy9orTPE7NS50Vzh6xY/yNuTaPmOZvM989juz1UFtIGPlmRUAvCL6J1dyEG Pxpz829bTLwio6HRxwj84tLyQak/ISoVSfzj7i1Kq62cL/uEjaa2MGRMQF3PdTZHWjsLl2ayyF0o 538QDTCvwFQY3rxrWXA5LhCBv2IYL4rau/XIAgChb44cQAgPYTR0ZdPWUcA55zvuM0JENvUu2plE 2cQOGMzWnZFMuKzDeTdqyctFb1ZnIAawxPTOjGB8QBPrU75ptie10LImBiT+AGYcr0SWImDWbmsd vkHbYJDi9wNUJuSvStCR4scmVWhQ2tqOUUztfpOCBXHOPwR/gYSgOvYa79hKTE17UuFO8dT2B4w6 GDEQ0lCOCc8o3F481/0qzx8AEOuvsepSH6caHR0hKkQK0baEXAP2rwMp6rkT5p8Nj2fPLIz+jKlZ 2c6l4pgmGMcihtPAVKqlZnDu/44UJ3GrAPP9NEQVP+41OxZSMQoMzyWkfWUTlI1DODJaYG5oBf05 WyrkyoLitfYq6tBDmkLY+S+DIJrwUMi9o1CEsXl66e+BlvmtcbV7QcKDfngfhbT5RRZqQbFLgwTS eOnAx+ahnTaRQGZ5g1r04o0JvZPj8awqTkxaQq6SWnOht42XiehKmwzma6rCT2W5JXyfV99CBUYG tt2Ae2DlNETBC4pq811oXUu8C2CJqjs5ul/UuUkjLriQzyXuPFivOL39YMg18lrWPXX9yToXl2Zr z8OeUSuB8neN6pIYc8y4SMgkAmoMXuoVl5bJvW16crKq72pQ8168mJam6uqPjgbiB1kfOk0KgXGG lDCIQk+Z6WFIJDBZT9j5LjVLs++Jc7Skjg/7pOYslAwJNI09orp28J4Zw3qnV+CrMc/NxlXIgH9R 8G0RmqCoLJTg6NsjsmucgJfzj6Wl290EJVbOqbfJtSceMPKktEkJkl4nC6Gsf4Pzr5fzGR0hY6RD F/ufQ/ojJsj0FGMWyslxvqU6Thr2NJ3LFxvfl7lcRMxBeRDqIHO0szbsyWsrAu9KZsib7eU+tkNm 828kB6EQF2UfEpBFeeJ1Slb5TN2P+786sBdsE7ZtzIbcSnqBL9bN8SGdxCZddRgRnpUDWSL6JSlA uemb+FyPtXOcxzZuxZyxRWXrYcjz8hngKMNZU+tsnO8cJTO2WXDIoD9ci9TYWzQD/d4lvIGqp8PX 8hrdSoaZ7bQXezXrUpiD2GFLFc7D4s96KL/tgXLzuniXoLo+uF8GHonZWi5V3V3OWX1qbd0R3dnr x2KLlnfXQnSIssvNEzPvdLKmYz86oV9rg5RH9X3eLnj24QrcDrKWistUgUDHv1imNZXC9Yh3gC49 YoEGL934AiNs8kRpUKOvQyOez+f6t7cQNCxxYGzD2ld2Pv/rn7SyzM0aHV/pOt8yDjU9tqdsJOTw ZVYGlDzfJddgD5zpTJYk+MvjYtYemQDkZECiJRT9o6PkRgNi1o/8IYIdWfzdlO2tdR+3dwKvlNDg MMXwKskdeNTHVhIKup/o4WWbByavw7qlLPNeu9RHlS6b4YskDyme5UAq8PEGevjFInRnW3FBeUWg xe5cpWL8Yho3mMs39brPE3/sv8FOrwRU6m2YbDWWf1uRY6QI12rhjT59lNs06SAepV4+rPW8esvu FE4bz2VMefOWRPXCrJbuEvNxXwCeJLtvRm1ak+MHk2vHuh+IP7LN3cY6NgSWeq4+ahhJQrGb5BBi fj+pggNA9/UDckLI+0GLLtiWk4xVypJuB9qWRSq9KBWLTHvsFbVhjOkHD6j6d3wV8xXCW851sd3+ NUFwlYbwWoqWmOOwzgcEp14HONc4Gl6rsRcbhwSWoDxqsegS5yHtlU+FgR5E6Lpww5M/IsLN4hp6 /tlbxLGTj9PYInqhZSdAf7lqOUC+t+mbY/CyUBFmNyjnuzSTivPB40VcCx1loUSuh+lO2Wz8EjMo JKDftcCXlMSmH4HXA7y59pr4cHZ52kBNmuVBxkt4Ky1V0WNETar1jXlALbIA9U1lV+7IZ+e1tUuU H7glSsVnxGxVDaVm4vKwUul0HJ12Dkjm6xbNYJHFOklYNwZ00z9/6P0ko3FiNsIiVfwSrmHzUEas VzgvRoPk+MgHgT5pVPXzvC5BsWJ4uA+CMmwJQukwl85a5UGPIbpTNVrCDA1KwCAYdMuWgUeAtnY6 0Xars9QluANbCHSbh9gbtRR8FG2G4uPHYbztcLguAbF6ivub+Lcm0aJma3N4NWjmzi+eqLSJvh2s jYCPpYcCJAq00wiMnGKicnorexT7aHz1T0MxbAr5qfRrYtWWzXqAco3U2VLyJQXEUCAurva/uKUL vSQbrSz8LyJzSHZhhMlh3EispqOQyUrTUJ/Mxq6sZNHBUQE95zhw3fCf/NJTINOecpwUh82tfzTu 8G1mcCsy7TJmj12C/DvTj9xN3XOvyLILJkx1lHeyqNyXSR3OzAKZQmAZsVZZajjVt9kzgXG5EyiL SRgoXhvWye4mnIn2m+WjAv4XpeFYLWBMZJZHglPFky8RyXldfOLDVbY0vcQ90u2s5CFkhIciSanu w2pgTDniIJFOSL09AvHDteC4dLuz5UtdSO3lhnFC0J2QPM+guuuuF737NOFET9th2h41vrNtMwUW 5iURgOnkjEMiiFRyLvk/DWAWDCszHTqL0Mp0CSooJpK6EYf1Fq0jhy8WdIOPl55Ygw+uI74DQwbm iMq5psBoLYR2pcReR6d78hyhi6LBEKxnd45gnIxyhWBzqjhs4hOof5MZiGZmNLV/RqDc8bXeSwpY Nf+4WvPEPiHBHw8ETkToyWfNa5W4q1Oy+RdYmQdm2nMGHbY35w2svhwZ41sOU5HMZ+z8FVVd4EX1 6I08ZSXt47Iv6EsEN+vrWd6sBJftXhwqBKILf/fS4S3CCw0P3jDZlJV6HjBAc1dNPa6KgchkJdZT Hqka/jIbVAxS6zem7l6GEaP/gCC7lRwuY2+158sk1/iuLogZZKnLa3fJxGsW/5BuyrlLN5vayYib 8ezwFoJmBkjuPXgo/AmHHSvSPUewzNvbdKxwdkrhCABj6dEL3gVaMZ0CuHsbN9+F2YE7ISOeKInK lxaHvycTGa9skKx5faVC6rmnmw2exaOCMiANJJZ6cXr04ST5JN7ZUtkob0Hnpm3NgWAm68Lo8q2d Qgp/PMzjYQhr55ZePmxRnG6admEUY0obv8F4uRMsBcXj0o8nnUjDkV2Ly8G861e3/YyPBt2FXpp0 lgmmxZ0QEolIXyMDZJcqxdQmH75ZFRrNf8aApBlnNmAebhw3wstlVsEJ2Q2DnG4c8nGvQgoIx9tm A42T3QIWDFHXiwCkTrO/vFOsrtrHC+aDOkNBof4WlZBk72KhxvZPj486ENLB5ylySVniZ6k2j9OG qM32k2FpxPpGkA1r6/9868/EgNT2qmmJJr0LFws0cdeKKtQbMYIDl1c/otwwtBltua0iXj4A3TvY Qs6FUXxGqux5ZF2QKXd1Awv+rxNyklRBkMKKitVsWnjqOKtzWUUeL3MigxLqquUCpYhJjL2CoCiT lrOv00mSfMtg52xF2BNi4RWApFfzlxEX33UyzkB1sQc9k3QSumo60cIMiNDP4ROoS52djBKA92ne so/ht4hpl8qMWuJUPxXvPqe+lw1XQWcB8RbkvM9NljvDQyqvgDknmZWxgS226SK1nmH0ZXLdXAXH cHdqWQ/4GF079DtAWQNxUDBHc2/xkng1y+Yr30rnIw3NR0JjgW8w/nJKEVKQY+nt5jkDwnLrRzKD 02ETXHoSiC/XtmTsRkrOxw7NJhgMLnrK4enQktI2Lhsam/XjWCrNub3fr9wpD2JN5q13rusA+I/g +OXyKAL18bS4hM2bW4BsPQylaYaAHZrdY4WgWdWuHMJbPfp3OIMoWJpPd4QHhUAgiflSr7TWb3oO oTwrzqK9DSCznO5NwQZPNw62pQS1LhuADWQMfjoTXoJsToULzulobPCec4liqcZ5+di5E8JcfKJs Dv64/fRW/AlSh8jl1HKGn/Q/7ocHMdv1+BOzpxzKS/Ob1q8mxYYkO8y+GxjOtpNmD5xI3dnzp+Qd o4I7tuEnkjnUF+J8X6CibXlkpPrvIDokJMbpSCOK9uu4iT541ICW0yQUKmjfLzPiOwP2m1BI6z0H f1jjQE1P5Oe2F4kvOgKobyAhrZQtzJ2ypblWFINujlXNuVcnje2yPkEKy4VygfYp4sM71kHRT1tY YDZJMwXxaX5ac/DMKTxZr6U780R6uHXhRP7gdaJXjLCNBPZqyCrDj2QHGC1XUbs3o2Mz0DIJ+E99 9Fxe5x+k2g3b85HvHqAZug8oSDEzxaj24iU3P5IkX+jERC4LnSsDjl2nffaeXan5rCecZqHYE2Sd iEA+6cv6nESG2feLQHR63S2AWeQPkkcHaSLpmkEuazyXzf3jWCn6i444gGsTLVaJHzOpPaZJKI/H vRhnrckvMjK7A+HWxI6/2oeBQYocI9xEr3SZP9wT1abBox6IcymEDdmx6w6E37mitnGNzxtuEQAm v8lUjfxO4B0oAIsrnVkcFtOnU5U0gdy+9YAWOyB9u3XgCoBwuFbtQNMLGFJ810s6YU5IqhEKDK8y tueD1dWmSqZFZcveXIRT8Ew8d/gk5l3f4MiZM+5h+qirYM4sLw9gUsFSnqw4vOhsI+S/VhIycjTW hze2rdUI/UAe/EfRDUxBtLmxrF5qC7R7FRM0DPkxly4ygCaO6OhA8uzOsVBg3BM34hjRIHUye4sb M/3kOVvFQq15DVKrsBPuLVzvOuuC0seqARt6INSbn5gJkcGCTkWGK9Qtg3yOqAq6JXDFKTZjl2Rc MtoLAAGC7S3YJW0m/QQeaDZ113xglIC/rRe0eGDH1Sjovs6P0cvL9xff/7ZbYcZuPE2gx1tjoWd2 bs50Hz3zat4Uj39rA4El/oNuLK9FpZm6y4RoeZl8eZw7+5I9AAdk5ZmNDXP+fXncd6WV9dyD4xVF +SifCw8OoCoksKYh6+WGuI8Dt+4kKQB3KomGE5YLBwBWPnUmZRPNoMWMWl7fTs6RfSLX56Cjfcvv wIkxvr9FJcEx2dPpssMX8qsMeVxNBiuwrobk60qukt6HtOIBL13bP75XKwxhip9anO2X2kfHOOWy zMSjpCCLSE7Hg6JrdfE7vrhAPALwwO+c/Bb+C7JQdSwBCxCYvc+e0qPuxqmLl/Ui7s635X2SZ1T2 N3bdOmSrowhWueerVGoO74bOFjvaZFw/bcuRxQhOMuyizE2Loa2NetqBHjUrEKmSTmFELOWbEZoJ NZgErgxoBRU0Lr6PZOqB/3MX+yti4MG7Q38Ktw0Tupq5Ajke14SergLaRDPPQO+SeoWRmWc3gFYo gfBtUPtw812+yVOgbXZrzo+IjRKs/iIoNIvbmL+CJ+denVt6LUX+iVVaPiesUjmHAYXc8XT7Th96 BFlMewL5vIJxq2eYys+M/AZQkfqtkX6CrFPEfKyVghI7HLaPDWYKsUQsXKeQd3h5pW/3FpromVRG UnC7iTdp7kgNl9yThVJHUIRigWjOZqSJ2Jm7jWpWrA77kS3JzJzbi/gTWVKYu0r/2XTltA/E6dQh qNmhnOLjtbBVSeUMCj9b87FToDtjvP4JgEkxWmgGz0WzgKFJpVWrLhhofN/UekiNWYHsbpLbURoU 7lsemdNDiYnuG2tYcONYmQVndvIL2xedxWp88LEl0GcTwaYY6WMxtKfuWNGwzMKO0hVrfTEZPeSn m7lMZmw2YbqUNY0i7SpCTvXOUOWg2Rbu7s7yzIR866d4Fy7wj+aKGbIViBnUOdvyDKgKdMyqvcaK ynujiS7/9a5o/hxMb2xlOAKDBlfYPd0z0KSGHA2TsF1DmjKIp78dwwstB40/Z7DXTCT+um/lL4GL gOUMSY6hhEWwVtsV/M3ts7jgtTCh2rm5H7OVPrJO+CPyGjMOfOCLcPr7WSROYLBgI/RvqHhpScpg 4Vqtl8hDfesxPBncQV7H5u6seS6TujjgPph9R3VcP3ZlSThJCddR8qDgehzWU1xQHg+EdWv1EiHg xE3nZiDH62xqpyhkyBocRJlq/6S6227NRhhRwiBZ7XB6wBHP4jvRJuQSE1sHBxaLfEmSSZphpluO 5NPQoAwMo52RG7U0Uga/kQ6is7WC0hmAG6AhW8i1qjl3wy9LGIOJcbTq3yLKm8a2Mq17rPYARiBB VXDWYRgl3w+g3Si6uK8ghaOr09nYesknxPYLBsZlVlwAlEFkzR/2LZqTJAt8kQppclj6dNr0vVme MbRh9JBpIRMfdF6UMUN7I6wPVe5r71kq6vXIN/mwq3fBYA4mfMk8jsEDb876Wb0N4Kmj/6w1HcOq 1gTz8dxuwZnXwlZfi2YpnHpybQxhvaOG/jxmIfkSFI1mvIdaPxQrg5Yw4pJEEDEj5Na9iQgZTc3h P7TQwmobL9ytIVBXFYqMrwEbYw83Hhm8XpqOamsH4eZ/eiAOGd2+/wQH2fP7NhbxeXunNuprxNwI y0KU68BLxzfDeDlQSfVKeBIPSfGiL167rVg43vRvZQ6Wr8GHp0YvZoDA9ivyLhHGl20dIIKS6DNO Ykxz0wJmf4M7GghjPwERC1vwdTFBvLQuCMW9oz71N8iXJ0ZJl74svmRlU6+AYuH8E1oMEKZU+CJD hhyUS1gLBgZ4nEIpAS1phTt1u4ZlTvb4S81L21xu1P4AW5Px41zqhlEHS7WkdZHdCKLHA8yfY2yw ud6Yk/1uhMdY1GyzOkMZ3sjHIA594k9TenGXqjnp/otrzxceND+BJy6u0JtXKyDWU1NEUsNhKzZs y+8MSV3qH0ncIMLOx1beHweAPx1RtjnJApgy7cvNXqY5prsQkGqaoCaaXw+SJg4MxNYGUz/x45zy UaPIxvMdisYY6GnLnYlRnHaZswIZm91GbJ/46BL0qMTNXWtRuEuWVbtXmpUr1DmKB1ZDKer8OfjJ weSqV0MS1Tf+90IHW8EEmQsY/vtzwifyOToMZQWWdX+a1S+NUqnmGy832nax9ofvN2zHb/f/fFcK l1qTC2MC7DNldM0fHU3eS6Kr6XF8rO1Sr3R6YU/geOTVlmXEHgOAGW9zSFiyKXSLEb8JPa/x8G/1 z6gd0C+EPiSaPLc9N4ALMZcAtm0aviRwTTR24V2HAVpNPG/CnlKWoPsSn0TwCdA0tXMq8rOMfikl lWKjKIQOJt9c9V1RNe/o35m+9HWM6q23OqIOfRizZclzV0qtt59+By+TaaU0NLDQ7C0o0a+yxczl jgi3Al/ctEwzfj7K6gBhf/Ss9wYFRYEIndWSCTrSZAcoUsX6IjpyOLp4OrwgB4V4jEe68SSXXLPK fz8kIZVpd1o34G/Je7TJQFFpGZeR4M3xqngb8baYTU58qT5X5rlFiMtvqFGuC0KwohMn04pqqsIe eL4Hhg3IZoVzG52qKDoWb6uNN/ame4utyeTZXv/PPs9Y2Un04/82tTaXp60DKlXjXFmUOeH2AVVa atN2X14k+gkvfxzzNotg9nYC6OZVpcv2JdD5ia0i+uO4iq8Sf5Ss1R3+bB6HthLltH1vf69bmBBB PmTGkVRusbZBrl4EujlMw6dHFmmADbRI5ReotpfQ62cZI4s10+LtsZY2iPpxzz7PNVPTe+Gaks3r iwub8dIv968xe4FOV7N/MidOc5V9w5QtI/aH8/ZiqnjPcOkSwMyJ3hEazx8CB7WzQbWovzX8y7Wi m8Fnt3A60GokKUlAx5j8fsTqgkGXCmMnMRSFnwzo7mErvgrLqEU7xvk7eLm9Y/KWVYm1ef/IFWaT XU9wkT3NnC5i9+4HJxfx5/EuP02QtngY55wTFpsVJEQDb5cQ9zFrtvXU7rQ6fPN4MY5K/6m0CfNY WlP/vxTr8fXBnMWO2Qhz8oz994l8UsvAd6d2+ohH4PZGh0RHxsGiMII03uplqF7bXjE16tZT6Q6n MLJIhwonrs86ipX5PbG1XwvNOBbhSeO8CScfGO1PLvV5HhZlPKKBM2+XJcMh+cRHEpvQo1a2YTRi ZnPoVw6WDT0evoYjRB2osrl1s0QbJID/1mehUzgga5EZZ/9b43YNmySMLRT/tzh47PXgHiXMGQIZ 87VoRcDkG1sxqZeFXV0XwpqBV6EwwXSAgZ0c3tfeFRSkO0oSHabcgHG09cNgjMTQbMMGQzTxWZ2f nbpb8RFrLyOsiVpyZ8f1H/bvo2I8XAc/4f8mo8vdwxWs0nl9X8to7zWb/vkZmgd8f5mGiSbMcQEE jMdaqM+02/FUmajKM8zs7Mm7UXQFFDeylVZGOFmBXHt01RWOnQzjFDS2CiYGvPgrEstwfYj37QE/ t3rhu6vT+pTmNmV6O2eTz9Whq0UTvF5FkpIGPgOzt3wUI5nkMLlNhs02VRZCXMbDxOU4Bvd/3Pwe naL2FJnZLcisgmebdhW1PP3nZFLWcEVhSBRBXFLm/OVs4nTh/AyyHS7mcWOUgTaTDI01SFnGBksN f5n0lBA/uLQnlvLxFXDpiuTW5cF4C2FR4VqR01R0W33IVFee33q68MlVlvwfGh6pPQVQPz4/7jOV T/Sc65JhjrbTVPzEgt2jv+xmZXQnbjh4/vD01/NNDHQLyeqjmex1YGxDEk+9OGYYiUf+wdZJlkYa j5qImKw3GHVANVIdhegeSGzvmXrltDUC5mLH5TZhUZ5l2rJIHbfzNXOuOtxqGabmjsr9Dr+DWxd+ JqlxQUD155xAygiqY40iXs6ljgt8Lvc+p6rc8xeFg3rdfP75LAfNCJ1KV8YUSB7g7TbfZwoD/UFC cH6A8ZQaI9w/jfPvhejD6h6E7yOFDRKOPQMgRmdrQoKwpQvKgg2izDPPPdKXNIgd/GpyRXoYRxCn jbNpu86TAjMk7NIR9gY5tsyLsGlUv9Ht5Y/ytv1CYA6Rq77XLD6fWlZmuawiVV5YPC2IJefca1Tm 3/RIj63/3fkyPE6kNLlaqq6E1Up5dk/RI71I9isP65Rlocus4iGHw5HjLSJqK/9T9LPvhHq1vPf/ zsZo7GCa0WZiYepM1TzSXzrxqe/jdYhEOH5u5nFw1XO1k/q8mgfGy2GGF9AtoNZoizoxNBbotJpF kKRFtZ+UxOPvVGV02+kMnL8+q6SGJlu7gm0+iZtRsLvrzBUUoBNSxItFBloYUuu+v3ila/v/y7mN 5x4jIQS8KF0u8O0DVonlckl2Irpgg3EJWbQEVh0MnScjvvpAabcKaP5Nh7szGmF/K/K8hhTMIrlt FTDQjOiAjSaX9zGO3g94MZoIgwNe9ZBIALpF4LC9ttnBFgQzFgwd9r24WUSEoPXY/zkpw+3G26Jg /THCVeTfirg0ZzpBMn43uA0FA65AHnxNIB70H5P4mNZQ6DPRvP/Lkj9/QfrCix6rD9CAteR7YMtd zWfOqtQ0mSr7hZe20nRr9OEZaPPBe7TeaQNBs2zSA3cosx6Xu8lfegRQmeVQxMwqUTEbs6+GJF6J 0Dc3+ZFLFM7va5izr63fTuKlCxslgGTY9BTs3CIrm2htP07IbKLX1LaQlIgPNaucmaENaytEdco3 Uu0casope21Bg7uNQ+BTd5KtTXbJdGqJl2W2ZTDuUV8z5ytmJzfccf4ZBrUxnT3Icdgo1zmfhFyV 0kUc+CYt2aty79kewhIXFAjMZin4QSEIAGiFm9yVgiZKSZqOXr6Gj66Q9reppOQQ8BoJOQKDA0Ha mj1fpAgDmHVe1Y2pUM/3+zGoHZ/VIW6AIMWVNx67x6Xs0CKhztxyoRepT89YjyNl9TC9pG/bPPKT CFAX9Ht4egeUo4slg6gb13GZOgSi5uVdSsKPzueT9XnVFHEjRWtYBBmlBpoIM1OXhYrJTWMeGGyd G6MMSpDmTpV+VSFzSVK63K5UzCRnobiVavYLw9GrCQkuqokO/8JV03XRLbrZ+l2hRGgHADSKYb0c TTY1lJcB9k35QI8ptMBPOe1utry7d+Kr8RpJ4XucSY3atNPb3eYydjs1D3N5qpJpkeQNRsw8TZCO 5OiE/hjuwKGYN8cTabU6RWX2Yaf42fvQjjFtxAklGpJc8gQVeUJpyOjBl3XSuTqpKM2fXGvahP1U ii/TG3/6IaJ3DTLHCVUfuCuV+msplbcTE2X7W9ogFfwKqAXMnJoUZwy4qKmomczd2wqnH7+yoWFL wiJhF8wtLYMfw8z4++eCqtT8IUpLQBJJzWD1DfsLjTFcGbb0QIyTmuSiKROGk6w+UYHqlQJfb7E4 eZ5FoMdk8ApjDyOz1ecrzJ+/s8gLyuVEq0tLs0OlrV6dutEhobrg+5kRihla7LdOISl5uK7Owda5 Ru/SsMUwH9E0uE2sCsPSo7l2ON1IEAJ0zfVO0OY+fSf0KQTB2J3z4PE/c6vRrlvzPn4VTsrtjq5Q QQVmPaSZx5e1Ty3anVOPawLerGRH4gGCi4M+NzKTnOCDHUd/mEo2GSf00lwFreF/JPRiV2/55WnE 8U7yLHhO10r9g/ZtLj8Z5Z3G8hYN2dXY38HRpraCJCrTqSqDG7v0MF8U4IunnJVD9RNe8WwFiKL9 hdp/4Tq4M1uihblS35QCyPf4kCGDq/poyqh1KTQPoucZDxCe+WQXcSqCOCvYRvkshTgy/QPj7wAG AI60n4zp/QgqXLBsrIqaDW0J+iaXN4RXXagF6nwRtgR6hXiCzTOPncVDjnF9HID9IZIufNlEmbth U1Y4NKJNMeSvX1QyV/2zYqjLwqwsqWfD0QERNLzIoU/x9udkcFlVf/9llW/blP4ja8/zof8MDuCW jwvIr5kB3dtqzVrisrwdGyja9Mse/h/Bxxi3OiCw/BXGQmPY+XcSy/xE9aiW2t7sllEOUY61OzFi 4txPUKAXi2vFhhzkMMKG5ZicFgNUcWleXVhpc2zhVgz6LXN4EAkyXhj22ay3ZJp7CPbp8EloqAFO sI1zTUzyCfFQ3vl9Gurbn/3gg3tDm4MAlQ8tD2GallSNBiStNWC0JeUwDDxq3es7hJAP+m4wfxg+ MGFRsbSREHr8u8ls7b5tdA83fW+K2Mj5pvI1oigLERW+HjLMBFPpXChGsSexUmMkdPlBuMHHhiPk gLD0LI7a4Fp8/xPx7mH3uW3EPQ0yseJ3ctZjP7yRS8Y4RvghdbVXy5zXe+K6PRiJYQqZiR8Q39/U FxymBM6djK16EVDR3SzODYmQ8Xps0dsOKFqhr0gsLAUapCnR7/JfJb9EY2DfuXxRqAG9PmDLUwQL 7nVO8x3qIZyQuHYrtEdKxW5Jyfjqb5OFPGswVtmVlIrRgca/+0ohQYdI5ZzaiD0a0O+8XHYwkRpH e2VqR2Sii+f6lumCYqzxNvGmXAa5UapWACsMgk0Oh9IQHoILNEUq9oIEdCIJTqUN4H3r4I6jCkNu uOML4WnjlqZdx0D1Qr1HeaBW9FzjRxeLQTJQ9sxXYDfXyZKQ0z4mE2Vqjj42ddpDPdKoVWXioFMl QpUrPP3E+F2ZXHIJW1V6EPDIGGaOBzGPEtKv8bPPNsLhVmuzHkDBv4mqvLXYUPOaZWJ3WH7ZMuGk 8cjAIOMQZBb5tsBAQy4DUowUneKntwnDKtc0f6zHh+tjewaYywXn3D+aObuX4frmJMZ0Pc+0TDGs izb8oo9wK1X8L4LKy2CUCTAEWyDMeH/b3HkTJx/SUqCJZ4m11DRmEitfRaiuY9VOr4ngT1GtkXtY U0bsBxr9Mz7UYuEaJJiAv5LJDtZSCaFYm0/2ySbbvarIyaodWKMj8jfdjPyqA4GAi55aDZkzzWGu 2jSJYoL8JFqp88p3guLiR/wnyPpQERZouJkw0JsE/bsV+NkTvSGrBqQDwGFs9Op51+PFtgfEZhB+ P6Zowx7eKk7dfY2rLlgWabBpKPTZDkYGEn2HRjAA+YmZL+Ian5aDSb7oLa4ff9/TPG8OrZ4Ca9mw HMMB2wKyzIEptLi4Qmr7Nvw+XNkTVjtBalmnPHljHk5lysQLOl1YAbFFheQQR3fjsWPwZK89DwlS hncivARx8hwqC/+e3Z8+uE5sT5EmIK6den0ysRmPJ/qbvfoFBM+39K+Ay5sKKjNGn6B7cDQsmjXs nBs1Irl+76+1BLB1135k3z/RbcmbUKrGwDeETpLgHjaqVpl3IHtMgSMxqO1Kc/NtqvF1XidOiX73 MbVnKcjTd1tjs/8dTcvpd6/6xPFkOo6V8kDcWuM2O4dwI0u7Q7HW3Uv4P47SBU2/xBDpp6mk3/JU IRpEt0dXU25aH0g6yWmqf3abyQfNyvRn2ikqXLrSROy2AoyP5roBZ0Ty3zA0eYhBYYE5A169gp8B 29UDuBsSkN7dKYrxhpqN2JOu74tfMv7u0A6nFf9SOpZi6kW/aqj0+3gzG6nxLawxWdEf0Nwcy8LT +ubj1b/y9L8m/bQLX503BSM1AVEo0iqKqcGEFyqCneHR7421GoYWAVd7pxcdfSCyuqvzlmYfn+eE fq9eYb5kxMeNYewsIcyrVD/dl6dqsU3Kb5pJwQVCmGKLx5YGw+k1UchZxLz1gYagzN2+u2kurTbE JGe/BefBEgYjrgLyPIZBbYKSgMinYVTkE/4ZYZJUWXW1iw5LnslrNDqxtXgAN7TyDFA4L+2ZG/Gr bp1AjgZEz+yyLZCQFtIod3QgHzbG0QJKUwPIDXVIYz4zA16naiCcZ3Cpx+IlT8KUUHqwd7EV4d4a LGMzx5GBjUzKrFYBKvWMwmfmWnZvlt3U2detu8Nw2exIAumoN40CdC6n8qyFvzsYQ/xAtvV+8yDy NdHF3uTJhuuJt1mAE1MSp/GNtRHR8PaBBbPlPAwlbsW3yUeCwQFJvAOig57B0qwzEBHNx3r3vlo1 hvtZ+mGyJSfL4fJNNIcYc1vdVuw6oZY94z2SVT0KQA3RDnSjRy/1nL35e7ZZnFIooBY5QFfmYNET 2ML2qCxQFJiVQTMUGQ717v8MreoSq9cYnN9y+YALzWMCkqF0bh64Tk5uShEnn0OpzFyIsoyDB4hn 6Nif/+gpucQ2MVOnD0KsWtm7cLHDqUgMEAhqFNnJ3D933Uzisbmkm0VUEWdAI/7KC3g/I03Fx24O KBClL2CWG4vtQ/yoceX2vP/TSvViS5LCtzPtY9Z4bh5SrqKaQs5gyDEvsll7NB0Sgt2ueTI+XFQc HYp0LngR4HdT0+0w/sMB0fJULznzlqaUYjWQfXYM8cHjW+wjWJ6KEd3wJO9EAsROw2WPvIkFwlDf v4wJnEmtVJKheYcHq/yDndDiLZdkkiKqCyA25raf7sDLTqLfk1t/LTRbOBRq2pi2NvSK4DTzD+LS rLwmoU5gfME+925GzxxB6TScvV04ad3bVc3rd0KkuQdCq3Ndpg2FWs0cFJ0pXtRq7+6Z4zk6qRx+ hJzMC+19uAhzSnneqprqKM5TJhFPxhFa0fHLnbqzzHXq7XBOvKL2jQFJ03TkTYBhs0EE2cu7a7I9 2oixN7RWZjP6UvlDt17NavdGMicGu8tXsUyYd4g3eZn8NusbII9ujz8O3YOUfpxJMSYV8q3C+lvv t5MuavBAUNwb85Avn78lFQIDv8npsVCEEPtwu4ZaSnX63Zf1eis7v3LOPOh52+xUhT1BDhnQl8wf IPL25QMMUxvL5+tUDvkaVGxMWD1Yp5B0VETaaZIsrJ9PWrK/NIfrB4heI4Glte2chgEisX84ialy YBcFD/qedZhcX3FtEXHgzJzcB9NxW7PYgoSigDeofNieuzTbzBuCLZYE761uh45ewm3raPlxj2l+ FQlL53Vd50/hUxbxI/skgMOqm3BZyYIs+obj55DwZSI1rTBBlqfCc3Show8b08iXJSMQNR9MOBld mSqAeNBl44i+b/LBbSJpig2DCAg7TpPkwNhhn6Hsy/2rJK7TGQeatEgyCHdfVUq0pH7wZ3xsmnsU /NsXGO0a0TjnxUIf+4fD+kGplhcXTlGh3DofeQzR6isM4R/9SBqpPefX4oJkqfKAsm4/Pr8JdWp7 Du2sH2LMwFt0GZCXI83P8hvVnrLGRrWM/xD8ezgWT0c0vmPlsH0BfIjLl4KQs8KjV9+0PRtYkI2R kNtk5N0vPWvsq4y5wKWgAn3t2/z5YNXmO0fuSo/Uc72kX10yCOkgywiomkifdfY685cmnhfNUM4M pZOcgywyThz0ALm9qnm1XALFJaIMlUiBFs36PK7rbW5xE1tH/QZ37fgM/7n6NBEYll9B/unr3z2V Lf01D0dfNpSkakihvlWlUWFQOrUHI/62c5OAPbruL5+jDKFzpAL0AXtPO8IC41lNYb5vzscoYVsk ahalPLWtG34m9EidWhptnOUxFpvJd/osCqIBLUj0ljwCNPS9t0b64xBIYUU9I+wfU/ydrUsgGcaK ex6S2975cNXf2DHoor4zEuPQu9ZVKjIDrKI9dMa0SqdRtOae668qBnpxm+bts6seBLqkzg3EvPpW qXY545BWRE2dnZQhZdzlVHlZKKt4ltRhYu2ukDWihF0Yg0+MJdPnCtYhrORKLfrJXJGASxGp+q4D F81dZTwS7gIBSQiRjsMBI6rnwC1Bw3R5nUpoaKHJZx/g6UaxgWjQnvB4zKD3ExnrEcCuHlRCQnRk SFuPGbcHfQMM9lferuys9RmIzohgej+xOi2kypPYDEoWNgkLEwE7mMgxQY+rmdNwgLvpe5c62b8O JmMY9jY4vJfs2Wmxo2Uc1u5azdukRdCpqL5woq9115xAg7Jr0tfHEWnYHXpnR55/Abr8i6rFUpVE gmI/57hy++7OfAxWmu191lx5GvxcxWcOM7AbGxDDddD1lEdyrOXWmGQHlH+llqfrBnSxO99BdF5C SdchQlzPW/PHGdrze1mJ7SSfhkT3DoRsJyF938MFMqcimp+WAEXcTvMRRXaPRbHkm0MaAUMazrox Z0TuK6bQatobNeHCZ75QaHd+FGv9K2CJ0WLZz+R0ecKNUbl4hcBBU/7Yq5PPBUFUVP1xh7qt6ttL pO3Kf3kV00rlLWlZESBtY0sjbwI88KY2qTFjxXZbsCw2E7QX+MV5oIVRZ5Zt3MH3vTPRb1iXP82f 6AzmbIjHDQKOOfPEwlCCxujsx4Q2zodN43wIVx5ZsiHNXNOj+EVw1KQX8mL/p7i9r8p+fBNM1oCe k/TaSjeVMAxhXEvpy6cSMUKkAwZs3I2LqNjxnaU5ArR1qCR7nKg2P7ynv5DzrhobL9kMQeRyd0p0 V3fEcgYTU9B6hoq1S+O+nU61IeNmoOJFlr7/idgyX2T7hJuXm6cif6fUL2SgXu+5LG+SL6Ps0gBh u+woRSKTDXJ62U3q4qHnJ1tgfwgKmBUz95IGLijCIWXrlW462KRJYTsM9r4Z+LH1bIXb41Cb6Xsg O7lMU4y8MfPqRio8jGayrHTLhm7wl0MtliUxXNRd2ujN/dwpEQXIKd51Vc4FcxhpKbF6zpGrRG9T qN8ByAwtQzXKV8y4/5WEUTV/KyQDFnlaX5zx/ULwYuUvqYGw+9vsHZGin9ltfTXl3Qzb3dWAR7Bg kJ1STP6BZ1hDmlVD4g6USz9q1LZ66inYRiAT8ChkEuaTAmE29b4Z1VcqcTjDbDXhjebuph77AsDL uAtsouTHxmPq5pdBv6ksu6JgX95txFgeAM/bt0SU3EpAs6qJZM/RMZbtb9RCT3pNkcDL5V4ZV/Th xLkEWZji8yMYBBzXs8Fg7gGZDG9UQD0jvnKYttdvUNZ32kGmDu8xM5ocwagJjxOzDEXtDH41MfC5 CHbdF8qJFBbkWydLCfE4lfelwa+LfdFW+Jq2fvf8ePxACI+lp6voL+XkOTC0fr30izGXRKOS8nqp VzAqUIxKM0T1DuOeQUO6uqu4k2NQUKmTvEsd+myo31lL6CSNgS8XlLseEq7uw+ffp+9H3xS0CY0G rj32pgbYF+5mO4auqMekU/0UPVGOSo5lxn+F9jrKn05zJNyZ2i/aMdbCFJg6+1euGADrfS9k7au9 oeDZtJGPilWb2iU5I+Gmde9FAd/CWcXQDV9IT5TXKDiU5n0ytGE9bWP7DOF7cicV7f0zjfIHReQc JHvWAliqDzn/b2cqnZZHCqO1AoRIFHrL0BmYVBSYHIz/TlUNPUtttJ+OlYPph/E+Z1q69SFPDgvX QyQhiCtGNBSMZy46TMz153fM60Zv2Crx+yReqB7oy/sTy21UC2vTDx+X9VPUx5qqjVfxptQROZ+U JpGEl4aNHoOMU7LnoTxT0D3z7HV+XuFHr92NhcYAy12782TJRI7H+avLDodqnjEC1zDLdCxGgJ4p nX+PaD45EkmArpf+1Pvbsqgqd+LFvO4tjxQEFvH6EUjF3WtpjMp0mp3zMakTvRcq7b+jbztlxsN8 4Se3xYgr5A+RMJL0adjXCH3uRlFCBsyC7maw9lbUoQNcOz5HCNoDwjxTmFHtNdWGRDse2dSeGpnf i+h0P4it5JgAwMrDEzQPuJrxQIHso3sLZz/bELIUseC232fhh+2dL1+MhR55bunpF8jKvW6XvG8a XAtLm6spX/NklGPQBbAmVfWHLv0C4SYEpCQ7FqypmM1HC/ZiQoG7DuA0gRVf2mjoK9UJ/G8cIiNb Ozpt4g+HXN3ciG+MBlP4DctRG7lOWSj7EQY9p9aqrEcOpMzreioKOyJ7XEHv2hgRezJnsJyQLJdh 19p0gPUX4+klCFojsw5rAkkvwh4Akk2scywf7ygqnaUjfJSmDxsaKD4LHwsLxdzbNQ4ubIBYcdCb sdrosBCktOBAgmIMFvaxpgZk4hpzMxCun+mLXr/SHUAPjM/x22Aaa2iet8uV+OKwfAHZC6ePeAB/ RrCPrDXDwZeXUTDl2ECuDxbmVU14kXWNuj8AnJZylyaQtznynwFcuuXUQLY5z3NmC0UTkzcShD4p 2pf9XApfYMWKDMy8NziZo3uxcSgo4S83MgcfjewW7wjuVkvktjYIfoQcufuoHnt9sP5mamohH02u bjKX+DHgDde1OUj0uPlzDDoGR6dycYZi2zUX/ZvGmTHbJjGnBlB51wMh5GTnwAN1rGGy5G6jhU0C zBNUB1NRsd7gPABTgIknlGpORXYa+PI5TsWrDHdCkU6QSYxXZb1NR2Eh3bKcl5/8rdTIt9sFuJNC crqcdaUXBHT5v96xZKYKWAQ9K9a4PhaHtHgY1SLbnxfgDjxDFk9ihXu4j50B42T03AQxQeTZxEI6 QjTC1II1rWZrCym19kSEXVGdMGp32prfN18V1ho6FbVKtTAmZ2mbQzGAgA9kx0djmpGaSFm5pqT2 8QoY9CzJltSj63Nn76Y6Dv6WtMn/XXP4FpJnNpCTG5aFTR8MAWbMHsvQQwpC7ID5crpT9F+OD0lX c6y/BVdbV4SFupXEbjs3j6kWlkwNEfUjSCakFIzgLJdVBKRRZtpUAoraPv3qjdfxopDuJZInBl1+ 1cbX8smxlRXXHyu8w+MpmT8SYFibI34OhGQF+ZdDFX7wOr/DACtnOQ4NwtHSYcmlL83JxOT/Q+S6 c9hrFWp7dgRKgUvNFljSZtWy4qNXdZjKOhbhJ7ucZP3KJ+WpeEfzKWq9cpSrLZcrqOqGNGUZiKih nllVC2j6VKP4EshjhRXn5/pIZRSPbF8nqvV32ecZ9RzK7OvqAH5oFKzPsQrGUa3CCKZ6QsEEZ3qe 4OE77AJs7WMdk8qX03fsVTbDAERIf4KrihEtVZ2HIO5x2/J9GW5VFu6EN9OyM8dyqydXbUikLQM9 XzQON+PmzWrXxr1zsgA5YKYerbac8YvOQbGhabaqWr0GE6nfi3OQhpomdbj6DUoKTGkgxs6pl+RB wSKz3FuQxGrozNbSbqyFm5xx1Sf6S9HNkNKIAnNOi4cexqyL53RfYEpt5HAtu6LoXiNtNWyXFhFc D2oq2wbNj4+H1JW0imz+wjhJVKymr+6VI6J+/fEBiHaTMScGWglYV21cG49+lluM8M9IUHiDaYL3 c/WY35oFEh6bPSZZOce5tMA/wmIObyRfvxysNmcZqddRiXMDTVYuMyiyGXEHp65TvTBkI9ilfi92 AyLzDBmaF9dg2JdKnH2M/Tz/4EjZV1VyeovF3evUPkH4GWOULB2nhz2OjxihxTQ5hmNCgq6XdwKQ ByNku98IRI9HtPnoAUs/I+PeKIqEnAPczbBEogiTXuVK5ixGjNfX32KhAfzHq0k16MW2zOUSx265 IMQD1kZdrbaiwRV9oQM7CIb9+ChxE+jQRDc6HU9Cj4TRkaRlpcUwGP9iNUvVVt0lXTZSKegwrrrr JxFHiLlItr/+uvzLSSM7NAG3z+o5fkg1LQOXPf39xOHcS8JlRGQ7rGJKN99h4/CPOCmq69BxXHNn 9lmJt6g3lEL+10uK7399z3N9XLUoUsVF5fdJ7HF77VU8PHZ92Knq4rn1bOS97NSBEDttXycsglkK N0GNJsf3YjFa2yjygEoPShVrA0Y1sLEkOVNskFsF1aX5ND5FQ5iiQMIP0irLENaUs7BnHmvqhe1a xZVuRC/qTSna1CyApEbLHEHTuAfNSXgg+kbuRqWeaSf+aq7NPlgUPGkuA11+3VsksCT8urFK61M0 EVQgwE/3CziBSiZnok5MJxTB2H9n/5GXmYq2zQXdItbEp9z0AhzrjHT/z8avLfGpSJYFG4S3Ub3F btrUWoK1dp67SS1sQMI/6uaou4SCY9GYoe5hoAwbFmEooZ0sGhYpaFAh1sF+brmOJsw2c4v402TF IQNdTZ9ouGIki9CQ+AhQTa8lrT9LcSJuY8NVo4fz6GnLqK29ycBgNUP31ZQF1b7Tpy8Xkb8wviX5 3bjWYpZhr8Suw/uFOfu4dKFjJfUYbFyNJ2R/h4l6UY2BZowYJBP85nv026WrmF3SntU9f03B6/Y9 GR2EwMfptNHibOxtcdg+g++xN2gYwgUELupLbcBBrGFi/d0JJQD5+LTxXdsg0oCpf8gliiQh+eX7 HoN2mAxO8qtYh/xbidFqymPC5JHlcZj9FVoeEWC93s6gtghRbypaozeFqQcLuFObWu3eEKHqMK+W NMrwC1+qauJtSjMAwO7fnb10QntM3Gqf6bL/A3uDSoujTpm6vfj60EQ+lgOvWYmp24f6EeF4VY5E mY7EYeU7WPWHAy9dghGz8Xl5LIYIlDKDmu1++75VsoOn7IjHScc3pvNf9jqbdjnQkoHzvJyXu3mO dgrXLrATsK19SSjJCKAWsGwBQiBvFl9sxEp1xm00u1a4JzYwaF+6iELuC9gcKyZfl/vtaWjbqRuY MP+0sppdWRIUxHaEq9DBtPSlyVXnCbyzP1ZoluNqEVjK9+8I5ZfUOK4oXD/JHY04apMvlF+jAFd/ XnpVr4zUNJI0r7EgO45SuiZEvptAHF2TYcD/E2lwhRhCzGqJmgNTE3NliPpZv0pESTYk1Obb5aFq mNKGumm2TKpMhGwPbNDFx9mS/aWPRvBe3yDqG0kPW2dz9mbqGklCoYgAUrYnC6kY1meFlvg/9024 JZzfeXQqclsyaIDSE0e7kQFh4PeXXlHeC0KHvsgXWrwk3d16mayNNhsp9sK/ZmQh086H02HPELl+ lL13bRuuUKMntiRqwbN6ILSsSdNtPSkTdxC/kketwmJRPSS5kppzlPoifmcaCaKjbv4Q4zrEF7a1 CmugF9l90Y/flD/jtN2rrceRpQf6d+rg/t5vQn7vO2D2ZVqLZsJEPgOP91WUpEQPflc3165ui7/m chMASU8dQi1xMAU6QWRtQy8MoR6UUTruaR5hMrR6KJyu6wwPMoAY25vT5vDBq6BeDxolPO08aR8o jqoMguRgBPHxWh6R55JmMBKTNqkHA/6GkSZpzu9cvMpcNjbbQQ1X8EyjJR6IUmddfZAXqOLOca7X DQrlnNSGVVsF7MAhfDsOFJDVeJ5IIWmQjknEWLng/M3L+L5NnRkFzi+dyA0bARpIeBYlYl3VZ8R9 ulvWFihbYvFw52N0hFtRhSvanEVpXQ9bnkWUSf9raZb7+3sXr/+itsz4ikSYdj+NtAiIvCD0t7FR QTNVu8ScRtLVtHrV7DQVvRrw+JMGvwXIUwllDkB6KloQ21npGTEdPZu3SWjtfznDEZ0BBCUFi6uE XTWcB6hM/6BEMbz0hilPpNatyntcV1+4LQikTQCs09XqJ5+xR0kKBOwud9nyz/pFw2J6ChuxCqSc bl/smK3sJuxV1oHEVPNjDAXQM7AD1c1SASREcLocyc8vqeORhxRRKZG0BSm3TPZpanzTTX+4BrHU T1yTffod57jNjXN8COTwngX/8odN7fn1GhZzeRk7iRCo4kFrLHsvC12CihQuSje64bNOCi0wthDu b+qY39htowCiI0l0teBKrqOc7zYqmZWlLdkBHy+u6ob1KUcVQR57V7DGKMnvds3/xqvD+7ewFu3B hxhs/MoGcoOnFAAzBSaPWBgcim0KXpG/Wa/K8vPecgInDYMHU4ME4rXS52M+H1DnSkhZ+XGrxV76 hR36B72rIUnymVOaeT0au6YwEMZuEYl3JR35epBdX0of6jTZXC8mwAYtK8nSIxUJZiZtSVWpXknZ yVxoLI9ScVPe6C78aXFIIFJvNOlCKKHKdu0z/8L18EpaFHQhmA0pArueV8mlQz0OK27ia4XP2SrS RyvvaULk3SmfNVKbJKa5BtOwkJO5AHVJ2ereMAz9I8KKes81TJQOp/Y0gbSOoPgT2d2GEanKYke4 gXN+DFDrVAAVs0zsMGmQM4PIT8pP74Gh4GVZPW+yB186qFVDZjhT42CI7o68YzASM3QV1vunbFVa saekuJlVDspJlhGAY2ybVsHNIEXNJqX4nBM1giicOsTlS6wGfVbDKMl71VmiHsLXftAPQ4ywOK5r seHw3khoBRT6FWr42i14Q+gVbhxdTFmqslvP9wntCLfkzzTZhc5u97UJW8UbmXrpOsLvonU04ChO 8eH2QjfbrOhzd1yAa4iGxaqHql0kjIidAUKCrnDmyC/W49BWZYtMwqxX9fVsgBtIXnyFvCyI6T0h 1qfbnLGaGyWZUZccKm8lWeGcNbifSLVgnlfaCBbHOQKpGCkQicfKJ12ZS4XnF2IiXi2Wli3tl0n7 GatK0NCFsH5pP67j4n+pU7dhcDTQ1juNnr1vUKHgL/SsNfAuZyoNXqKHSs4QM7IY97qMDVMWmn8g oIMSmLFBplpeZElvq5iU4mlM23Z63mAGxYhIMp297A0fFLoFNV/NXqOeTK17rpfhx0n4SPBUa6d6 AJz4z1gXWVqeKtXi7v4/8yVVYgkHrH2ldYEqYHr4X4c2/RexZ/6DBSs+y+HURr3607g23+dl6Vjp fadO6x2d/JHP8QAa9L2jDQtE+DNViIU8bzdd5ME+kbn+o5p9Cdj/sItbakSAjydtnCSeXuEBC5/4 4EQPC/GcV8O9eCQ5dv4ZkUmWeUiXzpBnV8OYSPGiyaEehqwdXXqjxKRL6Dit+lFo93qzZvftLu1S 8azWBsVsvisyKJF52izRYfnAppNqPQHKcM/H7YStRMfCtiMxHbk8uaNO8NgH1eFMhBUHP6uf/+T4 mIxpfpZU9pDGEpvSrUqbnxUTEVlMr3YJ8ghzEDRaJrM5IHA6DcYv6fDuXwH+nxsomVtHs7IWKNtV K83jSeNvvR2CyF16WS81b12WbfTSIPizwHdCa7e152UTf83eMBS3Zeao56jBZH/K/Uk9bkMtO43H eBG4IKzJkeuQVs7+/uclXeltmx1G7wJ2kJu0FOhU7G1QZ/zLOWBxdikMNBfEs2QITIK5gLU+Hoab w2KnseMVhJWX1oIpYZIIOtKBsJxONHB0zEWaLow8DWxfMLrTbGijsHSrM8xKAoSd7sX8h7c5HL/A 6gHYxBWq0Nj/0Vr+qiGVaqphxUh5VLKJuT+Oo6f2P+LzTUtUOlqQ66myex2yCrXtZcmmBNUSGkvo KsLur1P/N/KS5Vt9pu4pjWoClELZF6/GMiioJBIuMQUwPKsLJorP7ryKC6hNqFMr/iy/08FKQgvx Hgj1u1nHDKRd+oFmuzOWcydM2SXtQSrXmvAxI10i+/Oa+bUUzmIqK0Giqo+VO1Zk+4+8s7sD4E05 Q69hRPEXKG1gHzCzi2VjfpK9MkXR0mvqNY3cG6ye0OPZRZrcsbHnOi0edPQU+kOuJUxdC+ocnEVN hFL5TwYCm345mIEcIW9zaVoeJROMrG19TTeQhWo+ycnNKK2nhWSf40w5dUQZucxAsnc8pnpW0qQA OW5M8bfwDlKbVoYAqsrcCETWmHo7MhuGV4moGqLaB8yrSloB1gIH19dsB9xEPW/EDnzCQR+UbiWH QlOuJ4atTixnp1+i8W66pcNKh3r5PCOpHEna3y6dj9lOJjE0zGmsEUMEpr4ucn15d9jeJBauRm99 bCGorzNoakH0gCPQSlOHCGDf2GulYZDLs8ViCqlX8v6yXQRAHfXhZv7dRWEAE7XdmL/I5svkXkHs yvr8frEvsIBb7zoaY5pgWfVLjh6Xj4qAVg8CoZR3Iq8MX7v12n3VBQwfeOJPulJ5SBzbLR9gZE1g /CvP2Pq4lj+62iM7rVO4Vj6aR1W0O50WuN0FaeD4RaN4BnDYDZkSSRVsAAYcijqtrDl+0/JYC3+v PvQZX7I2LmHPc/U9CPBsoQBHgCrEXqH2pl5hSoJ5tRtyOyr4RSz0DkWCx0mBZZVOpMy4ERqk05Vm Lq5LshD7Sx+uW+rQaTOMqsln8vABHOe+xCTr74K5mWT3JLUcDA1B4I1s2i1ME299RfD7k4gKxIAn JkQQa8LLDpETyMo9O7Kj6j2y9FKGa9FzQnYefHtr5L7Raq9/1MxtZ2f6jfaLNhc7+x2t4m1C/hDh JcbfL4t7rKbZSjBiLlvrWviox3+F0h20LEfBobML8cc/iQ2wncYz57TzQ0c4Bb6XSDbIjF5sakEV aH3peOFCKSPZt6BVUbaBnDkyx7eyt5u5BREXvJp7oVMOX2g8hdLQw19B3jroJ5sU6rmmh6DtbVFD kabaVB1DawKzy53KnLg53j0pE8f6afBoM78cNQ03oYNV7RXv2ecTLlB7xQFVNzbznP7GcK4BI3j/ PcLB/T9tXpsYOme/ap5Evk975zScoLrNfmXI4KS5GjzGBUkqEDhgWiKMU4tN2l2PdfoX/5ASRKfE ueD4YKnXG3G6xJBTmUXh2MNbiIzDOEkidPe7ryGVDbYQXhUQbp1WgXXnFG3kFiSIzod4BAnRaQeP q+TwLq7U0fsyPgKF7jWBlYchfcZiMTBFI74aPrruAd8GAI+oSPPouKLY08tz4DThQY3OF/aCM8PN 2wds902iM0M2BP0XzTruxlIYZby4khSeKZ335SOh71//TwLypDBbncjJ1aOg1MT/Uz85RgJ2kYCy KBZuG7fLMAniHCORyAF62tyF1S1K6sQswOEOS8KwvTrjnkWeeQSjxxLKeF1+KFjWD7Yq3jKKqw+3 V6uAMu8BwRzg0x4tifOkIvvyLsJjfjDg7JQ+RLPUd17mx/mQdIS5QaCXimsTGlq1OF9/9fciO+Iy A1xIb5OoAq1OsN9PkWH8qOUG6aZ70/dPOKotNg5UOkguEeaPgTO97on0TBTjqfxTLq8birw2pjVe +psuU18hIc9RLe3kVDfFIduSrcbO/NN1E3lTzaGiO5j/eRNYWiVDi38+9TybJaHbHXdvU8qkVKi6 PAjaF2rKYbYEvgHhArBWE2SEGcSBfjPS5+6koE2QY7zl0YEEw/4vbeU1q23C3rampXVlaENxXfGQ JhsWAxrNgB4NEhVCxPwybUubw6YaGBe9gEsbl37r1RnUPWW54/1FRSjJg6/faHSIh5yzKYKqr9ir UKo6xCLJDHb5y0lvBS/bkhsfhVg6SiOzkdjExh0FdgvXOZsmUr1Tshw82aMPal8CSp1EkWlRYwHy IUnThVoDGPhqjqcQ+wjOKfmOrYAkkAAII1T5w0bDYmx+1mmHi9OjAaIWs1TY5wVMQxFmRlCBpdjQ Vf/52lBm4FJ6Cc4XEKLS353CiqABIvGtgRBySbGVJvrrjP2p0Sy0mvBsPzb1Stjv0altLcP0zZae SrFcHRSqxBWV+Oc8ohm5I/udfuCksx+O4/9NMqfS3PsdnKlzeq2AfXpF/J/uiG7NG2BeB6VQHjZS ps/7L7Z6T5jXZrDkxYgDsg2T3ctey3y5jPzJiMGochd6Rm9QsZ97dXw3w+i/ueHLqmrUB/TYyJUj KctlPdEq4IVB45A9jC3F0S/1TEeIZrthT/NXaPmPpQj8si8X8T8nXnQJEshPz7YUIf2Q4D/PnVlc CkIQOdtlZ2wThh7RhoBlbYOKCc6fTPwR1t10dX2b006dP0jRrLq0z2C4K/IMk/ArjXvFAk2PA0Y3 zLi20UCoHrXFB1t1UB4S7krmKXkFtjwjoUZ2t0yxgA/FRDDQcmU6DMJzq1HYL0DErKkC2NAR55vd Pwd8pHnv9TYFaYleBuRHYq1w5retLXJ0gEi6CSJcDYImB8WPGJw8iOn+YX1MQSoeM70uWbS1nBYU 9u6iHnOtIXGcawe5etToWBrZOjhsALbqKptzYg95yYy8AgEDT9AkWmqIjKnfVMBWQCfq8+tYoXMU y8NzhaBDVW3/y6C3ULcGDhV7OgXjiZ8M13c69gqDCjmfUTy+Ho1XnkH4Y8TT6kk9ZCfy7zgya40f a2eiHjT5QbhuQYuctSh174i7e7DzSeQcWTBtqDJkF3rSl8D/AO0qRboFC4a6VfC04ctE+W7nb8GP ha7INPc6lamFc0j17qUsgHgfeKyxRKS4Vlb1+KV/a2lbusV9gmE4y6P+Sv/imI1l2Dh+LohRG/QD gciZe7lzt9eLFQPDRQjfmA5tRXhtP6MYVS90tZ1u/V+zwtscWNKG1RVsQQ9qjKz7K+/R9XPE92+X GKec0FFPQwBg0XcRW80GE8NbxVW2uwTgrbf4K6WV7QSdO2LyuqTQK0fh9ZYARcleH7MydRk6Agn3 axb2TxfjyUUPk60TJttRidm0x462jNG+0RfWHKTUcX2mUexZyas2VAovbNi/Jae63oNk3GbuNu8c BrglAyhSY99LCKs9Jv4utoqZHMqPH6qx9saQe4ycsNt3Gyc+T18f/H4an4G8dI1xI0EsrqOYADHJ JPgXHiV6JRUPMdUfGQPzNlnlhDQT9eM2lBNISxuAU366B8+SDCZzLhd0wSiQmjEpfDO/nsRWPSET 0MigC2xaORzt6jfkkWUE+KdVxVJnsyL34orDRQ7btNylUCVA0o7ukEZJ1jhhOfDRVJjXb70sHkJm qSdmxYR78mXKF1/UHtwgu98F6IKP58cfny9fX4T5LSEakuSE/K2yeiQZnMrqxJRnVSsPG78dElkr amfh3ez3IuKrsdvXnH7p6uB9BKpdMPhKgnk0P1DLMnWd4nU4sN1KSzT8K6sIP1v5Pk7Qg4f8D8XY Hf5xS0LeRlSlIVKs6brGhuVJxuuayS6WenK0z2cffxLJn9saP7e/AzsfeF/HIqHQqfi2hquX4zek 9kWh9hvKQ2bM3HZVnK3UQbxyXbZ1g/dKNZNekIaiPNBdOmFcG8vPkIskFWGu31P0da8lB4cCej14 lqW5pS7g+3Ef494l4xjYDVTqmBIy7q8fwHsnXRRp2l7/TfznBX0aY0+7y99Iu+5f4WEzh3nU51Bc TNwJfRrM64XcCVr19vAD9B1PTTRtHYUCXkynfo2HeliDbH8Xl+AoeHc+zeqfsM3vFHk+gtH8Wpka KOCob2a1H6vWlwMi4h4Ooi1+g9NBsZOO2OuCLaTzObqc3uPMzGN2M8KV6fIYgHCO179L+3yaW46Y dO162F8/+2L62ceIS4D2rbdFJ3wP6wM3tLxSCeL0ekNHQWvg/MLThHpyTHCnUxaPXW1DknW8gZuF PkDhgPFr+Hw6fV+PdJHbtKWKyPR2SYZW29ldzgh36FVk+eh0JEtRdkenyE+KtGg8UEYGXCgl0xum Vdzal8HKoPt5PXpeIBdbWf0Vz5sMWTaGBWra0+vjrJaw9Ci29l/M4lWxVvgg/dypczZpnCXWfmAO CbBnajo6s9HEAgugjlKAK2dHwXnJmnDFsWuBSHWcuWI4B7l82tECy58rfvi9mSCR4hUCZOigVBxo Z2egef4K87y6/quNboDag6HSgKqJOb+MiPJFtj6p+e2kOl4xZ9DKBkO42JzUlrAvzgzqMjHGiJbh 3qoGK+g= `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_fsm.vhd
11
83900
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block mjgAOmmqpUt1V+Rhx55PcsZFO50ANSJzUkq4iFT2ky0C1WINgCoS9aiI2Aoor0/FtBYKfc1lhyAC A2yQaY0u8g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block gjwaSbcrNPL1CjiRK1olSBjrq4xEV61J1xGlt5XKQmKd32CsZVNRVqoIdIOQw5EEQ7uMTa7bpCef /RRLmGjz/2hgGrgTwg5h2PJWHguc12Zs6C59vtsbmoplQOrftqG88iqVPmgqwLN+DTNOr5arrhn+ WjYZEBGst63L9iu3Khk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q5P6x1wYO7e2baBau0ec4MDS3ryR2YzQRaQhrf9aLeN+93m5ew7UeblmK6P8em0XD3V/frlrdjJr vuNgfu7erA60Vrjdo6kExSAievijk93kh7MPyG1C7uDY1IKWawOPN0SnpTgnKNqgJWNP76YGs9dE TN9mEgH2ADzwBzmsnM6NspqqEoZYVlXfQg9prMYa7vubVhbFy8YrYeUJcl/tvgZJjPwfOXGv+Ce9 6Lw3eVnbpPe/UcdW9doBauijqG3ME0OMECmmQVx3tDp7z92dYCehkqsqs9ChFA/rMqv7yoEeo0Tq fYW2vFa8NzvhoAAn3MGMFPC05Hn9sO45pG9MOw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PhhRJ5ByvCD/sp7jeb/K7V07j0XnHI1QE90gfVDZlyBNRsnsijVbOgPrfQFzzQckBnxQRDgodqBT UyEHG3baIJtqnx8S8FzCB+ms7FHJzH8qYiVcrBJbki9Cwu1coa+dV+gcYK/TykBXao/zKErqGGQe o5y2fe4hLUiI94eeeb4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TAojQYz3bVMMZK9Nx5h3lda3DWpTQmZ9NPXuNw/InYDrG2TbGUzW6Wq6wdSILd3J4wAwg/M3zRal 0Okl56IhevBfwHBHR9xLcke5Q+fEjahGlIEiyHBXondVA3F5GQ343Sl6RxPF8lzUTfgXL7wJx8A2 SjgajF3hMQRocRSQ/AaGLTSAnfM8jJN9MMLQuNU2wvBRfbi8VZAqaKMgXz46LlEZJBasUpbtUHwL r58zjjKIDHwYMrOB5SRbeeIszHIzYGbbXwuaGXoSgqoXST3hebuwdA7H0X6lL5AHQpXSqppzPZ6p S9LM5cjn5dm06YUsC+fTFEUGkdx92p4gSMtILg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368) `protect data_block hqWVuul9hWaPcg+Bmj71a5uWJgAz7ISsZeFhHHprOy3ttyKtQmt0NlGaWvBOwbbDSBGw/XDieEHj cNaDEJid4luXvGZX7cDOVMGF2PDScXXbv3NVRiXO3prdT39xvWq1L7nDe11NLwMQ4rtE0WbjzrkX QFacVNCry5HL6dz9TmcXk2aMvdB1kw2XUsFRy9W+VORpFO98vA4NZzMn/7zY5nHbBPhwWUUVDI6g Zt9xeN2Mi4dsuCQSuGaKaGh3r0IZLwrehg/kYTqRNuBdAdIKnUzAj0HwNAL9gTtcQwdn72hTDkIc vnGjFV61w6NUnj58Nz3c6clXOLZELvVW4y63Gw6zzIA7oBwpUMNobEANKn9rohFNkU0eXMIVKITe vVXOTUOtcutuH/TeOTw3xhpBqicjAFt5YknGat9Sq+C91utsqoBRLHWo7L7cO7HHQdN9Uc8b/GXY J/qjW0L5P927N9mAWNQt/ESywLjjKiCX9QVT8DU7gA5M5jVop1LZErBldRgI8sQ0KEcS9ep67WCl wotHX8M/VKQOyJxEFHXEbq6YaHkM4MejHzoZQWYIqSRDbhou2Vz4Q+xrR8p9ax0kP4Au18WrwA10 hI4gA73RNxy4N1bGAGCkfwc15tNBVBOLsA+rYsH373AhbwBSJpzYIg1VUXFWV+UJ1fC3NaAZypqu kRPFSbNPX3ZkwSLkH8adP42D12I54rgjXE0eh/F3pA4s4tPo9s6x7QAV47oJjz6wKHPRiF/JKCuQ SOynBPmqvS5Z8JgHaSV2YwUbiYQvLb/m9Riz6SiNHVn/KbYGB9Kbu6FVQKbx4sWJyalzh2WRtrnf g2krP+ZQviYrIQvjDDGAxRFOJYqAJZrKP/wxuZ8SJVl79/HUQvJXAxZumYruZSCJI7bFrJMFEuec 7b8V4R1ISCgHdqFVqv9jnsNpRkZLL40Xk7yY9KmBSWF22a7nzOBOuBFjspq9wlnZGgOCKk+Boyfq I1gMz4w+kiq4pl7YGDKEJsiWA3SR9wjghNQ45JcernzBNmHKVPxj1xRBA70LX5tZeO8AVvVZp/Ob Bx/T2OJZiNtLsyYYRzFxWvDm0Oow7l57blWAamPDx/507eMgHf8pgOp2UIByj6L+BwJu21mc91q7 Ftq5Kn59AFNw6ICKqJUBQcEThHxk9psVd67r8BqFA0kAUS/B1O1e643LW8r14TK7Ur49AGsLdqt4 +EW4lVxn1M01ATOKLYCH1+hM6QxowHgbYheBS+CZNZK30UtWyQrCe/ndOuU8LkePy93ASw3Eyflc 5MtKcKYw69CQyyN3e7qrCbZQkRnxulaFDlFNXeFqBHhTXBme966xVYhTf8Xe7ZscN9kMnuv+9Bcx dmtYPLZhBQpBDZuZvu5GYj5xdnPU9UxAAuotziioooiHhj50HSulrsid5xFglblYUhyU8EgFsL0+ JScmOpcR85k2sQFycVoNDB8gogZISZk/svoT7DqcHeGeRYP2NcAXTsJo9qYylTUHGPdJFWoPlHQH uuqM2qrJbFSrBHdWxuMUAZ4T/kEc5q55meNZBIhtl5pEobdndn+AfhRkcoyWEefDbf7OZvfwzdTH wnipocvdvGFAXufIDO3sFU0U8IhRrhRJx5ALGhlDk8MScUNgNtb3WrmOugDOo2p/LtbHzXCaOAzT QSHQ4VC78cT882hjYhIQWoAGv8qJE0Gk6UwWMKR+ncEkCghkXcV4b9zLTTunqdZdbjYF+Z0lmH4G oGqePgdQOZcsnAwhS/9IZqDP3io706B6/kHBxRgtb6xaymC0U/86Vh2Mk+uMCK9Hd2fPF+adEBqa +cpZy9YGyIxA6FIOX/49p9zb6Rfd0NVXlMlRLCzZEsgXzFbdEzkVZsgslDRWNL461AZqd+qaN43Q OuOpebmWZTYCUDBPMpQzg9mdA/dfFZ2m8DJvx8BEXVi6XFR703bURrvvH3CSSJsilGRnCWc97pFo Z2T6rPb1PSHwbZZL/svKGTtLhu4Flqi7ss3C2UfcUrqts8ZzJ8/oqM8cL4ujkK0HOIfzhlOh6ovW 9oB/DWPpHm179AcKqZ/F3Lv7ThBiDXzI+3w6+Gng+Zt8liHBWjJMJ5y9ZITMf0B/c+7gJxKUu9CP /FnLacn/Uj2ohzdqwgZoFE2a89E/D/p2MfZ7P24/CwZqRxLpk/H4bkOewStrzOB2VeZL35rCUZL0 2Xomd0v1jPQtDD+nfTmKUgWUQ0sh51+2OLCG+USKjq1Lf+LHiSYM+TItM13lggi3sJxYNz4hbhwk Vz50xvzSY6iQ48smhE162LaT0nv7Pnanc0BGotseTGHW/uxx+N90OhZc4XOJc2TMuTC5mhfwjhBK smJz2/WhqFRIJGvq4BkMAu7wCEBCXzNLzOXA7dRw98by2lBoc1Nc5E3aen/W/qBM0fYxGbM1zRhs tsjMDd6xYaTCs/8wbUUhEkc+F053gO72hYPrjrYNNwRz/hY+erPvRSM2TYWDuo3oN1DeqVmnkK9n zWKEeClVgUq6k6Iixi7JyqZh+A8yLSc1NOemWdwahaLZ5mRCu1u7TRbPJVv0BTLIzT+fwj27X7Ag b06VBTyeUc+IY3NuIRrh137n2R3EpSJOF0/uiZMQQIp7J/61h8jPk53tkcfHYvycVbGtm0bl4Oxw MHm9fSFFUgSZJEkWKXlP/lmAZxh5fFWuXPf5oIzzMV9v0q9nXF7Y1DAuXqY2QnbpemVjW6bZM9kR ypMp2YPA3pB+trwIL/VD+onqYgEzzVYi8qmqCe76OBgIMfWUwQX0hQ8WaWfCG48+4hUEneHU/laJ tyrzdj3451EiUZbiZaFAWZLUGxZ00xtljEaa1d726Aq1RCl0CgY+zxh3KvFxnzPwdKm9m9JebIIm 09uZOhGOLXqd65dFfmH4JeyIgwJNSaKYgge94g2vSYikEQTbNPK1Q9/HsbetNJE+L6el/YnI3xi+ Nm3+/Xl+YKHPQ6uEkNhbc2eUgGYMuOVK4MH9rD7yVDQqLkIifO9PYxIwvafdCOH1U9qYS9coxzAf KDgPSdWh/lMbttjbmg3nQPLgDf1R+XOqsbizO2u6HDgMWOVum6FxLjjb6cLTjIOmj8ou3VvYkWZD C+SYR8qU6C8rWzNtbMXsdnx5MujaVdWry6xBTA7qW0u1ccx1cdtEI/HXsxETU/aO0xYxVT1EDCdw 3f1b7zOjxSVsklUDdei2shBubc7kKMLMszD4NCtyEf56XCI5NXlGqYD5K92D/e2rBuVP2Ab9cLIw ZE8Crv8pBtb1E8gcouarxuejhd8WwtSEa+orb5CzdO7wealpl2sksDxUWH6LBtMn+xYOjxH1rLD9 Cm/SH5y/+mkbLL6LhUZKqei8eRI7cEpDfC4+IddRCZxEGPX1XZOt+keMMmNpkAxSlctkwNySCJfM WxDDK7iX0Pu2aBUginIgYi6kmtpuMzc55NjPVt3utkVds8o18BtRKq2X0N4Nz5ZqHdqSLEhLxCVA L5Te9Y+xv7Us/QiMvbYD+jAXTk7Ypcm7xKyfBvh8cokKt8ZaKtBMJ3oqNHvU+VgMN74pDa22zBKi Ly/woXDMdgPLFYQtg8xY5vyizwWfSLwJj0p3YBFjRa3fKYyRboa+fKNk63RpRq7JK0+Gf0k5mBlT 338bseMdkxzpoYdb2YrMqhwgdb7fEUZcx4llDqq90Fgdw0zR8TqlJWwZWaOhzPHERZg11qDV6NUl QF+g3PTM6ueXSHrzsXYcbc5a/mEVp8RpFEpNhqiZ1OehA1CHkjypowlJmMxe232hNDar2wsY9uB6 L+IkonEUyWcQgVjEG5yBeTQpInipglHo1U2AuxTAcoQJxWxcQzOdOBsiqgJezm74/8VPz6KeM9RF gC61fSc8hA7fcaBaJ7/eu3kZgc7GVgbBvYuq0GOxyoGIT4ACfs2g0uZ4UQ8uCrLQNkUAu6kN9F1U 1EfIA9xFP8hRxg68Maehe84Dg3VG5Oql99kz8l0XUKLg8PjZ5p3a7HeEkbUdCMLCHWVDfvB8p6VA XF+BIOj87diN4w71cmWYgBK1oUBznFXf9QMNwbsc6y23HVFnAFcPq2H3NjWiEOXE6IONbtVi7Q19 rTGS4S5bzPD4fR0eqOdsmoNEtBPk6KF6FqnfLjVpv9MGWtq3tmZVSb0uM64ZtZigo2jK52vxbG6n p1pZdoJGufr9Nr+N2qSqaaaaibcMdX+mCFV1E1yc6Coqk3CRp/wTmG2snoa8oHgxGuN+TgndtYvR wOYdKVWN+zfujAi0XW6iIjINt+edddiR5sKUgwSDaAybwoAqUf/xs3MRALnDxxAl9BjKBEexT1Q9 9r4x1BCrV8XX6ErsVm4TJwSuPDw1h5lxFRVZysp70GSF24Uf50T2jDJ3FdD2lNeYlerla/Z/PImI Z/t6gqU/6Pwa2NCqfPJ4qrTfh+D1rbNz1zW88EAMKLfxw+/kbBokIgRQ07WdS0sNr53dQPq3QBxu DZCscnf8Pvf98AIi/VzTxl39gv5seBkIpyCzb/RHPQXaJrf8lysx/LMGYBbmFS8JUUbimhvwuMUh 69cmVAzNUyBDm+xKUYX6Vjo1TGyeCBaHAvwdG3Zp8Yl8leAsDpWW2rim9DBBWs0NVknNrJscAKlS yajUp085rjrWHJxJJ5rR81Leh9m1PBc85Z98Xn9IxDjVlMlWfDy+KJaHPQqQ/LNcWWTg1t5wiBB2 zCrSBSqv7HUo1ZKQ2mnaUVRoorLir2zqJCpAo3889yRKaTCQiDeogZlh6itzQXuiF7Bi5pdIOgYT H5deZtGPT7Zol8feOlN9k+cTfFEvIgC9jhkCTrCYHHCK+oAGgPytOcNJYkBYeVk8zwlylFGnaLn2 RcrmijsPo/86hukXsUrij3DTL/hyIfZbojNSPeXMtmT7KPU8ceC35MAccqHzBl7O9MILeKeAuyLe /InwxRiXDzG1JlHFhfU7prlpYVw2osJ7/CQZ5j4tC2YktZjW9Jl6ftYh91AoqJjgH7qDgKknjr3w JDlYYUXKXig+JT2arc5/e1HOwoBu6gvynwIt8Ak3vTo1YBS8A5ZyBjEmXezixdNEe0/90zwG6plF ciaYGlUqqo+Wy4KgF4+z4s0F1r4xyRsZp22x5Nv/XpZOJrYlWHA6MyGZUwf+YA7gyzchwsV1+wbF 9kAAT+ug7d7f1vn9pCFCZz4H46sThsDc4jB/6sdfJ0+pCARbsEOAV3DtKXG9MyIno5mmqD9opT0e J+JmuRtC/mLrgNi+tOUoSFMiIG3PKB+Jh7z381HweqRcVRnlHkBQJouYs11cHG6c0TKsS8U4aTho slp3scTX3qco2wQKJa6VLnbNEtad9pm9aRHrEZd2FhV6kUQTKe7SxxQMY8C9irr5mH+nwIgvZkEX v+o4EdACVM/w1EaCgRP4QQoO/lqPGiFjL6vgQtf9sgHsftN4WTfbMmGEFijOx5EAi2Y98vxhsMmE unjFpPiTxq6fDc7WPZGKPt08tlQoYhLyC0ndoMzzJDi1thUo81CL4DzHUugm8Dldfgg1CfU0IeYm IFekTgKVCzsOwy0ZwLVN5Ad70cCZSyFkUwbSi4/OWMtveCA6+RctQQhtm5h9httep6Najgf6c3Lp 1R/so1xurCXbyeXH1lhzcYpPRT7lVO4PeKAj/jqUN6F0bnLH4DUkh3djkOf4DmOvJysL1P8AJ/yj k7UR3+6h0bizHgHCz0nsONZsNwHgqF49RuMjP6hTm+e+wzyu//oW3hhadbGIWs33jzdMOFgQklyB 8iA/ShHBmryCMRvo1Ko7fYUMnE37+MOKuLwIgjwyYbBnBe9izlhZK7E10uPSLrm6YmKLrSxtDHMz 7gaBK/PfayVaB6tk0PwckuES34Railpu7THex2Ys0suQdRF4ZjhSdYpaY7s4BiNMt6x0v3VqnnOV wf5PXoa8UN9CmwRdP/9doP5Co5xn4Sb85sBK7MsGXNwrk/8rign89ivZn+f5tzzhIcImiDALD4gv qKwMIU8KGSI11ka49y1mbbtnJBoWPCZoqb3hHCsZJpgdCBO5IJCV6G5N2DFDas04SYPx5sJue3zj FLPuWJpKb/EVSybfGGKgihJeJ8KjRlI/RYuZaLGttElFezj/HSR0sHlO2i65nUcx4Z3VUbJZzHJ9 1aCmdZATexEU4UEqDgvZbj0W/MZxu+zbUZM6lpePho4USkwLMbODlqJ8UtTMMlfrFvHeAHjtuzG8 Aw+N9bWHqSW0Ke7ugz/Kznj9lSo5oTEsxTsICTf965KueJaB+EXoVrcN6tq8FXjxuc37BNy6Rp9J TMqMhtlovzINdllyTMXp3JJBF5Im3l57VZ6CEx+pwEFg7n10cSdVEjbXiDvOIKkcYPThrnRDP4CL 3ucjS94/h+mxpdyiZdCX+BEnhtfac1fAFe9z0ImmQlsujlMzjFcGsmfLZRduuYdepZCkK4tcdcAQ 7rxCv7/jMzaUjjItHbtYnfG1Pc2bqKHz/5AKtjVcOgk0eq6oLmCPoX0gNBlk6quoasTlyxY9Rj/H GmxBLVnjAeuyHMAQdu1+s/dyfGwtub+wQU1DXQENe5DjvnNVpfYuj2sF8bAIOxXqLabuf0MfP9n5 yZaHqI3tjtLX12zupOMd4hZYAMBOj2SzrEKOWjnPqV/rs3goCdV0hcFmvgKN1S0udRYIxNjjtxIr fNEJha9D6JLzqxgHKTpH4Y9Mz8DeLDu+NRuj42Cd7EHFRAkFaSPQBgQjf0RDrNKDi7sVYX8c2zda JeRSWp1QfjCv0hZL9KQGG8O5J4iheiWvcHYD+9A9M7uwt89HU5tGFAGGrZNntpe2n8yGM/2a9c+d dbiwRha2gidXTyxopnAxx9GZRXiZ/hxzo7TNm1rQzxDTzWvYHwDs7AlW57jUGe04DnCqNP8V00U7 w8EcPJ1Wy2tI9iyhdWa6PeYt7D9lbWR1aDCexeeo1pZEI4HAk/fOBxHFGJer5geaNimTXtxbcJcS VGx2uJF09JkT39eR0MKwkOSJLr3e2KOJT3DC87HhL/TEZOshWMgJCBFQWsRT2pWaq7yVb4PcCjTf 8GhWSYzGAdmvzw4KiXq0jIKGZ1rnklKd7wF4DO+yrMjqgT6HXv+lOF5roveKTFa4vfOiFSB3NhwU AFKaOXrG0Gtelrk8dCDTdlUguudvnvv+/A+ysv/RRIQAzviVnAnP+fgdsnj+PD3ZkRk0XKGZD0zg srMaSMbUhOSqWNcAEIOaH8O7fJAAlBDAdj9LsnbLlAFA4OpwBKirfZgP6CYAxxCPsrESToS5GF8m ljH3wfUvoMWmFtLqbPi7LLXfjbC6n9H6YWWIyxT8Jc+1cdi8E7tl4MI6ynazrywHsdtjWOnenXn0 sCS7kZy6eGO66VDJ4kbYmk0tJPt6hIU5VScuhRpKdJr4M6qzlcR/2KdiZQLy/wsHReK1mYpGCL27 7JT8uggrWXu11U+fFrRVivP2P0cp1dDM0I6bgLOYdXsdUMQWNbm4n18z8be0GxZ90hxn7AlfkE2p 0G9iYGhCOtmI0mIrhbK4bxkKYc/2fR6DJB+llk2NQT61AxIuBxbhIblEK80qrrpKP3uleU96GW95 bdWott4iIqfOYV56UiiSObeljwFYDkmWlARfQREv+KhPo+ezPWs665rf2fYzbDLgq9hMDtiOw7Ml 4Hh2p8RwIAeAKFQhmI4jlUU+pLn4VwnzN6eRZwOp7BWZa+mur97alQtIzr3eop0CRsQdYmn8Dec6 NPbGSF6OoXEkLfWL8kUjeoR4hFyyRE2Q8VFvRJZb8zmB6nksELgaCcOMwiFc6ReOw25o9uRCoo4p 2bS3HA/ZGzTUaXtAxb8sGLtZjN9aJJpOrTEC3wykH3Jac7dPYjAtl/6yefi6yi6HNL7vv2MBG3Sr psV/dkIqQTOxJewTBHjUlPSsMeKPmEF9vBEX+FZl5rWlSf4KDHZ5bwizwb/OIu6Rs+aSk+XjHUDm 44gWXu+AX9mC+3GJ078bEIdDtiPsS0aNG92kEVHg/iasPRXd1dBHcZtS1RV/V3fTUCZyug4OgSfZ 4FvXLEkYR68JUqQ2pb1LVPbHTv7L679bltFkGIzmNN7wyUfqwJinII8iXTd+wLynBaQQ48EVznVc PW+ngfTh2mX18TO7rs0Tg/HsTXJ2kKShf3QcHGnFj8TfExZi1eqcII4VXbhjnw3pE1Edy1AHFWHR C1PRp97SVWkNZ73Ghauf/KljE9x264+LlmN1wW1M+eJkphMN1szZUZiVPpdmwkN4z4U3Fk6O0Y64 E6aQtngTCsgHw4DvMXDaf251ValGTA51hmXx5T/hAJwzejpbONVGY6z5xpN+AQisJSUCaMl+EOj6 oOPJQ1JRqJiJV3TMnEp415NdFuAi/X00S+GuZgVRUpR110A1xBoZ76uuNlbs1JkkR2nRXgZPgzR7 ei7/TwEo4vMzrxsJ/loZPBAgFl5BqmfSENxi1C/8ZfaAwUGykD7j8SSQYlQs6YecbgU0KUxr0w78 1kEuTpElqiHHHPaf79EGFqHt7uZdXTPavkMA4zRaSj7QJ6b2RS1X65LCOoWDdfVy5MXHdlhfB8Is /A9as4a5UPTv0yXGMItV+ch7uR+V/hHg1uF6YONO01je/Io9J7bQCx844zNHoK0b3eCvIA1QffcP mJqIaCUYc2qX5YviMS6LnI48rxAtGcNdTSC6lYoNQOj5rXwCPtLVmEy/k3NE5ECPDGEXcZHUO3iZ k8M3ntUJfku68BAdviHBsuiff6vK1ypT4KHTMzkC3lAVZn0NDlUb/gceVnI1YnTnDms4JfrzD2bi oYmCWOQsYrpA6lkfU6ncK7JhV//EGJRiAvXprKiON4aXG02ZUnZs70BxIiiTclY6HjqUzS2XeXsP CCLkKsaz75qXV+gc8tW5OTWAPLXqr6OJVAzRKJY4bAfD+SNPDtTllg4BXrJsRKuuDlGr8oFe7f3s mL8mYqrYq6wEKah/aLnablnWIjO325ML3IvnRgQ0uDY1OH4qrY9kCBTb0Pmz3MJR5bXLoikL5/w1 1aT65oC0qy6rY2zXTeD7v3mnUKONxY6gGygq7dPP8Mf79SOB0A0Aj+HkmPlIbtF+2IPSkMFHnxFy PwMABh5rHYRsHVFIJ/vgjjThVGOPWOKSDdI1XUW/qTFbYXwF61EsBK+3xOxePbpL3uFGmIvnm6ud 6xFpagu9DANFpAnaNJs8fzAXMgLXLytl9BdeLdsIrOKymUh3+fR3f5K3Q0IAim2YuvUMnwBOYqrE tUrNlG1VlrYV0z6TP9ycKuiEwjtBUlrcN2Qte4Ltya+IjDCGYD5k5cysbLHsddVMvxGv2zdwB7s2 JNkYuLVoyl0TwR8siqyfh9ppFVXQ22hQpHXjThSMnj9vC1ESn2esRvI+3VLQWkr7vTd3h8diPRrb 3PknZG+xHM/aHrNU06QaJ6i0lQe9vF8U2udEJc2C3p37XE1Rv96MHiHRwOJUa52Ml67ckf6hsM5g MIEGR4FYe+cS7uhzZN/YQhH8QhThGQjfBPu3pKkZ1IAi5xIKuxhbjkAxN0wTQYlrO/8qNIljNwTH qfswFgXkcGNoGe3hMO/VkboaL+NcHW1s1vPd7zAI0nYkKVl7L1tbtEqMm/d4kTcnJVJSTOPaKkna Q+a71JnICL3f/eqrbvO9mY56KnqN1lrmFBWnJ4+db/KnGcsXdtD6I6z1dHjIqHnTyrpcfrGT6oob x+Xzg5/JuU1wUZXprgiZ+xO9/LVntmKLmUrOWE47xO4aXIg4r7S9schkQew/AMEu31UXoo5HhxEM ZDTfoyuq2NP3+RONgH6ecEtzTmRJRyTXAtoi8zisp6mBCHjjcBrRH52tM3D+77qWCW071LDdbEoY AAXsDL2C+X9Ru+IMRCJlJXoqPX/hJyEEoSKJU1HxPTsBrCSA8PDXNO9LDEmkojBhDqGstMvouJsH EAQ7KHTV3HsBwUGvrsUnk92FXQRcorQSwS8fKdjGZlgpjdGVgpIFEiX8y1/zjhKqJewdhtCgIPNy Sdz8NlhTBdo39FC5fWCflj8U9VMCNBMwHUGUJfpPwSOST/yCZsn1p/49eQKuIugZXgaw9vvrH80M YbFpXfcDuNOMnuPIf4f+gB31pd+Yl7w15rU/7iAQWLq9NjKB+xa2E2KbZi6QbvGZp5ZoGj48Z2wJ wRnqF1Dut9uBgvyG5XAj4YGxTTgzMW5Dh0DvCkxLs0BfSUuVYxGR7y2eRg1kOvKZsAjHopIk4upr DtzD14HDvkcQsE7kdFFNB0pnlRyhUr46qj/aaEmYjbvGPyrMPYfuUwYWFFGySp8Tc4e2kz7zdJD2 +qDjFRQlxdt/FWtNEw1xh48dMqMyXj1MC3DbnHwaIgWi05FgNsM+ORAn/DJFxPK+EklioG33NVlE tTh/CWEXb5rFUSwcFsNDJp31wzSEHLMDMLAA/eqC1EAq+QijA5x5X2qTq7Zc7L7G721PNGmtys/O jQzJhcf7JYLSeHGXbgerKt3Qqa+vnyI6TAknGg8Jz1284SR1U6POQp2lC+PpzdOb8QDRS0PyyBX9 TELdxVLMJRjqrPso6Cx0Gp9y+Crju5POI+mjFhgsYAQUKsLESrszXjUfRJBDV8JV27tZVoZLn/0z 4sFzsY/w2NEB6JLx7zhRYT+51Qz6Da1t7qr6R4PsdVVmYXohwolHw0FKS+NU2BfYteFw1FVJn2Iz QhI5F7qk2VU7o8L1IKtFVo0l9rMctbrXW439ZzV815TIJBrOwpOrjjBv6EWw1OTjVLesgBGx5a3+ EIZVcfKR/YQD0S841wniDp3NqlJt4V6xjGzx9oYfptyqT5TGtQ2GyI/k1i8BIi5k8V00RgOxsQGU B5hj/H+a8/po1TJn7a7FJ91Ri/AtC7WzrAHKyoq42c4+tbBKyXLqT7IuMAMPFoR/VhH3S722oTYg ouIebz+WNmgFF92sa/VR9qdkpgXwsVxGT8CEGIuR2WEs/Esl8xJM29iXdYSykb96VZfiF+cfEysl zkkZ6JpfG590facOSQvBOaQsh7zJdYAfbdxcQs6AKYuGdClt+CtbzfgEYqCnnlsqsw9z76W2FNEy 4L+pGEOKgy3M89UDPiNFsfbAzlxKxRzwzDBvMTnjjBIBO5oDK45Dvh7ku+3uCgj5C2nmj0U4PZ4Q kTSqBtuar+UzTsUxBBvWnFZbvJX2ijr2/2d8rqB61arCBLoMVA2Y6NDJYhJMcOy2JNW1QRe9P6la EPohhZYPijNT6wDfqKtKpBfFA0oPtOZKqtr/aua/Cisfriy2YBziN8ahVB8jLRnemiom+i+m2cLF 3eifk6LJ8cyR2O2RqP8qLCG5YGe+CVHdOdhUI6/0r4XHTFzbi65e8qaYVCMdNtJKcOLVKD42qTt8 paxKF+NoC1tMadmC5GACF30AHZbo9ie26ty57+GVAlDp4HonFFXXlc9u1Q9o89J7/LVqvMLC/L64 Uv4s7+A4yLP3nOdLkfJxKBw9ONZgLwVWa+sHUaLGM0NxTa8T9n0CsHFnN1MC0O2VSIHgyjfmpAq/ tGDRBrAmXEv75zgEMjI5RoTW7Uhh4zm5FAOLkwYm1VsSKcY5o5zbr497sP1HbqxyEKJX84FlYMCf ZJ7RBodRnfa0MRffbcQWz+1DmcaZHkXCNMT+UA3oWTNiXXOW7t6l5fffB513qbXZv429OhVAm+me Zxit95OE/JG/Srlw88cmgLfqMg0YAwLbk/MlabvilvMxZVtM73hw8l9uq+AIaXwGUIO2pHZALhFO LQJqJyOzoxMXzB0ISkhnPyW8wTqA6Ecxrh5DFz0X/qyXs6W1cDTRgv/BWylCean0GHSLOTpxeXfb a4Ts6pWanlYogYJcQ0YxcF5bY0rqzrT9E3gTAZ6PeFHQs9+eNh60rmR9N/Vy7ZbH5Rtp2LoC8jEF ELVCxWzgyfgHplN+jasKo+fHQYf8Fylv3N0epZA4ktgOivaft8W/YrnDFd0YJs1Ha5gI+729KzSI e/f/TN0SADzgRRZiA+NtY/oN+Xe1BVsXsK+e+P+UwVMXaUc7bM6ZKb9jVe1Am7laqF2ARKMGUFW6 oF3hQBwlPurjIUA8QA69jGJvRTGz2KUa6d9ghnKuZVAxEGhepsXpx9eFH3TN92G+xY3r/B7R2nOu wnA6Lg71OgaA7wkqdFwxXFMaZgx6uoaBAD1XulCIvhJQtJOhBOwpZhLcGNVnPKS+TrgeohW4xYyu C4ai020eAIinc8OPineNmbHA07T2uOBpxQY65g/za18kf3uiygcSYWij6azrtgM1LTVZoc46bEi8 5dNPYIYc51t+6YoftY+vQ30863Do7WUsu1s4WYzn0VZkM7bnrAvL1LakhKiDUtu9AOszHySyFv7G 0SohAl+H+VcNTxTCucB/DfTXvBnDkRsOMpWo/EbOCbkGnmOwn+oDiFRVi3hw+utpZOdE9VoFuPbF SCppuAw1wMXl1x3DSFxK1KLIsWFy+Odcf58ROq00WsbtKas2phhDZ2tPjMJCnfLmxH4aYSfjniZ+ EVKtvs0bPFGBniVhrF02Lis7r2bcA3sA4OeHqNk15a92J1MvP4o3XxkYwxNpMbhvDfHFh3MENE9Y SVPL4pZWZ0UElGJq1AqQcyO+xewgOdgmKfPjaCxRjv61siI4xP75A0/5Q+X/iWGulJJ0j12vWZ9q v8BvkukmLFBb4BTEmd9/v7N3SaIYeb/1aT8BtobBA6jhPHvPv6ljZY/BS/WcGr0lCYX/XVceamTF ISmKwv+b6SmLOBgPxvZi6O7qhAyCab6sZZscpRaJ4MWg35ooTliAxtZJm7DogNczoHqzw//6NbFH wQMN8TyFbfkOTU9qr6pBOKNXoFXJT24zNkq5azn6ANKP/QUBXBEpG1anifMUz1DuEXnZh8xLbS52 TgOZL1VV1bANgPTSxZSZGP24Ags4shY5koaJWEZtrXi7YrmvWlSrR/82duEffOrvyB/rI1wG1W5e v9tdWCsKHILOw4fgki/++CHA4PdaL8UuTVMzw/nQHiISNcNBJGdX0s8tb1WYZsLHkExsPK93HjWk olLwqRg68JfO7UeAhqxmH9ITnOXyeYidOUTx9u+ayQ627tmPY3Wk4kP5wTIKCqR9ZWBitx0vjXgP 5hjGbZbvwM9Akh7gNk2XUE7WKfvKYD4eQdDt1Xd0z5VF5kl2zXHfY9p/s3asLhaB3jbTY1+sCFMM nCx2kQUDS0jYd1cMu2KSNdyws81Bk3uv3GuFwgE+QB5D19EZtkwlcK/JSTeF1k1CP7dGarvIqMbo y9hOwlgM2C/Sqwc9b+CaNYXSJUciLq82Fhy3c1/JfgsC/LuU6YV78EIkMM0i7L41osR1o4ABzXKr zDVHUfUPGZe2q6TuuKBhzUymgTN5OskPs5SWUsFTyojo5u6/D6ddnDLa2S1/ORvNjqnwgxMbb5iE AxVbrnWnNVSAMFb2xfafxGUQku56W3hC48vdB/NBx00HbiT87MxZQFbfT1jnHhrJY16lBj45bAkh /dXDdYG0eRkblkTEy95Pk2ell/419UBWxKpABQHvMua24J74vMNv+o7tILFsj5EsLNv+qA2hyWtS i4hFCE9+s1Dus3yvPu9YvRTtRXqZG1NTBNDx+Q2+pSJ+vU9q+/4eXP6SgcBBnVRkMYIqrgglIn4L WwYN/0Y18fXBbNFmb8z6bkBcbCKI2WdcXjAmlD9BjaeH8f2yfALZICYonqotRMDdlh80HM05O5Fa SEeCUYcT/zrK6vQAJTqZALMcCD79LdAjvjpjMhvTQwXWX+ynd/T3Gy9e15XtqVTdVFpGf+BnrCEN Uyo3ylV7e8Fz3ASZn77x8kXv9bd5DWFRuCTHCnqYHapcBzbgjPeuTd0lmwOGhDiBIvjY3rzMofyM Trs0tO9Z9YpXX+K3V00mU60W6KeTvmpUnmYGswMuLbnKLEIPT9wdzQWqandIJ817p1lLi1KqKR/7 fkE39SUkEjuZlCeoTpK7vbmqF7jAogGR81u9M4+xCmREl5FrV7etVZZfssaiaS0r0qd4sxrGCvu2 JEazfHheZnjTe2i3mDPytmeqO57YLWS2KYkegDIiXUBgYsXxsARcdchovY64p0SmQtV92rBmmmP5 beXs4cbaNa5hjo85yXfmvFXsBAZyGKv1XE6OP79MbqnscCanpK/yk25oNggvP7tKAo02CVL5ZKFY su+g1pYHVYq50Ab5t3p6U89DKoy3/6I6dgEp01F0Uqd5jgoOT6dtAaVFLGdKs5mHF3pvUojPSaEH 2Wl3C8R5To33MKH+c8q0E7oYrDFcEYc3NVXpUaO1hIOiHlIW2IqYbcRCmHnD2ooXhJKKXaI6DRo0 UYK8akrPJXPbB75Xk0T4X09k5DWE2JfRzNWXhX+jL7I3kbdY9PiUmjOCk/ZZcwE4+NrMdpBOnMhw aKCZi8VxwGPieK6Y9O6tHtWAeiWDs376MXITLMl85z7IMpmrwSEoIvs1v4ic/mDyeZ/I6q0o1xDh SYT3LxapZ5Ps7MHHFTq1jMheGzGzZK+MEcjGMawouV0Y9s27ZUtD4uS4VWpT2Ed4Q+2lClxFyloi r7AnPhHQOxO8y32q9Sz0gDG042CqDQGWmA5oACyJJPP3E9JLlg/V//f+X7lr2aRTRroCY9SrumH4 SX+2cIivG2XJvYoptCwp71EZMOCpn3ojx60KMrDXsWFbsIEHwvBo15W+h4OkLP9lQ2/IkwXpphmA ou5i26KdysVWHH50HdujLOqoycbMH4DddQXiMVXvvbI1NVBvV2wsBqaqi6qJI5GZJuMTLHJBHloA lbVaCqVA/o0MAzsO7Dsjoslu0gnWpB/P55kKd1zj2wKFMEWenOm7IREGJ2/3vE/ZVqE2LbL+UUIA r1FVKNfUbxJfSoJFKA8dlorDB0vb9I337oPMtPR1z79+3qsZHsJAyhT3s1+HRqot7Z4AEAC8aJ86 SfYITbPstpz/Pmc1AntUgUzAVRIWkXqkrx2vc80QSfkVdTpvepJAz24c4TdUKfPNF0n/o64li/Nf OZlPXrBJelendX8yoSs9SgWMlkRIgfUzTDQvBLrH6wLyxtmA1e2GCmvH8s1cDqJj0Af/HBhB7OEc IlKiJz19zNqGtp9uz22cUVoyFLC61MppFuBu38Sjs03ho3A858QoO8wKPqMmn1xrH/UA9+AqCceD pVWgbLYA3+o2JcHZ3sTtSzxuAccmcH5+hdIcx8u/DwIJ1/k0DXaZxapfxQyc+pQ5rKdXjsjC1aAY OketRhHABF3RXQEtp89EZ5Pygb0uQP8ELvQfk2oUqP2p7b+/A0e3eLGwaWV0YdVvTVb6+pvzagZ/ itHegA8xAwfq+nhN2OX8gC0LT1oKkI1xoyIjRfv2Hfm2WbuWs+p2wC0dEKuhw6w098Z2Kdje96K+ GTSCEtLA7FCM8chaVwqSaOQVUJJOSb3T982NLO8L3dh++goIWaLOY670GJT6F2j4iTXLZ0BnO4XG rnMifKEW4tBuD0+4fwOWSKbXEWsdeQW31c5NmEv/1lO6W62ECdqrn/OjKHiDDNs6YVxPp7e4cVWA XcLUM9cA5IAA/R5IoiKNf042mpFnmhA6mSk12g1OR2EDivK1bd9YZYALshE1+TB9qCUnp3tzMeZX inUEwrxxesfdgnGD/tSgsvBmyB4Hlc9zFjWEqlcmzVsSYhOPPbj8VLf6nQUE64kxHYcCoZ/frKz4 xI2FhbJ/jhj/pfpI763EkMYV/NlLld7um2XMpZ5bAentKtr5clYhKUyf87lH7xW3Sfc2m+vJKQmN NGlgMol+VmRg+nUEK5NnpAd5WBouUxz1b5nvlHIN0IJr7S3i0XE60OrD9ksoI6WFbZlgySDrmyMm SFpnx6SYYIg8w6zsY/MlCntWrRglUNkAciC8lH8Ib6vTq9tfuN3MEHpPetlE2NblYULFvi4j/Wrg E298gmUXCIigpVMOrUXRcvTbRLbKJDYoNKqwxw5X9slnWIz05uAOwAhwCe3f4PEpBu7tGttW+izL 4jVBeSESssh6lYTxK4/XmvamnDT/ULKMPe4SghsUftjbTJru3ao0k3avhBNKVtC30XulRZ7opvco sW26Fx+hcFuKSX1Zf43VMj+/jNjoiqQuX864SgZSEcWNDDBKvpcH3D3naQgcaKEJ8F4qK0xZjjNL mEfZgo3ZWOe/gVotQ+6MqCSsrOEKfkbrGkhj1/E/oD0N3HsXVJSJf0JY8MHAQshDX+v3jBlgjk7l kKOIo/csOzitOBEwiVFxe3933Az3zLrWFFLbrooleXPxPBaVVep7M1B+qbDLrP9qv8hwa3zt6c9a eXkbZ4Cl51yS+02o2neF5XcoKIOHCFnsV48tFEZ/XXHO+gfKHHnLfSX6U4nfB6eYdrALRPEtSsJK G4gE0Fad1MLB24Dw9kFkT5oPZgUEl4CcOyCU9NOICsz1VVdwwdngBa9bYYoMKiSzKlXXtD+Mc1Do QtzNBzF4KT0Yo7w0PdXwHFr218JGPKxtcWkqZu/Z0tJ01KswHHcIOZu4/ZexADJPQVrg9FyMwWuQ qjclgwSkcCe8GZYg/lKYuHYJ0zJEMSNwHQHRMANmRMuDt+rynhcSqdZrpdTbfUEoB5phZ3psDUWj VQ1/iPMnH9aZNAHs6pepDmnQCx+TLoXVjvTiAwcxulKfBAkdMQmeJqJgV4KfyV5hWUWSerQmPZHq FdwS7iZK5LA8gvQAWAhSOobdvr/bS0cldyysh5v4b9A4e/vZ9BqcdF04aA9pVTxGwbT1Ibft5Lmv JHwesPq0aTmHqpaAzHwl4DxFFL0RsqnxjvVk2oBQ+++wcRfEwsJHtOxucmHpVJPQ8GmHFvQsLswK ckzicKjnYFmEi7vCW5miC818BKwBmrqnC7nQxOpa5gvZNnINK8euJy/6O12NNGOQNms6JFHfOvqD V3dI/2v4jWGq94RMY1VgoS+3B3oNlDtGeabZkpDqmXM4kpGcgGUBB+lzYnu/2bKswWcvCi6XdT43 TcgQZWh4D4D003db/ImKTZiWxBsg+GV1ajn3OSh07t6FvFUfja3da4oB70xhhT/9b6rvIdmOyvFD bvg+CCWkG2doyg1RkubPlK3SvVpDzMO/Nib8qySgzwhu61cdzgiHEGyXXF6KwvyPXao75VrSe1f1 bZSFlgCL+2a+5pG8/9xBBQx34Num2Z91Pee0KLSvM91r8kaU2Z0XJYox0E9TETBDCoAQKwyN/OIv L2JPY87boyEfB2zvNqL8sBroNSQozgn1jGI/CNZpJFCM4O6aCwFsKDRXjvr9KwtiTYksu22nFnY3 Jqez5hnOb0lu92b/xJzkMHZNd2HfqwtRWdZnjkYMqL+gavmGf0SQbhrvqBbD91ghQraXIRrR2e8N 1FhCGKI/+jEwdx1A5k4/QkH3fwVUWzE9uEkHcsMrfkWANBUmrfWEo55Pe5gSJNBKdmjd0kyN4KSy 019gSaxTJ6HGev/ljka387c/++fHxqA25LVGlHswuI8YSIJfRw2iZi92Ftb6MC6CvdtSGcBlFfs/ 5YR8mAJNJeY3cIewlFrnYRec8/57j4JLtF/JHzKWySQP7FNIqp4jZczzHeZOGNszsdXLmJ2xvT3h dczjlRxRnj3g58mnUuBchDzks12Hoi7VuqrtmTLvTInz5ZWIF+4HOA74nwwRhCHty+SgS+PMyAqI wbcdnDMXjX8arKQzgUe1qczZDY6edf+KFUquBnwOGrcYtTVy2Wq2/DM08zgUwbAllJhmzv20euy1 EOW1abUnsB/FiZCVZ0sqrHLGK2ehDxM72VeIh/elpgCWRzO+2GZfJZA64OkYpXUevhq1boHFvdvF 1cT03UJiMd9ttlMFbXJGDQq6Ld2UMpaEnzhjzCzbo0zkASqbz0ZhFG0xS/78fugn8X7+VpTmqdcN bygJIIdgUp1i2/qW/D0jEvs9zh91qPGjyejSu+1Aumfk3U5waqCCML69DOgfcF44wATFP6X6VLAy EAuEqVAvY9IVi4ffHbUmo5K2eiqePBR/cO5U+AJC2acvO/tN3pt/BMJ0avaDVwdhRDygTKNYkQUG roH/8/JA6tt5UtRVanzsHhhEGxkhbXdXquYCkpundbtik3jmrWaBmezAyahPTGAAbaXdr8kMemsk YFEna1NvcaObSA1kX1mIEdeogXM4+9EZbAfDg4O/eGjm80FvTwmw+rBk4AlZWFHnY7Ilb174QmR4 KYZnBzZfByGSonmOUc/UCgL/bJxyonA9LUuyJNggxLvAjKl9oCK6XcklPMalU3zDsb7ev6kQjzUO hfMboXnrXBn7dmkc9fIYIHLFP0CO+gqv36ZLePGO87nTLchhr37vfZtD47SDimm0flWiibPoiUHn iXtaq+ELL7uUyyKa8S9j5mwRNLHAyI1iL7gHAOIdQ8qAqqOixpXeyg79CEPRxifyzYZve6aojewy CnuEqG3BpzTbUw4Ou/TmM5JwjqC8GfwTW1Kf62CwwXkelSJq+8wm/haQstMdUCSg8jbz8OgMqsQO G9ZZ5Vtvoo3dVhICBwGj5USNI6q+5OWtdz/azbc1Ccb/fL2hWeoHKc3fS3YPKl/ZzDYDSOUlh7Ll 8z3L7mmBPHWnK+kNqFDOYL83q1OffrBrUbF+NuE/FCnuIgPuC/TRdwscx5S/WK8DVf/o4ZI7Hn/i 4TDc6xt5pbfZgAhgrDRSFiTsn8VY9sd/hlFc1sEfbTEISMtphgFW6a4GkOAneVE5Bb9BA7L2lFG0 xrSYSMT689FGucNhBxP1xfdwsyhgqNCSB6E5b9sIcLhnzJ16Sh59H23q0PuIYzW1M2F/5k+FvVir fRkEBkexT2jl3c/peJzjxaF7bEArEoH68GCOZAzBSH9FdOHyunRo04oNcUwe6VJwy3r68fsvRnYC wWSGQZcbTKhFgVL6XWXDWdvCOE1hWQHH4RQM0RbZfzyIJXyt3Cjf5AyisjtyrPmvwaXEr7xyZfJm dBMW1f73DsIazFfccrOp8jQmh6zgX+QrnQ1R/B0mUeNJ0S0T5rZBzcXWU5HiRUjmVK+DUX2oyUa7 KbbSY13nbBPZA/l2dtVZYNx7RlLLAXJ5vm1c5z2tonI8mKkswMD6p15RX1NoSH6y1gJzJZew6+we GbwIOIJOToRCGutzJiQ/D/m5EjTnmlAgGEOrCGF+dHvI446sAPi3lwdkdoEzeSAefEM4URHnFWfj PsZYq4PSfsSnBpFYNYYZD+Dnqiwe2FNQ85jNL5X63mo6PkYGQx7ULLtxM6ROU1eWkIdU0EypM2+Y +DOFa6KsyjHpWbgalE0erCEifKHLhStbjUFNSoCrpKT8vgS5RCTCXGxCWpgPOTYiQwejBFSe6bEG 9wWoBxF4jecCagKZS3qwLwpOAgUynBs9crEmSbOZjsvXTu//Cfq/eXWQcY6slpStM2+4niOmeSI5 MgV/A08JW6mDDGWyQAQYHYtjfTDmWIIUKXzcoUoSwbWfXETI48oVzdQMYtw3YlQCu5mv0Cdl7wui bSWWLFYM0RjVZ9Dx8TK2M4CpOdo8ouPaB+05aUCpk4FucQtZo5bdYMn/YiPFHtwE3YKlYqp0psxV /TGHFi//Mp3P6D71eVCm9cjjvomsRqBdj80RfL0XLjmMix/gip7AcKl8/eU87ojzsWOv8Epb62wt b6X7FniGMWuMMxS6mdyIq1Qv2zRasyXb+QOi0kjrviB0VsL+fwS6/gFm9vxUXaQvvlL0cuBD4sj5 uOmYKWNXTjKXTt685qXt4camm/P+z5HhuSLKhlKVDomRwnt8sCJVj4XNRhAr8akorUCPcDnVVAZF 2+yAn0NOFPVMdUf2tKUTCXTMNPdL2ywj4Qsr6x8SgmBmNHSnvlHCtokxCmoHqo1LBkjZQ3arGFTO VErn+EYMi3xM8TXP//T2IY3MyRZTqNVrCy42gmsxNY0nsoItuOtEytvQ1RYKfMmWedUo6qBLvWGl vOSl2MYeQs2EdI/QKFN1Y3NmvsY2XfZHCAFansWDxHfrmg/El3Tm/zh98DVyOPa6MYnrc0avivOI uJBtpvxkC8PiNafo4Ys35fNjWvWV0+lZ4gIp8FfSJY6Zq6sUBN/JUrxVsy8AzPqPkVl0HL/xyMcW VMMRZEx/sBfsuuajP9hUWMwj7IFUGhH14QioE9VvKwoKR5bsIKcjnm7jP9PQ/WftSqQ+luPGMNIa edVcaZZ8H1gK6FyqqXd7+64MJpjAmoVpWmSsKXrI6QPw/dZvAYLMvxvH2/qO1x2xW6mIcHkrCrQ/ Le0KxY31iOQQvM7A7a8KhMHUp/mAJATsb+XL/cYiKoQNGONOvJB5NYYoWoSrk8aqevw3QIvsj9li GFf6ASQgyu0VpLKWmctxdoYM1GMBZziW2Fhe5QdVMYMCVflBP33YTkw/KkX51btfStq0r3SDoM0f mGQdcC4Ye2z9qvTp2IA4rUBnAqHhOzglCfOAjZ+4bqbADQm2HhxmT0FOncwrZQ34YKWNsloVyVFI uUegGDFTSPbMCpd97OTeWU2FZ8AXeGFX5Ko2udf2wIZl8BS9k58PSfONO3WeZ1YwUQZqSGAlCk4v 7/yoNksNlFG6u2sFk5CcsfZvL7ekWN450FmwtzEAygD03dBeaQk4QAYfmAkZSiiE03VZgvl620w9 XJ4D6YGvlmxJ5/O3RU1Jux9DTYik6QOhx5sz4DOO0b5ZVxRQeIj0hZVb5yvA7Z/3dtCB7ZmjDB+5 f+1AA4BN0HXHStFT3lgx6s4qkXeM6Hrk7fJKaT/EnY24h/dB/z/D/hxyLA9MbLDX++wLVwnbTTn2 7i9g1lHLoKeoOvvN/BQe6XIKrx8myjt6wRbN/hdnGaW622AkWmy6YL087zyogAklNF2A+dxAg93N YFiQvNAG/ukD0LXKvY1aKnKfTI5HlyuNQ16W5S7Azby3szma+RddedxgER0WGEjmgJYgs5x59k2r irytYkUU5m1pc04FxBn6xnzhppnELhVStIjuj+XT00326ToYWe+uSSx6TmbBmEf5hnxtTDBrU3sd Aohv/K1miY9FQAiaa9LoTpBvMhKUDlbVVqkL3H737joDVYBPJtXNVbvgYFkppSlw/CzPyMYXx9bb gdksAM1TgU/FKo6mWYOCGEICqC+B8Acwk6LynX/Tpz7QTnKTYYkP0XQC7vz1+9+xe2nLjmLMlnp9 P8GnP+/ojJwGuHqr4TxJMpdMr2L3mtouqU/Gcc3NUQa1o/0S7q/EfSvQtduZzw+/lbf/JzUaPMvG MZeD/phLpRe8hOLwW0MZ7X4OdqYYA9m6vqZjcAK/MJgIoRbgZcZOsuM7YK0n0Tf8qEtX9JObPY2J z70fp0qg3fRLZayUomUcD6NrjlezDVCfV3AOqs3AtubGY64EGYjknAjK2/TT8dKNS9Yp1QQ4xa8T D9ub/9MtETMZpbFI/Rm4x2Lfqyi1T+Lkj7858HR9xLzmcm3jIZtTyHRIe/Hfu0d88ouMNT/6Q1yV BBSPQfJv5GcIf0hG2PlSES9lFZVDNJSJNIYoruOKN+8Dc+TcrmbvjkXRVZnRohJKY87gpMQWFgcC 1GbT3D9xfxwucYmbVWAU/BwwP9imunoMI7V3IHfzDBmw+wktXnVif7kr6rI5XWkAw1yM+Qyv3jx2 I91dQNTKyDvnRWEiLWnyqLnpmsk16vppqPbPNuMFi5EMjb2FJQawt7ujbYveSmGJsOFti2R/mf+S Nksy13RXxMK3yIAudiXJIEw5xGJv7VKZ6HpqTkQaioAkXyEizbqTpXkw+XUcXrXshRtTXuMdNcvA hixLVzdJzjgOdgouePAou7/MUkqPyDah35HxYHYnKgtUW1TOsgWAQZ25oW/Scidupm8qIqUU+fWb 4j4WRew86XDHnfBto7f0gYu2yjYSrTGWBFwnHnuDsuPrgPb51EjYVLYSA7/OgU7YFWVAsyyTFdAc 8s3vdNTsIpSVFpHFHMtX4nV8Ga9yavFd+7YPx11RrjTleVJEN0XxnkAA0C9na2jg3ah4Nx2YMiTx 6wq14kNpBQ3/645I/Iyel+1mA5sin8UDiY3GRs4sxcfR9nHuFV3Gzp5to76fWbyGzU3jcB6mFi5F 6FvTXdFDvaBHvmDc7fGneIj2Knmd/2g8pM7HKmub8Y6snsJoCUAFBDd1EdGCmNjaoIJuwRfvXyXJ +zGsm4ryP9LNsqFMWbLIlB68SsTfr2JaNJvXEKeJut/aAkdyAYPg/uPpedl7NLfzzbH5ri+OdWm/ 8HfO9q+14KQgkWOiCxoqXrZvXgbfOFCBRq2M3tDhB2DRe3O72RQeYQMhzghW5ocrtsM0MexvSF52 m+27o4SSF7s/h4QYQsslY0pGsBQatMa++5QsygZzwdXxfshe0Te0i0FbFjdxv/jdQGkxYyVsQp7+ +zE7Nb5n+RymWwczMzk9ji7ZzDaFs/2BCfLNGu394krSkvEgs1lcuzjiV43hGZqOUB/2RME6i42H i9UNFmI6XMAmOb79rparUkb8LA3bCNj/GXJTqC/fcsJD6OBJTgjTMliJvS8WBOoVw+w1dMUi33wa QG+RfBSDchbSLQuljH+tUrW9+EyWTVmI4bffBohkOlB2t74eBM941KjHivbhkj+iuEGRU3K+MsiF pOZGsxA9MYpPvPajZ0u13yFUljuJjyFUbQKCorn93ZYvfpD7G0BRafGwJ1jHXAPxng1TjyzLjiOt lsE1lGzq8srvRRKPXgg7Q/XeO7vo9KSEH/kvYB30m4ggqOzI5DVSpqFfEXLF2TFiVxaM26CwsoUc hfB2cRbgGQrxCPDe7Pu5X7w+gC0pBikHdG/53wGvODvpCgSknDOzk7Fr+AUwIj1vnXiFh4S2bkq+ DVI2AYBjq9HkppswSi4+XsvxF7w+oni3LX/xBWXM1RGFzRniCp3/HpC+YHXiKtdW1E4t4mvrmzYO +TElxKfJr7v1syh66dMQyZdxDrepq5qvVGyj6nWJOASMnAMdn0qCrrgzGgBj1vSEmv7Z3rjZmARh PoJgN+BGmtmL6ra9bziAflo+mc1hAaRLRS4A2b3jrVKhgP3qpYS3NzfdQjMwmxF1sUepzoZDixjH eBnMDZtKyECWpuu6POerRg0057lb8qnLnxMEc6jCV1anoeNtlMSokRNec4f60yqUKtYhbKhBHqyr o3mQb0BRZ6rdXzRIc1AurchduQzoMvVgfgG8I/HyoaXScM8rQo44FgffSNHJhngdeWyasGkm8/5e XED4nGO71RN04WfCTDBJwLn5q2kSunf5XnhwSJpk/waaPBpX2jlCguiIUncFAn23CbYX28TT7wvW Z6m5pAXrGpXT+bfFwJGn9lPOSoUssOxI1WlKRz8ZbY0S/47pAGvBxkv24lVyqSAFR/yNDHE4uE81 LpxC3fbB515I3YilaVY9CPG+e7A3dWvOnaCzU8sZO1WrsgkaOYi3x9JYTgby39HXsZT/s0tGYWc+ qeqY4gc7Zt41OYB8OzA7y5ryPwdG1CTneJHxsHB7n4aNj/Lk+maTCvYpbYq6j3W+HMyDpMx5zWK/ LrfcYu5rVasPyxmq7Y33RXtp9moHwMXmwdJ5n5wTx99FIBlaBxq+5pO6wqBgHz9qh3YWKiVRirxM IRMCKnUsEbwa9nV8IUhYeuh5yTdHEfz2iUXoB8dHvfEVvTqM1FnDj9HhveQdAgOjbfoI3sLg2NvV meNLZM80dfXcfCpR/dmKJrFPHVy4OaQTbBPupWIchJw5D/nUU1vpyix64dDaNB4HHkfdJgSTM7yf RP0PfMHOiv0A48Fda2TzwCQ4JEsQ0qW+ylVL7T2u06/rguwikVgAuHQv8T5VWtoe03gKCTb1V0Hb USd11H6bB3en+qtiZMN/9SDU95ogceOxNb3Eor56n4o+OBliR2p+qkQO9AkOqhXIdgjFx7XNXESA 9gGMdfMioyesK4IjU2S4SAxVsx178O+zEpC7tcYmfGOh2Ycz/BhGcrxOJtFQTssAZWyjRAA1Hzb+ mKQJDvbbxcoj4+sxl0Zbf5kYfFGoT7M/BAs1wlVJtEm6Ra+/DjQyCiu4LP4/ys6RUwsvdBdv3FZ1 ZibYWfG56WgUidf7cnsJo3M9zK7mXYDzx3g3lS+Zqi3RgwuRmpPPryUtjAcG+FdWLIgLxfzFy4Vf X7ZUtF6iXBQTDGnlr9y4/vthDB9b96wlqDDZJX4s7/l3VbD2AgT139AKnvXEZMrxiqMi6n7CZAyZ e2N8U9vC6MFRFKnS7U8XCEHS2i6l2mVhUp1WNC18Kz9gND9A5unUTlghyPRZ+KJtTuhcYbSnGag3 HJdOVgNlXb/fQV+8KVQ1yn7r4T+LI7kwQwWKUcFAjsY7iUzW5sk3v5Gg5/pBI3Kf8WHqzlZjQ+aQ TyOWqB5JZAlpJtJPdIgWo0RAayHFbiN4kCBf7I6H/F7+0IojuJbMPUSfyPCe39W3huBgCQFu6Gl7 3rv4eteBsqFIzaF4XfzETRTR80+bu7DoGnUPF+5HNVeh5IX7Jw57jedGhMkXBOJxyMwb+DPu4ea5 RrxUX4KcUi0fcIgPX+BNz8IXMd1LG4tdV1D6Yja/7iCuYLbbO3UYML5l4OyfKqOc7Qm+7yo1udkK mIEqGdDbL3F/w2GDy1+3DaUOMSaHYhv1VLmUuWrYFnznbe7ea2y8Lo53XGyXCtPBX/tLpfR+upLg c48DvTrgoXEjoaquMalBxHTPfELR7hSM2Hp3n2XmcImRpoZls09UhVgnc1pNxBJpYtyuF4c5U8+0 rAGTMGAoQxUYJmiTojR/JhVX8P9YRKR6CW6lkCSt5EsKfzjtHV+3Cp5L86nB6yfp2LNJidBlawct 5BJoN9ZHAE6dNvCDmVzBn7Prt5u3/Ftkh1dgIkLqqnDIrLh/dNpthV7xa+yvEKucFZX4xk67tck8 va6jbFVlMBBIqwyqk09+NlmhGKNoowLxQASX+TifrG7/72gezEw+DHWRSCq6ewfxipyflQd0D14r KfjwoEx5N7D2h7FjHYkE8a7wxxBkUri+ZOsZGLV68q+S06Ed8gHXAYPjW7RjYmLy27lENOFOZb4e X/IGG8v9AZ8Ym0LKIBclaQR8NgplehvjW7J9jRp2pjqN7CNtUkmMqiecSzsSeVYG0qlQ9TUfolPh 5yUT9HlY2nQEDGWD7AAVl1JMm2VvGg9OEi5jo2UwVAEfIR2t6RGVRVyh6MffU/8/mOuAmQCHrgoX 3ox9L/ZDgNFVTzD3xtXPKhcFthO50LCMh1FBRANFuQ/d/GNY3cKs9CpSRUz2SnT2gaybKj3EbJEE ITADcqRPfBOx8ZXvaN6frn+rzpIZQJqtDiu+8HY9l5X5AgxvqIKuCsp7ylsAMsGnNKZm/h5FN/Gp 68vNdp2gNfyDifbEb3tPhDW/H43O8QIazqJfuQyKUhZc8YBfem+pYMDvvmn/dkZeZjtN7wxeNddM xUxEM7ropesS9KnfLuJdBiDTPDQjcmUpRCjL/nKEkXzeaQqaCLtLGfIPo1X+KbC9cvd2Npqddfxw qk+IFU2+sfHFpuh5shWO9hX+WtiIBv2f3zoxwUXYjBID7uaQ0Yqf1S8HpNrMFHp6oAtw02n0RLvV nsofpdaXVffH/b30xgd5tWTtsE7RknyaZXrdTNx8UV9xzJtIrn6qH1yu2WC1CIaS9ZO41VOwCH5h 6Uplby1kewmb7g4GjKLpJYf50k8Kn6DcVPrrU4o2DQtPVh9GBG+1+EwAlHn9Xn1wdKmbyV/ti2Gn 5vk+Lo+5/fvYCfi4d/wwP+SWWmbPO1rXYcGhPQ0vWO/CZYTL7ZskP7fY349t4yI/o/Pdcp1Z8sfK 3BrDGbQi4wL3jJWWzugvmb4uHVpVkKmY6srcVMA2DEwgzZfGzG3WHIjoutrbcjnaDDKRhg2uGz8Y FU5WqE4pGqBeeDGEE7IXPF2BWlROQNzfzr9p7n4Mmm9ZiQI6KjGNPWVaI4maj2FK1+uGWIOjwtos gyt0yb0mRcly0TyyMRZ8u+zaYKozkptxjdow5scrDFNFyLfp5V8MRpUQi3DrvYkoIb6FsPEKKUjd az48a+DWWwpO3ytmoZihQLbFG/R9uvyU4oHznsSqr1QFXg2FFp8RLGnbk6NDZtBHncL/RWrbMSCI vkv/KZOT/lFosgFadlqd1wRK+BYtKosaIwnMykcATpT6ZV6bcTzR7167nrSxNKeYS815VumcKj04 gdwo2Zbb1MtYc2+Q05dJqXRb1TFFmDuO5sX3uG296KNsskXxrO0V3NAwTAQ/7mzRf/1hC6+W7eOf MlUtFn+MAeQxUO6/6c4Z6Hh4GYSZ/XkiVfo0bMrDTDmLb2hRXIkl4cEKa1Jmnx2ChMKYQ/ug9RVQ EyN1OJNaI+W65Nao5b36e9W+suPwFnf2ToJ2iKAYcwt8zBNSEKRfQU2udk3pIoBOGFEj36fnBja4 4362F2z5SJz+wxWvuCiyPH9xdBLQpY7WACcBqncEtOmZIfS0P0baO379yyVABUZTzL4a5swZcO3M pL0YDph5uaNyN6jhnaoJGX/ATZj6zp9J79o3qgrL8rz6f2qHZLWkvPRMXLLEXA1srEG0fTtQ9XD0 25SKElC/5hkr5zHSKDLt6vZrVzYRVYhtvu6TgOrzysnamjy9mqiJGy2GFPucgqv4lMOCBy2zCdOS 7pBsWCWTrGxQRopvwPGhUP59MCfcIEcS1M6crwLbn/GjjntYIDxJS47cx/hbLy4nH7lYYEtkQHZP aRiN4XWYexWnHyFBZXU9e/L2ed35LcXKsrJFfAlqX0IJ0d0HJDmLSy+nWLaShNVBAblfdWbKcu5t RV2gUgNc6dEeBDPNf+Jb2PF2HTPqlLbwBph1e97Pj0QjhKb5tOX9oRFi3Wjz8B3znpXxv14pdxtv UR+akgKtcwamrfo558uIMro7mvi5PZZUdllbv0kooy8tB9Tm2BHWhNlzALxJN1JORyzYye/GzAmA KjUQtGJe37b2ERPxyVeUhfkBrU8RS+NkBlRThB70V68oCRluU/Mkq2nurTOBO4cSW3oGG4EJuO+W OuwiwFMI0dkM85CFDWvRfxSCLAb+L4CptJ7wstfwKUC6oeGLOvwZCTfnQcIexyzr2v8+mubNU8ZO jLJjt5Ix2346Vz6D+oWrE4rA1HDTAPR/el+RR6o4NHcY2EstJ3zKCsnSz3LvEl30PIPfcnsRXkBK TITn6NNc6drwnFCDnm1Hsp+zQWuFnQixgO7rhwtIlVOd8U3sJX77dh9e8wgoR8k8X+PnQRBjTUgW xZ+R5bZ7IwKGXOtIIztIcxzQWPn161hBOst3fXy6y77Ubdrd8eQhLQOa9q78aRmF9gsdaOppTE0C FzUv/x+7+ChhKTRXNgwaYs0lsJzzpfJyyytHvw+5UdDMMdnkp1s6Ps1gPdqqXcnAzMMJlVjztHU2 ILGFFN30CWrz6yqBcR4pGaClpVBX52XhdzcUzuaGBZQY5Xi1L5XzTU4FjqbIhS+vF1RPg0OTQbAE UZNtsyfpQR6PEtP/UqN0b2+2oAGAH8rsfa0t3ynTRcN110Omyezfc0MG6sIH3BU7uN1XDGS1Ymqi wKhylcX+PPrReCoJZHovNOaUfA+blw/X8SkVQJ0ws3usHVCcQ01sYwAWCOZ6gzoQc96mQe/kkGPj yKSBfJ1PK+vY4uvcbGBhmtQ5NGjZ6z7eelfPTRHwqGUt/MXgxS3eN9sYi314Gi3u7pjXNo1VfsAW k+CkWokjXPk+/YHUuttA3NMvQ7um0vHsPrnpcTI7bZ2s/6cmtiP5XnxZcAq99YdnZUnXordG+D/L yMgp3iBfOQ+Kh3in3WCIrmaZYk6AI/trAAvJO5/HoQLgNC0gCd/Cu5HPnPW7fzpw7ai7QdWyo8hX BG+q89U7hRo717dhr4YFLT8tJzEDtaluPjpF/FcZMxJyvrSscCIMp6Vwp2dJYA5L+mUNGQVdQj3u XZiHObJ11j4vdkWhQ98Dtpf2kFPe7NdoQ231KDRncj5Vys4T5Thyyk2LzgozJrWbFj5ImyngQutX uMVHdifE1PaKhlYWisps1+Tnwp+FSB49yLyZnJ13hHTlFZd7RO8Zdm8LdcIoavCl3YRniCbGW/Jl l7hOSbjDVqneiYv2XXtaGrduOAHC7451k7UI5j6Kx1gEy4zl3e8KrlvbJR/O+0TEl8SVkYw+JK/b /MbkJJGuTc9HkRbaCOvxsIAg7YLX1hkKO1kAL/YJMepW5u54noj/7GOFChXa4SG1nc8+sEB9LXzI 5mGE4fjjusBu7mkarTqXOehSFvxZWmUZ+4WYFTBGs7jOYeV0H9mAFRw/rmPw25p2IsnVZtTfvrHX ggCmYLCD8SylXe3H2POqr5QkD/YMplbBVdWa6DxzR3D+0QZKQCKOWGsPdjA+t0tgwKxC9raTZLU3 ChmmhFxc1yIRcCNEP+kjUqPs/t2OReq4iMKEGOZq2ktzK8bEpmDM5Uso+p0ZqeHXERgmlF8DFJb6 WBjbNWs8FaAxoeG6DKhFocgwXoNhOU0hDOwDi/3C4fIDO8NBpITG1FEcpmgaoU0SMm8hMwT/8PqN DMsxGwC3t7k0cSj1jwaZkeayXIk+4hmL7b1GUbV3GJoLrQDujlMOAmq3qbR/cktx5BcpjK6dbWB2 jiU6PgNrTfHJXytH27kvA0bEAcHjZkQSYk3G/cvEFyBp5XQfr0IwqjE3QzznA0+T7pugASo0lBkQ CQLwmiVXVWtkMNRIsf6+jlAUdiDUTgj+7eRVGkBYv1XhdflmZdejOz7w0Xq5SQEgfeD7sXOFs+EE nmVPX3gaZj445mRbPCnH26wuFM50eK/Rz+nSyDtD9NXlHA510EbE00PLNU4oo5+UsGZ9yY8/XbYm 8lzgN2YT92EwPUHagGJqBJbd0/pnr5SvKm/HXjgd1sKogm2qc++MeJgB/lV3OFOOvApXtU72qyyf d+sbodtZt7cSE60217cGSXo5mcRxKzrLvWYlwsVnzcFT73XBRw3RGu6KcEI1YqGSbluWXeI9K6qo QJ7MEqrfa1KpDtCRd4llPybKAFkksYu2SzcmR8IkI/o0CTbw6LsNauH+TdH026zES1Ck1V8mDclC 5sdyT1aOH5NxbTtk3B1xisRkni2skWnh8bRAD78IsMW3xIA0zyfw1MdZQbdux7nhLY8UasD3Rq6Z myg2hSgljGxtmIFK5YK2t5it0/YRjGx5oTBoAmiAzhOpgR+uQc3C1ZWi4Qf1jD+9LD/KvlMTBoZJ 12r+6QGzojSdjVRpOvbnpBAG827EDcss2m9uAFZy1vLPwcbINaBZCxhsu+lO69Dz6r5g/WgYpxuW 5hQi7qtXZ9jSENpgK/HDIH+j3HALTIUlfvKTxWxSLgztlRP0v/hl1ndZrf/Iv0hdAHnB91BS1Pd2 ASSnjcqaMwGIhYIiNj/+lAZgWu9K7CGFmJniDKVrvB45VBZBENquvguU+hLEiVCfQBznTY+OSE7+ 5CAyHEbTWXOQgKMr2TuLROiotQSvmfFCjo6Uiv4YpQ22zYh69Sp0wFXdiufmlRBQrMtQfsVFT9oS RaNFGLoLOrOvyzUWuK8TNSHDo0i2adQK4nILBLlpM2Qd+YKtiVtwoWDNP5BefklQuJnnC+NPU+m7 1bdZlzN8fcRK4dwHC3i4Jl+P0sUkcgIfwQxyqbGl8Fj4pS3N1WAPgRH7ZHh1d4WYMbOUAsJH8fyn MQ0nNqTjnR4x7rfR8POTvr2G8MN1JNlbe4edGkc9CYPiHxxD3CROFMoRBTbdVSzLGu8655bf8ZeU LNj6Ht0X6S78VS0Do5lOHcMjabQqzHG1RvFUW3/i22pfjhnkc47Zg3NenR7WwpKVOl5DBvhgBBE0 xCPDgGMmc7qxCjbseriZluNyy5vqlbb6Mc8uOYXtyRd2BHMxg8JwU1WFZCplummi6OCuD58dpHNu uJa/rGSVyiJp+PmxY4tYvpgturcwibu3nEoLHJmIe86Kwx8TjFm9Njtk1TuCyTsdRNJisVq8SBK2 ajgsyyoJ4DMLbxOhn7hP53dhI0y0lpSK76SWmBak13iDxOrqo1Cnz7jhd8U2Zm62mNNnq2pBwOpF 8YbGYV1RZ3BfHNX6SBI6GdSsdvMgPif7EKUABOPgnx06qmCFjpjt0y2AEKY/i85OfHg/mEDbE8+w Cynv5VnSUaAFucgB2iwPbrTAc85O0Bdpq4w8vHBTtnWk0IW8TDQtvULnfdBKpuUFA6SAGoY684w3 sI3bNWLrQBsKME1Y9clv5CVx+6aMNWVl7REqOXWlsOSx7Ltx4LvB6QewyC4O9M+/HqhpQLI+h19n a7D3f4X59VpVwa3aRQXfQxNv8BZQF2eDlvYllGtZFbX78kemXc8/XdguGLA3c9cAae4qF3u47gKU taRgrdIb615LItzmPcuWwpl5zCzx/+2wuhABmmluyzwvwPrVLlxE6NeJNuMlvSozbQGZJEXbfjNb PLL0IbQnp/BQExL4RVVglOhE+3XogX7TTIGlpwBbRPMjetApej/7SnGIyADaP+kAXKl8AKHuF22P GFqVh/S44epJmQfLbRWPYiJlQxEzQbr1W93Ka+E/EV1mvsBhVGS0/hxBdJ1GSVLTOBmvwU1boH0a GvnDpSE3wy1pJ0gIBmNhyGfoRHEUAl0AvYUcBiLx/Qw1Vd6Eu44qzJ5wMyliPhDuGD3ECH9f/Srr nD5SkTWPqOA9E1vmxJLg2/GoK+vcg4wreW38jk8/l1jDZEodc7fn08gOWreMVjSBv4DNtOLvGHYw qt3bTj2wSwJwaU/tqLMJfQQOWJEmRidUijQaKFP7zBzYf9PQgUan033vVnZPHaAqCeNngN85wY1H HrRDKzoio/oMz0bcaIoGNe7f4PKiJFMRXn/I+OnA/mC8DCLYVep3zPWGvLznrdaf3tc3rrNRNSJ+ a70tZxStrob0P9E0Ld+ksiXpQiYRikNsXRZUwVe291sSgC93rrSYNYuHcYpxArKDxCgBxrnBvnUi D1m78rj5AsPwSKYgWrD2FlNnVDkL7/gVayTJZXxM/bgRt7DVBlZ3/ymdxz5xhJT1DmqlWzbCpkDm M7ODXT7NM+6cBehF0SmhJkwC2srIEQLic+BsEsWKWuBxlBCwOpSjphEvm/8iYwcODYbygGZ8ip37 4H/Grlk1jJZNSeeqRgX23M74LZ0+NIwaMOxjHWmfEEwJe1/AZc64zVbsoUSMblx/LCB7l5TehQQk yfX0caazNks2TDA/amdRYdc994YNQSuE8dtm8A4PKRsKQ3I/9y+5i0NU16HDR/CxLbyA7OZesZFB vyQsZxed+za74B/1SmMtC8l/YUR/UPBj/eHtSIwMoH/u19oJm0Uil+2ln/sTduD+Q2Ky5lBHVzj3 tfc2e9MGasm+4OKQF1c1+JPjKbK6xjzkUxTbQB1Q4sih9Wx442/AcN1qUVMDENzzhyeMoyIR2IqU Q3NC/hWq587J7yKOcblWk3SOlHEODALnb8QcyvpZezYrSRVwCF1swL2IILoJYHYT3x/FZj0PS9w5 N0+sRBY0MRCrypHLwjdLs/iFHmSXRfk9hSroa20tIF/JaBrLrn+CZTH9SQWqkh7I2bjYdvohQDP1 fVV6i/7OqUXZqfmNTAUj2EgQJZQq8CQERBPBpCjC7xKQeGXNhbP8CsBbkOdVBRVJd1epT5l7heIo IJD5Lnfird337xnVF6+kpXE2AmO5ciPIjVmQ5XmOq6HPUUaG9ReSw3XNLK0bOYHaDrE1R7JaUWtK lPJilW0/h/1B4dIH9WgcaKKv8ft62w0ybpTl5qu4h08K8uG+kdVDWecRkNFFZ+9GtX/tfe0LWazA 5rJvg1TOpsABiwygYQDM022bxOROWTUfa8IxaNvbHoCR563JGv21o1hnuJjWE5iz+c6/Vw6foy0C PxUhS4TJ0/pWsGSOLFokqPtblFBoOY90jbHbSFNLdvLUEs9z1KYIuJAX2uQJMGFxmyQGqVd1QlG/ 5Sdntd7F/e9zqS0eAfIIMpuDBDuc9i5k/Aw09IUxSi6qUnJr/b30tDLRxyiQwePsLEx+xREd4nur K+6IWx9KXXq4tKPX35QK/QdSsGrKj2T2W+xVTHeTTOjndjBDluir/tRkkwmGbl0ZmR4QZOPM5AhN DiyYFBxmjwabBBCB8gcHY/1r/uMLAGe7V53KiP/Zd9gn+dEn0gZktcQnz8mu4EcPKXMy9wmjlGpE MCLEAxhRbfZSa92A06x5oo2gGt8ggMlc52zN53ZQ0EYJsU7k2u48IogycEuE7VpAxnYgKABypb05 0b6J4uMNe6p6cqBs8IEaziKI1U05IDM2CP3MqUxMM5Fd7WIki96PaFp+4+tRwc0UJtqK1FDxdRDQ x2bPR0ki/hbrnvx0F3tsUxOTYF3xzyoobl4rAbGoUvP8ZWUaP69q90+QE2F6q2K1qhUFEhcozf80 b883igI+Ll/y4ErtvOsS8W2EDTvuMEk0SDr0P8rW+62TSe6Tdd06s2xzZf8eC9f06IS9BQZyzTo1 7agvfhMimMTvCC0VanqQO/TLo4xOxrxLRj9I3LHOB6Lh1bHLuTvKBP/7IpJGVN2oHmKP79M6nCTP 8elUTRzCyKZYAMW790/R3urJShPwLwi0RB7FonD6bfPlQ6jFZTseUiOLFPS5sQ+xmdfCjJI49n67 bfHXuGOx+TUdW4VUhKvCeNf3C5FUkCySIVLjOh2EKgRl004toMJsrXwCSSwRar95NCMgL45S4/06 LNLHs5q4eAJ+q3xGPxDDLZ/fHwjkP6vChve5IWZX+UyMMEpznWkQghkIAXLgaZVULUwBnyfsUVw9 fwbUVJgxZNZwZFuMx2W9H0yO+PEIz4IexrvEmlgM5ISiUaKdNWxXmbr0P9NpAISFoEWhwKCGWgNr ovTif5rpLYaItin2fliLMWrISBfboX8hYtvKbcHvIeuRx8PxXFMIyU5/wpvySBYiIstDP31dJBUB kpeKtNwqsIiPewLoceLSpudwL8Bi686mKG3ET1C4clGIaQeelssGfv72eW3kK7Imdr2R/H9P+wED NImrlV2dyJGNIv8h8fBxAKHkP6vjXB0QdL96sm9g/l9+m2jXB6l6z1aRuYJrGOaXRaz4xl+L45RX P0PIsbNrX2j0Fsg7cOXO8nlK5+QX/K3MMmxBPea+RSohgx8lfcP6s1bbG69IKKGK2SDir/4N1JDJ 6AMB3HKKWfPkXbt/zdSe+WiuXjyV8zW8vxg3DvUT702hkBuLwvH9tXVzqaTgRTINBdNyirIXrAbM vCCOBGm590paLpLGxPI5NzwuaK2o3lLWfFn1gbDVnRx6N5HZz0sU5kkzbrt3AgCyU7W6UZ1LcTcu 9y2Vr8iOvmaUvypYCVMjifz7E/Wn8gTHpnz92wfec6hBNx2/fGEo88cSE4C/7MNZWmFxgaGoo3nd +vGp3VHNNvut2ZGtrzk61YmfFK7w04UeRvCeKyzaVceek3Mp/9DQ0X1/6SBSyPktSTwWrvtidZGd 03uBQ7phFpioKgfvzCnRRshKNeYur6zUn5vl0j+co9aTN5AoiRwqngA+SLxmU3TEll+uzBTFuZxR j5li1ANo1bkUWOn3zgPKn4rkJJWTNPlAJC+s9QvHD0q2406eFCCIhnWgz2oig/UnwTy1AJlhSL/D Q5pH4YCSBX6drbhCSVC2WT3MnXCpuRtPkHSgNx5ySZpNiq4OrdiWfpfJi+2u1LcrCkUpAhSRBaYW YHFdqbVeIxE4y1u4Mc5Q6mHEOeAY89PJgxjKJ4fLHf9aN9HY/A1c3c/K+j5bOI3vaorRxc5u5OiM nLUUD8GTLbSlROB7KtgqEL5QTaAm+4uIVDZ0U8XKvFtBCeMSHR3pf5Ijo+ntMGYjNj/KZH5dsy6U Yo0ZSg2uNz1ymYQpspCHi3dUyyNmwygNQeI4h0P43MxY5pGA20TZtqCYzJj34HHVKU3rBYpO4TeZ KGlNWUCp+JdKfU7d4Otc0GC1vl2qWwbWGHyVEpoH90nNbrBb/HKaaH5jJVh8H3VmxMmYuLqW/Yp/ YQy8C+DIIoNZHPVZYM/JGx40OFGR6VJFziSReTPfDpIUJmvv+H1pDs0GfwHTAADmDHD7EnCO3R+Y XYBpvEwqzZ6WNGoDp3LgFIFCgxiYFXfuSt6mS5N7Qs4Zsigk81pAsnIa5RaRQ0oF15JSk5LJynev l1GLbdW0KFuDoWmzTFYroAlbnqvcgbyLMuM+A1QcR1FxIPLSg78cPtbYYrA4AcpEjRXL5xspIjCG 0hEMjCH7GpHh7cDYVrcP6P7lwZN/5iInIfi3bvrquXNzyBM+3gOgn70xUTBC3lUkpgwOAGsyQFER 4piT7dxLIrHqB2T+AeesmWhsel115ae87cggm55TGtOgG/QdzrK0zkBifEdTByuzcgifP4vj7SNE H1kJ37COT8YZEoB4UO8/mZUu3yfRt7YgRfPzWuKUlhYWht7xTamH/bNRO7LfxL4xsSGTgOH30TSV v05JEw5whnsrqAyeZS0t5o7M0fTQhVVWCmI2hYwIbT3Itlo+NErJhIc51wKtPUea0Ig+qLhm9ljs rFbJ3jEtxraw3XxLjeAo+K+2RQUl0V03ISc411ySEBK6WFGD3QZRjEC9wA9fs5SBdOqDNw8eBC4A OzNL3DPug4WGLkk4VUrOloFWrfNIWTrh8TX6pX4STCKGp/lHnGg8orbzewuwn+Ix9DTE4MgE5HoX LJ8xvkbBelL87Sjsg8VWUXQcR8pst5h6JrFzkZluqlOGYsLjPlaU7yIKUFi9VYupJgyOZXvHmU90 rVbbxfCMEcwFAGNKes1XKB3Bjy7pAPaZoVs8NlDmkzH8649cZEDcPVv3KlvlKCizFxg0cGqxA3e0 auSkRL8V9VsPBrBH/iTwMOGiT9PEcXkYGVxnd1xReR/Bfg4boduf14kkJf6GzRH1c7EpeKCw/NZC HntTwO5BJ+IO/5q8flKCSkFA+rtZv0IburkZ6bW18c3q73563Y73lcUQ3KNxULxj1lx6ZN6eneRz MGD6NUaJ7P1DkqOtwwhmnhTsU1eNcimUku5dKdV6v9JkaajUZg6D3DgiksGNOasVWG8w7XZXZMVT G2L3aDB2s3nDnVEs4s23LGMMWaYsruWsQfkJVxMyZSGtdYmCbhBh3rqD1nRrzCKOnaryA3MuAKz7 qAe39vk0enpNb+p7s3NlsWmmAKZRGjWUNY4UoxSkDlAkaGsYVjzdOApiCul1j0UY1VrmZJGKgMrU Jgnj+QpINvmaCsTnoDEmKAviRJj0sps10Lvg3hFpIcuEuPHS9F9ZapfwyyLaRtmLXsK9gjGsQMnQ y3DXWhzcduNDc30ThOGw0cm2/O59IrL/6UVBF/KAxQC38kGnlNNRiwdIMLddHo/4XTUD4JO+s+Tc IFpa9S9fYadUuvMjcw0ReU0VrWGgR2VPlVxAh7cnpKDKY/COwa/RVKRYUmWdAopV4mto824jHuEc lmRg4RJ59JsilW9DYAXxGsT+6p3LuszWAVeX2gnnUXtkK9aco1Fy+0YFJZ6aE3/FGF0o9r2FAXlI rQ6Eu68V8ws4dQbfmB7rHM6lG4VlzbA9thtnVH4TCyJV019YWuddZlPFQ6waJMWzQ3PzwuFNEBxp ULZyof3QhTWoe3sHAq3KlbIA7TjOqcDzPnXHw2FWt4rA26LpNgHgPhOEu4S4NM+V42sG+k1092ai 6SI2Y2dPeGM37vXCkp5um/h0xbgUzmGu8ODNJVjFAeakmq8bO8nTs45nFH7JQkSL6z5y8A8M18U8 BJocTcVkBFZcLWyvmjRxs1flIJl7GyWS75V2on2TFY7ut0vljtEn0pM7herz8Pl0u84FbIms5g0x jZscD5uEY7LO0vOiCdylFWd9mpySjWlu+lk/M7bWMI3DPUM55q0v0152oeSEOnBF4nlWNXjnoZmc fVePbItetoK+Ie2BU+ZiNQIXiO1ahKmgpf7SB4Hs6mBa95sIn/IxSplMzQG9g6Y5Fg4lR+3CUdSy A5Vo1HtsQtA7zqyM0a/YTVI4TbIO5yPKpabm6RoIcmOcvOIk95NJ4Gi6iYj+sjiLSnlFxWb+mvkc vrqF2iUURG5jM8ZCgKUR7QY5XIN/Wm77RJKxb3HIl6cpN1KEX4Cd90ef8h1wSx969VV+6QmNw+Lz ehLNwENG3aG8kg5GcQ9TxJt+Rb8GMmUqANnu0Zk6t23Ui0r2DjDVgYjiCFuTcq/Qwqr3SeG1LIUU IC/LXtXmqLrP82yPrgUcfIqsx1l3oD4RBGGPo011PfnefvKeNGa3nrHovZdHkVUjA+cE1nE0Bjg1 8EVskj/NL8K/YLzDeg0ea0v2JHxcCU3+U9LWPtSbk2/glmb3I+rtHMPr0WZlmt8ENoiZ6w+HhaEF PXxdC+9ske92dydsf/2v5v3D5pU5wsA1muEiRGKE0qUdADsmChgZerpkqb/qyaJXzYv91y1447hM DTGdrOgsameIP3OG3y+JqnoBHbIwFDouo2KkWgAVL/LOFQTLqnHrAAQj/hDwzdNLJtqSf53LZSGt 4CwEPo3VgtIeagY3kpjUYLKailze5xHv7LAJrfK6CUJ/K9z7eXCfcdWugbEpInGa6culAzPf9HFz MKIJIbingc6Y17xM/ldkFJwiAuhsouupENOJYTH7x79DD56Vo/B3WI8fsd2ZtukEBBJRCzn/ywkQ rliJYSmmgniIHa8Go1es6OjvSnrOX9QKIS5Ii/BROqUTxbr6DtsJeDrsoNR35UX1PztOSh/2eMbB hsxJjYfkuL/xrgTT8XjAlX5Wee3QPxRj4EMWSsCMtO4knYtd9kP+1xAk4RST0vjKzKV8yXUnDysR R+YaoaQ2NMXftxgk4RM4StrOXJBlqnq+ndT+S5O1HIusqW9cXy+J7WuItPMi+Vc7mHMD9771cZLK gIQNm8VuH8xc5EwMG+gXKs5nt9Co/6kjXpzpNTcUkf9gz9F9D2VBFAc8niBbVZFHV0to1Em06huO IJ+lfFLLmaArAvVPweiUZqXiwSZG1bJ0mMl1p8VluTmS3PMLI3+SgkxqI+IpW1RRExPqQPoVgS7B acf6Dz82x4+l4ncvh27JnqJ7QxErE9uRQKPpI+ufoYln3DMtM6ws9UNRUcG/pGbKOJc+FFeM54jw Av2gQhtF7ktQjXylNmMXIj7P0VyVNe9d5QVLxOvhher3J7R+0bBHjAYRIluBJRSpBksUx6Bd1LVT 3sImKY2NDC60ibo4h/rBAfEvKuquDEVczK/4gCEouwuItp4lwqBTtraJXskjKj6B7+Q3J8j7NFnv iOn6UimP2unKmvCOSQMlai9Ou7A3d4q14tdIM/dnuzyQONRx6fdCpAEA1/Bal7GhyvGXrgJcKrQR FYO2YH13rgWkLOAeUtoNpGGZzvUMv/nIjLgvoZ7WRF54W5gQhzIysZ8qiVEntGbGMv183kgea1VA Tfplif7+OMpPPFaB4HNBTsJu2FVhYf1E+Oz6it/zzeGLAX9LieNjRxhNw4LSjrK/QpjJSA7vrcbA nsAX5opkq3QdxY0aa99Io/smllr8KaiT8ydj07og7gi2gCCRNiE52l/UG61pNz9LUOmiT9SYaEVF Sy6w2NeFXIPhjE3g2xoA+bUEqtHwQnk/iH+Qx2I3s6qtNb7alvtY0Dq1smcK/sSJvpeU2oxpKboX eByHl3s+pgGe9Nhi8G2T4ITjVoP1Ic37472fUHHykcF0vk+BB9eIakjqbQUr75kov5SEFH3eSRSj iF0uk1JznC5JGNN3JYrUUa3PEFZqkxnLmWGlduRoLrBicekuht3TPJOV6E6fTWen8mLREUKXDZa0 ed3373CFH2yVNzbGgA0dk6g6JsLwAYdcNSoJSlVAE30MikjpNA5yRU8w2Osp07RCngsjNhwvZdg6 bNcyWx7Dj5Gk5EdSZidta407C5a0L6Edh4wi114GlyQYwiCi8EpgbFVhAV6o/7ZpjU5luRfu1oG8 0DaqbstG/FzZoETzBgwFHn10gnfA2w7h23Ybboh4nj42tUTgdz8uJ33b2RlprjB3MPkhLCfNS7Ak ZX4qf51TS5v326clTzMgft1/FGEx82sxRboOdmFZvkFidKXwDRIihQ2bQ3RFHVI4amR02dvnsLNm kgqcvJlBDjE95Rup9DLxpJBqCYguX6yUyJC2NFCWmB6vzmuoxI8vGTraBceiFMrKA+A35t1o9kaR 5ZaoaYxI8/rktXaqqZW3dudMwO818AHTDVTgznxu26XHz8IbHxk7ttqhgTeVHWmIVpwK7Er+Q5hY jqdaYWBP/qPbetbLhS8LrgcgBlQKhY1cbJanJDfsfaMQ1pzrpOhJr3gKRD6ZolqMOyXXhdKNbn3X Li3S0kO8q/rkAaffH+Frang90k6WEcKnVdw+OB35XZSn2MHkbieWoH/pwzwes8dvSw7o9peFK5ao Yj0uYNTgpmvpCs5UhrSnvPg2sTzFlUHc3noYpIPQuChlke3jM6fKHAV0jkbI8sQI892XqG0bo2Yl 0Q1JaUIda37mE3AdebcU5DQWQ9AmmlpQbschhgkcf0FUd6oc/gLCewCMszywJ+kWqn7KrbLg7j7k 3AnAr7SrF5jmNyi75WFBltdzTQ+XWFxpgAR4qFiOL2XAofzmFLvLRoHQDgYz1eXs7b1xMz+WDHUz bL5BW1qLJLGBFnbyOBMrRP0rTt/7IlB/Ptw0kn//81PX8kUjAKIg3v2WfD19ysLrqkr9rIVNwMSV Ifgm1p5kuw5IYrMLyDr90hSIFeS0nxaWtDt0860pnvtMM+OHgFrub55udD74q7XXTtJN7yM3Hm09 AMnzC/6OQFJ7D82DlkBQYRRCH9k3Za4l+fOlGWXoyuBEMv4iZ29dUmgWkGWE13YcVoh+/QTMGoFv DqvgnPX3rBgz1/bp1vEqCVTnOb1lIxdFTP3182zM54GzO+04nF6EIwiVEQS66HKVYJq3wlA64rkl Z5Q3YI5cn4scuwrf7sPoxUwZeIPe1eSLfzoIL+frc2wjRiQHwwmDVUbKmLEg+FIKVT7wjf2ks3uL piowti/z7XFwhuxA+iVKXYtWMWrWhqXgKjMUGr+vLy5LYM3ZmI3KZcHX8czs0PfWZzr4bbcrnLcr JWlw8vRvH1a6p5J6rHx/M8aNaAg1q9Yr91T5uJjCEChnOblyz/jn/fy1Cw+iUEUDb+7NtjAdzhe/ Ksejh+dkinwmEwXJ/EWb1Kee80jbOuaNf3li88N/NW0TmKt+v/7WDfqKEUyOTYl52xC/oipIBTNi xCBoUzxY4VHsVKQDE9jPdO6HhOngB5SlhwxBoOXQVtzX6x+bgXJB3zaKga0VTUWzTfxZks9HkkxR sNyXc0gt7q6CTIoBPyiHS5YWxFQDHPlXIhRgvWzHRkoMIAuHZEu+EcObKHsQs6AJGcM4J+3NFaCA Zu1WZsrSfrS3usmoQKcjG1cJ9yOtXUuBNh7FoXXucW5vdmUxnC/n0X42LNDq8kYqYb6GW5c5Ct9U Mf97PfERrfZdx9RRFVxtiUVNoGDpPLcEEve/RSMR5snuGCK5ZT1Ssl9k5hkESeLqIdKCPLffcsV4 drgIpciAFi/2nYnJHQwhp4GwQGe4zezSo4HjqXRiw4HYTBScMGCJqf4oosn3psCOg2CsrFbp9FQu Ri96D5tUKg1MasTOn90SLICnQYVhBYh9lkG4eaBta/m3ysT8BxjlJX8J5aUNx8q43HNbJPOxV0Et PLNy12TJnUbsRYVHdsOPdH4LRj6ARywaEN0HfTfkuZCiOJn1kGdfTwsEiAfPXnMqnz7GViH/XLzz E1vCHKNtwzKZT+50F+xqlHxhTMQBmblYL4ZosGwPu7eaQSANhC9JoUnqNOtvlmdy4GYeV42LUZuT UMLnF9Vm3LEN52ThZTr7I0eB83bLeaxiQj8zxcTQz+jmVAlS++Lkpem9R99o3iVc3NYpUK3HJK8T 30rlKv0b2dpPU6nqyS8CuhqxuuxFGpkk2+G8tYoTHmWAmsd1OZD1qYySR9Fv3Ux/dO7fRB2AI/RP uydybEQqpeS0tDxooDrk8IiE21ZtKpHJDqN5ySWlrb/3NWg2++OeZAGVUEzbTHbHsd9TyFqdVPUo b9NCw1EhV3p9mIJREx+hXwmap8zivTr4T4ni63ZWYJ0r393gEb2b3vqRICwX0/+o3GaDb3KyIdVF DLDzmoyx1asFcBub+oeCfDrDi7vKnEidWJuTevC0HCpkEentbVzfh6tc6hnkL411/IqV808FkhZ3 GXU05HUIGxKsL5F9fIRFjGggFr59yVHbpWIGrxCvRyZQ1TfLnzUlYIe1e3IFyCyakVVaG86pm2OA gxoxKOV1W/sCNmZeaHaOjpEuWfOZWB6s3t4t4hiqb0iblBRC2NUPmC4Sz2g1HfWrY+iGvs/ylNLi jNUT2h0On71TZXUf1ic6fBOkDPC8vIjUW99B9RooaP35O8eveWOI6TAI8D0Z1chwW6+IMHsxMDTo 9x55hU2BSGOh26gqXLLICjK/hw5FRDaBH2ttVCgdmWwsx5thKM1RV50bM20Enm8ecYYpBhkD48Pk wC6IrQnJJLyQG/CddHt0CJXaVDI/i0yIinM9tMrwnbCqJL5MGS97JoSt3i/X3iYm4HAlvYAYQKiz kmBql9M982pCoJEyE2GEqRtNUizugq+ntbikxaxnl6SOf/Vbz6xAbKt45wGUMD+Ep9S49CItATTs qoFt7vXD7uugmXQG1hqURS+bkcpmRFW+Wp3FRqr+uA3kmWR/OowrseToZIkmWiws+ZpyHZWEYfV4 1ji7pvNQEixCdNdl5QrxtkgYaxwEOgxDHhBef+BcvBMSjSYz60vjGmlsL8NZ3OhSvUMkfLiDcYHo 3HVVNz9cZZAiRxXjXNSE86PhGUBxOK5iD/AA59z4d4WRTLmSKQxbd3ZErTENjKcP/rZbzVcl+O3j XKZLIj5Fv233j/Rx1sY6swLqIbwquBTRKk9FR475kX2ItnZ8p3j9K0/3zmcMsHACCbOXtK8SzVyW gtB3OeAk1VnZynXUsyMkFTLrwG1DksiuPo+toEoV2H6uToaAXI4eM598cVGcTnuoNOWGaAJwbBFG e3E21ABewKIJaNkykZc1eccImRhb9hX/FY7WAc0M8NM4wos9VpS0Jn0T+LSGQzIxZUEJOavByVhj DR5ow8E2zuOkT3ndxHYXL7Jf4Om2PtCYoDK17CPXrhsC+pPYXztVDxni2Ffv08rPSiNkylZmKZOf E6C3WbR+RDP/5l0VSacZoYPNurjzc16Ox/26kDH6oZ/MJMJi9qoh0Ahn+rj7w8zh+U5MhLKiQlHN XAtKpntErx33Q45nG5+uZWeCGUziLwcUxYYaXLeJB74BpcSlzwI0yAtma8o19zqc46zHVZ4GrbjC Xj9dw/RYCTP0JCTGU9AJVlLhNxzfKxe7LnkEGKiX57qIJXPwlTgBC1cDCbv+fAW8TVAmKLT0FhrX nWcBjw4WekCFYoX21CTTLp5UyvV88Z7foU9ihuiyuaZZ1FdqXqr90XzJDGn2LDHW3txxGaSLO0aZ oMKp33FHpfyE/WWCH3BryUHsJz8eMjnvLY6JPBWvAA6NkuDKpSQlw/V1qzxBe92hzH3YRHJFrHMK rKx8D0TarH5udpQTmv7VpETup+lzqPLGKNNfkDQxMcP9IHHxGATaVcJaxslyiwTuRO3jwX45gpDj yVtnDnDz4fle2VvmP+WlPEjVnLrgTAj7Xh+uoZaCO7HX5yugJZ7Uk9zHJtPDtVhaYs/MTQOAU7Ul L/deHZoA68rIGvyjSyON9+sSQYfkJOLdxrc7aue8wK0Wl1R4n6v7BukxujjgC5ye1jF0cGNh7Y+5 fXIbuQRHY6Ec9tGPF37Tmau2z7rZCMkGSznHiICIiraXMBN0EvAXINcxn6uCp8GkSKgSGRFpMR1U V9AG22aERLGsZrq4Xj5W3mpC7VhpC16TS1bYn2uJY9d2Z98ivVfyltyVtZh/aMUiRhbsc9nk8E4A wa0AkTcBe7YevU8kaDTXiTsl8Yu7X01aRviuhKEoT77dvCRRBpGCpLW8BNRJ9GtQo4a9qvBCJuuy pn7a9i6HmG+ReVx1yNzaPjhGkR7jbJJ5tgnU3uhQvJ8UTaXdngVkIK9IHMYXtUlKj94ZWHpcE+Iw B5OJq8L616Qb8nWwWrolk8zu8dWSE0BVA3/U4TCMcG9L0TJ3lggjSBQ6qIKlWJjE1EEPFYrYvBCg Y0MGAk2cIlIbpLQm21iWrWu2uwd8M/9R/oakNsImF1UHl+PrROduJJSP25dZ8/7ExZ+kIgkq+eom 8kgO8vng1BbsqCw4pd4dL00OhdmVrtrcmCWeecGIldgA1f8D55G1ilb+2Z/iVZ8nN3XSOVWLCwF1 lMOJQLYzsuOGSwPGEG05txSmKrwg/nX47dhIDcdaTQV4mzjBspz6dq+KePeoT8Bu6/S+4t/pxqfz 9s73Tt16lwRnhvnAH+kju1TF4UEyhkPKgc3g6Vbx2fHJLlFT3vdK/ZecXJSiDXRZPXwheLl+srnh PqRmvd8J93HTFP8qiF4QxzZdJAAsiJwYlcnVYBnyPNzteKzJ98a8/5KOh2EyG+P7yZWsNcGKgTyW 30fg9TAOkN5J0uMZWvfX+KkfUzxtIYqrmxXF5E71OUVXWygOmsrRQTxj937eGP70hqoiS9sZGuUc WgyNvYU528GcgvWgcvsBWVJINc+jVDfPAr2+KxlYQHfW0aJ0j9tqUDHrBpwOOm7fgh6n4OMW9ndB Y7Br+AY4uhMxVJibT7SiJNWM05baDln0zL33UbyRFNbxw3zFPJgU7vCm1FLm8kMXUgvS7Xo2Chcu umA9MW4pzVeD/fVVuFtEqiHO1qRZn6srj+VLzQWzFdsaBTWzZvbKTUfydi1o5tYu12KhU0/YamZG PptDll1zTNIOW3yfscdgc926W2c62t5nYxSOHmNPvpUG8EHsFJqGtFCj8zCZ/bI+sWK+Tzp4Ks9y zasbFLNtEOKU9f8A0aqF7gNQzfgzQGon59tsQ8GNgdyeBUFyZGJT4G3H4N6V1ZlLBoT5/HK3k7wd vhme4jqy2bkkOpr3p2SXdS0i7tH4XJAqo0B4kPmPPyULeshmDKEriTcbeuekb3Yl+eMTXaSJpolu 59gKJ9PtBJKml2fIUrL5pX6CTQNyd7hMYXKCJa89t5HUzRtoVbQpUWM1HHx1NwWdfHwrzC8uaFaT YfSxPQWI4zyMtki0mzX6lz6V3fHS8dLy/xSf22+c52l+49JqZMJOt4pW06QGWSrlCum/724hEMh5 0jNsPUhvfUxO88B47sF4bbosFlq9chM+9SImIhWiSrXNlBJHtc9i1uCS8cpHkvLzHawcBxpZGmHT NlGZdSfbJRH+EB2nK+X8rZl6KmsCEFjwXTeMDHbP7sswyMG74DrKQKfEuaxG8cByou+8AhRyqRLD tfkS0hVy/znh4wospJgXyZmwGp5gVvn8bs2CnbOGqU42MVTGEBURr6PgfQbjr99e8pvJaQelXAdw FNrBPN4cDFWetJXfwkt5yEEjL/j+8iOMDhvMbPAwPZ5yRzBUS+whUQeo9zpOqnP0GDWUr5vLu2UQ LKGkminsdNcsyu56frvrxXOFG8fyk/Ad+8jTZxedIQEZH81jKN/rr9lRfcZw32NMmrY0UF0MpumI RnCQGaVonuP3pjbRRWUrnrzLQyB94vAV4COSByrkIIjyvUrdpQQ18sRoXHh82GYMc+xjEPc31XrJ 0Wv4QomjHv/1nBWSZHpje/8yHLLuJU409/3u/JiOtGyPh7z/BFNDnVue5CxkoxKylP8Vr2je53XL 1VeGjFU/a8kYTpw3HqeMfdaUaC60HaGFjjMJDg/EKTC41jzYA4MmAiD83sxmX6Sx7bG4otg4cyI+ +ZGTDPImRWVR7jIARnxmQnQ6VUYgu7s1L6JrGoSOK0wumya/RW+Po/+Xp1Mi6xf7KLb2l9iaIU8n rI3phAKI1lHI2AibtKy9ZE7NjbS/Ksw0jAm0MPmtX1cUMF7SOOsPwvkr5cfiI7QhOoycPDSoAL5w SUfa00KFYXZ65jsHQcS4WkLYvY8ogX02C8vkxUXYtzfxVccLMsLG9u1Qz+41NiaV6EZ7nQS94Q3Q tNCHgXU1+K3jHwP+jEkJpm2pEFOoVqbfKSvzge3IQYX2bvhE3jhH3CiILhSkrMPximlCeEe5IC1p RuA5VpuL2DXCgI1cvWuFC+tO1joXiwtNW2kFPkOkVagmKBFvsifrVNS98CLJfXtQbZFrZCqRxUSC b+xUeAiPC1sCLCj7HDcUEQdkwIMMLB8DfKHIvjWlLy06vqs7ttF2iySqrkAXJbBXJvHXmCUYynmN swkV5zFTfwqqcipFXTVTXQWSBBoOQu5JYV2CXnjIeej5wo+SF9L5Beun5zZXTkMQEO57YVsgsfNE E/XGdzoIqMYoJb88u9xjzNkCSDLgyNE04uQluEky9I1kTffkTDeWj6DO2vYPVwpG0tGz9KGHTriR cEnl6nkR7N4MSu3wpvT478qJHOVwdp/Hd4cMWYfovygSpNU5s/pxoiDc6wsqdvMzoyR5QVC+BMAW 5RCejqIqXgHFcEOEAWfxD8uHvCRySsuOzW2WgD89ctY6eb3IKY6deSKSR6ND2XBJ17oPrkXsE/WZ UOf70a+XA2zdGCJuYaULHhNQaRhoB1fXiHD4NfVsQ6LG9rHH8gUpmJli+/VTv7FDxEunWVHMy8IP 4MsX9p6u7x6v6VcRkrSh9gAYZ4aNq6ZBhavrHEIPyo60l75z1golDEcE9znvgO07YV6zPlqJdXwE 1ozkSJR1FogBqRT7EqHplzA5OWRDRMtdGj+SWg4hxF7fLLzeqDGyYG6SyspqumJXEcGrAEZ1LOWO g2uIW/gmpHTjdomv7MH/FvgZ+Is3iMqsO1o1IjYnwvakPNhiUfhcgdVivLXjaWRyAkZgVxBPwoMi f9djDQnG06+VPuY433V+xN20X0UeSU/KsEOGf7ZUri7IoVItV0U48rAPljbvmxYyOBf5fI43z2nl CKR/izHPSjs9FSBcOMEy3nnpkBCRr2bFKLdKwyGEb8gzPq04e9ZGL07w7CsvuYXSvGutYT/f/2qy prmcLJ+9bpaNKbJCWkRsJNZmreWfgLqyE45vTadxZYtJVlpBmtO9qONaYYExLycXNT8Bzu5mMvxG b7fQN3blxGZJ4ekgqBArXdjCfoayfMXplEd3Yuw+p6vQecdozVI21fbMrExgq92cWDWRWbL2bkKa wuaiTlc0liHOgg3a9db0azqw8j1EXUA7PeK6b+y1hrHNQ5WJFb1/jAdCOJ00Z+x1FSZBLpeMH2LH Qn36vBeih0juLTjHj8rWlxLRPqwcfdKO1MMoR78VtgMJGy6qc89lyXvGzTFsc66vKlVbP76YcZZ0 ruSOgtbTKS9+G2nN0N5lczd3WOcmqs2/3IYMDbfCzEGHTtXqGY9vjjd434WsRJ08lCl0QXicO8P2 bs20mzsDZu02L/yqj0X2u3thnLuMDZj/kMzGIO3SlPY4rx284sd+jIGETSCzLV6r8g+JHCHEI2A2 sSKqezXEhWkXQAVIlwoJ7Bl6Fetugb0utM8u7R+0c2MMxwLs439400gjrxOLGvNxrcgAyoTEU+S7 23u1SJALALwugU2KuUOU6mvHPzoAC+7bx0XM7RfMplpYECv9w1nlwLyq7yPbTLRqxdaoCKFSR5QG mm9MGSj5ADfFAVK+NcEdGs3uhe/9s9/jERBzVJ9sA8+XyigEh3k4L6z5N5slneEUqkSkHHR7z1tD tOGIiwr5KSWs5mMehYANJT7f0Sl+gBoQvszxfda23OAuB/y7a2u0jOGDQEkY1g9nr0q0j0J4/kwk rq8hY/IW+GvbEK7YkNtGi247DqpJsr8cajPERKAVzlvX2Ru9Mu4i25/LpzQ17h9OOOx1GosSct10 dTDpXjhut1VEVh1xgY6UeDfIGSbmdXYkaiBt/dBG4AicnaOwmMU+LXuYq0f3NRnPTgZmUdnYInmO cJX4icQQSkgeaa6GBhj0kcbZhCfB/z7TV8dHy9rTCpzJZVemYzrHwa7eV8wnl+MO1b4AQwCTyw0g 5yIHl8XErDUIFSw1lRmpIA8xxmimfd0SmDZbelizKwN3ovTx+UHoRANcNXFDHYFkMGxcI3VKpy9o rPc1QD0Yw5pl2agn4wrJt9gwTYSz8aKcsDr8KVTIDmxcyQEGy7mrFjxPhyRCaQOvaBAbFMiusvrK R+SwkpUPTwXJ2jno4aUFzoPRRQFSk0lijKDuLFlUttc0vHhzkykwo/j7No/KYXj4lh/LHQm+k7+D bcKtsSTZVyWlFLxB7+Fi6GIMuIBeO67KKb6yry5Pzx4j4Fwbh/Sn26m/kN/YUbbHYRGEZSlqqMBg 62MeE5JEBkjIKFl9YBobsbRpUa93nwUsX62AEvTR3kSHEXS+yfw1CroTw9cAlm8SLZc1bQTcSg03 4engVD7twSAPqpSeiOrjLq+pHaBTlSviXLdTTNurQd8A0zteDHlX2z+jXtosrl5rwEghUjQqIwky aRdoCXlLRmSNpJExW/KnOezFZyamJXhyJ24uD67KF8qNZzHmI6V/0pvzmXBr4o/dnkZwP4c/KF/M nsf4B/f/hL5j8xVF4XUSZ4NDirdlleqvDNqjlpRWB2cLjIzxvMr3FfkHeEwSkRCPtCznQn6wbniV y9IdFYZMQsbBEexRK6pXlPbRGuFKEOV0xaegADdvZXsY4vCozSZ5TMCozn9VjoHtgvOt6qZ+2OlW cqnMitfwXcYZQD+Psye0W8d80fYeUZiTZo94ce1y92tw0hRFwRwxL9wdK3zkBmzNTHbJTq4V/bFd 2eovnisqIQfmxyg8tbkTD69r2dn8UbT6jIqWGAu4a8H7l5APUgnGIkK+54XJI9bTnTMQgqmao7h1 5yNIObnpH04CIY5tCMI/EwQA5DLnLQ8AdgkMmB2CGd69Or0TJhg7HMEotCWw2g1l4z2jVfUt1DOz arze1HVwVjXreRYJcRsY77m4lE8gAMfbC8OVah74igevKaMp24KvsZnkapCrAy1zEvAZPozmYTui 5vVi0L8al3e2FM/8+ls/s6QVPUqkU/alWfu9FmxWxW4ILz2EkxbgpVooUV9V4tmSX3Ltqo5uX7Y5 OtHCAlIE1x1tVII+FcZ77JpaCTJ7hQELYvh7Epv1JFfKw9uSQyWzo0ZBmTIjb4qHCstzbhwYyhOW efEhuwuxrG37OJBjF/NJi26b1cnZYzsnIottAFQ1j7GfHjoGsCV3VcwCFqXLBpPKUXFzRFngy409 MdLBlnBni1V7GWARA1BcSLkEJNIRO4vpWWl+67CK7g8N/sewc9VOj1eayg5xL8wiSoeYKEJiqk4A CM9ZXdVbs/hwhYNDdfS/KhC4Alf+Y8Ug3cKItM18v0FkWdqD8kEYgDdoA7KWZW/DnMCJBzV20ISB XJL1pnW3nKcDRj9cH8o66426aLrRn2YIMqZ3kwB4GVmnC6pk+0JIafhoWBvezlkItUHS9meyh5U7 kEW7CuBW8OX3yyVCjZZNDZoS32d88FLsvEjuteXo3hHy+N2ZgYWOvxURNDnVGzG1BlTfdjoszK1m PDTu57++gG0RoCuScdS7A7fl4vE/np1zQ3+/B3q2cHinhdZkaa3cG0twUY99PTm5WlmLncw5KZiU ShEx138nXzaKzqVYxL3W/hhVSdTKMSoq21O/hIA4+jM1aW41AjpuueKwI9Ggl+lzArsZoP8d/F92 VUzl47xkudHdhnxcioiFKpj8dcxDOZnpps551xRid3kzoRaZ3ZLTHvfQ2jBLIhayofYmzU9web0R rpMn+7i73dlwAwc5LoovZNjp+TLS1qiHgMgb6TL/okd0v9NyBdT7UTPG4kUq+KZsE1SrXUKADnBo 1IKfkjU5Z9ie1ceE9a9uENI2ftslgPg+VgKV5rjuk+GsMvelZ+O8e/VM7rQ9/E3PFv+MEJKIGElM JCif3JIDA06XXueJ+aARTMGn/7Ao2S7/gX+2pSg3cVE8wWu8QnvVVSndMRvuIW3KNyZ7C1uuCqSi azPV5Vc7sCF4qL4Oh8zFGffsXAStm7kbM0K6TRI2sBpB6kX7Clu5ga742bQiXc2DxQBsv/ZsR98v Qk222m29hQRAxJ4x0Je5Qe4n0Xv22jKIOWOqyFp/uYoqmn+9I2BwH6+fr/+Fgp9G5XTMjlQ1/bbQ TXODaLgYsUKrzJMoWjZfa2WiFNgyJVxjWUUvjc2Qcyq6Zr778vLiwaMnbMQ6zCxkUNjsw3Y0dr6+ PU8ul8u3JAdir1ZcAGhYsCZgDP2EmewuVvVjeyuXO7QU0hbiRzm+IIpOsse4zLitJrPP5u8cK03D 1w34PsxR6aEGLdLkQImW+Vc7ACwl1+OpAb2jpP0bl7k7XatTVIugahjEynk+FU+BrxwRzVgwp5wg EiGs8PgXzdi68Czqrtcg2r+7D96x0EZY1TQx79HkDxT3IimbZgdiJuYS0ulxCx1I840wN9WY8Djz D7/b7oL/eRvlZqd6LJXjC2oCTTbotKNoyec6ddjn79eyYGvR4vRXPY1ZfppS86iID/luw5bAskWY OoovUdol+50C25Bjz+i9P+zI6mhzly3H4o7HqxUpoC2v4P4W1xTmHSiZJO/JU3nWEejPNHY9Hp9S idVVnbVq0/qYQ4wXo8dUJZVM/27b6uxY4ofgtmJDFwe9riJ3gIPq087Z3HvEYhyblFGdudDEVqdn 3jzWuVYE/AkiipgCLwjNRjSzZ7MRPTnBAKFOl2GrUn3GiLphcy1GNa3EpnJd32XgPRAOrK8+l1va 90mgk9r+MuuPmLDGXStGBsghgo5x8h8TYiJFKqbxQxCfHamY1trexi1zPqvN+lQ2VxtLDUWqMGww kEVNUv3MwVHBvKetSHlHtgRfoaUfiLZ9+m+TBhXatEf/oyiyEToEYLDHWTxf8GpBDUuonyTtneLF je8VROILLutlEe7SMxh59brOKj3ihZp6ALmxA86fjSQsGhibBCvM8qUsGRA3UFNOudYwefU4f6Bh GQYq2kcHO4N39JAUWT51FaRW8zHUohWjwSWB/ejDxPMyIG4cLL4sMpPaziP/CJRbalsP/ghABdTL zkVUmgRfo2nbOObdebM5xO3YwA6X6ALpuhC40GwZItW/ErfsFGWBkmMLpVPTg8QYoSfiSnkMcvyv /r/4Ja0kH4Gxky1ucvE9ep239c7eg0M39GGE08oYK/q/OvdcG791o/DyZo3mis1TrdYq/rlZGdZS i0cR5xTi6TT5fjuneFR0SVhHQ5Wx8MUUeBiiFEk2sycD1ouCdnJNxa6veAdclrbkKrKARpuV3iOZ WDWoBN0JbKtdO+hiEMXERmFSZxiYl2kTjZw88x2eZvKMkTn7zA4uEyoS+HGY7tQr46vWOU9kBjKc b2+ayGQQGSR7u3zUy8VpjmNCeVvuKxufXIGNsXPZE5HLKc/U8hQyb8T7+PO/ElZ/brogOiHXhiy+ lyvdMVE1uyEbllo0aFvnXWQikbQDBEY9OfT01p+dHuINVTfkb4G4In+1SCLiFv3GFPlkUiSAre9l wtbK17kDisXwrwXi8tXfJ+JdJ48cfk14kvCF8cslhHVL+YSSWh9zKBItMZ4qjMSQ8/36l1gmNnUE GvHeozdBUKU4VL9iAHPL9Zc8HOONPoCkPQqEYCyhP7uRb9mVpyB2PqdmHXE5kQXsOYxJAo1jSPee A3RYSybbHezDFbDAVEaeXbhINFnN9Wu0yC58tBej6FsR8cSMFPh/1fMU6RR4rDOYr8p7NB+dm/pe RssqYygxjWbOZelRx7Zl7FQPKsTyUyMjVvkowwlbOhTARW3IDZBF9HSxixd8UHAb25zJFlVz3Hms PP4k9dFrdbqEGOZcROi9Ome7LVFq+5ORNRPYD/lD/vVlhX67yEoBzQmcGTQ8Lv8F1oeLz0drqEVq QM9shits3lTuah6QAO2xyzqRldts0uF3xazpZbWPky0y0HynVvSKjSqHd9t2K9LN66wMKTF8RN66 uGTPDJYVD6AeNsSTke9MJ86Dr6yR12sjjs6oMc4vwM82FU40MpP/tbjUz2zE/NoU0QQ/ci7vH9x7 m/wivzpeKSuIMGxIcYD3eLz78uApEUvn+HBCrSfQsjUGfdce2xoyWDx0zzVBPWcXTFKE0ZOIVwwW WmHhZLFajH9E/+hUVnavp694KJMcprSlWSXfn6g0DcPN2uMVNYpVRe2TmWXtZ2ajEsvWPLOMUB3S KxBko7IqNW6BdXsMzZxolcoAHp7fx8tv0/KSwSZ4cwl4bp6yERG/lpJLG3/JsDRYHsrRQvFZmyEt sKaqJ0AwD4XgFLI+2jnvt5KNeiabugdVije4jj/2nnUuBdrKXMfInB1f5RiNxXG33ziuuZ1CL3X8 Yx466RCtn4rYdYCZAK6e7SVp18zTEXMqV1guHgstwK2cTvjOp8us6385Cuckl6/Rw6lqtQRcrh3A rn0LWjFWQojgDsR+J52i/TtXE4yMD5fg0/QhpYq1saDsVs3QHQ5mENqURKlQJnL9s+QVictPVoS8 2Rlhc7QXd7TrVNJriACSN3SD5Nh08aCdES0zCAJ9eqnOLDn8XYsfwGrm09hbGuCHBFYa//sMMapr 9zT4D6wnNRQtF1/fRY7efMfjVVjaC2fouj16BirlVNCyldBfygvjpoaUQ/mS8fWoc9TYaCIBkLdS 3TWPlGq68RR3x1Vi+CvkSmfKsEJaI7c/0ggNAE6xHJvI7aG6QHDBNAdRjBFwuNLVBhMuVtM5GzLC nGJj5TJ+thcMbC1M9cBNb2MhRywE0gAFq71Xj0bLAzPpxvAyKutNIC1dyRZ0o+JTrZdhRLqWgZoD q9GBy6EgH28CWHIJh1YKeM6fdyt8F3H09FyQ8j5qsZqGFFAjrPerXg1bE4RkzGy/EuDOwBqGtEJm yxhwc8V4uAEcDRpNjk81HDM3P70KmsME7TKvH3kMgNPG5+lIaxAay6oLs9IQbUuUVExr0bz1sI7s q0/VwLtwY6UKjhQttD/Q1//1gF75fiwT1+W7yrhops6zzpq0nysDjxcGr54nO8yYpLXKYBS1inAF rWyKNvRSKBlahZKN71uPUl9sTvF2tj7/7fpyOxULQkT+Dj1D/PYQGv8MxCdMZfoRUZqem5qymy3U egXiQdgHI9tfxEQ3vnPxaEj+stR45shMJvxp27Re9OcVHGp4yd+EA1JSDezWaZyCdnGGIbqigQjH nmLJd/cMO/p4E8mXmguJS67S7+2baTU/KCVONMPXAGudSvv0Btp2X7Mx8DKcppNsZ3NySxv5hO/N CDfqLneAz+1BrcjaWPqKH0FDgNJQpGjVatG83XR8zwQlQK8XVRbETJbMvOyYhm5XFB5KRiWTDhxb 7lvha2gXIv94IASY+/BFrBBBMKNYihSSVb3rYNKw3UrFwHGkThqb5LhQ5guiTdWg/zJ3CsF/cHQI FLYaTUZYj76m0uv5qwLwk8VWVuj4KzVMJHqR/I6LgVDEtAIXGJIay+ovKvlCFrOLhLdm+OKCeihr P9KsUUhID4chExyrNnk2rZFekU4LSpA5eR6HfgTBwg0iAUqJs2Hcq+NBtGNXrdZDWu3F4bGKDOT6 lGYGYbhUcU54RH3laLMQ0B+/cq8qRFH76XwGh9TU6m+eTFcHqb0sXkUcgTRXLBMgAhKk9VJwJfNk vSDwgsyGM/lXJ+rnBWlNhxWj9gUv0vfgHBDBCdvRZbh71pu+2zjcZHOcZ0YdJZQKmybsI9JzQREY 5ghQ2WXyKybECeQCvOnCxzBFbsfF8/mIFOSzW86LnehwfySzpP9YJHvsRy8axSTFSUu8tV41WdFJ 5i3AjgfCqFljhWTRDYUh+t0pJgJ3KfAzDDUlPVpBQ4k7+EwDhAVfirOX+I+oOFZOHLgwxhKpCoNs c8AGU01pFrNxZiyQMPVLsTVlIwSGgi8fikO0f/5FUEuHzQ5NeoEa+64+1eK9IyZxAAFoYV6aXHkI 1tubKacHtZQA53O58S2ELaegXkU5PhCWFejhK4L16765C8vz2AsZcr+iZKj+ZFqGXRZFjm9P+k0r yru4vVKARFMS4V3B56PfmmCMo295O8ALfWRFz1fRJEjOiDEnLjQahPvPcGcUxXIooxm+9oTKz84D EBAdzUmEwUKUtj4C0v5usHaaR7NyjrJ+1pN+iLK0tbo/0oBqgFjXltBlDVoNHQaMWs8c1H2w3Qqs pERZWt+ngZfv0PVB2cegOgyODt0bsRdBSYYZXMMhYI8Sz/do/BW92zyZonsjWtMRPALYWGuqw6GA 5iB4dXBteH46TAoFOIJ6I+Cf5QSKMnJ27GrwpBiFkbiTbSbAE7C9v0QABjTQbzLqcRygRzIB65AC IkVdIl3PmK2BnTOw301eRM/uGVhXlqn0YpswktNLZOm9hSnScojwR0dkyy19gJU6WFu3Cx2eaBhd rnWJFpfNC9avMs0JgjCd/eRdowQnqGRbdUMTSprfni4Oc4Y2gh6GFJGbm5BPvez8Fdj2hh2Z2GBn GJKk1ZpUiclE5tIxuYcFXhhapGxL+ajPcEEz5oqLiSqj+h5jwO72DmwmzC9KMMQa6qxqCWnuvlXJ /prJErLKQFlmfPRi82OM2yKksL+gO94wfCFmfxVBg/2Q6QTjVPfYOSdEGpWgwwM97iOYE+2aLnW2 hn/qZz1eqUuKoihBa7pwjzk6A8HoVwPTrmcmljkbqxaAXRnJ3TvvBGNkZTheCBQLFElIkJHV1hWw zi3VAeNI25VC+KLcSh6k2y7UGK4HjULwi+OZNXjwjzB5XokmPfmrhlJFI3nCFNUWjRwDtcueYTyl p9D2musXzMENym2TZaxg3FhvK1F3FsHnvTaRb6pvMG0nHcs0G6vmFB2gF2lH+cxz+9iZabBJ40yx HEyzQvz2nNVpeLG/QWCk3B/+tHRB42ZQG6REtsssF2Obt7ggywOVRWo1vIMufzCbh3MAuljBOBzi +AmceU2PQPmoh6XDmjv407dKN4zGDOaTraOx9KgWmwQOIHznjM2wU1E3G2xaPiIlU/uTFF5iqmrw mV1S9JaPGE38vFzspwqd/uyLXWsobNOkJuUtbWmOFbVEkOS25xYH4N506rBT3dwy8uuvAp+NAR1W pQ4lE74pwNCECIGp0xNxLQxQTOFwMGgkXB6KyndWF6au/xeoJxrZgnf4v4RqDCl3z7HkCVMv76XX ODFRc7CX2v3QYUf57YyurXECgRrYhXu//picfTiqvgQP3sSIsFudDDRdY4XcqjkVXfD1CYGrQ7kB jHHMyaALPQjP4ao01W0Nh7rUUEGQPpdE6y/7YB55fUIv08NHsw74bKf/Ffju8p2IdDTKSqxjnpZ0 VtUNHq0sWmlJHXQNVcI/2gvHiEeo9eFTpuO3+ENomgCyKSJDfJsANjOUK2NaMZ3OlM5nx9RBPa8o i+gETiDWbd8/xBi+w/lwNE46HcxezN2yrkj01ouWkKrJMM8yo3CRlJKHlscNbvt5q/i1y+i+K4x0 ec2sj+Fo13CSQI98wYIKYlERDqY+ykzFzHASKL9UL5/TwLtMm83JVGt7JOgXNM9Hx/89Gx6GZXAx N5Ms14FVaEPUUXI1bmp1HT6xesbPEqXcMPqp6tsIC/b9nIzN/T94yZHQWBO1paVxFQhfdBSscTUj x+OwyzAvsri11D+Q6yPsJPs+eUOV1TvIkVNK+/CvFnyY6lJbY1hR+uZsnrT2e9Q4vpWkSZl9EMpu 4JHLbZ7cjAKTDZVRZWwWT2RS4BCRURSOIH3o7LDvWxMVhPktCLO33TIyDwoxiS2BeZA/eCmvDrWC aFAlcrKFDZY3xl85v8m8tp9sQgWJCyRySWhyNsDp178NA0vgJzNxf6oCxDOytQlFp5xmSQd6mcnC /hs2kXALVkdU9eF379XP2hB0CtBMYe7PlNgBA02T6FsWycLPg/TwdYtRM5Q0RhVncUL5BWqll8A8 FzRUTDAY/xRx6qj+GVwPbHREDUL1BmES/3x1T7GgUXl5Yxe2X4yNl3q4IaSY1Z8MAwqG+x/DVvRb XN+sUmRlKihks+8rK1/fkh7yfrHLwUqv6HvwpFFssYUQLCJtzVlqCNK+hNTAmr+y/AJe8WIlyl7B hl/HqenRJgWZl3725j2v/0CdnFz0tAjKmIMJNVU/2ehx8dQBIfr3k+C8BeMIW3N8ozaTmDM1VVkw /jvEDUrYkUH7ox+2Jmsw58ig53o10uSoAKfC3+sQQEv9I58XmRVe57rah7bmohNv4f53duYH+xUz e+Zda1YqDjM/8lO9kDy9orTPE7NS50Vzh6xY/yNuTaPmOZvM989juz1UFtIGPlmRUAvCL6J1dyEG Pxpz829bTLwio6HRxwj84tLyQak/ISoVSfzj7i1Kq62cL/uEjaa2MGRMQF3PdTZHWjsLl2ayyF0o 538QDTCvwFQY3rxrWXA5LhCBv2IYL4rau/XIAgChb44cQAgPYTR0ZdPWUcA55zvuM0JENvUu2plE 2cQOGMzWnZFMuKzDeTdqyctFb1ZnIAawxPTOjGB8QBPrU75ptie10LImBiT+AGYcr0SWImDWbmsd vkHbYJDi9wNUJuSvStCR4scmVWhQ2tqOUUztfpOCBXHOPwR/gYSgOvYa79hKTE17UuFO8dT2B4w6 GDEQ0lCOCc8o3F481/0qzx8AEOuvsepSH6caHR0hKkQK0baEXAP2rwMp6rkT5p8Nj2fPLIz+jKlZ 2c6l4pgmGMcihtPAVKqlZnDu/44UJ3GrAPP9NEQVP+41OxZSMQoMzyWkfWUTlI1DODJaYG5oBf05 WyrkyoLitfYq6tBDmkLY+S+DIJrwUMi9o1CEsXl66e+BlvmtcbV7QcKDfngfhbT5RRZqQbFLgwTS eOnAx+ahnTaRQGZ5g1r04o0JvZPj8awqTkxaQq6SWnOht42XiehKmwzma6rCT2W5JXyfV99CBUYG tt2Ae2DlNETBC4pq811oXUu8C2CJqjs5ul/UuUkjLriQzyXuPFivOL39YMg18lrWPXX9yToXl2Zr z8OeUSuB8neN6pIYc8y4SMgkAmoMXuoVl5bJvW16crKq72pQ8168mJam6uqPjgbiB1kfOk0KgXGG lDCIQk+Z6WFIJDBZT9j5LjVLs++Jc7Skjg/7pOYslAwJNI09orp28J4Zw3qnV+CrMc/NxlXIgH9R 8G0RmqCoLJTg6NsjsmucgJfzj6Wl290EJVbOqbfJtSceMPKktEkJkl4nC6Gsf4Pzr5fzGR0hY6RD F/ufQ/ojJsj0FGMWyslxvqU6Thr2NJ3LFxvfl7lcRMxBeRDqIHO0szbsyWsrAu9KZsib7eU+tkNm 828kB6EQF2UfEpBFeeJ1Slb5TN2P+786sBdsE7ZtzIbcSnqBL9bN8SGdxCZddRgRnpUDWSL6JSlA uemb+FyPtXOcxzZuxZyxRWXrYcjz8hngKMNZU+tsnO8cJTO2WXDIoD9ci9TYWzQD/d4lvIGqp8PX 8hrdSoaZ7bQXezXrUpiD2GFLFc7D4s96KL/tgXLzuniXoLo+uF8GHonZWi5V3V3OWX1qbd0R3dnr x2KLlnfXQnSIssvNEzPvdLKmYz86oV9rg5RH9X3eLnj24QrcDrKWistUgUDHv1imNZXC9Yh3gC49 YoEGL934AiNs8kRpUKOvQyOez+f6t7cQNCxxYGzD2ld2Pv/rn7SyzM0aHV/pOt8yDjU9tqdsJOTw ZVYGlDzfJddgD5zpTJYk+MvjYtYemQDkZECiJRT9o6PkRgNi1o/8IYIdWfzdlO2tdR+3dwKvlNDg MMXwKskdeNTHVhIKup/o4WWbByavw7qlLPNeu9RHlS6b4YskDyme5UAq8PEGevjFInRnW3FBeUWg xe5cpWL8Yho3mMs39brPE3/sv8FOrwRU6m2YbDWWf1uRY6QI12rhjT59lNs06SAepV4+rPW8esvu FE4bz2VMefOWRPXCrJbuEvNxXwCeJLtvRm1ak+MHk2vHuh+IP7LN3cY6NgSWeq4+ahhJQrGb5BBi fj+pggNA9/UDckLI+0GLLtiWk4xVypJuB9qWRSq9KBWLTHvsFbVhjOkHD6j6d3wV8xXCW851sd3+ NUFwlYbwWoqWmOOwzgcEp14HONc4Gl6rsRcbhwSWoDxqsegS5yHtlU+FgR5E6Lpww5M/IsLN4hp6 /tlbxLGTj9PYInqhZSdAf7lqOUC+t+mbY/CyUBFmNyjnuzSTivPB40VcCx1loUSuh+lO2Wz8EjMo JKDftcCXlMSmH4HXA7y59pr4cHZ52kBNmuVBxkt4Ky1V0WNETar1jXlALbIA9U1lV+7IZ+e1tUuU H7glSsVnxGxVDaVm4vKwUul0HJ12Dkjm6xbNYJHFOklYNwZ00z9/6P0ko3FiNsIiVfwSrmHzUEas VzgvRoPk+MgHgT5pVPXzvC5BsWJ4uA+CMmwJQukwl85a5UGPIbpTNVrCDA1KwCAYdMuWgUeAtnY6 0Xars9QluANbCHSbh9gbtRR8FG2G4uPHYbztcLguAbF6ivub+Lcm0aJma3N4NWjmzi+eqLSJvh2s jYCPpYcCJAq00wiMnGKicnorexT7aHz1T0MxbAr5qfRrYtWWzXqAco3U2VLyJQXEUCAurva/uKUL vSQbrSz8LyJzSHZhhMlh3EispqOQyUrTUJ/Mxq6sZNHBUQE95zhw3fCf/NJTINOecpwUh82tfzTu 8G1mcCsy7TJmj12C/DvTj9xN3XOvyLILJkx1lHeyqNyXSR3OzAKZQmAZsVZZajjVt9kzgXG5EyiL SRgoXhvWye4mnIn2m+WjAv4XpeFYLWBMZJZHglPFky8RyXldfOLDVbY0vcQ90u2s5CFkhIciSanu w2pgTDniIJFOSL09AvHDteC4dLuz5UtdSO3lhnFC0J2QPM+guuuuF737NOFET9th2h41vrNtMwUW 5iURgOnkjEMiiFRyLvk/DWAWDCszHTqL0Mp0CSooJpK6EYf1Fq0jhy8WdIOPl55Ygw+uI74DQwbm iMq5psBoLYR2pcReR6d78hyhi6LBEKxnd45gnIxyhWBzqjhs4hOof5MZiGZmNLV/RqDc8bXeSwpY Nf+4WvPEPiHBHw8ETkToyWfNa5W4q1Oy+RdYmQdm2nMGHbY35w2svhwZ41sOU5HMZ+z8FVVd4EX1 6I08ZSXt47Iv6EsEN+vrWd6sBJftXhwqBKILf/fS4S3CCw0P3jDZlJV6HjBAc1dNPa6KgchkJdZT Hqka/jIbVAxS6zem7l6GEaP/gCC7lRwuY2+158sk1/iuLogZZKnLa3fJxGsW/5BuyrlLN5vayYib 8ezwFoJmBkjuPXgo/AmHHSvSPUewzNvbdKxwdkrhCABj6dEL3gVaMZ0CuHsbN9+F2YE7ISOeKInK lxaHvycTGa9skKx5faVC6rmnmw2exaOCMiANJJZ6cXr04ST5JN7ZUtkob0Hnpm3NgWAm68Lo8q2d Qgp/PMzjYQhr55ZePmxRnG6admEUY0obv8F4uRMsBcXj0o8nnUjDkV2Ly8G861e3/YyPBt2FXpp0 lgmmxZ0QEolIXyMDZJcqxdQmH75ZFRrNf8aApBlnNmAebhw3wstlVsEJ2Q2DnG4c8nGvQgoIx9tm A42T3QIWDFHXiwCkTrO/vFOsrtrHC+aDOkNBof4WlZBk72KhxvZPj486ENLB5ylySVniZ6k2j9OG qM32k2FpxPpGkA1r6/9868/EgNT2qmmJJr0LFws0cdeKKtQbMYIDl1c/otwwtBltua0iXj4A3TvY Qs6FUXxGqux5ZF2QKXd1Awv+rxNyklRBkMKKitVsWnjqOKtzWUUeL3MigxLqquUCpYhJjL2CoCiT lrOv00mSfMtg52xF2BNi4RWApFfzlxEX33UyzkB1sQc9k3QSumo60cIMiNDP4ROoS52djBKA92ne so/ht4hpl8qMWuJUPxXvPqe+lw1XQWcB8RbkvM9NljvDQyqvgDknmZWxgS226SK1nmH0ZXLdXAXH cHdqWQ/4GF079DtAWQNxUDBHc2/xkng1y+Yr30rnIw3NR0JjgW8w/nJKEVKQY+nt5jkDwnLrRzKD 02ETXHoSiC/XtmTsRkrOxw7NJhgMLnrK4enQktI2Lhsam/XjWCrNub3fr9wpD2JN5q13rusA+I/g +OXyKAL18bS4hM2bW4BsPQylaYaAHZrdY4WgWdWuHMJbPfp3OIMoWJpPd4QHhUAgiflSr7TWb3oO oTwrzqK9DSCznO5NwQZPNw62pQS1LhuADWQMfjoTXoJsToULzulobPCec4liqcZ5+di5E8JcfKJs Dv64/fRW/AlSh8jl1HKGn/Q/7ocHMdv1+BOzpxzKS/Ob1q8mxYYkO8y+GxjOtpNmD5xI3dnzp+Qd o4I7tuEnkjnUF+J8X6CibXlkpPrvIDokJMbpSCOK9uu4iT541ICW0yQUKmjfLzPiOwP2m1BI6z0H f1jjQE1P5Oe2F4kvOgKobyAhrZQtzJ2ypblWFINujlXNuVcnje2yPkEKy4VygfYp4sM71kHRT1tY YDZJMwXxaX5ac/DMKTxZr6U780R6uHXhRP7gdaJXjLCNBPZqyCrDj2QHGC1XUbs3o2Mz0DIJ+E99 9Fxe5x+k2g3b85HvHqAZug8oSDEzxaj24iU3P5IkX+jERC4LnSsDjl2nffaeXan5rCecZqHYE2Sd iEA+6cv6nESG2feLQHR63S2AWeQPkkcHaSLpmkEuazyXzf3jWCn6i444gGsTLVaJHzOpPaZJKI/H vRhnrckvMjK7A+HWxI6/2oeBQYocI9xEr3SZP9wT1abBox6IcymEDdmx6w6E37mitnGNzxtuEQAm v8lUjfxO4B0oAIsrnVkcFtOnU5U0gdy+9YAWOyB9u3XgCoBwuFbtQNMLGFJ810s6YU5IqhEKDK8y tueD1dWmSqZFZcveXIRT8Ew8d/gk5l3f4MiZM+5h+qirYM4sLw9gUsFSnqw4vOhsI+S/VhIycjTW hze2rdUI/UAe/EfRDUxBtLmxrF5qC7R7FRM0DPkxly4ygCaO6OhA8uzOsVBg3BM34hjRIHUye4sb M/3kOVvFQq15DVKrsBPuLVzvOuuC0seqARt6INSbn5gJkcGCTkWGK9Qtg3yOqAq6JXDFKTZjl2Rc MtoLAAGC7S3YJW0m/QQeaDZ113xglIC/rRe0eGDH1Sjovs6P0cvL9xff/7ZbYcZuPE2gx1tjoWd2 bs50Hz3zat4Uj39rA4El/oNuLK9FpZm6y4RoeZl8eZw7+5I9AAdk5ZmNDXP+fXncd6WV9dyD4xVF +SifCw8OoCoksKYh6+WGuI8Dt+4kKQB3KomGE5YLBwBWPnUmZRPNoMWMWl7fTs6RfSLX56Cjfcvv wIkxvr9FJcEx2dPpssMX8qsMeVxNBiuwrobk60qukt6HtOIBL13bP75XKwxhip9anO2X2kfHOOWy zMSjpCCLSE7Hg6JrdfE7vrhAPALwwO+c/Bb+C7JQdSwBCxCYvc+e0qPuxqmLl/Ui7s635X2SZ1T2 N3bdOmSrowhWueerVGoO74bOFjvaZFw/bcuRxQhOMuyizE2Loa2NetqBHjUrEKmSTmFELOWbEZoJ NZgErgxoBRU0Lr6PZOqB/3MX+yti4MG7Q38Ktw0Tupq5Ajke14SergLaRDPPQO+SeoWRmWc3gFYo gfBtUPtw812+yVOgbXZrzo+IjRKs/iIoNIvbmL+CJ+denVt6LUX+iVVaPiesUjmHAYXc8XT7Th96 BFlMewL5vIJxq2eYys+M/AZQkfqtkX6CrFPEfKyVghI7HLaPDWYKsUQsXKeQd3h5pW/3FpromVRG UnC7iTdp7kgNl9yThVJHUIRigWjOZqSJ2Jm7jWpWrA77kS3JzJzbi/gTWVKYu0r/2XTltA/E6dQh qNmhnOLjtbBVSeUMCj9b87FToDtjvP4JgEkxWmgGz0WzgKFJpVWrLhhofN/UekiNWYHsbpLbURoU 7lsemdNDiYnuG2tYcONYmQVndvIL2xedxWp88LEl0GcTwaYY6WMxtKfuWNGwzMKO0hVrfTEZPeSn m7lMZmw2YbqUNY0i7SpCTvXOUOWg2Rbu7s7yzIR866d4Fy7wj+aKGbIViBnUOdvyDKgKdMyqvcaK ynujiS7/9a5o/hxMb2xlOAKDBlfYPd0z0KSGHA2TsF1DmjKIp78dwwstB40/Z7DXTCT+um/lL4GL gOUMSY6hhEWwVtsV/M3ts7jgtTCh2rm5H7OVPrJO+CPyGjMOfOCLcPr7WSROYLBgI/RvqHhpScpg 4Vqtl8hDfesxPBncQV7H5u6seS6TujjgPph9R3VcP3ZlSThJCddR8qDgehzWU1xQHg+EdWv1EiHg xE3nZiDH62xqpyhkyBocRJlq/6S6227NRhhRwiBZ7XB6wBHP4jvRJuQSE1sHBxaLfEmSSZphpluO 5NPQoAwMo52RG7U0Uga/kQ6is7WC0hmAG6AhW8i1qjl3wy9LGIOJcbTq3yLKm8a2Mq17rPYARiBB VXDWYRgl3w+g3Si6uK8ghaOr09nYesknxPYLBsZlVlwAlEFkzR/2LZqTJAt8kQppclj6dNr0vVme MbRh9JBpIRMfdF6UMUN7I6wPVe5r71kq6vXIN/mwq3fBYA4mfMk8jsEDb876Wb0N4Kmj/6w1HcOq 1gTz8dxuwZnXwlZfi2YpnHpybQxhvaOG/jxmIfkSFI1mvIdaPxQrg5Yw4pJEEDEj5Na9iQgZTc3h P7TQwmobL9ytIVBXFYqMrwEbYw83Hhm8XpqOamsH4eZ/eiAOGd2+/wQH2fP7NhbxeXunNuprxNwI y0KU68BLxzfDeDlQSfVKeBIPSfGiL167rVg43vRvZQ6Wr8GHp0YvZoDA9ivyLhHGl20dIIKS6DNO Ykxz0wJmf4M7GghjPwERC1vwdTFBvLQuCMW9oz71N8iXJ0ZJl74svmRlU6+AYuH8E1oMEKZU+CJD hhyUS1gLBgZ4nEIpAS1phTt1u4ZlTvb4S81L21xu1P4AW5Px41zqhlEHS7WkdZHdCKLHA8yfY2yw ud6Yk/1uhMdY1GyzOkMZ3sjHIA594k9TenGXqjnp/otrzxceND+BJy6u0JtXKyDWU1NEUsNhKzZs y+8MSV3qH0ncIMLOx1beHweAPx1RtjnJApgy7cvNXqY5prsQkGqaoCaaXw+SJg4MxNYGUz/x45zy UaPIxvMdisYY6GnLnYlRnHaZswIZm91GbJ/46BL0qMTNXWtRuEuWVbtXmpUr1DmKB1ZDKer8OfjJ weSqV0MS1Tf+90IHW8EEmQsY/vtzwifyOToMZQWWdX+a1S+NUqnmGy832nax9ofvN2zHb/f/fFcK l1qTC2MC7DNldM0fHU3eS6Kr6XF8rO1Sr3R6YU/geOTVlmXEHgOAGW9zSFiyKXSLEb8JPa/x8G/1 z6gd0C+EPiSaPLc9N4ALMZcAtm0aviRwTTR24V2HAVpNPG/CnlKWoPsSn0TwCdA0tXMq8rOMfikl lWKjKIQOJt9c9V1RNe/o35m+9HWM6q23OqIOfRizZclzV0qtt59+By+TaaU0NLDQ7C0o0a+yxczl jgi3Al/ctEwzfj7K6gBhf/Ss9wYFRYEIndWSCTrSZAcoUsX6IjpyOLp4OrwgB4V4jEe68SSXXLPK fz8kIZVpd1o34G/Je7TJQFFpGZeR4M3xqngb8baYTU58qT5X5rlFiMtvqFGuC0KwohMn04pqqsIe eL4Hhg3IZoVzG52qKDoWb6uNN/ame4utyeTZXv/PPs9Y2Un04/82tTaXp60DKlXjXFmUOeH2AVVa atN2X14k+gkvfxzzNotg9nYC6OZVpcv2JdD5ia0i+uO4iq8Sf5Ss1R3+bB6HthLltH1vf69bmBBB PmTGkVRusbZBrl4EujlMw6dHFmmADbRI5ReotpfQ62cZI4s10+LtsZY2iPpxzz7PNVPTe+Gaks3r iwub8dIv968xe4FOV7N/MidOc5V9w5QtI/aH8/ZiqnjPcOkSwMyJ3hEazx8CB7WzQbWovzX8y7Wi m8Fnt3A60GokKUlAx5j8fsTqgkGXCmMnMRSFnwzo7mErvgrLqEU7xvk7eLm9Y/KWVYm1ef/IFWaT XU9wkT3NnC5i9+4HJxfx5/EuP02QtngY55wTFpsVJEQDb5cQ9zFrtvXU7rQ6fPN4MY5K/6m0CfNY WlP/vxTr8fXBnMWO2Qhz8oz994l8UsvAd6d2+ohH4PZGh0RHxsGiMII03uplqF7bXjE16tZT6Q6n MLJIhwonrs86ipX5PbG1XwvNOBbhSeO8CScfGO1PLvV5HhZlPKKBM2+XJcMh+cRHEpvQo1a2YTRi ZnPoVw6WDT0evoYjRB2osrl1s0QbJID/1mehUzgga5EZZ/9b43YNmySMLRT/tzh47PXgHiXMGQIZ 87VoRcDkG1sxqZeFXV0XwpqBV6EwwXSAgZ0c3tfeFRSkO0oSHabcgHG09cNgjMTQbMMGQzTxWZ2f nbpb8RFrLyOsiVpyZ8f1H/bvo2I8XAc/4f8mo8vdwxWs0nl9X8to7zWb/vkZmgd8f5mGiSbMcQEE jMdaqM+02/FUmajKM8zs7Mm7UXQFFDeylVZGOFmBXHt01RWOnQzjFDS2CiYGvPgrEstwfYj37QE/ t3rhu6vT+pTmNmV6O2eTz9Whq0UTvF5FkpIGPgOzt3wUI5nkMLlNhs02VRZCXMbDxOU4Bvd/3Pwe naL2FJnZLcisgmebdhW1PP3nZFLWcEVhSBRBXFLm/OVs4nTh/AyyHS7mcWOUgTaTDI01SFnGBksN f5n0lBA/uLQnlvLxFXDpiuTW5cF4C2FR4VqR01R0W33IVFee33q68MlVlvwfGh6pPQVQPz4/7jOV T/Sc65JhjrbTVPzEgt2jv+xmZXQnbjh4/vD01/NNDHQLyeqjmex1YGxDEk+9OGYYiUf+wdZJlkYa j5qImKw3GHVANVIdhegeSGzvmXrltDUC5mLH5TZhUZ5l2rJIHbfzNXOuOtxqGabmjsr9Dr+DWxd+ JqlxQUD155xAygiqY40iXs6ljgt8Lvc+p6rc8xeFg3rdfP75LAfNCJ1KV8YUSB7g7TbfZwoD/UFC cH6A8ZQaI9w/jfPvhejD6h6E7yOFDRKOPQMgRmdrQoKwpQvKgg2izDPPPdKXNIgd/GpyRXoYRxCn jbNpu86TAjMk7NIR9gY5tsyLsGlUv9Ht5Y/ytv1CYA6Rq77XLD6fWlZmuawiVV5YPC2IJefca1Tm 3/RIj63/3fkyPE6kNLlaqq6E1Up5dk/RI71I9isP65Rlocus4iGHw5HjLSJqK/9T9LPvhHq1vPf/ zsZo7GCa0WZiYepM1TzSXzrxqe/jdYhEOH5u5nFw1XO1k/q8mgfGy2GGF9AtoNZoizoxNBbotJpF kKRFtZ+UxOPvVGV02+kMnL8+q6SGJlu7gm0+iZtRsLvrzBUUoBNSxItFBloYUuu+v3ila/v/y7mN 5x4jIQS8KF0u8O0DVonlckl2Irpgg3EJWbQEVh0MnScjvvpAabcKaP5Nh7szGmF/K/K8hhTMIrlt FTDQjOiAjSaX9zGO3g94MZoIgwNe9ZBIALpF4LC9ttnBFgQzFgwd9r24WUSEoPXY/zkpw+3G26Jg /THCVeTfirg0ZzpBMn43uA0FA65AHnxNIB70H5P4mNZQ6DPRvP/Lkj9/QfrCix6rD9CAteR7YMtd zWfOqtQ0mSr7hZe20nRr9OEZaPPBe7TeaQNBs2zSA3cosx6Xu8lfegRQmeVQxMwqUTEbs6+GJF6J 0Dc3+ZFLFM7va5izr63fTuKlCxslgGTY9BTs3CIrm2htP07IbKLX1LaQlIgPNaucmaENaytEdco3 Uu0casope21Bg7uNQ+BTd5KtTXbJdGqJl2W2ZTDuUV8z5ytmJzfccf4ZBrUxnT3Icdgo1zmfhFyV 0kUc+CYt2aty79kewhIXFAjMZin4QSEIAGiFm9yVgiZKSZqOXr6Gj66Q9reppOQQ8BoJOQKDA0Ha mj1fpAgDmHVe1Y2pUM/3+zGoHZ/VIW6AIMWVNx67x6Xs0CKhztxyoRepT89YjyNl9TC9pG/bPPKT CFAX9Ht4egeUo4slg6gb13GZOgSi5uVdSsKPzueT9XnVFHEjRWtYBBmlBpoIM1OXhYrJTWMeGGyd G6MMSpDmTpV+VSFzSVK63K5UzCRnobiVavYLw9GrCQkuqokO/8JV03XRLbrZ+l2hRGgHADSKYb0c TTY1lJcB9k35QI8ptMBPOe1utry7d+Kr8RpJ4XucSY3atNPb3eYydjs1D3N5qpJpkeQNRsw8TZCO 5OiE/hjuwKGYN8cTabU6RWX2Yaf42fvQjjFtxAklGpJc8gQVeUJpyOjBl3XSuTqpKM2fXGvahP1U ii/TG3/6IaJ3DTLHCVUfuCuV+msplbcTE2X7W9ogFfwKqAXMnJoUZwy4qKmomczd2wqnH7+yoWFL wiJhF8wtLYMfw8z4++eCqtT8IUpLQBJJzWD1DfsLjTFcGbb0QIyTmuSiKROGk6w+UYHqlQJfb7E4 eZ5FoMdk8ApjDyOz1ecrzJ+/s8gLyuVEq0tLs0OlrV6dutEhobrg+5kRihla7LdOISl5uK7Owda5 Ru/SsMUwH9E0uE2sCsPSo7l2ON1IEAJ0zfVO0OY+fSf0KQTB2J3z4PE/c6vRrlvzPn4VTsrtjq5Q QQVmPaSZx5e1Ty3anVOPawLerGRH4gGCi4M+NzKTnOCDHUd/mEo2GSf00lwFreF/JPRiV2/55WnE 8U7yLHhO10r9g/ZtLj8Z5Z3G8hYN2dXY38HRpraCJCrTqSqDG7v0MF8U4IunnJVD9RNe8WwFiKL9 hdp/4Tq4M1uihblS35QCyPf4kCGDq/poyqh1KTQPoucZDxCe+WQXcSqCOCvYRvkshTgy/QPj7wAG AI60n4zp/QgqXLBsrIqaDW0J+iaXN4RXXagF6nwRtgR6hXiCzTOPncVDjnF9HID9IZIufNlEmbth U1Y4NKJNMeSvX1QyV/2zYqjLwqwsqWfD0QERNLzIoU/x9udkcFlVf/9llW/blP4ja8/zof8MDuCW jwvIr5kB3dtqzVrisrwdGyja9Mse/h/Bxxi3OiCw/BXGQmPY+XcSy/xE9aiW2t7sllEOUY61OzFi 4txPUKAXi2vFhhzkMMKG5ZicFgNUcWleXVhpc2zhVgz6LXN4EAkyXhj22ay3ZJp7CPbp8EloqAFO sI1zTUzyCfFQ3vl9Gurbn/3gg3tDm4MAlQ8tD2GallSNBiStNWC0JeUwDDxq3es7hJAP+m4wfxg+ MGFRsbSREHr8u8ls7b5tdA83fW+K2Mj5pvI1oigLERW+HjLMBFPpXChGsSexUmMkdPlBuMHHhiPk gLD0LI7a4Fp8/xPx7mH3uW3EPQ0yseJ3ctZjP7yRS8Y4RvghdbVXy5zXe+K6PRiJYQqZiR8Q39/U FxymBM6djK16EVDR3SzODYmQ8Xps0dsOKFqhr0gsLAUapCnR7/JfJb9EY2DfuXxRqAG9PmDLUwQL 7nVO8x3qIZyQuHYrtEdKxW5Jyfjqb5OFPGswVtmVlIrRgca/+0ohQYdI5ZzaiD0a0O+8XHYwkRpH e2VqR2Sii+f6lumCYqzxNvGmXAa5UapWACsMgk0Oh9IQHoILNEUq9oIEdCIJTqUN4H3r4I6jCkNu uOML4WnjlqZdx0D1Qr1HeaBW9FzjRxeLQTJQ9sxXYDfXyZKQ0z4mE2Vqjj42ddpDPdKoVWXioFMl QpUrPP3E+F2ZXHIJW1V6EPDIGGaOBzGPEtKv8bPPNsLhVmuzHkDBv4mqvLXYUPOaZWJ3WH7ZMuGk 8cjAIOMQZBb5tsBAQy4DUowUneKntwnDKtc0f6zHh+tjewaYywXn3D+aObuX4frmJMZ0Pc+0TDGs izb8oo9wK1X8L4LKy2CUCTAEWyDMeH/b3HkTJx/SUqCJZ4m11DRmEitfRaiuY9VOr4ngT1GtkXtY U0bsBxr9Mz7UYuEaJJiAv5LJDtZSCaFYm0/2ySbbvarIyaodWKMj8jfdjPyqA4GAi55aDZkzzWGu 2jSJYoL8JFqp88p3guLiR/wnyPpQERZouJkw0JsE/bsV+NkTvSGrBqQDwGFs9Op51+PFtgfEZhB+ P6Zowx7eKk7dfY2rLlgWabBpKPTZDkYGEn2HRjAA+YmZL+Ian5aDSb7oLa4ff9/TPG8OrZ4Ca9mw HMMB2wKyzIEptLi4Qmr7Nvw+XNkTVjtBalmnPHljHk5lysQLOl1YAbFFheQQR3fjsWPwZK89DwlS hncivARx8hwqC/+e3Z8+uE5sT5EmIK6den0ysRmPJ/qbvfoFBM+39K+Ay5sKKjNGn6B7cDQsmjXs nBs1Irl+76+1BLB1135k3z/RbcmbUKrGwDeETpLgHjaqVpl3IHtMgSMxqO1Kc/NtqvF1XidOiX73 MbVnKcjTd1tjs/8dTcvpd6/6xPFkOo6V8kDcWuM2O4dwI0u7Q7HW3Uv4P47SBU2/xBDpp6mk3/JU IRpEt0dXU25aH0g6yWmqf3abyQfNyvRn2ikqXLrSROy2AoyP5roBZ0Ty3zA0eYhBYYE5A169gp8B 29UDuBsSkN7dKYrxhpqN2JOu74tfMv7u0A6nFf9SOpZi6kW/aqj0+3gzG6nxLawxWdEf0Nwcy8LT +ubj1b/y9L8m/bQLX503BSM1AVEo0iqKqcGEFyqCneHR7421GoYWAVd7pxcdfSCyuqvzlmYfn+eE fq9eYb5kxMeNYewsIcyrVD/dl6dqsU3Kb5pJwQVCmGKLx5YGw+k1UchZxLz1gYagzN2+u2kurTbE JGe/BefBEgYjrgLyPIZBbYKSgMinYVTkE/4ZYZJUWXW1iw5LnslrNDqxtXgAN7TyDFA4L+2ZG/Gr bp1AjgZEz+yyLZCQFtIod3QgHzbG0QJKUwPIDXVIYz4zA16naiCcZ3Cpx+IlT8KUUHqwd7EV4d4a LGMzx5GBjUzKrFYBKvWMwmfmWnZvlt3U2detu8Nw2exIAumoN40CdC6n8qyFvzsYQ/xAtvV+8yDy NdHF3uTJhuuJt1mAE1MSp/GNtRHR8PaBBbPlPAwlbsW3yUeCwQFJvAOig57B0qwzEBHNx3r3vlo1 hvtZ+mGyJSfL4fJNNIcYc1vdVuw6oZY94z2SVT0KQA3RDnSjRy/1nL35e7ZZnFIooBY5QFfmYNET 2ML2qCxQFJiVQTMUGQ717v8MreoSq9cYnN9y+YALzWMCkqF0bh64Tk5uShEnn0OpzFyIsoyDB4hn 6Nif/+gpucQ2MVOnD0KsWtm7cLHDqUgMEAhqFNnJ3D933Uzisbmkm0VUEWdAI/7KC3g/I03Fx24O KBClL2CWG4vtQ/yoceX2vP/TSvViS5LCtzPtY9Z4bh5SrqKaQs5gyDEvsll7NB0Sgt2ueTI+XFQc HYp0LngR4HdT0+0w/sMB0fJULznzlqaUYjWQfXYM8cHjW+wjWJ6KEd3wJO9EAsROw2WPvIkFwlDf v4wJnEmtVJKheYcHq/yDndDiLZdkkiKqCyA25raf7sDLTqLfk1t/LTRbOBRq2pi2NvSK4DTzD+LS rLwmoU5gfME+925GzxxB6TScvV04ad3bVc3rd0KkuQdCq3Ndpg2FWs0cFJ0pXtRq7+6Z4zk6qRx+ hJzMC+19uAhzSnneqprqKM5TJhFPxhFa0fHLnbqzzHXq7XBOvKL2jQFJ03TkTYBhs0EE2cu7a7I9 2oixN7RWZjP6UvlDt17NavdGMicGu8tXsUyYd4g3eZn8NusbII9ujz8O3YOUfpxJMSYV8q3C+lvv t5MuavBAUNwb85Avn78lFQIDv8npsVCEEPtwu4ZaSnX63Zf1eis7v3LOPOh52+xUhT1BDhnQl8wf IPL25QMMUxvL5+tUDvkaVGxMWD1Yp5B0VETaaZIsrJ9PWrK/NIfrB4heI4Glte2chgEisX84ialy YBcFD/qedZhcX3FtEXHgzJzcB9NxW7PYgoSigDeofNieuzTbzBuCLZYE761uh45ewm3raPlxj2l+ FQlL53Vd50/hUxbxI/skgMOqm3BZyYIs+obj55DwZSI1rTBBlqfCc3Show8b08iXJSMQNR9MOBld mSqAeNBl44i+b/LBbSJpig2DCAg7TpPkwNhhn6Hsy/2rJK7TGQeatEgyCHdfVUq0pH7wZ3xsmnsU /NsXGO0a0TjnxUIf+4fD+kGplhcXTlGh3DofeQzR6isM4R/9SBqpPefX4oJkqfKAsm4/Pr8JdWp7 Du2sH2LMwFt0GZCXI83P8hvVnrLGRrWM/xD8ezgWT0c0vmPlsH0BfIjLl4KQs8KjV9+0PRtYkI2R kNtk5N0vPWvsq4y5wKWgAn3t2/z5YNXmO0fuSo/Uc72kX10yCOkgywiomkifdfY685cmnhfNUM4M pZOcgywyThz0ALm9qnm1XALFJaIMlUiBFs36PK7rbW5xE1tH/QZ37fgM/7n6NBEYll9B/unr3z2V Lf01D0dfNpSkakihvlWlUWFQOrUHI/62c5OAPbruL5+jDKFzpAL0AXtPO8IC41lNYb5vzscoYVsk ahalPLWtG34m9EidWhptnOUxFpvJd/osCqIBLUj0ljwCNPS9t0b64xBIYUU9I+wfU/ydrUsgGcaK ex6S2975cNXf2DHoor4zEuPQu9ZVKjIDrKI9dMa0SqdRtOae668qBnpxm+bts6seBLqkzg3EvPpW qXY545BWRE2dnZQhZdzlVHlZKKt4ltRhYu2ukDWihF0Yg0+MJdPnCtYhrORKLfrJXJGASxGp+q4D F81dZTwS7gIBSQiRjsMBI6rnwC1Bw3R5nUpoaKHJZx/g6UaxgWjQnvB4zKD3ExnrEcCuHlRCQnRk SFuPGbcHfQMM9lferuys9RmIzohgej+xOi2kypPYDEoWNgkLEwE7mMgxQY+rmdNwgLvpe5c62b8O JmMY9jY4vJfs2Wmxo2Uc1u5azdukRdCpqL5woq9115xAg7Jr0tfHEWnYHXpnR55/Abr8i6rFUpVE gmI/57hy++7OfAxWmu191lx5GvxcxWcOM7AbGxDDddD1lEdyrOXWmGQHlH+llqfrBnSxO99BdF5C SdchQlzPW/PHGdrze1mJ7SSfhkT3DoRsJyF938MFMqcimp+WAEXcTvMRRXaPRbHkm0MaAUMazrox Z0TuK6bQatobNeHCZ75QaHd+FGv9K2CJ0WLZz+R0ecKNUbl4hcBBU/7Yq5PPBUFUVP1xh7qt6ttL pO3Kf3kV00rlLWlZESBtY0sjbwI88KY2qTFjxXZbsCw2E7QX+MV5oIVRZ5Zt3MH3vTPRb1iXP82f 6AzmbIjHDQKOOfPEwlCCxujsx4Q2zodN43wIVx5ZsiHNXNOj+EVw1KQX8mL/p7i9r8p+fBNM1oCe k/TaSjeVMAxhXEvpy6cSMUKkAwZs3I2LqNjxnaU5ArR1qCR7nKg2P7ynv5DzrhobL9kMQeRyd0p0 V3fEcgYTU9B6hoq1S+O+nU61IeNmoOJFlr7/idgyX2T7hJuXm6cif6fUL2SgXu+5LG+SL6Ps0gBh u+woRSKTDXJ62U3q4qHnJ1tgfwgKmBUz95IGLijCIWXrlW462KRJYTsM9r4Z+LH1bIXb41Cb6Xsg O7lMU4y8MfPqRio8jGayrHTLhm7wl0MtliUxXNRd2ujN/dwpEQXIKd51Vc4FcxhpKbF6zpGrRG9T qN8ByAwtQzXKV8y4/5WEUTV/KyQDFnlaX5zx/ULwYuUvqYGw+9vsHZGin9ltfTXl3Qzb3dWAR7Bg kJ1STP6BZ1hDmlVD4g6USz9q1LZ66inYRiAT8ChkEuaTAmE29b4Z1VcqcTjDbDXhjebuph77AsDL uAtsouTHxmPq5pdBv6ksu6JgX95txFgeAM/bt0SU3EpAs6qJZM/RMZbtb9RCT3pNkcDL5V4ZV/Th xLkEWZji8yMYBBzXs8Fg7gGZDG9UQD0jvnKYttdvUNZ32kGmDu8xM5ocwagJjxOzDEXtDH41MfC5 CHbdF8qJFBbkWydLCfE4lfelwa+LfdFW+Jq2fvf8ePxACI+lp6voL+XkOTC0fr30izGXRKOS8nqp VzAqUIxKM0T1DuOeQUO6uqu4k2NQUKmTvEsd+myo31lL6CSNgS8XlLseEq7uw+ffp+9H3xS0CY0G rj32pgbYF+5mO4auqMekU/0UPVGOSo5lxn+F9jrKn05zJNyZ2i/aMdbCFJg6+1euGADrfS9k7au9 oeDZtJGPilWb2iU5I+Gmde9FAd/CWcXQDV9IT5TXKDiU5n0ytGE9bWP7DOF7cicV7f0zjfIHReQc JHvWAliqDzn/b2cqnZZHCqO1AoRIFHrL0BmYVBSYHIz/TlUNPUtttJ+OlYPph/E+Z1q69SFPDgvX QyQhiCtGNBSMZy46TMz153fM60Zv2Crx+yReqB7oy/sTy21UC2vTDx+X9VPUx5qqjVfxptQROZ+U JpGEl4aNHoOMU7LnoTxT0D3z7HV+XuFHr92NhcYAy12782TJRI7H+avLDodqnjEC1zDLdCxGgJ4p nX+PaD45EkmArpf+1Pvbsqgqd+LFvO4tjxQEFvH6EUjF3WtpjMp0mp3zMakTvRcq7b+jbztlxsN8 4Se3xYgr5A+RMJL0adjXCH3uRlFCBsyC7maw9lbUoQNcOz5HCNoDwjxTmFHtNdWGRDse2dSeGpnf i+h0P4it5JgAwMrDEzQPuJrxQIHso3sLZz/bELIUseC232fhh+2dL1+MhR55bunpF8jKvW6XvG8a XAtLm6spX/NklGPQBbAmVfWHLv0C4SYEpCQ7FqypmM1HC/ZiQoG7DuA0gRVf2mjoK9UJ/G8cIiNb Ozpt4g+HXN3ciG+MBlP4DctRG7lOWSj7EQY9p9aqrEcOpMzreioKOyJ7XEHv2hgRezJnsJyQLJdh 19p0gPUX4+klCFojsw5rAkkvwh4Akk2scywf7ygqnaUjfJSmDxsaKD4LHwsLxdzbNQ4ubIBYcdCb sdrosBCktOBAgmIMFvaxpgZk4hpzMxCun+mLXr/SHUAPjM/x22Aaa2iet8uV+OKwfAHZC6ePeAB/ RrCPrDXDwZeXUTDl2ECuDxbmVU14kXWNuj8AnJZylyaQtznynwFcuuXUQLY5z3NmC0UTkzcShD4p 2pf9XApfYMWKDMy8NziZo3uxcSgo4S83MgcfjewW7wjuVkvktjYIfoQcufuoHnt9sP5mamohH02u bjKX+DHgDde1OUj0uPlzDDoGR6dycYZi2zUX/ZvGmTHbJjGnBlB51wMh5GTnwAN1rGGy5G6jhU0C zBNUB1NRsd7gPABTgIknlGpORXYa+PI5TsWrDHdCkU6QSYxXZb1NR2Eh3bKcl5/8rdTIt9sFuJNC crqcdaUXBHT5v96xZKYKWAQ9K9a4PhaHtHgY1SLbnxfgDjxDFk9ihXu4j50B42T03AQxQeTZxEI6 QjTC1II1rWZrCym19kSEXVGdMGp32prfN18V1ho6FbVKtTAmZ2mbQzGAgA9kx0djmpGaSFm5pqT2 8QoY9CzJltSj63Nn76Y6Dv6WtMn/XXP4FpJnNpCTG5aFTR8MAWbMHsvQQwpC7ID5crpT9F+OD0lX c6y/BVdbV4SFupXEbjs3j6kWlkwNEfUjSCakFIzgLJdVBKRRZtpUAoraPv3qjdfxopDuJZInBl1+ 1cbX8smxlRXXHyu8w+MpmT8SYFibI34OhGQF+ZdDFX7wOr/DACtnOQ4NwtHSYcmlL83JxOT/Q+S6 c9hrFWp7dgRKgUvNFljSZtWy4qNXdZjKOhbhJ7ucZP3KJ+WpeEfzKWq9cpSrLZcrqOqGNGUZiKih nllVC2j6VKP4EshjhRXn5/pIZRSPbF8nqvV32ecZ9RzK7OvqAH5oFKzPsQrGUa3CCKZ6QsEEZ3qe 4OE77AJs7WMdk8qX03fsVTbDAERIf4KrihEtVZ2HIO5x2/J9GW5VFu6EN9OyM8dyqydXbUikLQM9 XzQON+PmzWrXxr1zsgA5YKYerbac8YvOQbGhabaqWr0GE6nfi3OQhpomdbj6DUoKTGkgxs6pl+RB wSKz3FuQxGrozNbSbqyFm5xx1Sf6S9HNkNKIAnNOi4cexqyL53RfYEpt5HAtu6LoXiNtNWyXFhFc D2oq2wbNj4+H1JW0imz+wjhJVKymr+6VI6J+/fEBiHaTMScGWglYV21cG49+lluM8M9IUHiDaYL3 c/WY35oFEh6bPSZZOce5tMA/wmIObyRfvxysNmcZqddRiXMDTVYuMyiyGXEHp65TvTBkI9ilfi92 AyLzDBmaF9dg2JdKnH2M/Tz/4EjZV1VyeovF3evUPkH4GWOULB2nhz2OjxihxTQ5hmNCgq6XdwKQ ByNku98IRI9HtPnoAUs/I+PeKIqEnAPczbBEogiTXuVK5ixGjNfX32KhAfzHq0k16MW2zOUSx265 IMQD1kZdrbaiwRV9oQM7CIb9+ChxE+jQRDc6HU9Cj4TRkaRlpcUwGP9iNUvVVt0lXTZSKegwrrrr JxFHiLlItr/+uvzLSSM7NAG3z+o5fkg1LQOXPf39xOHcS8JlRGQ7rGJKN99h4/CPOCmq69BxXHNn 9lmJt6g3lEL+10uK7399z3N9XLUoUsVF5fdJ7HF77VU8PHZ92Knq4rn1bOS97NSBEDttXycsglkK N0GNJsf3YjFa2yjygEoPShVrA0Y1sLEkOVNskFsF1aX5ND5FQ5iiQMIP0irLENaUs7BnHmvqhe1a xZVuRC/qTSna1CyApEbLHEHTuAfNSXgg+kbuRqWeaSf+aq7NPlgUPGkuA11+3VsksCT8urFK61M0 EVQgwE/3CziBSiZnok5MJxTB2H9n/5GXmYq2zQXdItbEp9z0AhzrjHT/z8avLfGpSJYFG4S3Ub3F btrUWoK1dp67SS1sQMI/6uaou4SCY9GYoe5hoAwbFmEooZ0sGhYpaFAh1sF+brmOJsw2c4v402TF IQNdTZ9ouGIki9CQ+AhQTa8lrT9LcSJuY8NVo4fz6GnLqK29ycBgNUP31ZQF1b7Tpy8Xkb8wviX5 3bjWYpZhr8Suw/uFOfu4dKFjJfUYbFyNJ2R/h4l6UY2BZowYJBP85nv026WrmF3SntU9f03B6/Y9 GR2EwMfptNHibOxtcdg+g++xN2gYwgUELupLbcBBrGFi/d0JJQD5+LTxXdsg0oCpf8gliiQh+eX7 HoN2mAxO8qtYh/xbidFqymPC5JHlcZj9FVoeEWC93s6gtghRbypaozeFqQcLuFObWu3eEKHqMK+W NMrwC1+qauJtSjMAwO7fnb10QntM3Gqf6bL/A3uDSoujTpm6vfj60EQ+lgOvWYmp24f6EeF4VY5E mY7EYeU7WPWHAy9dghGz8Xl5LIYIlDKDmu1++75VsoOn7IjHScc3pvNf9jqbdjnQkoHzvJyXu3mO dgrXLrATsK19SSjJCKAWsGwBQiBvFl9sxEp1xm00u1a4JzYwaF+6iELuC9gcKyZfl/vtaWjbqRuY MP+0sppdWRIUxHaEq9DBtPSlyVXnCbyzP1ZoluNqEVjK9+8I5ZfUOK4oXD/JHY04apMvlF+jAFd/ XnpVr4zUNJI0r7EgO45SuiZEvptAHF2TYcD/E2lwhRhCzGqJmgNTE3NliPpZv0pESTYk1Obb5aFq mNKGumm2TKpMhGwPbNDFx9mS/aWPRvBe3yDqG0kPW2dz9mbqGklCoYgAUrYnC6kY1meFlvg/9024 JZzfeXQqclsyaIDSE0e7kQFh4PeXXlHeC0KHvsgXWrwk3d16mayNNhsp9sK/ZmQh086H02HPELl+ lL13bRuuUKMntiRqwbN6ILSsSdNtPSkTdxC/kketwmJRPSS5kppzlPoifmcaCaKjbv4Q4zrEF7a1 CmugF9l90Y/flD/jtN2rrceRpQf6d+rg/t5vQn7vO2D2ZVqLZsJEPgOP91WUpEQPflc3165ui7/m chMASU8dQi1xMAU6QWRtQy8MoR6UUTruaR5hMrR6KJyu6wwPMoAY25vT5vDBq6BeDxolPO08aR8o jqoMguRgBPHxWh6R55JmMBKTNqkHA/6GkSZpzu9cvMpcNjbbQQ1X8EyjJR6IUmddfZAXqOLOca7X DQrlnNSGVVsF7MAhfDsOFJDVeJ5IIWmQjknEWLng/M3L+L5NnRkFzi+dyA0bARpIeBYlYl3VZ8R9 ulvWFihbYvFw52N0hFtRhSvanEVpXQ9bnkWUSf9raZb7+3sXr/+itsz4ikSYdj+NtAiIvCD0t7FR QTNVu8ScRtLVtHrV7DQVvRrw+JMGvwXIUwllDkB6KloQ21npGTEdPZu3SWjtfznDEZ0BBCUFi6uE XTWcB6hM/6BEMbz0hilPpNatyntcV1+4LQikTQCs09XqJ5+xR0kKBOwud9nyz/pFw2J6ChuxCqSc bl/smK3sJuxV1oHEVPNjDAXQM7AD1c1SASREcLocyc8vqeORhxRRKZG0BSm3TPZpanzTTX+4BrHU T1yTffod57jNjXN8COTwngX/8odN7fn1GhZzeRk7iRCo4kFrLHsvC12CihQuSje64bNOCi0wthDu b+qY39htowCiI0l0teBKrqOc7zYqmZWlLdkBHy+u6ob1KUcVQR57V7DGKMnvds3/xqvD+7ewFu3B hxhs/MoGcoOnFAAzBSaPWBgcim0KXpG/Wa/K8vPecgInDYMHU4ME4rXS52M+H1DnSkhZ+XGrxV76 hR36B72rIUnymVOaeT0au6YwEMZuEYl3JR35epBdX0of6jTZXC8mwAYtK8nSIxUJZiZtSVWpXknZ yVxoLI9ScVPe6C78aXFIIFJvNOlCKKHKdu0z/8L18EpaFHQhmA0pArueV8mlQz0OK27ia4XP2SrS RyvvaULk3SmfNVKbJKa5BtOwkJO5AHVJ2ereMAz9I8KKes81TJQOp/Y0gbSOoPgT2d2GEanKYke4 gXN+DFDrVAAVs0zsMGmQM4PIT8pP74Gh4GVZPW+yB186qFVDZjhT42CI7o68YzASM3QV1vunbFVa saekuJlVDspJlhGAY2ybVsHNIEXNJqX4nBM1giicOsTlS6wGfVbDKMl71VmiHsLXftAPQ4ywOK5r seHw3khoBRT6FWr42i14Q+gVbhxdTFmqslvP9wntCLfkzzTZhc5u97UJW8UbmXrpOsLvonU04ChO 8eH2QjfbrOhzd1yAa4iGxaqHql0kjIidAUKCrnDmyC/W49BWZYtMwqxX9fVsgBtIXnyFvCyI6T0h 1qfbnLGaGyWZUZccKm8lWeGcNbifSLVgnlfaCBbHOQKpGCkQicfKJ12ZS4XnF2IiXi2Wli3tl0n7 GatK0NCFsH5pP67j4n+pU7dhcDTQ1juNnr1vUKHgL/SsNfAuZyoNXqKHSs4QM7IY97qMDVMWmn8g oIMSmLFBplpeZElvq5iU4mlM23Z63mAGxYhIMp297A0fFLoFNV/NXqOeTK17rpfhx0n4SPBUa6d6 AJz4z1gXWVqeKtXi7v4/8yVVYgkHrH2ldYEqYHr4X4c2/RexZ/6DBSs+y+HURr3607g23+dl6Vjp fadO6x2d/JHP8QAa9L2jDQtE+DNViIU8bzdd5ME+kbn+o5p9Cdj/sItbakSAjydtnCSeXuEBC5/4 4EQPC/GcV8O9eCQ5dv4ZkUmWeUiXzpBnV8OYSPGiyaEehqwdXXqjxKRL6Dit+lFo93qzZvftLu1S 8azWBsVsvisyKJF52izRYfnAppNqPQHKcM/H7YStRMfCtiMxHbk8uaNO8NgH1eFMhBUHP6uf/+T4 mIxpfpZU9pDGEpvSrUqbnxUTEVlMr3YJ8ghzEDRaJrM5IHA6DcYv6fDuXwH+nxsomVtHs7IWKNtV K83jSeNvvR2CyF16WS81b12WbfTSIPizwHdCa7e152UTf83eMBS3Zeao56jBZH/K/Uk9bkMtO43H eBG4IKzJkeuQVs7+/uclXeltmx1G7wJ2kJu0FOhU7G1QZ/zLOWBxdikMNBfEs2QITIK5gLU+Hoab w2KnseMVhJWX1oIpYZIIOtKBsJxONHB0zEWaLow8DWxfMLrTbGijsHSrM8xKAoSd7sX8h7c5HL/A 6gHYxBWq0Nj/0Vr+qiGVaqphxUh5VLKJuT+Oo6f2P+LzTUtUOlqQ66myex2yCrXtZcmmBNUSGkvo KsLur1P/N/KS5Vt9pu4pjWoClELZF6/GMiioJBIuMQUwPKsLJorP7ryKC6hNqFMr/iy/08FKQgvx Hgj1u1nHDKRd+oFmuzOWcydM2SXtQSrXmvAxI10i+/Oa+bUUzmIqK0Giqo+VO1Zk+4+8s7sD4E05 Q69hRPEXKG1gHzCzi2VjfpK9MkXR0mvqNY3cG6ye0OPZRZrcsbHnOi0edPQU+kOuJUxdC+ocnEVN hFL5TwYCm345mIEcIW9zaVoeJROMrG19TTeQhWo+ycnNKK2nhWSf40w5dUQZucxAsnc8pnpW0qQA OW5M8bfwDlKbVoYAqsrcCETWmHo7MhuGV4moGqLaB8yrSloB1gIH19dsB9xEPW/EDnzCQR+UbiWH QlOuJ4atTixnp1+i8W66pcNKh3r5PCOpHEna3y6dj9lOJjE0zGmsEUMEpr4ucn15d9jeJBauRm99 bCGorzNoakH0gCPQSlOHCGDf2GulYZDLs8ViCqlX8v6yXQRAHfXhZv7dRWEAE7XdmL/I5svkXkHs yvr8frEvsIBb7zoaY5pgWfVLjh6Xj4qAVg8CoZR3Iq8MX7v12n3VBQwfeOJPulJ5SBzbLR9gZE1g /CvP2Pq4lj+62iM7rVO4Vj6aR1W0O50WuN0FaeD4RaN4BnDYDZkSSRVsAAYcijqtrDl+0/JYC3+v PvQZX7I2LmHPc/U9CPBsoQBHgCrEXqH2pl5hSoJ5tRtyOyr4RSz0DkWCx0mBZZVOpMy4ERqk05Vm Lq5LshD7Sx+uW+rQaTOMqsln8vABHOe+xCTr74K5mWT3JLUcDA1B4I1s2i1ME299RfD7k4gKxIAn JkQQa8LLDpETyMo9O7Kj6j2y9FKGa9FzQnYefHtr5L7Raq9/1MxtZ2f6jfaLNhc7+x2t4m1C/hDh JcbfL4t7rKbZSjBiLlvrWviox3+F0h20LEfBobML8cc/iQ2wncYz57TzQ0c4Bb6XSDbIjF5sakEV aH3peOFCKSPZt6BVUbaBnDkyx7eyt5u5BREXvJp7oVMOX2g8hdLQw19B3jroJ5sU6rmmh6DtbVFD kabaVB1DawKzy53KnLg53j0pE8f6afBoM78cNQ03oYNV7RXv2ecTLlB7xQFVNzbznP7GcK4BI3j/ PcLB/T9tXpsYOme/ap5Evk975zScoLrNfmXI4KS5GjzGBUkqEDhgWiKMU4tN2l2PdfoX/5ASRKfE ueD4YKnXG3G6xJBTmUXh2MNbiIzDOEkidPe7ryGVDbYQXhUQbp1WgXXnFG3kFiSIzod4BAnRaQeP q+TwLq7U0fsyPgKF7jWBlYchfcZiMTBFI74aPrruAd8GAI+oSPPouKLY08tz4DThQY3OF/aCM8PN 2wds902iM0M2BP0XzTruxlIYZby4khSeKZ335SOh71//TwLypDBbncjJ1aOg1MT/Uz85RgJ2kYCy KBZuG7fLMAniHCORyAF62tyF1S1K6sQswOEOS8KwvTrjnkWeeQSjxxLKeF1+KFjWD7Yq3jKKqw+3 V6uAMu8BwRzg0x4tifOkIvvyLsJjfjDg7JQ+RLPUd17mx/mQdIS5QaCXimsTGlq1OF9/9fciO+Iy A1xIb5OoAq1OsN9PkWH8qOUG6aZ70/dPOKotNg5UOkguEeaPgTO97on0TBTjqfxTLq8birw2pjVe +psuU18hIc9RLe3kVDfFIduSrcbO/NN1E3lTzaGiO5j/eRNYWiVDi38+9TybJaHbHXdvU8qkVKi6 PAjaF2rKYbYEvgHhArBWE2SEGcSBfjPS5+6koE2QY7zl0YEEw/4vbeU1q23C3rampXVlaENxXfGQ JhsWAxrNgB4NEhVCxPwybUubw6YaGBe9gEsbl37r1RnUPWW54/1FRSjJg6/faHSIh5yzKYKqr9ir UKo6xCLJDHb5y0lvBS/bkhsfhVg6SiOzkdjExh0FdgvXOZsmUr1Tshw82aMPal8CSp1EkWlRYwHy IUnThVoDGPhqjqcQ+wjOKfmOrYAkkAAII1T5w0bDYmx+1mmHi9OjAaIWs1TY5wVMQxFmRlCBpdjQ Vf/52lBm4FJ6Cc4XEKLS353CiqABIvGtgRBySbGVJvrrjP2p0Sy0mvBsPzb1Stjv0altLcP0zZae SrFcHRSqxBWV+Oc8ohm5I/udfuCksx+O4/9NMqfS3PsdnKlzeq2AfXpF/J/uiG7NG2BeB6VQHjZS ps/7L7Z6T5jXZrDkxYgDsg2T3ctey3y5jPzJiMGochd6Rm9QsZ97dXw3w+i/ueHLqmrUB/TYyJUj KctlPdEq4IVB45A9jC3F0S/1TEeIZrthT/NXaPmPpQj8si8X8T8nXnQJEshPz7YUIf2Q4D/PnVlc CkIQOdtlZ2wThh7RhoBlbYOKCc6fTPwR1t10dX2b006dP0jRrLq0z2C4K/IMk/ArjXvFAk2PA0Y3 zLi20UCoHrXFB1t1UB4S7krmKXkFtjwjoUZ2t0yxgA/FRDDQcmU6DMJzq1HYL0DErKkC2NAR55vd Pwd8pHnv9TYFaYleBuRHYq1w5retLXJ0gEi6CSJcDYImB8WPGJw8iOn+YX1MQSoeM70uWbS1nBYU 9u6iHnOtIXGcawe5etToWBrZOjhsALbqKptzYg95yYy8AgEDT9AkWmqIjKnfVMBWQCfq8+tYoXMU y8NzhaBDVW3/y6C3ULcGDhV7OgXjiZ8M13c69gqDCjmfUTy+Ho1XnkH4Y8TT6kk9ZCfy7zgya40f a2eiHjT5QbhuQYuctSh174i7e7DzSeQcWTBtqDJkF3rSl8D/AO0qRboFC4a6VfC04ctE+W7nb8GP ha7INPc6lamFc0j17qUsgHgfeKyxRKS4Vlb1+KV/a2lbusV9gmE4y6P+Sv/imI1l2Dh+LohRG/QD gciZe7lzt9eLFQPDRQjfmA5tRXhtP6MYVS90tZ1u/V+zwtscWNKG1RVsQQ9qjKz7K+/R9XPE92+X GKec0FFPQwBg0XcRW80GE8NbxVW2uwTgrbf4K6WV7QSdO2LyuqTQK0fh9ZYARcleH7MydRk6Agn3 axb2TxfjyUUPk60TJttRidm0x462jNG+0RfWHKTUcX2mUexZyas2VAovbNi/Jae63oNk3GbuNu8c BrglAyhSY99LCKs9Jv4utoqZHMqPH6qx9saQe4ycsNt3Gyc+T18f/H4an4G8dI1xI0EsrqOYADHJ JPgXHiV6JRUPMdUfGQPzNlnlhDQT9eM2lBNISxuAU366B8+SDCZzLhd0wSiQmjEpfDO/nsRWPSET 0MigC2xaORzt6jfkkWUE+KdVxVJnsyL34orDRQ7btNylUCVA0o7ukEZJ1jhhOfDRVJjXb70sHkJm qSdmxYR78mXKF1/UHtwgu98F6IKP58cfny9fX4T5LSEakuSE/K2yeiQZnMrqxJRnVSsPG78dElkr amfh3ez3IuKrsdvXnH7p6uB9BKpdMPhKgnk0P1DLMnWd4nU4sN1KSzT8K6sIP1v5Pk7Qg4f8D8XY Hf5xS0LeRlSlIVKs6brGhuVJxuuayS6WenK0z2cffxLJn9saP7e/AzsfeF/HIqHQqfi2hquX4zek 9kWh9hvKQ2bM3HZVnK3UQbxyXbZ1g/dKNZNekIaiPNBdOmFcG8vPkIskFWGu31P0da8lB4cCej14 lqW5pS7g+3Ef494l4xjYDVTqmBIy7q8fwHsnXRRp2l7/TfznBX0aY0+7y99Iu+5f4WEzh3nU51Bc TNwJfRrM64XcCVr19vAD9B1PTTRtHYUCXkynfo2HeliDbH8Xl+AoeHc+zeqfsM3vFHk+gtH8Wpka KOCob2a1H6vWlwMi4h4Ooi1+g9NBsZOO2OuCLaTzObqc3uPMzGN2M8KV6fIYgHCO179L+3yaW46Y dO162F8/+2L62ceIS4D2rbdFJ3wP6wM3tLxSCeL0ekNHQWvg/MLThHpyTHCnUxaPXW1DknW8gZuF PkDhgPFr+Hw6fV+PdJHbtKWKyPR2SYZW29ldzgh36FVk+eh0JEtRdkenyE+KtGg8UEYGXCgl0xum Vdzal8HKoPt5PXpeIBdbWf0Vz5sMWTaGBWra0+vjrJaw9Ci29l/M4lWxVvgg/dypczZpnCXWfmAO CbBnajo6s9HEAgugjlKAK2dHwXnJmnDFsWuBSHWcuWI4B7l82tECy58rfvi9mSCR4hUCZOigVBxo Z2egef4K87y6/quNboDag6HSgKqJOb+MiPJFtj6p+e2kOl4xZ9DKBkO42JzUlrAvzgzqMjHGiJbh 3qoGK+g= `protect end_protected
mit
Nic30/hwtHdlParsers
hwtHdlParsers/tests/vhdlCodesign/vhdl/tripleNestedPackage/c.vhd
1
27
package c is end package;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/rtl/switch_port/mac/tri_mode_ethernet_mac_0_support_resets.vhd
2
6315
-------------------------------------------------------------------------------- -- File : tri_mode_ethernet_mac_0_support_resets.vhd -- Author : Xilinx Inc. -- ----------------------------------------------------------------------------- -- (c) Copyright 2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ----------------------------------------------------------------------------- -- Description: This module holds the shared resets for the IDELAYCTRL -------------------------------------------------------------------------------- library unisim; use unisim.vcomponents.all; library ieee; use ieee.std_logic_1164.all; entity tri_mode_ethernet_mac_0_support_resets is port ( glbl_rstn : in std_logic; refclk : in std_logic; idelayctrl_ready : in std_logic; idelayctrl_reset_out : out std_logic -- The reset pulse for the IDELAYCTRL. ); end tri_mode_ethernet_mac_0_support_resets; architecture xilinx of tri_mode_ethernet_mac_0_support_resets is ------------------------------------------------------------------------------ -- Component declaration for the reset synchroniser ------------------------------------------------------------------------------ component aeg_design_0_reset_sync port ( reset_in : in std_logic; -- Active high asynchronous reset enable : in std_logic; clk : in std_logic; -- clock to be sync'ed to reset_out : out std_logic -- "Synchronised" reset signal ); end component; signal glbl_rst : std_logic; signal idelayctrl_reset_in : std_logic; -- Used to trigger reset_sync generation in refclk domain. signal idelayctrl_reset_sync : std_logic; -- Used to create a reset pulse in the IDELAYCTRL refclk domain. signal idelay_reset_cnt : std_logic_vector(3 downto 0); -- Counter to create a long IDELAYCTRL reset pulse. signal idelayctrl_reset : std_logic; begin glbl_rst <= not glbl_rstn; idelayctrl_reset_out <= idelayctrl_reset; idelayctrl_reset_in <= glbl_rst or not idelayctrl_ready; -- Create a synchronous reset in the IDELAYCTRL refclk clock domain. idelayctrl_reset_gen : aeg_design_0_reset_sync port map( clk => refclk, enable => '1', reset_in => idelayctrl_reset_in, reset_out => idelayctrl_reset_sync ); -- Reset circuitry for the IDELAYCTRL reset. -- The IDELAYCTRL must experience a pulse which is at least 50 ns in -- duration. This is ten clock cycles of the 200MHz refclk. Here we -- drive the reset pulse for 12 clock cycles. process (refclk) begin if refclk'event and refclk = '1' then if idelayctrl_reset_sync = '1' then idelay_reset_cnt <= "0000"; idelayctrl_reset <= '1'; else idelayctrl_reset <= '1'; case idelay_reset_cnt is when "0000" => idelay_reset_cnt <= "0001"; when "0001" => idelay_reset_cnt <= "0010"; when "0010" => idelay_reset_cnt <= "0011"; when "0011" => idelay_reset_cnt <= "0100"; when "0100" => idelay_reset_cnt <= "0101"; when "0101" => idelay_reset_cnt <= "0110"; when "0110" => idelay_reset_cnt <= "0111"; when "0111" => idelay_reset_cnt <= "1000"; when "1000" => idelay_reset_cnt <= "1001"; when "1001" => idelay_reset_cnt <= "1010"; when "1010" => idelay_reset_cnt <= "1011"; when "1011" => idelay_reset_cnt <= "1100"; when "1100" => idelay_reset_cnt <= "1101"; when "1101" => idelay_reset_cnt <= "1110"; when others => idelay_reset_cnt <= "1110"; idelayctrl_reset <= '0'; end case; end if; end if; end process; end xilinx;
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/ramfifo/rd_fwft.vhd
5
38466
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SUXCb2jBIUqUBHVlN0KPH+td3p2TKZFkfevOFlKZ2ylGNwbKusPtMhbEawoW9JJ0K9Eiyz+toT/p 7BwBjMnW9Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BcT09+p9KTltwYQFP+cWp6ldOVhCR3aeYMfocuXkweVU4J1pKGI3DEKzmhz5NU7r9XQc7lkMMb1t Hn0hTUFQVI5e0mSUtCkS8sen0DLuGCCmCtzblkhAK+/QVoPp0mrt4JcZLjmR7n45JcA8hZDVsKvB WRTNHU2saP5hajEOils= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DOrZ3ipc0lp5XyWYVtHWdLeAzigiDawQXTBrb7sjhbm9nv/ZmWoHNirIqbodPnMJ6e/tWquICHfZ W3RYlxL2QzrlClDCNMIzCVaFqVdGVVVxQ1CJOALPvGG3dltR/Rb24nT0npXJAs7ffleb1kqf32I1 XtNO7gKq7nKKW3YZ6qAzjjtnOcaX20zeVWRBOC6SKJtT29FQVwapEUEsFeZyaRCXwgyJAlnsyi4A weN/uNGaosxTeyUi3CfGTgwoX48cmI1bJWYaPt5q+UkLp7oRJ5grLNaPafzQniTGGFClqQxSMwzl bG3UJHLqkTWALL2O7W/uhHiwpXdhUDcqNOh4Ng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xjlzt8Vc0gLNoLfvlgzWUtUEK2+RCkp9337xEPMzz1K9oxxwYuLXr6wg6IfA2Zr4kxHLpN/FnvAb H3kZfyvE3gmi+BXT89f3QWXABVeRQliOMv+mmBn/OLrjSceJoBB2E1BgixJyqMFMZlST4UnoaLxf n+GhuQ7Pz1izATR45j4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DQKDJqOXItRBwnrst9GQlPLjJsR1Xdplvzd8+wGTvl7oPLUIf8+bosi017W3UEjnil2tJiF5Bc4Q SHMlS6sr4EvjpFVXDCxap52Ze7PXfGkdq5RFJg22dsmozjQzAIBN0eoo7J6WNMFT4ezK/5ILZbhD s1ASzUJUaIw66Y5bcGrVM5w6STHYbmYPwjr7fDTbppkcamsE3fx6eFcDB0P5vkoeZ/3Hc4vWG/WB RE0JJuXLcoE4TM6Yxvt6flzNyus/j/ixSZyA1wdjP/QnLoxGE+wrRsbdw28w2/VpBJmOHR5yGx7e /IC+kPGNoygynH4b5EhwgTJjZjQZJvKmfH1ifQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736) `protect data_block i91Ajb1vzx8Hv7uEUoeJjrdn0kzmcJB8ecMMfO+iUabeludcx5u05pPnKylq3Nw9D8hrIS5YSfIM DHH21+Tld1ZgtGsxliDpU2pVLhsgElz9FUjnDKqt3vxr7i4fCGULgBJUmGskS8h5VqHg6VzshtR9 heBPTlj94l3jFviNru1sz91Rvw6lrtZg2AqvbL6cFRMZFE7YTtu6qo49MjSACyqkNwT6ioPkDwr/ 3j52Y4i8QzC57kxmTzb0rFR70BMvbgAq2UgxWjdIs/55anRuTwdpJOm6qCZLRQZsCm/0gxHePBx5 fpaE0jHoppDDBZhzZrkgr/OGNXDqtIRveNVqaKe8b/7nhILYewzqCQoHPoLSKuXgVS+pWac9Xh4W 78rwdk+Yzrj0oaBs0pdTyeiDKfsHcwpF9CVs3DeLSW/1Bgk2LKpkOvjgOGGu9rlZiRICg1R7/LqV vlMXyIXCE/CDEHvDBcFtCB4KmHlxP+ABiAZWejGUkcos+uYIH8CKHxZbsGEAQ+Cfl37TSKXi+2G6 GykpixGN39MIMqDh5BAROo3aOfuIxpRh5kqIXErg2gThNS/nF1eNh3XmgJ/qJuvW73m4QwzGXJ5G Pauvo7w9HzyLDR6r/E9ZInJHennTFPInfNDI5hKHhk1PeL4eMxcugOlxUz2OhEGwev1mZP8DoxyE vErn+UIAJnAUxpfZ/gGBFU312ZtwpzEwv0/xRTzXlV57VtFsHJ3xeYJ1tid662zaXbLdplc5emzE NDDCKT5crzm4jaow3z2s9GoUwibieab2m2d0UeZjNfYiBZrG9hR3zzi3Gjzpf1jahi21L8DCTCxv Di9loom1XSumIhZu4fJMQVQT2lhagBbYY3RtOQ60jGP7LB3uWbhqvpbd0JzTOfSbv1bhFZr9rBLz oOKNrYTjQJ017IlwfPfuJdhQmukqOzPH6Bz/v2LvgtpTrx9q4DyDqXLsEM5Lmu8fHDgysAQvr5S0 rXNE4Pgji8J3QqCZXhMXnuBETQ66dIffrqLJUhTE6ZJc3WaKEKTK+kTIGvjjV1I5G0arT92GV9aT veP+LmDERP3py4h8Hbfh54rfr2UnDF3f92OOR5hQEw48q5hLe4ye1c1qxv2sEZtslxROz41G/jeR ioghYzuBrCtS7XmWRBWkIdQEUaoOqrVr1aYZbQLPJGSt7xfPUua8wMXF6udumbQ7tv1BonsiPdza axgbe98I3j+XgU2tV9DtugtnpxFLkTujJQRWNn41uQ9v2Xf8Ex5UZ040ZgONhZmWRvkS74ms13n4 nrKekO0XdAL+ImrWO7XUjLsDLQ/sz6lxt65Xi0weXiKNw6rj2ldSdqyYQsmlsYuMs/32iXo9p7Wj F1QRJOVO+JvIFa9xt/vQBjedjuwjQWkKaBV0ZI2+BeWRbs2Kkxr5YK81m063Fbu74g4/czzht8uK 8gMCiWikUVY4FAt7orxTGoVOFsa39b1uIENDkUXx3GmZwLyOlIDAilRSXPWIIdy0pTRUFdYGoP2f /mSwWpOFHeD1x8kePO8mlohHViPRm4DZYGvy5Yb5o6w5Wsc78LLn43PjuqmRYJ2FdMYHG0j17wyH 84jQA+LShsiuEWRZwxpGL/WxvqLThNMoGToso+I37nBbFVQDgGUU7YDrXQhoxXmBA4kVqGMhYSRS nLM7roQLus8ljViuxQWmoAWyDLyTlw47SboC96Z+fGMuZNGE2xN7vzuC4SkJkEO6FcG+nL5gB3zC PTzgbniSXuvb6GxygIA2K6eCZ/bFQEZ6yB8DQg5B0sQ0noKHNunQiyHwI0f1nhTNL5veNU3uH9KN 3lFNwSKIZ/5nC80260orqgMSyY9LzA5DrG/fD1bxKX2rcg4nukkb2+IlV5aztaLkXLg33KVL8q0y 0X+0FO/BFKLXv1ljWM1t+MXUl/wT3ST1ae245IwKLwskDuEDDBZjIzoeAmWmxsm41SGuPHg8VOHd LIwUoIJPoyRFEei2yJqr4sqyO68l2Pi481VtmzVYzRbnwv4qvD3trUlFMPY/N+lqOmGbsqkjpi6b x0/0WgojMe/XpxOAX8LMkwlDGydy7bIEaUHiKe6DSb5aDAxqhYA3KjFI8cDqKhEX8MG1GNLVYqlf ewuVa3UDJIgr72BdYo3dpt0eMguQau+X2aXhbiEhd1jayl1By5sHxj97Nrnu0QvytFgQHC+HRIYo 58pR/LuQ5Vp4jqzVthxqcVFK4twi+Zn6Hw1/Wgc5+2QWTdSmOcSOM0vZ6sL8V6BNmxyyKip1SW/Z Np2SRd/WBEhGU08weIH9PSApOTWheOSX1jQ3NF2ojkHE+nvjv+2yUZFuGW1TEQ6NzqIfvnED/HZ3 XLKktbNP2BHc+5uzZOmI8hbFzTqSjUHcCoHO3jcflRMrVEZeJm0WiAYKVLynv4EP24a7IFcioMEa BV1Lc5CA5Q9fo7tQe+bJpZK0R2Vra/oiitquyG3Ee4O6AbR7mjwoD7ttc4/VUvpJr+rxZ+VvC8Ap s8p5yPwYEisbHMMWg/yWXXuunBXN1piCJGEP+2L4v1IW/csFz9v2cAHgVbFIe6kOrF9Jye6j/Kcf 6hdiTugJDytDbhdCQDm5JJkmRU6runoLcWBp4oGoMN8rsmmVxhlpk75g51PvHSbMvKsU3a73KMXx vA6ZS58FZdDD0+65o9vb2r5aqaAjFhlgqCg0/Ch6k8rw9kMt2LLO5DbAVBdoLIiVEt6BwNkCjm5Q rEZyFjZC5B+Ms3+g5GSlopuOob8z54UrdRWMGyouF8G56xazH5XdJjG9dDmegd/92ejMsuC/gzVO QLJya8GSptv/ZLG/6jzCK/bsOgdQ1kHrIq2bLWZSGXIul8IfX6POqmspyNlT1F82lJlDJqEf7csn IqLGKdHK4b0RldXd4+LH51u6CoBa2GABlCtrpvcloZKfqLhUUJrU1AC7uM2tmawHMTS6HcvW6q8t IHgoOQsKVGdsuUUlebv4VO/tj5efiamzlZWnCCOKPsOgNUXG/ywZQp84yZJBk7mboCB8u9jzuvvT RAIWFjEMQvgRMhK0N9zkSLjFBIkUHuWLV9ofW1CEGjkFamy0ZP5+/3KoiWJux0jXCsVuxNIV114e Rd8QyV1Eb57GejasC5/4+frKc3HXIlh6ALYsr12CVsyc3WXmTw4Rh5TOro/8ZtWySIeGT3qoKSKT ddqXReOe1zO2Y4Bxs2ht6+/2WOIvSLXe6G1AHfK7eMXEcGH8oJ4PD3SZTqcDsKoJ4yAp8FiI4RN9 VggAa+Ii4onxsddULrINr4Euc1vShQ4U0jqu9YoflpPiBsQTlepuZRrKp6dv4ynIWzjIQ0SO1WlB riJ2C7+QVOBNZ78qRDeLZkWaCH1p6p+9WJq7tZLygEmlXcTdwepZIw5bPmPTPGjlO7sX39gZlmlb tG/zTb358iQUNRdu5p+hMw7nfsL4hOlgHmGQNbDEJ5KMLz3lkEN2sBxBSr2hK1ra4cCqqF9zp0jQ tvtdfMd0GGCqXiRKPsi9Pe9wtUhP5WbAzNLkrovKDxJOSXJ8jSLsC7c2PnwwVPU3F9NnpbNloXfR M7+4GNZOEsRoV/v03pjTiVXkISiWBGJQs2IcKcwaKw1XiAQX9H+X7i6ElusmW3wclayBmnJsFOG9 2wMJEFeaWuOifBR+ui2UV6k8oheAo6nB1LPLD6D0STzYk/hIB9p+4cn2M9MIS0enauYbEGN+FgWX eBWOGrmnEVXd3MZj5qZiFF+m1EM+ztKo4eYguzIP+uyfSS0QWYgzkDjPdfNcEY94R61WUKKyzyrE Q0JVdsbfE+NuyKOm5Ggv+toV2IOIyzJR+Y0fC3uH14ETrEUjb1XovOeRWWTvqTJYgxjDeUPCLlA7 N8xI/8JBRzprclk2uSuhx7Z9F3ZeeGS+vjs5yoHK9/0OV+w941nKHL2YJ141+XDxj07D27KtbTRA i6hgnlry+GkL06hBkeenweIfRxZW08jBixXg6NaQ/ftDNC7ZB8IvAlFB8382YKgirHqQzeDjdkl2 XwX/rdIfVovmORc54mAdImlb+P5v3ucjRt3x4LyfiEdW5zqOHY76WUt7t4IB22CEd6OC/TI7ABbB VL7SU3GRvV8QN0MIpXdxVYDoS3Y0aRovHLsonErca1pBR0ZyAFQJD7pYNVb8eMl5rKzeMu2M4Zim GKLDSNp51wE5MrljDRU68GYAynL5bL80GYabH/WP7/v7MqpVncefqwnlbN9sDBzKP/+BE1R1Jzml f1QflOQJBWmR1w2M9tUFThgbMo/U3gTo9FqUKpG4uOIOGUzSgGJ0PTLE0+UAAuCzvk3T/IAIjuKV DHhTqC6+yTvsHhfEfbz75SdhZovTlpwOobzE/URLkW1vkr1a9BjFcLJhibUUaFW2Pq0cx6S1/ILz 2GxT/Nw85qHcjTE3o2uDNL/GGnnIfVtCK+9nWBA0KJ1ookyWovwOzUI08wDIJRQJe3dc8L92PUnv kNVdcD/KptYckmFWyVPkR+C6h5KMOAQEqzW98oxbtYk6sVGo7hML5ZBrC5V1H9pgx3acPt4YaizK zxgjpoTL/taV5rOlzf3ZEFNee+Vr7L1MElZQ53zon15eXGa8sgX8DGTm0r5nQPzw62EEHFKvIr6Q w5psmSTk8TA1gz+l71OIC0A0ZgaPHBnV2b1L1ldB8j2N3Dxtw/GONEhfU3T1f8d82VKKMJ2zxxFW E/flOEI9rcD0RZWoFnDYmrgRe8FfVbTp2UMO7yhASr9J9OQDbC2oAtaJsWA0vDS3psXqlIu7XCeH MVXCSE4xbZjgP/YnX0h89k7N9S2ie46Wt2Ou+oVI/jqhsXrFpdjC31pgYsWXcOMhNd9TyCYVM3nN 6MQ2f8auLfrJ3G5kuSeSL8lotBltF7M3AdXnsg2I+SJ0iPxThN+EibssxvI8fC24cNhkBL3mnOqe cafYAsUW9lnQqUztVBfTurm5rDB0cx4/JRAuwSXEwwlFtI0s8yh4bCdc4+JJUalG8KKrH4tlInvv w121V6+0iXLsMQ/q1hNIvdJ1xA0yod/n1W/KLo6UsGQsPa+0RZ6v0tklYwbi+pgks+sc910PF8er ZocbIAN5o1havgNx0aeZ72c2TXas8ekrK6jtNVBepwz42KxSBN5K+pcAwr9ob/nuDZ37eX8MKJxM eLTDjZIEfCrCJNjFxeqUc4i7JJXiZJ3kB2zB2CDG7B1sBJmmqJ4g3P1qM2oSmMGwZ6LTuZcaSmIT Lgzi8fsjBxT/tFOnX/9AB6PJcrTHdDQpaHVjW/Y+ARJ3bwxG5VwkkY6PrZoQzyqU6NY5QVpit42U Kwdci84ZVbVZmtQB6IGeC5nCe+yF8YGX2g/9nivGT2K/FF5flBYnW72zN10cxiMdVu5RGnulJJDU VC1L07suwBMaMzhiQi9jYBkO9UnF5k5uxMGUeaIIoComdw/tpmBKLvuqKYoWG2/r2nGn63Ysp2qA 1b3VN8GZUyc7j/NbdVDU20Q7sScwXZhICN5hsKZi075hJ8b7n/MerPEFJmcyI8X7Q6KwWD0wGxRq jcM5mVI4aGka+LPwt4W5ZQbMJ7FzQukPtPuTrLn8WLgcg6y3Aj4A5m9YVjsm0KzLYFX/LNgPeywf LOk6E2xPV/jwluqQiphU4wyoFcVk62XDHCfbcHSNLMNcI/6+9CBehhwSUP82f+UjSBnjm13e267P QGBr8x1xEAZbDJ8LW9ZnjaPxE0IEvPTpX3EHHYQPDwoiEOf4dKl4MdtcEZ0EaVMObuxpuVSg2++a bwBK0VnfaPB1g7HjIEA0fWQsi4ysxV71kNH+b8Tl1VvTxep6QynmaVE4oTlJ3BWYq2b6hSMrshCu xEzolYVZTb2TvxrkYYtH2lCpTroTgXIU4calCrggQ7jLxIJmGcf8xwMO3xPe61kO1XhNCSx3m9+o vEHeBgiHjkIJeu6f33SwrlOW8WtHeIATLZaq9a8OEPL8bG+6PDXXmYZd1bp5Ly/CnTbJ8HjaRFCF yPuQ3AyJaFcXm/5VCPFNbWe9uqrwKHd1wHnPnM90YV3bgxB902ZwpqNv/ko5TPYrPJKoCjD/Ln5/ XIebL9ttnmTXB/ctbk8y/F1kVELJBKNrcozxjhy2bpiX7McKsfrrXmiCFkKN8PFwIRWkbnd5Irhe 9E7lYFuZcocKiGGvIduHigkfQ82gO9qNnHWEsGDdbGRziXhJCZrtNzrM7WLajMuOT5mzQqb97Q2e mUnDRz/Bq371mYn2N4ru4PYtVhYbBOVUbS/I+Xj3uYM0m5HI6yOKHPUqjytdbk2yQUxFUnh3FOiF 6qwAOLXPQCR2VeK7C+/I/E24+irf1cTMIxMWKR9YT5ppiC4JvG21fHfvIu6e2dCXzQmAYweD8rZD 587gHZaY2VcGxFVin6P5oHuGyXIt1xTovK4QYYdrgiCpUTzkpNmr5UrV+wylTo2nbdFEut/8jnlY 4nH6akbWVAAEokG21paC3wfpuK8QoBkHz4NcpQZB+I1ZDwAJWoC89JtnDgKK7mCPImujPHJ5lGma qAqHMZKqW7jFVwPkeNk5M29JUq0M630tz9mwRURNrJS0QmWWv0st4nbaqMLCDWqu2ngHuEJXsf6O ckJiBVnAACdJli+UC8j10sN2gkwl6puU0tUFyYjHgdg72HSxT/eby4uqWf8aPPklFrPfIq3J1O9J k60JwSeAGyyiz+g339OnuT4pTd/HJmFbV9NBbPNxCMQlNhaqXSYyy+uXC6WfBoo0cy7f0X8/y1Fh OMggylljJhDnvllc7z5T91qp27pulX/7LFNBWygmprHMnhkpN7DnciPy3m5HVd8gkakvv3tF1Wer M7gt94VrCiFY5VtjY2nUmfasj5+sxM4VvOWWCCoWqI8mLVbNUPwbnfcm72T3ctpCD+WzmlUC20pv Y+5NjrvkPyCwmcG1rHL15W7gfwfbJ9weuHGJhCQkSofHIKDoANAFhaXD2pq35vQtPtq5xMlrDsHa u1C/251WckjRnKafEG/D/W4R2KNpTEISOGZy1+J5ZWGVNX53+rQpo8UAbzczRmcZKGk4hEghxDKA S5Jm1OR5+0W8s86QsRkdoXiE3kQjh3wkwaV0lPnLedv6XmXYYS0cflQE2RjsQXTwCqe7mJKQF6iW SdlBE4roblfCDyQLZkLGZmPMIO6d+rt1JM0IBRoU0/GUCdl79b3UtLMuvgwvGSGuQnb7YBGf7yWn Hs6Iv3h+aS9jIuTMRx96fuH2WyhCyYKBvV1DCNYK9g6umKk0hhyyVNthDs3ZiK5+wu6SiV0YLlpI mi5DVH3d8/ozB5oQpa1hiikHY5WS+yXz2nGuC18g8Y1Oykw+bGB4bp2sIHVdwl5ThucI3U2PPFuT 6kdEmUdxvquxS4zQA3FFg63wS5+12eSlIRb4qYVPVAvD1d7nKrN2xOKyJP7JEayC9FeAeg9pRnYt Z4vELfW+5Ru18v9yM4hriQoPnU067cNSAr8kiipmlqTTTULUhUnvaa/WtvKFIzvavalTnkdcIcwg h4gfD4N1Lt0yDfX1xHBqwB5n9TC7DV/miKg8byz7+tlxwnTItH0hTgotKTMy4v0i/X3/xgz6FkLB HdtY4HrD/Xud3zLfphtqEGXNmM4C24Po7WYYonnBQfRbtCv1e0L+FLKsYpOpHfc15rTKFyZW1gSt FGGtEhKo39aG8vC5yjkTYFnXuShdDCveyOaKCETnxdMky76Gb6ZhZ15LHGgsD38F2V0ysSikOBRD nd0IsArwKcz4WtE2c6MVNb0a/Yaxo6m1ufsYLNBts3kBJwcpl0NXmzZk1ltAJbrhXZJ/+93A2k3q ALdfSI/v2brSB9Z/7emvR+l7cppsLk82n9+DZ1QhC/fZB76+iGaV4mJ8ycxdElOKLtPUZMxj+w7w CcbkAsLoBAiQ1OtUseoIvwuITf/4x+Q4EJmW3EZybWUveSnAbmP5kdac6V6yPUuDIjVX2auH96ki 8Y5MwPX2AlbieGdbLdF0g0Y7O1WFNHL8RtexMkXrtq7Oxcm/VNleKvApcqkTsP9AKbTDqjlRa+Rz C0fcDGIUe3z+vWj8t6AVjA5yNI22Jh4eIse/g6pDrT5cQ1wGNZ1XYf2l1mVDmvfKCB32anHLEfyo aHsE/0oi7JaAQJU9FcRUJfxSymJj6HwRexJE2NxFI7C3DVc1DMeFQ3JVtY3QEv5u0Id3HUV71Lvr 2lib3bUPi8H97GyLRJh38YZAnNG8U3whVB5GWiamkD8nKRQiAFxSqljKgs/GeJ2ENRdd4qZdSTdr xDMSGIMKVSvLD/pD/dFx3Apn+nxWDzodPaSD4XDsqoFLKD9XJS7YjeclLN+bUHkrHHKhZ8KnPyKM mhWYnQDI3YA2RUG0gcth+aTCYydDECxSzRvu0mvxtF4rVTE8qrrcNXhpt5eBSWcr7NbJ6LQz9KdW 0BTWkdlRrzFcmFwG01RkXW4j/7D6aedBKUJOK5cwOgU1hOoNXk4zORjM68lY+w+TML5kXtoRwrEj AL7wqVClycUi2VHvVcX9i17+Qp+Q9e3/HaieMB0DTKfmX+EAi1PipTgmnSG1WM7Soqv+Tc42PfWs F2hok8GSYUyQbbZ8Gg6UodxI1X9OxRkwno1FXHxvla6dJzZywHMZganOHkcBgCSf5JuabVsgcwwh DubDqanLm0txQ1kEKqS9zK81foru8TaQz6bnkXOo+AiThwgozKjmRSIeNsKB82xa07LyBP93ZaCO oEAtbEX0ULYBGDzD8jiXRZy0xj1R6dHYiNQj3Uz0cgK5H7vGExQu4yuTluTdciTMtt+XysPhCSUw 4VkcfbQnbuxPr99XE3GHlSJNxDJYVMAMap8w0WYkH5VyiO4waigo4GPakAf3hiCxwjdtolvauRjy 3zpqa75fPhmD8DH+0sx/kNBiWZvic7xOVuMFxe+Gns/vL/Q1B+LvHSd91ZMKvlDL8TC0T5nq/RM2 arcVcy1KTDhN4EppzNYZHy5WOLQyazZNKD1SQKw0apzUShce6+yzsjj67ukk1EospCweWV4459r7 lbHbwbvF05ik5s0dQeJsVcRCSl1rtGxSNhMQs8EF+HnteKAxyWeeum3KfGKlaU3+YUqg7rvexxhc C7JShgMjDWtfyIxiymL/xmi944c2bbSUut3GoKX2BicP0CqyTbxTVuTPLQL8JFv8VwZuQaIRRoSc Y2DFAKoWWorcXUC/V9APWgh8aEoLPg2zCX7oejxxKemTrAqn50bgc/3Ph81OYCxoTw/dYpGWOmwU es1WMyTKKfZMMxHCVSrMU2N+ib9mb7c/rEwL+EBJDy1Jcz9qqzUhFfKZrAI67VWPu96MQiI9tyr2 FFrhCsxLDyJFH/QSrAchcHcd7eDTqpN4yiAEtIaNOgWBi80lv70dVDLexrftX8rJ5+qhU6FhIm+/ eDZJme9nurjTpzU728JXGB/L9NN4hELjQCcRDky8eXVBWfGd2FzOio84KOjV5esAQCEQZatNLZ1v xNP+kWQmRzHQVyWZ3wPDD6uAmClxSLzTlkrCpPukc0L8KV+88taAWtdn+lcd8MDJmVAtkAeNwH6f I7lO04rdj8nSylJFZNeJU5G6K4w80bAZhF48rOkqTjzx64iVxWc/eSWxOWZ/cyAv8unyCO7/UvL4 9t0VJXB1R0SIDOpjn5J+R2I6gsvOAi71x9cOaHk9d9MNm3Jz+vJJ7cosO3EMc3DTv3jHrBaCDpeg kSNHcTrOPC1o/zqKXjylAyTaWKDcOQBvoFtSlzcV2fWa2y3bGFghk315sFLpIxjJxBmS8CSf4yKj 0gE5Hl7JwiYcoefNINyknoYTIcf07i/fvfjoTRbKGbJ7dioJXhX97/D2fw7TPKGvE4pm7sBuHxYX jsQGKCwmJs13S5rYrz7Jeju04EeRCMRSbl1t4QuE4ma18sI52QLZsqon07+ThRThHjNDOjxpkJfS 5HySuZf/GzPCgprjCPFpX23+PRUDknLqH5R6s+izzkvbaNt7EoImz1p4pBLmGKvxHF5tQzua9u2S nITlKTSt0QY3WlluMiZksMyGdh2XNnI+/DjT2PqqDaWAAUVVGrFW2gghjXgzC8qWr8fh1/5lE/wl qj/2uM5LEYm28a8mcUjmiR+z01iNMLEZd0tIj1SXBf1fVO5woRn2YAQRCVWn+MRmBdUz9EZiXDbB 8NbPkfoP0v4+vGTSHZkw4SguzOLIpFOKDPy4lKJNo8tiwow2cTGYFR3uaaZRONZbIfQEjsw92O6c kqTjOGwhhXrjtzjN2fjZzGr3H5j8lMo3bO74WoTCutj15Th60NoRiHZM5baRoeZJTaDL+OZodS4q PrAr3hyDjQOk8cNocCfJJfq/bUqZlpyOx5xDuhDQt8gys9QPwEmz+UwsWhz6LbQ05n038i+ha+LE SIVqQrnulTmfBrjE/tLCgerB6tcmG7aSV0rCnExuJ22xVSjpJxuYBRVdIV0vacdibbDkRdckpB9V zZWgdTG6a3nDo3w5GmbeYq1YdcCfUBQ8bJJHG2fYOXVOEacbrfvcxrJdjDMqWXHz5zKbVFbwU5IN b8s67ChT+9xn3cntthP82v8IaJ89k0D/1Z7VJTdRXJ/fNBfGHmK4GSbn7CvjdTFae7FHpCnnkQB8 FVx9HCtO5gyDDVi4SviiyCkzaQxflFxIj7ajDTlh0WTZGyA2QQfPn6XJMKLs3cX7QWBSB4QqwDi4 Pm069m9EPSry5uC/TMi6bzl5xfTyibFTjelYw2mDXCvWhU6PcT3wvPDu23IeuHJ2eZx4fWDbq+KN rCImYvNFd++E47i0L0SHemrxV+KfIfx6sIwoAz7ohVo2dIb573X7G7MEj/v3N19DICME0AIAIQDC 9PP7rB8P+pW97NIF4EbSHhjl8b94kND1N70VwkWzmKlt5IljIZx8CY6NUwWAWVww+mKze9KwFN4h 6sPpDRiiAEUuD9TErtvmQGqga2vcU6E5JOL2E+t0y/26xc+hMwCi5q9TC+D2bdxlVNcjminGxN5A xei78lcBe+559OTFKoR6uO6Uxb6ObWq704/y+kN9htXqiKO+EItVU+vsGVRffmeHQJdjzrDYFU2w GjbO1Kb9HdT1TMfPPLb8H9DB+5Cg+T5aKvDN6xKDz50vdX5PejiSUw3sx5QvH+Zvi4+yfl7feO1X 16ItQYOf3Q0Gyqiv6sou7hc6FS2DCjJPZ7EsAxDpeAavDqjVwmQ2zJw2CgkqEiDTOCOeumyGa49I pwwwjSOit7rS44v9mx04yh2BIbWAta42MVwtPVEmK4ZqvKlQTXYnc17zVDa6oWBR79Ju+JvXe42z +qhvKmYjdBh2SsC4oveGPoHvld4MnUau55AOe12K9oU/EO07snjjPFTQQL5QklwgdfQpLxfgrgIN 7pfO493TdOa1JruoIeeyQF3h2whOfYwHAlZ8M+VKSbakbJGcjDzqMhNjGE7u5BD+cSw+1iKU+her 1YYjWMzFwadkftIm74YodBn5/R8M02SezmIZGZ+tAO2aDbJQMDVvFLYOcyemqoy9+YuXby4lYgIr 2fki6+I7qmQiWbFfN2oO1OJK62vTKqcBrKYXPvoznFDGwX8on8bu5liJZS5CenTPoyOLJmslCTXR c0iIXSg20ViMhhYn0NaVoa93yEGpNpwlS1mTNyYnevvPBmzflREUTW0lVCOg7jZzP8ojWpc8wqZK WVWeG16VR3G+LXU8nT7f+i1lH+S0FGAIw0E4TIY+ivFinMlYiLwUrDC0KOiX0oy09Whl6KL6/Puu ajBcL4DkzxJSvxYNTettHQzw+pKarbgywMKwp9gjZEpj/qvgj2YN8zERdTu7SZWK8T4vrCguDWpe 9HI1G26Qbcboket/bvBfUjnAtPbY1v7Hl0hLjcUkRz5Ezq88jyKvY/8tPUz5D2GhCvy2xdcHIHmv bTWhblZP5e7wX9JHSKung0RE4Tv8+xIQJtTB++Df2/ySkz8NnLacmnJluBl1A6TjkknHIDa6Mgn0 6yaxsSVvPTbJfqL85YbvyYJd6XZLSPrm04wsJL2VIYwUQAnwzqALhCWatMCajk5swrsMHrZcNM+5 5lwR3il706OApQ+T/1NLq6BQwnFDsKkn43SuFICR1xhDccM7atl41sxzrgrZ13VU+Idx6PHK2QJz I16UF8Q/yi7QkeD6fm7kVujsL+2KWpfUqpgicvoYvKU0u5HUAQcBRGgFCxoEZYStir8WR4Ki3jhR sn6G2tCR3tkL9D6BYWp8Kh9fcJiCLQg9zVZPlblBBvc3vfRRPtokqUwEh7MyI0R0oJu/ZWvMx9Lf N0MO+sx98ekKa65p0cALO9KwoaYZrGssdSN5dhjGkCxI/ikjdgrOLTeWS1cTiCx+Vk0y0AEeVCwW fvRTgCEn03S7DGdiP7/fyqA4ldvRfrY0k1IEY+JK/WQx2E0BLpnoMTrEO7ZdwjNmtw9/1Nafgxd+ LEi6sgTqNDaFIrzGLTrJaOsy5QvreMcKob6ogkjL/m/BcRFD/3MqlDZ6zuSrOShebz6he2BdpCcR RDRHohSBpqkUrU6j1IG31a49A7IOQCqriURAFWVdDXQL/DoEyIId+vkV1Zrz61370Du6w9OhVMfF sP2iO7E17IEOYep6NAOfxP7wc6chphR4SoZLcUmDUpPrRHarbK2PMjUjiM8X+BjuwU4fB0qyrxDW V4Gdd6I8JbEx9WF0iqlMo131Ir2r2pdu+fDzJOmtjJkHwYLVYrkngHuBB02cSnXCvzvAe1QWbebU VrheC2EIr72GF7JZVQ8OF1i+srygFKwmhg/nVrL9/f8LL1UBeUY1oDxVV0mHoiot0R+Up9u7z10O SiI9XGzKu3pdI6QmbWP1xz68YacTjQ30VaSbIzDI3YCFswkSXYQg09XgJPySWjgxnTDw4jmcpWND TRez+5z7H+SHTqM2Xp+bmchXMU7C9FEk4N/x+tykg87IG3TP83UN3nim6SKW0waPvk4yLXENE04B kaa41fd0yvTTziI6qyQgvr6gZ6GpaB1WCC16799gX9EBkXKT0u1UPff3ejL0plRpb7BAc/RIwCCi h/PrBdjiMy7VjpnE0kDQu1RIRHEvJT9ZxSWOVdVmovbqC74GtanF0jVosKuBnYo/JGst6Y7JANei TuP/rh5dxRrg/mDNgoBkg30ckhK9OVFQllb3re0gRwz/f8FdMNdGlKmH2URGos5VnBZiTenkeGse uP9RCmQ7ary9kCXEFIn12ADkmSopSe2XEhyBw92K4BcRbZZGkmxveBX+WyJhg5Z8FZ6bhx3G/nCU HkqWCrCY3yvuT1P6E31k+atyRvyh6cnJ8yJdq5U5mHh+u24sv7WxzK2URm4CXOk3lV6GPi0RZUqe oBnrXQumeu/mo3KrCOgvAHxzM6NlQwYtB7x9cdtF8IRO3VzLO1AUI18ZlHiYyy9j2cL23ZbyabWt 72S/wgbOiR5kULkaHqOEp5T293u4m0kf2XkO9HST8v/GwkK24xyGphYfJfAAbm3zNl4qJN2ojRk8 +RPcmyoZ1UwmrVk59Omib5VZJ0hbItVQOl/kZ3ejY0zP2Egrfu0Lk8DcvogFCwm5xSGNCkxQuWgn EB15SvuF3BrAyKkhBKzv2KFv9sUiypUObyJPIKm05l8rxpnkg3kHlU7zf7MnQCMVWyzPK63eK9kt Ft3itHE5rmCHGlBi4ki4CiS/X4sXr0abI2f+bv5LwNZ5aHGTnFy+gvSGh+/BEhDEAGodFfV4fU8t Drrlzu6K1kt8fdMHc+MDCEoxXW9LptZgR59L18hzDHkvkGLdRQEUT4Yk785gn/TQTzcK9T/hhQlw WRu4WqoHSPuNT2NfD4vuyxbOHe40/QsVBl4Nsy7rF86/pNS64fN5E5oPXCq7/VUqFzcVgjJMr8zZ EHHx9/D3t7yYRstY7iEXSOD+DaGGIErORQrvPgBkqgCy35luy6rje1Ggtqx73x34I0hX2AJ5YKSi g1CiIJFMhdhQzu+FqUa4zs+HUgitjdEdAv00nj9O3FJEjtA/l3azgU4br4Fw+lB8j3Yrz+nHH4Yj CJ1IQhnopDyFMZzJXdFxb8IPDvYQ9WYpI95KzAXR0tnMyXWTxVxIIpnfwAHoEuBL1+DiKNP1qFTP +Ca1/aCR7HztOJJVphsFv9r1NX0CgR0PapiZPyrHppMuYasGo80EGY/uJE5PjGqKJ2mfXQiv+29c wZ88v/Q3UdthjGBdJ3izQ3T5Pgnre3OFtUzUObEMwf6MDSmumHkezuY5/CMafFZ7/WKLNHoxviqq oXikptu+Zuxl0J/fSG9V9u9oEnx0muJYzYFGpWXkdZJhD1jKL3jL98VDQRvJtUPffzcI2TkmL9kJ z8aIB0II0sHo3pAHbZqSR/5O5RJp2IaQVFqU54W8pnFxC8owq9KvInse9dNbyPP0suBp9b7dPMux jIIjWsvXpNP8zHwuTm0QhngaTo1OFGnLcN8PqiBJ9iZnmVEXr7zafj4+4JhtLAwXDCORrj9g6MuG xNf6ettDbWK6+k+pMdfo7XNTa7NV7REzt47wwYUZL8FNoTR4r/yjp++eO2AkMHcnyo7Z4SzV2pTY 0jkZnD13MrOTLrN9onO/TV5uPTjwkjaJF38r8E/RcI4BKPu8Q6Rvz9XW2TIV1JnDYBVsZZnOtWTX h1TbspVwB7FMz1n1uouFBVzKM3IG7P2Cfd1wV6zt8w4TKRSNHTGQ6qEKDnLSWtAmclN4ZUxZPQnb qxkFTHKC5A3As/5Xw/rTDS//RAql8AUMe2kTGh4aNbs2nSg47TIZ+55X1DBD/FPZsb2HixRYtwpr 3lOfYTMF1VV/j/FbclUzNcGnv53ttj5dISGnWMFDor5/GH5fG6kV4BHdVutXtABrv6zlvvJHA2NB zJnV/Cw2TkFM9KKm3yRiEEzAk8O3lnwesPDMnOaL2mjNXE3oA+EnZ5a9PVaOzU7i5G32mbRO/fal 6hz7MZPESyEDelWoRcY/AucwyNg325G7eam1fVrxUEsjwczxDy91/ZoqlZV853E/xF0R1bYmj7y9 usrO/K11mAngdXc7sTl6uxXezPcgyVEnLJUkP9ybTB3jf4WLRwc4kxWYFxq2+3B6oZ9NocmF+0qq h8583ZhJ78hUWJ/Og+/MmxxETst0dXOxAL6QHFvEMuJORN8rqYfVCNZGWgz2VK5XrPqtRX3xT/ba H0X1v8kFBuu1Tl2t6zcuDOoZsIZPPLhbscBgHD7bp28gF5EpRiPe6XOpc/dgfBhCbn3QZyHTeuBK 3jb0KujM8eikCydYFMNOFKGywRAPMkAb5sC64saM6NLsSPMvdgdCUjdkQl8pQhMvxwaW6In6+lNN n0iF3lxXyHs7igO0uqpiw4mWmScH9iyYkHg8Q3OIk4qPqZCgQTk2G80+lVsKViB7RHjuJ2eJRuPr bcknYxja8UQKdydmChkw8VYKr+sSbTntaEIbdVXmKosm/MZA+kgfYl8QFSvrNVnIpwXBCvN7ip7m MC0/jki1lECuWwEcYxNpk4DE52de+tbH4Fj38RazNK/fWgso8EM+aasFnTuADdoIm4XNWtw1u03w jUNksk2LqRnUI3jVkwAsf74RZKUL+2fAx4LUvXUeT1Zr2LeNEcxDwBXGHooMg11ma5HmINlNgsWE m0WCpQqDBA8aZN7wG5qh2bwn7QQtzCsL129ulVLF+ZpQKbQj+7v2s+XvTTurp/on7WKQzRi+Taf9 D+x/bqDHK87rdd+2XaGqTepTLFlQwWjC3Zb5atgE5nUlOAXVrRYRzuIb7sdgv34ydEtfOMHZODAy hGMrj/xl2B7Z26070CXotbLwLZ2S1L3PW6+72UZ0rOp3BqwJXVzu16jDpG7GXi5xgeNS6SUPE57f EVEW36LnUOoiWwVMrxIRH6EaI2qc0LOGbwqkqb5rllVwm4/v6LuE5nhcmoEz9rFyJwB5+kJpxl1U J59jGCBdIzMEidMDoENiIkBw6o+oFJwm/TivGF3XNQg5uk+Fd4DtVQMZX+AnXY0Fx7f9krncpE1B Nv1YDg/I6jgC1lF+iH6wEEEEOjCow+cIqZl9pYsgvco++SLZNXItSY1zIY6MxywO22LBRELdtLsg SoXRKVWkZDS+XbFxxQxUqqqPFifhN6EVZKB5CDN2maOH13S7X9nUpEn+kKhAfRDWGZv2wTyJ2K1k aeLxKmWaDFbSOW6MsvqumzKYaPjTiE7be3n1Y0AgbS4aWfXG8LOhbvhSF7UVJD/2gGDaxOwBCyio PjxPKGFfUh7uIG3TuQdwPwJygoYdqjDMcUkPxYiNDIEd/X2UCac3cUdDezd2Wkl3LVg2jqx7Wtdt fK/tZoT4BNG0TZhhitFFBC3Rcpa1ZCkdPCHFazVzka9aqITvKQ5o1U16e0QP2vL+WGzqyQ33Ohnj op47MvAp1UwxYwOW5CsgAoOwMEsqKiK3OT4lLZRPk+4l/A/10YHsAmuc7EIyDew/JTMZtt1JCO4Z xjv99VmDQPq6Pfyoh0iJ6hwjDJCJcRi4hTYrMyNoHAtGi8UTS0BlhGXDOkB6E7bgeKMKcB6+11EG FZHvB15iuUuHSAlMCmIjak6lhQe9c0UAqhc9Th252ltzSAlkLyk1aF8Y5vv9peAAa59YteW8RNbQ b1E/N0TxNh5/lQEM30XrZ8QcFXy2EAizHWPhZfEA4G6JqPzUOh8qCQAOsVMRavP/6hLP62Dnlczt Tt30DQUFTugWjQicoWzE6fM5fmNkkfmiAZ0PKeyZo2RrxFaFJm+oVG4nEikDvPDw8MT1PKLS9KVZ tJdudH9itmVBrE/fmOsbSTtKGut797rcD6z0dQWSbAs/vJPVkuBW6I6bhViOTFbrbDCewsdUIu3l xwMS2gfQUB9Tta2vj7kTlbA7scK4R5BtvtA8HlQRKcUT1f2paMa/6meqwpAqY0oSxXSU71PCLRjo 7S7A+gAjHYL1m2jyjJmUzsMssboylsr6/+LFi/FamsJ8UKGJBb/psWW1w59p6Q1+T/Einx9PEsmg ucAF1P2o1zU8vGVVmjAGUG2f2070DfafNw7WC772xf1o5bU2jQ7i27an5g8/o43pAam4OqHupTzu ghXgyeGI4cs2mF3gm+kx002GyIizy7TjCFXhm6tph6XRU7Tz9Oxebfs7zRWEng1QreTlo1vniO6o nuQHs3aq5KWw9BzxErvavE9yGZ1IsQqxiapaZWkMLSGNIXd3nScJIGWLZQZ3VRTYDmVu2+wk4L9k Y8MVx3c4xc4mAEefO9lCZ9H8DF8rSE7jJTTF8/Kx2EumomL4KTPqPkTOdDNFOkD+4pyne9kLmJvv ibdv+aIMoK7j+aWFxj2z8ipm5GrZwbbIitlvz1WzoadfNm1zo0/SGww/s0ZZgsJPPDT/xLuUsBzc HAOWcETQSh031vu9rGY0/0YtFhlAJDaK7dz3/2ZGfR4bQrfdUmhyoX3DBvqejXtdrWId5fvzauhF Y27LZQfk1Ds1sH2hMo0C0GKSJUufC7GIab+w84mbiqtMSuwB0fVa/UaRAHw1MwpiO7oIJQM8eBP/ bpIyFIfEO3iG6I6xN036HhwYiLZn+o/HSe6V3WR68xlspMFLe09/2GxroD9D7s8vWJH0Z0HFH3s4 rh5vzbxCREokBovCeh6Ukpoli0egThPgADezoMDAPQ9WAgTRs/YysCJHunncrchwpevk4Ygbe7xv 5jWtnY/k28XLfdn8Rio/8Pi3ucz/gNjZmuBHv9Tzrsn2m36l3Z0963KGsGCxCrn3YgrhsZ6u9e78 ieC3ZB/Ls1jjsdCeacacqOosvIH4co89rO4ulWX75ngCPBpxdaLfXg99Wisy85V9Cz3GgzMit0FK 2ONAGk4DqTjKkd+uKyOAES0SsRgGxV0VgZKci3w70Wnkpfkxg4w9LOUvOEGGEHCgovtlxY+vXNYj xj6QVUc4BrkgaoE0iSAgidFcNBH0yA1ek1Sv2aa4LQVhvS2n/FTbqR3p4qMfsasxNXgFeHJcrVYZ kjcDlV9viR5rm5YW3n+BoPZozLUF9iESbhUD1gdjjYvwBMgEoEsfg2lMcpfcD3R8ha0qEMEAMzTZ wOK5dgR+c4Lj0WFDFETOBmaaF+gu49vekeSFktkbSJ7aalfnBq00SwBejGaVkZ7dJ0rAyAiXmVoX 0JuMr8FqJnPxDfnnre9B2LU56k0ZANN/7V2ZwU1MlM17o5jwT7cAwLjH19dmYQXNqrqijfkLhmsC 8TIthnX43IX6PZdBdV5HO+tUY9+TynZ0NuvFGMrh5dI90lDKqrwGwau6rWxdFQSeTw+BKNissJQw 1dUW3CUwbNpC6aofqGDmpioHUscppLepBsk2GGXj9ihQApM1/W14bJnR9QqkfdOYih9tfqgMmB8+ 7PwCQMzC45cPINYnsKMQvW7cPOkl7tJu+VHnL6jbfE8ZUUeq9MAfTgVfz1Iv7pSBwK418EC8PP0G Znqk/jkGenZAi2zIhI2tfuaRimWHQZ5q+GO6swKnSQKaOdV+s52dBAigaBckmT6NQFO0EspS9Utx ASJMtBhZlnPquo8NPyOiSZd+de2Xf0EIrVw2pKx/okQJ/jj/TEfQu8GcGcujokFVFrQokVxszynk KKD5YF8gp6fJG80BUBcbbJWWKY7QpBoAtifPKMnHSKqrNirULsaXR1CG5VzqJhz1M12oT6HTAbUG XD8Xn2w9Mo02oT/ys1MmEe5vf6KqpKg2Gk2mFYg9/HWSjvcFezhmTl0ZDN0RigvUDtdhQOgWoMHa 2ZKmRzjatlw10n+zFbIfhIT0tfMjJb8MwmZECa9PGGKqECewAKvU6BeRN8mQv1WLiRqDggOmRj04 M840+kZSQk7ZjGVhJAmkxcURzxsuSKrfrhU1p8Xkll0XyvLaHijS4pSuO2Ip7e/o28pfF1N5lA3n fqmvg3k8gLddGfY61Da1cqWS0NjzUuxNVPb8qsAO2rt7l/qqfX6CWSS8SPL1lGPFJIXelxGtMgJr MEKnIFhy/ZxFdFFloff2PKeqGdfsXUWgkRDlphOokMcE9IkghFDRTO72SwdQITS4dHY8gw4MdIee OfsEQFwBR4yzmcoW/ume3qs2M64QdHJ6oonRwj1Y4q82bCF9QqXuL6+2tNb1o41GStgqUrCp9bay M1Ufgr+MNOkF04E/wTirGS5MZY9ctIpcpn50kxYlDxGZbwskIvFRC8mRpjPRjdLCzIWI0z6WYvPs Oqw+s3KE8AUFd5V8c1+NNKnSrLDKjg8Iv4CodkYprM8gf/a7SkkUAP0/vrVtJd9X/ITD6dJq7nFM DSj3u82+a+4KeXQzdnFPr0xqTw16nWzpTPt/0TQix0Js33527eCaOTySdYiV8vZ6egEVCYtxXB/N qlnWso89x2mEgz1IGZgLxh23aWRUXtwTfoDth0Ctw3pIeHdBv2Vbe1Vn2EkDY4i60iJ1O8r0AVkW LOhxqh1WVHBKWdRKQXfFu7T1SHgAf3VFPJxjcOI43BxOqFafkvTcKQE3PvVzu7Y4riLOpmxZs+OQ DHojRkWhmcArkgcx1AwcCC4vBQJ+9vlckHiT8iQU2XghGf1CRPtQ54xihVSq1autFWWlu6MDC6oO 6fQPPity4/IbSWuG5fcVdZqP4zuiiAso68Ys6Dy1y2jYFT4dFjMwVtjfp+fiCHX0fIPOE45ca7v6 rrYw9zpbuvA5ZpUarShXzxJW2ZLn6kUW/2qfLglZAWiySc+GURdnKKckM73hrEXvhVkh22RcLdf2 0WqLwL/lhMXPwEDq7NnoqT6fWFBnXnpGivykiYxG/u5S8d7jG9xPKqC7n1G0rWBvxyLLJDGjGY5d NAAz2yhisxz9ko/BKYkDmhe4Y2CaAGYJPIA6EbJMu254qahLVwAVRQ4+NRBteeQexzDFfUeEsMtQ KO9Vg23O+D7SC8bJz0+aFVX5fgkEU1oC3psFt6G/yaLeKQ6pypGIF3rEIzA1AQZalIUVT78SuUCk nPQt3TV7DzYHhLTUE1H7GgjT+yZp4bQNUkIOYqW+RVHEgXR1GFiLowf7c8Jkp4BtNarc9uYfp1iH D00hU6J9QTMpX/Bix6sJwbZHg/Mn/rpdmiUIt0vgcgj/oseO2IXCkQTGO7qRnjPIXNbKLatymHpS MfeRCGekry1k7Wyu2mBtQhOeCYiiOx3ypyZwyPewbx2t3BBhPEKVuwJwby++Z0j3l6Uh4/JaK4uK Y/bTs1Qtj0k6pDLYGp8Hk4xgk2JN9KqTnu2DLvBwcYTyW7IAA6akxq2/8z3Q5uQt4e27F3rTWarL rxqgo/Il10GbrBHOZYK2Q+zKiCxkNC4rtzjrQtvBSD01k0mWmiBw5mTd7Jxtj2lz70zb8IPrleRD YWhASXmhBmsvpNgFwav1PzK+rtHbffhQLNcv4LPISuFbN4Xf4fKO8kV4WRCtqdHbutI5WtDJgHyx YW4qmYX5pzxSpcLCOynKfVdDSwOeEg8L/LmAnlvE3jPS1cQpdA6xV8Tq+oGqHYBpYbMx9lKevbYq te0MDUL/gYnMiwy9t1YIKSgAj78eFlXrOEGPIHw/xDNZL8uk2cUgt66sba6ST7sd90ueCxX3TgwM PlFwzQwuZWaQp4JWefo+Hbxh90XGKUwHFqh9z7FKnMYKzeQzZWzQPS670eSiMgLrFaJM9tilQYax yFGUH7vBKusjaYVeMadhDJ9O7u7NU6Db4/lmiMIXTn9L0aLbQz6HAKtS7QpKDIVwxaVCUNTx7jQy IhGdQeFRYbR23iNJBZPOWyIyqjYwkP/Ujx644SVdwJ3xfoHYgLjwhzXg6Jv08G1uoAJZ16SJx75j kVkqfBuD7EzCrGXo7jreU6thikkThW2oSsnHr/pm1VMrHoV7UvyrEOqts5HWMj0aKb66XqYDQIFV acahuJAVj4ZG3cFa5WU9HX3XOd4SceMFqa7/VjGDLY0+IGcGMCCen4hHJKKhNSjrjv80mCtZhLSD SfLjVD1b3FWz6yWaIUnzcyEL9Ud3e4uJ58RRWRnckZirYnRSyYmNo4msgezUAW25aoW5arn/hx1/ AIG27Lh4QcTdSDoh6VMewPoCghd8XcmM4f6Wbh40FMg1QU+bVALqpul1gO1PW7k064HSYXoujHH7 dp8ZoTknA1n3q1+LMBDYk7JPV71HY2N6VcBjWcSjbjNyjRJCFAyq5KZMTAHlmI/KDj074Cw02Eab QKO84owx+5ad7W98oiMy40JsvZW/a+kRjYbd+HbDCLMz0dKQkR41PobRkcJJ4L85I+bcFU/FSuDn fyEHB+WLR05gMqUyQ4AwDFMLK859lRKFgzCT44FOM97FwW6TvGBQs4zJb4Vgg1wbKnv4r9ulv33x DXCgha2Tn2XnCGtjoAqvAydkbYtaatbvewPNdzFIhAu3XIh3u2HheRu3KvQlKlLRTyLTOvgeUBgp Eb4xqbx9HNDdgN7vCS/QSF0UDLYsGKlDQfAnGEW6oVXFNeTYVZiIQY50K/FX46gGNbHVcgMUeLow e8Y1IzvS5PRPApbPFEpdPqCytjrmI1DhPoD+V+wHG2BunY2YwlrtgOStP7Vef2xAgwINMAaoADDW egCHSurantOWFOB4DAfR68ma8GrQz/GukwpZN4h6QUVMpK9XtDJaoWAPC+kk4SyTEHLIUBPS09tk 8h4Qo0I1/Z/hBedpQvhM1keNsaRrvmXA6cBP+5qi1EE7C48NqUEtZyodaVePZKeM5vh0dbEbCPI8 1LuvLSGDsSyYjD74q2li73EopFH0So2Ehu01dXHnEwtDZPrAYTCjgpuwYz9ViCtCRY+HEB/PJfj0 66DNb/Z0hg8CyYLGQV9v076YVctXI2TttKfO/qDK3rCQJGSJ3kE0RAybVH6fBvXMKeEdijdCM3cg 3OOwLUYwv3stFX3ASD2d8RZNpLb/yaxeMvOB6D/ZLzpBh256Nzg5YpJTiLs9/g2u7OllOtzDoAAp MqDKNI4UdWj4NiQFrY3Nt5M8vKImNqnb3lvn6kRK8tLZkXRAkDgiks49ajWT6APGi/5o3zW7KDF1 WZESTC4q/2jaGdAUzl1+STT+IRGp2GN+jDLJ+UnS+MwIiXJUslaRsvdYrUC5ZQEf5xTjK/cL041+ eJ8nWlQK/qbssUa8UkXM5rLS4AsiDH1i2YfZwzzUpbK0FKC+vV/7TuXV9EJD/qOntLKATm05nZyw XPH+noZNqRhEtBn7tB7tnF6cUw0mBfqyu9s4MtoTiPMwosuQKX/x7qelzimamqaxl5qGhkQI3lvS GLjCQhOeO02AHIzqrEJuO9YYmjSM7mwqfaJh0QhHv21qloq4ximmBCgZAgcYDVjg+Ruit49a8NuQ bkpnQdfTYVkl+xc+XX4nnQgo1tfDvGEiwCuVUrIA5ReBZND0J4VLwovuSQe2G2JuvZ9hhnbgfLO4 9FPPKoHaHUAO/eRIsS5yRI36C8X5av1CAtakURYevzlktmzK2AjhZi/lb2SLcaNZ3XWGB0WFGF0r cUyYNxTHmIaOk3kPNxPFrOnu2uvduuzt4K+3FZ6DRSEVCIJmaKFqKQy3sx4zT6iOw425U1CaGynk qRGLT7LpvyYGbfvwXTDQYsBP15SAwwnG4zx3km0gNLlumscXxmUN9jDVqShwK5Ar+v7iFDJg68o4 iR4mN9G8OASywtLaXhCrkttQpxf/Q7XyF6aH0joUR+/RmC3NoPuQQ+y3/UvxQOPxo468fN0l3zKT F86PTTVceyz5jUl1AKkKJXZl8mhEZhLEeY6EThPzXHd2Fb4tMM9xunpEc0eSNhEwWcTFO18xyRRz vH1gL9B0Ktps3yeNEkDFOOVBBLLXmDPk6mKTEOdN/MTNFzAoeQLWgtU5VP7CO34Kda5MJENoqMzl PP7itLraQ9OHtHnPNIcBeie3ZOF6JRToEzLgwz7+UDWxTUAdk8T8f5wKjMI+eS03bpasTnWb8r9n rqzAZDoLFJaGTTPiyGXIq+geb02GO6aFj/kmfQPy69732wz5pqJsf7ih0V9ESPUefZJAXVBohkdB dg+lfjHaugPBECdpoOQxtvEt295fIfvjAg4RYR7lESsWUXcaScYjcLCDS2BUBPCT4y/A1BDaRbyC hSj3HZ/Xe5vxLJqtHXkpTvrStzqjKdxQgdkFZH5XrXFTC/e9esb5AjWqeid4UmGVJRVAFrZoAoKm 8lxB/BFm2mN7aUzXOlpKQtSXWzZHyprn4uxqAjMjeu/BjfJka4HJVp+aKeoei4PFz0dLdTRVJMKv Tm1uGlWJLbObtJxProzY+2Tsc7HO1NZkXDWGbcvtB7p2CovnInoivuTD1tNmUksHD3SSfBjqTa88 xMrdGVXbzi965VbIsaOjtAjNVq66vJlf2iTsE8YKoxxunDoZjV/wOPvcQbNLqNLwYbeSiWfuLqcZ wLxaOKiqZLNLUA+E78xbt5PJSxzgKbVOWj9NJLs2i7q522Z23MgzHOcJGMAEr74Bfj5BaD7bRm9e Mc982NhpsksSDwe3lBWYkERsrYgis+49Rh2dls9l3ejuxsvHd2DkLlwAmBE8zDCPuEnNOUCujA9y m7YFpKFm+Tz68Km26QX0yIISYyM57GhIAER8RXdN/UAqXkqyybx6Tuv6GV2O1SLPC/hmGRi3au/9 kaP21q9kg63Oi8QpXgUQ5rmN36R81xblyVsT7HmdwMiU+9Sld6W/jol7vDx82Ykj59JwAKeOZVrN VZcLWjOasX8M/B9xpfCFHx/7wml+zrXjl8/afWlnpouPfrKBVIu2sqKWq3SCiQu4apr+gVjQX7n0 Be42THu92vDtwxuQgI9qMF8h2N6EeEbX6sV9X0vF3uOmQk/2BPZGSl7GLkl8VjWugDAHq8Po6oWP i2Vd2V9IpOxbZMP+s97eO0JFLK6mDHR9y+b+SKGicMH6Z+EvJT90cBC+qFstfo7Jrt93JZVxQ1Hq mTTuDEZQ2ECmIqqOVuG1hb2XPOJM4XcRyJY5EaIvURb6TtJvzU0XMOQberstFyhgCKGFb4SegJuR q4NQjQX0A2W63QLwIZ0Nlg7BJwzcNxU2/XH5MPSzSlSEGmS3cY0uuxER1ONHUavHy4WpsXUBoR39 r56BHU9d/LqPK2OzrZ6+fkqbQhgbBsK/5rr5UxK5zKxw1P+Cft7kYE1n2xwCtsX7wbCYfB3Xl9gt PbkmjVqO/LvgQ8XjaoNB/TKBEZOT/+naxEUxZEW8FcBalCvAqyKvO2PcrvJBULouRpXP4Sknuozn OkXa1e1lel4RxqnRZg8ecNqDnzDOwsptXgjDx0M1eE+JBcwxAzmH73rYhmQResKWyt08rj6XaDxN BEU85CWXCFHUZQVD+w/BTsQZlU8Ubp4gnZflZqkC2nHZBFVWx37nTAqrJPbf7lAFRiIFoDQPn47R dUIA7LLYVWk2rjl+EnuwsL+R1ED0PZEAknzC1AnvfwbEepBDkyRU5tgZ1ghwKFpec+KMZvxtLY1o c9BpD2ao0lZzaMzCJy15I2FuUn/i1rQ7iV4vZWkNuSGbtuO5T1QU3xSMSfU9EMpFpwkezaKL/5F9 IFeaaASAq9A/xUz7Rc0QbesSQK2bAh34B6sc1b/IXe+Q6gkyYEwMuifevJDrqIwz58ljlVokBp69 LK7zF4RI4NjZzqiHfQl3gigFsYMhvFdcVmYKVOkmLtNwTA/d5zHvz7HH7vETBC3H1yh82gy2cMEj x6K4XPwQxHGnCj8PN5qpPaOTPjvWOJ00jCRF96WCtISEpF+i6bCnMvZQe5ftVTksO40Oj/nnuNBf eoN5tqzrGkeUctLBeUPKRBJDH3iKLOzLlPQsrnH7Nvw5xMr4mMURVgwfAeiuDDeY4zNd1rc0qhVE yF7TdozrCRh/IeWhhr1t1qJoc7TEtgF7sJS4h50n0Y6+qLIQs0GWiBYkycfSQCqjijfP7P4HLfZM jqY8ZD0+oqpyT2y1IjQbgVX7Wj2/c70Nsc/Dx/pAXjy/ORhBdxSydqUQMY/RuSk+c2lRmd2oz/Gt 7+MAP3ZeL8NSCeMSj1xwVCGhwz0+jy1N5jfTuNp709KQTV6VK2eM4bnLV/OrMg/R7vesH4P5A93p NjbZVbSCCuahqSJaRN1SLBXV22LFjSDxGun/67yiFSY/EdqnRmKynd4iqCYZ64kPAIEVItCxJAZE dox6YFvLywXA/SX7OpIrm2LEvG5h68ArAFq7r5gkdmKOIqGIsWMfs8TsqJQT5olySTBvau6ufWl4 3pOCXwxVTcnvRBx2TyumULEmuTJB8BCUPmIMul/npD8T+Kmv60exiwLXwmR5Hafr0sx1+rv0Y17D 3APVCreHf9Pw/v36HNLH0tfRfB6lX2MpbnX8Gvclm1izon/StjpRQkpMvwxAejAybt2Jv4RPRao0 hdU0N11BUl+N0NPRSwZtMqSeYx3tbCrDYblAzF/8Vfq6FowEUKp3uqt9KO7mbZYDmYPYXW4fxouO no2qoKHLwGUP92tBHF9jyOXtuMEO4QeUDONo2yhaxrLU7x5xnHKVyioffp/wUJ5Luv3Uwx8+d/Xi oX9ux7xAgfHRjVudBS7DsIMB35jORAtKGAydC2yrtn3Cbj4PDH9wGQKb6imHoljEvHf65mDepDqL Kux/nW5bt+/qYFuW6jLWR8kRZN2ODgkCmu+nWt19dwmNQhQ8esp2ZY4eQAYJ9c5wxfYzKFXhtx1z vAs17OSPWRMM11qdJZ0CVfH99qoyXMzBPLq1Po4/2f46PnABdWmYLGbkF9b3CuHdFd5AYD3tmUZE 49jHuZFI2gBaFgWck2jDdxg/Egk2OfaS1vkENBcGBPZXCI4JjZaYODJM+0YelwT/dME65veywWfU Ji4j0QA6eapkvLzfdF3ms98Ns2BoKR2DotyOVFk6ErskCzw65vDY+013IKh9e9kUILW+8JLciB5u vE38Th84EZ2yszVouPrS0LB2ylfd+6Y1UWL+CtnNK5dkXpDnkaeuC7Bggpwg/WP3YcJNQdj+xHqx y5dqHF8dwzXY8YBl+78agUDLziLa3+aJrcDtOmkFFthuswzyMHzKR8MIvcn7wARAUocrkdaG1uxy h9ZlahPhAR7rQXs0Iq29h38Tlzj5AqFYDwdEbgxC/jgVaXRSpFV/LqWLR7KDeic/H3zjiVspklFB ssvju5HbkR1MR5nrhXOa7ZKgAE5WOVc112NscolQP6fSkocSyenzBECo70mD4w2BcUudxnS2V73A 9ImpLGHuhxuponyVDaz/fQTsAEIvo3VVBDfxYUjm6cL8kEcP2wydJpGKGziLCbnZj4oepbTgii/S EY2/iUYOZuvThKi+cE74J+bEWmNAjZJsoax6azLCByB2GFU//QXMqlsQzmwVQHgH7HkR4FpcGd4a Vbd7DZUDUZZS1pg/kBb8QCQmlfb0QBFO4mYJqOmuql4Klo+5umqxjEg0qvqBedG31NsG4XFXra5e fTltwGetlbXBGO5vyT//zI0Wd89AIyHhpqfp028fmaVFp5CcJ623NdwBQ/EEr16GW4arfKUXniPG JTvNOwBvJ5N6YTRjbJNqqYJ1/gRor7OVy6zSLC8/a90raBtcPFlcqkjzlmD7Nl7tQxSgVj82vZ9D TN2aEhMMqbAWwvF+Cw+q8WUN6rCHgkzJTtKWifmHpbXNYohanhLBznYKzYBvngeOM6MCuhtXiYyl J4K34IRqpfoWKQ4GwuW0WT0Y3UpiWmSBTOXasI7G0FT8Fp2F+AQ3ZSXtZLwSzLray+Aix1ZKSThE OLr9sNJUBE8V9EOUpsE74WTzzEqwcQPJYaXClbsS6q8J3s7xZPqAI5rpD0w834pMSNv2LOjrK6EX Wls/ni3vu0jtnP6NOhxIJX2FzgfwgHNc4Fb/AHtSsuuu1D4y6GBKQ4ZraEOA1FpGzwXD1wnQuYEH VcNKop7RvDZosp3XSuR+0vLRSpjhC2TQKGNHbXycKe3cyb3mdPHOjtOwYKwCw2kUNH795+8NGcXo 0S2vgb6kAzNJuD6wuAYovDSeXVmBxa/ouYnSjfSJpgyDSp5JGxSYQOOpWtYTIPk2s+86jOJobGic FDHJ4z7chCiFMpbsPOkFtbeUQBz4EbuFppNGhGyDsnYceJ88Ca+auAqm+D70vAUVUFOC8cuCcCXW g9kAJcsfJvijDgMHqkMg/hf2wemGqPDjrDcdmWWptLyT+NC4/D2sPV7z93/UEQyTCO7+j1OaouML 2DlKebGoT3L6ybSx8q9whVrcZdkN3u+0cInA5h4I1nq24/i9wsrpUyCrEk3q3mC1xQwG/65v3z4H F+LOF7QRL5XiRChDV6i/RJzkIp1T6TTTi5sHQwgyuuLVwLow291i85PxIe7MIO3kIJ4Li/lhIk0Y ydnxDv5nKa8i/ENRFAzvGlrukXp2gkO6AonNsU6E+ybDzTXQ70s5zwi6unqdc3Lh9qiYPhQiCGY0 mMK6hfCc1ThGVi4fOyPj8rc4by5CXAtgCR/5eaYQxlWpl4nhbcVfitBjV6FDB0X4fK8ulf7Hul2t +EcrI8masp8CVbXVdUZdkEfvJQn3Obg89qHZFdesqQUSm4uZ8eWHG4qxBd4U7DSzIrKu9PqUv2jR GR2nTquYD/rjoD2JwrqmXn/30kL6kXmc8d/GJEryjYVBRryx9IWzZP2FShsE9c0OF7T1qcp8jeBx TKUm+tPF8bUpt5d3awH3bjp6JXrx4a4KSOPzLwDLeZhh3AwhMQoL6jOPQovouCjHG0D9cgKhXkL4 fBLHjUlf+9HmpDMkEhmJ9+HIBGEnpRPMSC58CaicxoKhekmt/MqFWXkw4GJ1MqudfI2EYh45iysZ z2GMDRc2UdI5sKw8p2pO1QlJ2h/JXoAW7uq3R/vJD+mXdaAhBNy54OaHBkZrTXuOUsta2VsY1uh+ N96CBxTdO4e3jwuYHS7zyg9gTukYCKtgrpEyAzustSQUKLvlwQw5JmuwT/TvtFC7dLRsnCZPm2X6 dfLPVZzQ3nzlyLhFI6W6MsWmqe8yAXFgU5cfRmN6rdVznxlyBHudM2zGuZQCPEXz9fsSK+G87ibR t7uQ3Vd0vAauRnyVtq61839NfJaFFBi3el9e1he1IkaO9yVtjruRAda18ZAX6WJdpUf7mYALD+Nd ueJo2AX8KXpSn0JxXHIcQOH8ajW078FeL8LUc04v91MbWeTNP5fAjQ97E4XbvI0H+EDdNsJEcnFo XD3n7UGvLnU1H2Ms7NhEyuNheHst3MisJOeX3qKtqhmlgNuUGnDRjG1V5OAYq9bgTfqBYMQYT8e+ LRTQS40UAorKseCZL4/uvyLFgZAhtnmhNDObetSWUeGN6ZN2XeoJvTqo9I8aDkjsqK3UMMHsKo50 s3fgEL4pbE21dhoF4MvUsVakH7f1RRkRxeexqGOcjCZi1/uggvyPPJJI6zfpqmvJykADjqjIAvK3 uWKROSgC4uYVligqKIiwUuoNzhVGrcuhafbF8Rp60wl6f6PnkROtuI7o14yoklxXSqLPZhwjdGgt 7YokQIrJbNK4Nae5RgWrq6wlCGlCu8YqccUspVXFrJHOfaoVtLPpx6FaA3P1BHteTEUe5SqY1QhT aX/KVVKeWpJ7kSPv8spYMRWlkBMH6QUvH8HTLsls4b9YURz8Zlku5/2qkSilj5hH2W6oADPOERKU mtwi50rSldUNdsnopE+AgpnOCs4nHblEuDDWsvSdfYDQrfzWn9vtOCbk3bGEDhEyGlzSvInpIifi HQXL2hHLvtXEPZ1vnVcNZp5kmM+/z+15uI1TOYplvR8M6Bx1hDeB85JYQKVM36M8kC+VmYJmjl6X d2IKtyqX14ZEcMo2Z14aQFO3fIUryFYfkW+Q6pVck7cxuDH9DwdjbWjrW32XB7XVJDpR5+R5iLiI E42eXNmSvcoIuQPdLtsS9MMT+34mYHIvwNb7sc5lzWnoGb+Ms72mhCwIZxJsZ716LgyJobwwHYzN ceBZWCJIi/cMwifMIvqdmdc9t1PTXE0m3CR+SkcQ53RFkbQhWHUdzcPntmuQPVkdumTX+FQ17CFn cAIC9+F5hBl8WLiRpqP25Lo94BSJmEssJb3fbufdu8XquYaGLEYvcM2RSKWj3LIZBZgdkdeaCLdo HjjRkNB2icqINx3OLwHmhvEeEuv4uLWmdHdzoR+w/kmlaIQZ6DNwDMyMA9UOgwqIEyNRpCqeoxLn 96G7Q3TF15MAeaQq1QESvQl2I5rsDQ7sMavBEZV+KL3vfl8Axjk/WFRkutMTz7VK74OhydV06XnO iX3U105NiqI/S7PyorOSk4vtDIWxGDM8Cs4qcu76f4z5mXQ/RvJtsxN8q84FZXANEV1pRlGePPSC we+d5rdXvgzNVkzyoe9evQFUaKwiYVUuc9GBtjtpMiQVQ3DRISNQmj6yPglikHVQpOvn+B0jaV4G laTx8ighNr8/Fo+yX4gysTy8bTCitsOoV3ANFGNG9EpfVaKAweuxwVq28TbKyXRBFQ4RitVwe9Ie mq2DyW5ApJYX8PNa2sjk4BF8RwPA/JOMVLfsMtENvsh3Q4/jcNHje9fyDZW6zg16Vwu6El18BAiG 9jZipYc8NV+i2G5qCPJuTKxhl3+yXNXWnucbztk9vBbSd/TT3nr8O7DcXsR362Ll4eAaWqSZT3Kl RoujaO/cR76hZjVAF7TgpGWSG+hHg8/HVI0DZY9yGNo9Ed1oo+t6b4el1/X4ocjS1VsPYV7y5YBS h2FM8y7Haid1i5z7MvjsgkqNunoeGQ6c8uGPF7OpRQPVwlMAgtCssfPx1kUQKInPEXl7JPmGebpI Medy9rH+UGfh2mdWpqK9Nt0gfzfIWnAfXRaPcoFy1N+erc0rhmjNT4JOfUk5i8qHQaFv8gPMGDqm vw0u5RTEGWfIA92v3kDHC7H0gDB5H+X2cOM4WNLiq+lX6s5RKyk3CHRsGkTK7F5q8PdrBe1dfWWt gIkYs5tJufrL7duVoUJW9/7IYtfnpth8eKWMCTxRif1njOquPsgQtjbAYV5lYUbd5SR5CTZ4Ro7A +StEIqMZbbDKuAy252JavzuZbgZjEZ1ixBghJjfDWGhxmW7xMkSqbXJCTeQ3JEfGf0hQkC8Ua5vj wDt6x11rckRDxAqcQxDCM7aY8NrVX/5tQawKc24xYmaP/v+naD2UxkGsGfcbonY+zdWr107LWXR2 aQ2s66PhCGK0Dj7O3tOD/RBCqxfZm6CrIAvqAeN3YmY2VDZZ9zZwAF4yFAF2kS81dqJEFr75luKy IrdRwTGKcRZMVOjedFgK0aJOjKfnBr0+68L4fi6LwpHI6c0MOX4NfA3YMXHkspzU6j2r9+pS+Fzp XG5rZhXkniX+tjhVWxryqC8eARvtSfsEYoXutubdbBSRASnzEBGp4YPDyV3nIQPunAdMH1GbuZun fTirVPHbUxZX5LjpvBZhcGs9MrJ2LXVpnwl/ny4guei+MKaDaA7TLIF9J2FSKY1NMYw+fgoaCOW7 dg2k7RbznZBIbqHfAwS0o5zHANj6LaJN9ilL9Reo0RWcXBw844j8of/Vl+C/PQg0wku8uY3uzUya 98qXwt6iOTOLnDzaUZ5Sv7dyQkPmnyfbTTVpWfliiDxSwWSSPfrxyXmc8xLw1rw9aS6ejwsY3OgI sB0LzuRh3szWnfSs7naKaSty6YPIlqsn4eagMMRZR9q6ZmhZnuySda7/HeOTeWvEw4jgZOcqBD2N u3COPvcQEEwkK7ym4z7Rw+2GJPr9G4vm/OBr8rbW6ebOkCF1ezDmRnqeC5H/x6yqaZ1wHEhcwFQo Q8cg56qOtwwCjppzqJlJ7wIV1WApJZ5i0erU0VwXbRGzg+Kg1aXbJsEajV4ozEStKFhPwfYnVZ9H FKfr2XYiR/q/oO/wmTznf0uPCsLCaEuoLM5jDwtUv921bx6Nd7ipjkNCy5Fq256XrPACK6PkbIOS xeUrXDUjbU2UraFDcV2XtbFLYKot+7OdwbRiSZJzmlXwQugYvdXgrtjeAakNIPQrm4TPDtp59goA yfexi2Mf0Ga3nfXaSHSt8gOclzcQGDHiOh8GyFKaIq2rPaiULUtVOWvnA3ct8E/XAePo/jAjXFLZ 43gLL3fAIQ0jB717Sr3Du+JufIRA2J0XgeVbOnb2p10UQ+2dqoggrMlxFXaIJcR08n+hcf9onSA6 c1UAiroDQvC3W6itdmaw87C8ASBIJLNgaJn6BhuG6zmAvuzBz/2Ec0QMRrgjof0a9u2UqyY4PnkB fID0aesun4n40v8qKKEi24utzosTLA7ARD7vDUxH4rtYzEXHFXKVbJjPUndC4D5P8j3S2CvQNkv9 ivR5WuIiQxreyK8rdLmcZcMkOwicXMhlLReeG+hjuGG8G2JLfL5PVawCWWKQORUwOfU1DG1tPkOo ECpRlAMbfOdY3E1YzWQlKo2w3XkUVxbzdkbiT5fQoZjP8b32qpcSQkebJD4CPQfDbyecrzcqBs6r eQ+qfjpyurDJ6XafyXWeY4hZbcLMEO18FLk1js0ZRifNo+LsC+VJfCx8UJDODfPfrCuuYlE/Ach3 NXbGrqCFrXRZRos3QwwJZWozc4tu5XR7nR8bwmxRp7zVdwr+ftgEmfpCz5qp+sm9GYehvXpbzxxJ FRIRk4tF8D1UULopyraS9bugi0MYaBOMCqGhXRuL3Pt2rfM0o3HFpM0o8jwIAA6WtRBOHWEEBRNW y+q8UG1WgTkJ7/y+s6s3s0kzkPfr5Dhjuhjw/lDIJgUWULmcaf0/VYtIcIOaYC8aUqm9+exytqoi HLasfwJ97KL2ha7kUebPZIp46MO2Tl1cuSOS0fZiGf3rvPETjsAhSSMEK87OgDiPDODDdcV+U+Qc MMMUBX2fzsEUp+M1lR4T9RqXKtLv2l+VO8JmiA5bCspb/ZuMFQ8OsuXG7R81BhVTi99NAzBMGfb5 8XlKZbIVfeUJHN13g0Q0gA6h83M+BLdV3f9qq+HewzC1sfpOGu4w/8ssg0poaAQpsRtjIGI++vou lMk82mMuszbVIxXIP+VzMOhYQHwHkGYbugQd1beqVfR24UFFfe1pvHpkmBE7xn/AtF1eQUrVJR6i VKnV7ZETrrxSDTpMuB2r7MNiGNH8h1yowQ2L4k2Gm6+3voUQxXEwLEiqM+aZhd+ENF/o6XXXz07J LGmRxuXqUghEYg0x3YcmmkHbhSZEjgJS8W1+Mglv2FErQOt0yx7ztSTcBr2zMo54pLCPXZJI1rwu 35A9Rvfqj+s6+NtemcADETDMcM7GC/a0TziLUaIix2NBs95918w3vhZCIqtcQBZL1FR8b+skxqlv BmsK87qEWVEPgPIbtw/UrG3xURgfvjugesAcXISqH3J9/esdrbgJv9/KD4eEAT4jEV9XM9LIo73J U9C5BffiYrK9Eshn3BpbEcTEHwWwZi0ABgehV3DSzEdH7y5CVgFKUlyiShWlFZksPZ5COQ8xA9od fU6TMc6gY9X00hRlOUGMmN2yXzrnkLasGiPc2+/Kymp3Ob4i927C7917n29dqlI4v4VITG5F+jBl jbcW81TxYaOIsEO42S0inYfdZx1s9bjMYDVyzICYM8gi7m1EfjCb9bMCjkWleOiosKVnyJglB1LV 0iiL9hc2bTvbc61+i9oARK1CpT4BCFL3PqKNKgSYB3VzmG2NMEsxKoZ44GkK6vDhl7g31PcNnzGX GjRJ0p+CBjLttynhA7s0YZuVMOvBbYtbwwWAStnx6tXVlG8RTs8wmaMSofssNtFsgVmcAU2vOF5b O6xpjURUAnDoUGlooF0L7D77bod9scbp+s1OAI7dQeiaE68sBbI0t31hdkAKVZabDG9/eWzRlZb7 nKZoxUPGY7j+cW7v2qODJibJhdeB8W5VYrZcRW7C+7mb3f73C+n02FbJOhDBg/9+XT8AecXPWfjM NbEZ1GBNQ7qgxhSkLTNYlFDxSb73Ee1gptmkzvD0FognvkKanvqSA2b5ZBuwcDxAw1H0uSsMSq+4 7GJm6r58ddN3nu0cM9t9JrheLLcFio1Lf1YMdkGnKAmf+9lAlriTRiYHR6HWmnRbceWfsAWpz/Ts nzdMC2XvTbjdCMerHJkUrmW7IeOiCAuijwrhY8vqXR3MojAxSr6FrcPUpHyHooygpMapbb1/tSDP +wQwUoI3dN/EFjGDidzqauCwf5LNTb0Him9itqbM3p1C6RYOMLc373lfOlpx/Oig2W54aXOnb6H+ jcNPKu3/UnZLn5ac+J6/sJN9nnA3kMnYRdsJHDC/azsuLbYkjDwmPLOLJQseTzUT7b3yH/KMYhbS rmP7tbLgBtKQXYX71ztqKQYu402jHeWi+gwFiUMp1DFVmZPPgkZNQspZqK4OCE13xcf67avAcA+Y onNglZvpXac+mDnqFTAm6ruQFhiIzdoGJGRXaHNZQ3L6S00hJe4/NpS2FvtKavTR3mq1yygtU7se XmEjPPhcyRcDw4Nh8JSSykl0WVObwNLLg5IDhBkMBlz5WqMzWv0up42x4g6xgUIqxN/fxbLjoSkS f4krw44AyQbppUeCKDW1bYr2hdqX6kgq9FL24Jfa6yP+BG6i5L/TK3x3GWTmQYXeP2bHRVRrQOfe VZmANpvMSlxqQNUqaQPxqPbBu+/yIuFagUbiKGJnC3db5bKSkxgaojBA3F2ebJpV+7tKBM7nyai+ 4jqXyIuVhVhBDCtSpRKCCvFkfhxIJADzS5ihJQF1aP23AvyS97yN4qSH8rhMpwlUnrvOwx04xjEK OsCVLtR/XjnBDis9q6BdAjANz87UJU1unMq4UA7bqarfo/9kf/N6k+5KY/psVkwf+A8+GUJRPED5 KkAVCkk1qvshXnTZ6yAW+JwNJ+XAanOwV0MKHxuY4n+zUlktdv2qQopv5f1C1EyZg/DuCrcRTopN oOyGhizy4VZiwAm9PZScAdZYtfa/yAZIBUujXOt4rAo1qEVrrKWlyR8isNuclec70P5I/vJs00Ts 1mUMwGJ7qNpudB6PIRAOavdUGi6GZtPfHyIt0XbWs+mOpvfzEEoqb6nfv7zvEQbn89xr3ct5kLEf AEBVO/TYLW9QfEbp9PG20uKYfQVHKmX+8T7wghnn3FXoMfBIm2e/Dvup6i3VSpH/mA85B5VG0/Cu muZsBe0mxcUXpV9ycghZIrUgMsK7HUCHiuv5XBeUsSCcaI/blcGGDzbS1Z4LRr0l1ta3U+vpyMBU 1dVV9xZu7ymo+LKIm7Q0bIFzYkGxWthDfbRryzmRre54bHXxG2gM6CKBN/QE+1IKNtvVw9HyWtec U3UumZTlGLpJ86yfRoBRnlOxd0RHBZ/gHaSWJGO5AcELr0SrxdJdRG+4qm86A34WeduWpoB4QMMr uYPph1feAi/qzcToO0kzHMfSUCyhdFaPX7nsAU6ZzeUV9Gq1hdYRLOIKeFm+ET+Gm7yHWdPrwIFr kwA5QhWLXKpSw9wQwLZ0iM6OK9RB6YIUNa9U0yJZzrXuXjGXeeU9WhTh794iCpOYclYhh5JxicZY RUPytd8+lek83slT+KNrAyjDBZpEt2of/jv6OCe/u+9lpgAJNvTg4dRzDvtXdmxFMsjJpBeTHKGp Tf+qZh0r2aUwYkZxBsH2rXJhkf1Fs60Lawl14N/rBTGJmjPysnJqZKsVL4fB6Nz9msRp20Cwh/+X l/U85E9h1pY752vqWfThCs5XpCxm+L80OwZDqoSDCW8PcTbjDT+GbajzbCLl64quVhdoaZdHCAbQ R7xV3ApuHbs7nxGpMJnKvTj+5MRyng0EIU+w4i8xY6Y9oWZXCMwFHFcKB5VTOpGfh5IJpNJPBstT 4kD/SMmpbben8bwwUAfXEqf317//2Ru/XP9nMwjaLTwSQgNp4wvOdyv09+89CCxJD0zrI+T23qVy rT1dPkbMx51erJ3RlyNlE84DZ2IFsDgSpvJEAPxUnWoANg9J4WkFyuN86aJJ/SnSFpbB/huc4JqU ORnr/mG7b67n364RIpIIy6BAF0QgD+hCwAwefRT9hUvhFO83b2h4amhl7yH7nGT0K4q9pcoxUpps zw44nZMj9WeAz8WZrUvsqVaRj6WIFj3xO5kBnl2Xk6euZ03gXCCSYaiTJWxLeMB+6+mXjtyLL8AU c3iaV4/bjZ6oUbATaijCe+vyI9lCeXIKuuNsoU1aHQuO824uXy/EQOO2rd+xW3pDgAYGINw77be5 gBqgb7G0DANBomWhQ3MoJFudhmbsjKEPWptzEF6qxifwudvxil/UpUNeklEcrBNmsySQuDH1g1nk EJkNKFS2/Ev6wBHR7pWgwq+GIboUpDibtFpS6DlGgh/76nq784TzzRN81Kk4eoy+m16PJM6bUB+x UI6U6bbdBxNaWgFo69Lz7S7R0oUk79AlmvlLLYh7P9yTPTSm5GAJOntV/LaB3YzIdbXn8/C4BLra G2emUsIiNRGZzhC6qo2nuUsNF9qKO4BOEZ9AkxA8jatl/oF0+35yAWlZmk1MpNoGLcy2aY4R0rL6 qyAt3DlhE8ladOS3cQBw6od4z1SgZnCiZ0RJrkyFBPlzECMfTtpI12dKsNVKk+ghzTkPelApktBz S7Z38ouqWDyfvo9oT0qmJr4gag1hNyzAHrCam1aL/nFNn59zhHrvGLSfcyeNSe2B9jCbQzF9Wn1t BmEN `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/rd_fwft.vhd
5
38466
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block SUXCb2jBIUqUBHVlN0KPH+td3p2TKZFkfevOFlKZ2ylGNwbKusPtMhbEawoW9JJ0K9Eiyz+toT/p 7BwBjMnW9Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BcT09+p9KTltwYQFP+cWp6ldOVhCR3aeYMfocuXkweVU4J1pKGI3DEKzmhz5NU7r9XQc7lkMMb1t Hn0hTUFQVI5e0mSUtCkS8sen0DLuGCCmCtzblkhAK+/QVoPp0mrt4JcZLjmR7n45JcA8hZDVsKvB WRTNHU2saP5hajEOils= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DOrZ3ipc0lp5XyWYVtHWdLeAzigiDawQXTBrb7sjhbm9nv/ZmWoHNirIqbodPnMJ6e/tWquICHfZ W3RYlxL2QzrlClDCNMIzCVaFqVdGVVVxQ1CJOALPvGG3dltR/Rb24nT0npXJAs7ffleb1kqf32I1 XtNO7gKq7nKKW3YZ6qAzjjtnOcaX20zeVWRBOC6SKJtT29FQVwapEUEsFeZyaRCXwgyJAlnsyi4A weN/uNGaosxTeyUi3CfGTgwoX48cmI1bJWYaPt5q+UkLp7oRJ5grLNaPafzQniTGGFClqQxSMwzl bG3UJHLqkTWALL2O7W/uhHiwpXdhUDcqNOh4Ng== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Xjlzt8Vc0gLNoLfvlgzWUtUEK2+RCkp9337xEPMzz1K9oxxwYuLXr6wg6IfA2Zr4kxHLpN/FnvAb H3kZfyvE3gmi+BXT89f3QWXABVeRQliOMv+mmBn/OLrjSceJoBB2E1BgixJyqMFMZlST4UnoaLxf n+GhuQ7Pz1izATR45j4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DQKDJqOXItRBwnrst9GQlPLjJsR1Xdplvzd8+wGTvl7oPLUIf8+bosi017W3UEjnil2tJiF5Bc4Q SHMlS6sr4EvjpFVXDCxap52Ze7PXfGkdq5RFJg22dsmozjQzAIBN0eoo7J6WNMFT4ezK/5ILZbhD s1ASzUJUaIw66Y5bcGrVM5w6STHYbmYPwjr7fDTbppkcamsE3fx6eFcDB0P5vkoeZ/3Hc4vWG/WB RE0JJuXLcoE4TM6Yxvt6flzNyus/j/ixSZyA1wdjP/QnLoxGE+wrRsbdw28w2/VpBJmOHR5yGx7e /IC+kPGNoygynH4b5EhwgTJjZjQZJvKmfH1ifQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736) `protect data_block i91Ajb1vzx8Hv7uEUoeJjrdn0kzmcJB8ecMMfO+iUabeludcx5u05pPnKylq3Nw9D8hrIS5YSfIM DHH21+Tld1ZgtGsxliDpU2pVLhsgElz9FUjnDKqt3vxr7i4fCGULgBJUmGskS8h5VqHg6VzshtR9 heBPTlj94l3jFviNru1sz91Rvw6lrtZg2AqvbL6cFRMZFE7YTtu6qo49MjSACyqkNwT6ioPkDwr/ 3j52Y4i8QzC57kxmTzb0rFR70BMvbgAq2UgxWjdIs/55anRuTwdpJOm6qCZLRQZsCm/0gxHePBx5 fpaE0jHoppDDBZhzZrkgr/OGNXDqtIRveNVqaKe8b/7nhILYewzqCQoHPoLSKuXgVS+pWac9Xh4W 78rwdk+Yzrj0oaBs0pdTyeiDKfsHcwpF9CVs3DeLSW/1Bgk2LKpkOvjgOGGu9rlZiRICg1R7/LqV vlMXyIXCE/CDEHvDBcFtCB4KmHlxP+ABiAZWejGUkcos+uYIH8CKHxZbsGEAQ+Cfl37TSKXi+2G6 GykpixGN39MIMqDh5BAROo3aOfuIxpRh5kqIXErg2gThNS/nF1eNh3XmgJ/qJuvW73m4QwzGXJ5G Pauvo7w9HzyLDR6r/E9ZInJHennTFPInfNDI5hKHhk1PeL4eMxcugOlxUz2OhEGwev1mZP8DoxyE vErn+UIAJnAUxpfZ/gGBFU312ZtwpzEwv0/xRTzXlV57VtFsHJ3xeYJ1tid662zaXbLdplc5emzE NDDCKT5crzm4jaow3z2s9GoUwibieab2m2d0UeZjNfYiBZrG9hR3zzi3Gjzpf1jahi21L8DCTCxv Di9loom1XSumIhZu4fJMQVQT2lhagBbYY3RtOQ60jGP7LB3uWbhqvpbd0JzTOfSbv1bhFZr9rBLz oOKNrYTjQJ017IlwfPfuJdhQmukqOzPH6Bz/v2LvgtpTrx9q4DyDqXLsEM5Lmu8fHDgysAQvr5S0 rXNE4Pgji8J3QqCZXhMXnuBETQ66dIffrqLJUhTE6ZJc3WaKEKTK+kTIGvjjV1I5G0arT92GV9aT veP+LmDERP3py4h8Hbfh54rfr2UnDF3f92OOR5hQEw48q5hLe4ye1c1qxv2sEZtslxROz41G/jeR ioghYzuBrCtS7XmWRBWkIdQEUaoOqrVr1aYZbQLPJGSt7xfPUua8wMXF6udumbQ7tv1BonsiPdza axgbe98I3j+XgU2tV9DtugtnpxFLkTujJQRWNn41uQ9v2Xf8Ex5UZ040ZgONhZmWRvkS74ms13n4 nrKekO0XdAL+ImrWO7XUjLsDLQ/sz6lxt65Xi0weXiKNw6rj2ldSdqyYQsmlsYuMs/32iXo9p7Wj F1QRJOVO+JvIFa9xt/vQBjedjuwjQWkKaBV0ZI2+BeWRbs2Kkxr5YK81m063Fbu74g4/czzht8uK 8gMCiWikUVY4FAt7orxTGoVOFsa39b1uIENDkUXx3GmZwLyOlIDAilRSXPWIIdy0pTRUFdYGoP2f /mSwWpOFHeD1x8kePO8mlohHViPRm4DZYGvy5Yb5o6w5Wsc78LLn43PjuqmRYJ2FdMYHG0j17wyH 84jQA+LShsiuEWRZwxpGL/WxvqLThNMoGToso+I37nBbFVQDgGUU7YDrXQhoxXmBA4kVqGMhYSRS nLM7roQLus8ljViuxQWmoAWyDLyTlw47SboC96Z+fGMuZNGE2xN7vzuC4SkJkEO6FcG+nL5gB3zC PTzgbniSXuvb6GxygIA2K6eCZ/bFQEZ6yB8DQg5B0sQ0noKHNunQiyHwI0f1nhTNL5veNU3uH9KN 3lFNwSKIZ/5nC80260orqgMSyY9LzA5DrG/fD1bxKX2rcg4nukkb2+IlV5aztaLkXLg33KVL8q0y 0X+0FO/BFKLXv1ljWM1t+MXUl/wT3ST1ae245IwKLwskDuEDDBZjIzoeAmWmxsm41SGuPHg8VOHd LIwUoIJPoyRFEei2yJqr4sqyO68l2Pi481VtmzVYzRbnwv4qvD3trUlFMPY/N+lqOmGbsqkjpi6b x0/0WgojMe/XpxOAX8LMkwlDGydy7bIEaUHiKe6DSb5aDAxqhYA3KjFI8cDqKhEX8MG1GNLVYqlf ewuVa3UDJIgr72BdYo3dpt0eMguQau+X2aXhbiEhd1jayl1By5sHxj97Nrnu0QvytFgQHC+HRIYo 58pR/LuQ5Vp4jqzVthxqcVFK4twi+Zn6Hw1/Wgc5+2QWTdSmOcSOM0vZ6sL8V6BNmxyyKip1SW/Z Np2SRd/WBEhGU08weIH9PSApOTWheOSX1jQ3NF2ojkHE+nvjv+2yUZFuGW1TEQ6NzqIfvnED/HZ3 XLKktbNP2BHc+5uzZOmI8hbFzTqSjUHcCoHO3jcflRMrVEZeJm0WiAYKVLynv4EP24a7IFcioMEa BV1Lc5CA5Q9fo7tQe+bJpZK0R2Vra/oiitquyG3Ee4O6AbR7mjwoD7ttc4/VUvpJr+rxZ+VvC8Ap s8p5yPwYEisbHMMWg/yWXXuunBXN1piCJGEP+2L4v1IW/csFz9v2cAHgVbFIe6kOrF9Jye6j/Kcf 6hdiTugJDytDbhdCQDm5JJkmRU6runoLcWBp4oGoMN8rsmmVxhlpk75g51PvHSbMvKsU3a73KMXx vA6ZS58FZdDD0+65o9vb2r5aqaAjFhlgqCg0/Ch6k8rw9kMt2LLO5DbAVBdoLIiVEt6BwNkCjm5Q rEZyFjZC5B+Ms3+g5GSlopuOob8z54UrdRWMGyouF8G56xazH5XdJjG9dDmegd/92ejMsuC/gzVO QLJya8GSptv/ZLG/6jzCK/bsOgdQ1kHrIq2bLWZSGXIul8IfX6POqmspyNlT1F82lJlDJqEf7csn IqLGKdHK4b0RldXd4+LH51u6CoBa2GABlCtrpvcloZKfqLhUUJrU1AC7uM2tmawHMTS6HcvW6q8t IHgoOQsKVGdsuUUlebv4VO/tj5efiamzlZWnCCOKPsOgNUXG/ywZQp84yZJBk7mboCB8u9jzuvvT RAIWFjEMQvgRMhK0N9zkSLjFBIkUHuWLV9ofW1CEGjkFamy0ZP5+/3KoiWJux0jXCsVuxNIV114e Rd8QyV1Eb57GejasC5/4+frKc3HXIlh6ALYsr12CVsyc3WXmTw4Rh5TOro/8ZtWySIeGT3qoKSKT ddqXReOe1zO2Y4Bxs2ht6+/2WOIvSLXe6G1AHfK7eMXEcGH8oJ4PD3SZTqcDsKoJ4yAp8FiI4RN9 VggAa+Ii4onxsddULrINr4Euc1vShQ4U0jqu9YoflpPiBsQTlepuZRrKp6dv4ynIWzjIQ0SO1WlB riJ2C7+QVOBNZ78qRDeLZkWaCH1p6p+9WJq7tZLygEmlXcTdwepZIw5bPmPTPGjlO7sX39gZlmlb tG/zTb358iQUNRdu5p+hMw7nfsL4hOlgHmGQNbDEJ5KMLz3lkEN2sBxBSr2hK1ra4cCqqF9zp0jQ tvtdfMd0GGCqXiRKPsi9Pe9wtUhP5WbAzNLkrovKDxJOSXJ8jSLsC7c2PnwwVPU3F9NnpbNloXfR M7+4GNZOEsRoV/v03pjTiVXkISiWBGJQs2IcKcwaKw1XiAQX9H+X7i6ElusmW3wclayBmnJsFOG9 2wMJEFeaWuOifBR+ui2UV6k8oheAo6nB1LPLD6D0STzYk/hIB9p+4cn2M9MIS0enauYbEGN+FgWX eBWOGrmnEVXd3MZj5qZiFF+m1EM+ztKo4eYguzIP+uyfSS0QWYgzkDjPdfNcEY94R61WUKKyzyrE Q0JVdsbfE+NuyKOm5Ggv+toV2IOIyzJR+Y0fC3uH14ETrEUjb1XovOeRWWTvqTJYgxjDeUPCLlA7 N8xI/8JBRzprclk2uSuhx7Z9F3ZeeGS+vjs5yoHK9/0OV+w941nKHL2YJ141+XDxj07D27KtbTRA i6hgnlry+GkL06hBkeenweIfRxZW08jBixXg6NaQ/ftDNC7ZB8IvAlFB8382YKgirHqQzeDjdkl2 XwX/rdIfVovmORc54mAdImlb+P5v3ucjRt3x4LyfiEdW5zqOHY76WUt7t4IB22CEd6OC/TI7ABbB VL7SU3GRvV8QN0MIpXdxVYDoS3Y0aRovHLsonErca1pBR0ZyAFQJD7pYNVb8eMl5rKzeMu2M4Zim GKLDSNp51wE5MrljDRU68GYAynL5bL80GYabH/WP7/v7MqpVncefqwnlbN9sDBzKP/+BE1R1Jzml f1QflOQJBWmR1w2M9tUFThgbMo/U3gTo9FqUKpG4uOIOGUzSgGJ0PTLE0+UAAuCzvk3T/IAIjuKV DHhTqC6+yTvsHhfEfbz75SdhZovTlpwOobzE/URLkW1vkr1a9BjFcLJhibUUaFW2Pq0cx6S1/ILz 2GxT/Nw85qHcjTE3o2uDNL/GGnnIfVtCK+9nWBA0KJ1ookyWovwOzUI08wDIJRQJe3dc8L92PUnv kNVdcD/KptYckmFWyVPkR+C6h5KMOAQEqzW98oxbtYk6sVGo7hML5ZBrC5V1H9pgx3acPt4YaizK zxgjpoTL/taV5rOlzf3ZEFNee+Vr7L1MElZQ53zon15eXGa8sgX8DGTm0r5nQPzw62EEHFKvIr6Q w5psmSTk8TA1gz+l71OIC0A0ZgaPHBnV2b1L1ldB8j2N3Dxtw/GONEhfU3T1f8d82VKKMJ2zxxFW E/flOEI9rcD0RZWoFnDYmrgRe8FfVbTp2UMO7yhASr9J9OQDbC2oAtaJsWA0vDS3psXqlIu7XCeH MVXCSE4xbZjgP/YnX0h89k7N9S2ie46Wt2Ou+oVI/jqhsXrFpdjC31pgYsWXcOMhNd9TyCYVM3nN 6MQ2f8auLfrJ3G5kuSeSL8lotBltF7M3AdXnsg2I+SJ0iPxThN+EibssxvI8fC24cNhkBL3mnOqe cafYAsUW9lnQqUztVBfTurm5rDB0cx4/JRAuwSXEwwlFtI0s8yh4bCdc4+JJUalG8KKrH4tlInvv w121V6+0iXLsMQ/q1hNIvdJ1xA0yod/n1W/KLo6UsGQsPa+0RZ6v0tklYwbi+pgks+sc910PF8er ZocbIAN5o1havgNx0aeZ72c2TXas8ekrK6jtNVBepwz42KxSBN5K+pcAwr9ob/nuDZ37eX8MKJxM eLTDjZIEfCrCJNjFxeqUc4i7JJXiZJ3kB2zB2CDG7B1sBJmmqJ4g3P1qM2oSmMGwZ6LTuZcaSmIT Lgzi8fsjBxT/tFOnX/9AB6PJcrTHdDQpaHVjW/Y+ARJ3bwxG5VwkkY6PrZoQzyqU6NY5QVpit42U Kwdci84ZVbVZmtQB6IGeC5nCe+yF8YGX2g/9nivGT2K/FF5flBYnW72zN10cxiMdVu5RGnulJJDU VC1L07suwBMaMzhiQi9jYBkO9UnF5k5uxMGUeaIIoComdw/tpmBKLvuqKYoWG2/r2nGn63Ysp2qA 1b3VN8GZUyc7j/NbdVDU20Q7sScwXZhICN5hsKZi075hJ8b7n/MerPEFJmcyI8X7Q6KwWD0wGxRq jcM5mVI4aGka+LPwt4W5ZQbMJ7FzQukPtPuTrLn8WLgcg6y3Aj4A5m9YVjsm0KzLYFX/LNgPeywf LOk6E2xPV/jwluqQiphU4wyoFcVk62XDHCfbcHSNLMNcI/6+9CBehhwSUP82f+UjSBnjm13e267P QGBr8x1xEAZbDJ8LW9ZnjaPxE0IEvPTpX3EHHYQPDwoiEOf4dKl4MdtcEZ0EaVMObuxpuVSg2++a bwBK0VnfaPB1g7HjIEA0fWQsi4ysxV71kNH+b8Tl1VvTxep6QynmaVE4oTlJ3BWYq2b6hSMrshCu xEzolYVZTb2TvxrkYYtH2lCpTroTgXIU4calCrggQ7jLxIJmGcf8xwMO3xPe61kO1XhNCSx3m9+o vEHeBgiHjkIJeu6f33SwrlOW8WtHeIATLZaq9a8OEPL8bG+6PDXXmYZd1bp5Ly/CnTbJ8HjaRFCF yPuQ3AyJaFcXm/5VCPFNbWe9uqrwKHd1wHnPnM90YV3bgxB902ZwpqNv/ko5TPYrPJKoCjD/Ln5/ XIebL9ttnmTXB/ctbk8y/F1kVELJBKNrcozxjhy2bpiX7McKsfrrXmiCFkKN8PFwIRWkbnd5Irhe 9E7lYFuZcocKiGGvIduHigkfQ82gO9qNnHWEsGDdbGRziXhJCZrtNzrM7WLajMuOT5mzQqb97Q2e mUnDRz/Bq371mYn2N4ru4PYtVhYbBOVUbS/I+Xj3uYM0m5HI6yOKHPUqjytdbk2yQUxFUnh3FOiF 6qwAOLXPQCR2VeK7C+/I/E24+irf1cTMIxMWKR9YT5ppiC4JvG21fHfvIu6e2dCXzQmAYweD8rZD 587gHZaY2VcGxFVin6P5oHuGyXIt1xTovK4QYYdrgiCpUTzkpNmr5UrV+wylTo2nbdFEut/8jnlY 4nH6akbWVAAEokG21paC3wfpuK8QoBkHz4NcpQZB+I1ZDwAJWoC89JtnDgKK7mCPImujPHJ5lGma qAqHMZKqW7jFVwPkeNk5M29JUq0M630tz9mwRURNrJS0QmWWv0st4nbaqMLCDWqu2ngHuEJXsf6O ckJiBVnAACdJli+UC8j10sN2gkwl6puU0tUFyYjHgdg72HSxT/eby4uqWf8aPPklFrPfIq3J1O9J k60JwSeAGyyiz+g339OnuT4pTd/HJmFbV9NBbPNxCMQlNhaqXSYyy+uXC6WfBoo0cy7f0X8/y1Fh OMggylljJhDnvllc7z5T91qp27pulX/7LFNBWygmprHMnhkpN7DnciPy3m5HVd8gkakvv3tF1Wer M7gt94VrCiFY5VtjY2nUmfasj5+sxM4VvOWWCCoWqI8mLVbNUPwbnfcm72T3ctpCD+WzmlUC20pv Y+5NjrvkPyCwmcG1rHL15W7gfwfbJ9weuHGJhCQkSofHIKDoANAFhaXD2pq35vQtPtq5xMlrDsHa u1C/251WckjRnKafEG/D/W4R2KNpTEISOGZy1+J5ZWGVNX53+rQpo8UAbzczRmcZKGk4hEghxDKA S5Jm1OR5+0W8s86QsRkdoXiE3kQjh3wkwaV0lPnLedv6XmXYYS0cflQE2RjsQXTwCqe7mJKQF6iW SdlBE4roblfCDyQLZkLGZmPMIO6d+rt1JM0IBRoU0/GUCdl79b3UtLMuvgwvGSGuQnb7YBGf7yWn Hs6Iv3h+aS9jIuTMRx96fuH2WyhCyYKBvV1DCNYK9g6umKk0hhyyVNthDs3ZiK5+wu6SiV0YLlpI mi5DVH3d8/ozB5oQpa1hiikHY5WS+yXz2nGuC18g8Y1Oykw+bGB4bp2sIHVdwl5ThucI3U2PPFuT 6kdEmUdxvquxS4zQA3FFg63wS5+12eSlIRb4qYVPVAvD1d7nKrN2xOKyJP7JEayC9FeAeg9pRnYt Z4vELfW+5Ru18v9yM4hriQoPnU067cNSAr8kiipmlqTTTULUhUnvaa/WtvKFIzvavalTnkdcIcwg h4gfD4N1Lt0yDfX1xHBqwB5n9TC7DV/miKg8byz7+tlxwnTItH0hTgotKTMy4v0i/X3/xgz6FkLB HdtY4HrD/Xud3zLfphtqEGXNmM4C24Po7WYYonnBQfRbtCv1e0L+FLKsYpOpHfc15rTKFyZW1gSt FGGtEhKo39aG8vC5yjkTYFnXuShdDCveyOaKCETnxdMky76Gb6ZhZ15LHGgsD38F2V0ysSikOBRD nd0IsArwKcz4WtE2c6MVNb0a/Yaxo6m1ufsYLNBts3kBJwcpl0NXmzZk1ltAJbrhXZJ/+93A2k3q ALdfSI/v2brSB9Z/7emvR+l7cppsLk82n9+DZ1QhC/fZB76+iGaV4mJ8ycxdElOKLtPUZMxj+w7w CcbkAsLoBAiQ1OtUseoIvwuITf/4x+Q4EJmW3EZybWUveSnAbmP5kdac6V6yPUuDIjVX2auH96ki 8Y5MwPX2AlbieGdbLdF0g0Y7O1WFNHL8RtexMkXrtq7Oxcm/VNleKvApcqkTsP9AKbTDqjlRa+Rz C0fcDGIUe3z+vWj8t6AVjA5yNI22Jh4eIse/g6pDrT5cQ1wGNZ1XYf2l1mVDmvfKCB32anHLEfyo aHsE/0oi7JaAQJU9FcRUJfxSymJj6HwRexJE2NxFI7C3DVc1DMeFQ3JVtY3QEv5u0Id3HUV71Lvr 2lib3bUPi8H97GyLRJh38YZAnNG8U3whVB5GWiamkD8nKRQiAFxSqljKgs/GeJ2ENRdd4qZdSTdr xDMSGIMKVSvLD/pD/dFx3Apn+nxWDzodPaSD4XDsqoFLKD9XJS7YjeclLN+bUHkrHHKhZ8KnPyKM mhWYnQDI3YA2RUG0gcth+aTCYydDECxSzRvu0mvxtF4rVTE8qrrcNXhpt5eBSWcr7NbJ6LQz9KdW 0BTWkdlRrzFcmFwG01RkXW4j/7D6aedBKUJOK5cwOgU1hOoNXk4zORjM68lY+w+TML5kXtoRwrEj AL7wqVClycUi2VHvVcX9i17+Qp+Q9e3/HaieMB0DTKfmX+EAi1PipTgmnSG1WM7Soqv+Tc42PfWs F2hok8GSYUyQbbZ8Gg6UodxI1X9OxRkwno1FXHxvla6dJzZywHMZganOHkcBgCSf5JuabVsgcwwh DubDqanLm0txQ1kEKqS9zK81foru8TaQz6bnkXOo+AiThwgozKjmRSIeNsKB82xa07LyBP93ZaCO oEAtbEX0ULYBGDzD8jiXRZy0xj1R6dHYiNQj3Uz0cgK5H7vGExQu4yuTluTdciTMtt+XysPhCSUw 4VkcfbQnbuxPr99XE3GHlSJNxDJYVMAMap8w0WYkH5VyiO4waigo4GPakAf3hiCxwjdtolvauRjy 3zpqa75fPhmD8DH+0sx/kNBiWZvic7xOVuMFxe+Gns/vL/Q1B+LvHSd91ZMKvlDL8TC0T5nq/RM2 arcVcy1KTDhN4EppzNYZHy5WOLQyazZNKD1SQKw0apzUShce6+yzsjj67ukk1EospCweWV4459r7 lbHbwbvF05ik5s0dQeJsVcRCSl1rtGxSNhMQs8EF+HnteKAxyWeeum3KfGKlaU3+YUqg7rvexxhc C7JShgMjDWtfyIxiymL/xmi944c2bbSUut3GoKX2BicP0CqyTbxTVuTPLQL8JFv8VwZuQaIRRoSc Y2DFAKoWWorcXUC/V9APWgh8aEoLPg2zCX7oejxxKemTrAqn50bgc/3Ph81OYCxoTw/dYpGWOmwU es1WMyTKKfZMMxHCVSrMU2N+ib9mb7c/rEwL+EBJDy1Jcz9qqzUhFfKZrAI67VWPu96MQiI9tyr2 FFrhCsxLDyJFH/QSrAchcHcd7eDTqpN4yiAEtIaNOgWBi80lv70dVDLexrftX8rJ5+qhU6FhIm+/ eDZJme9nurjTpzU728JXGB/L9NN4hELjQCcRDky8eXVBWfGd2FzOio84KOjV5esAQCEQZatNLZ1v xNP+kWQmRzHQVyWZ3wPDD6uAmClxSLzTlkrCpPukc0L8KV+88taAWtdn+lcd8MDJmVAtkAeNwH6f I7lO04rdj8nSylJFZNeJU5G6K4w80bAZhF48rOkqTjzx64iVxWc/eSWxOWZ/cyAv8unyCO7/UvL4 9t0VJXB1R0SIDOpjn5J+R2I6gsvOAi71x9cOaHk9d9MNm3Jz+vJJ7cosO3EMc3DTv3jHrBaCDpeg kSNHcTrOPC1o/zqKXjylAyTaWKDcOQBvoFtSlzcV2fWa2y3bGFghk315sFLpIxjJxBmS8CSf4yKj 0gE5Hl7JwiYcoefNINyknoYTIcf07i/fvfjoTRbKGbJ7dioJXhX97/D2fw7TPKGvE4pm7sBuHxYX jsQGKCwmJs13S5rYrz7Jeju04EeRCMRSbl1t4QuE4ma18sI52QLZsqon07+ThRThHjNDOjxpkJfS 5HySuZf/GzPCgprjCPFpX23+PRUDknLqH5R6s+izzkvbaNt7EoImz1p4pBLmGKvxHF5tQzua9u2S nITlKTSt0QY3WlluMiZksMyGdh2XNnI+/DjT2PqqDaWAAUVVGrFW2gghjXgzC8qWr8fh1/5lE/wl qj/2uM5LEYm28a8mcUjmiR+z01iNMLEZd0tIj1SXBf1fVO5woRn2YAQRCVWn+MRmBdUz9EZiXDbB 8NbPkfoP0v4+vGTSHZkw4SguzOLIpFOKDPy4lKJNo8tiwow2cTGYFR3uaaZRONZbIfQEjsw92O6c kqTjOGwhhXrjtzjN2fjZzGr3H5j8lMo3bO74WoTCutj15Th60NoRiHZM5baRoeZJTaDL+OZodS4q PrAr3hyDjQOk8cNocCfJJfq/bUqZlpyOx5xDuhDQt8gys9QPwEmz+UwsWhz6LbQ05n038i+ha+LE SIVqQrnulTmfBrjE/tLCgerB6tcmG7aSV0rCnExuJ22xVSjpJxuYBRVdIV0vacdibbDkRdckpB9V zZWgdTG6a3nDo3w5GmbeYq1YdcCfUBQ8bJJHG2fYOXVOEacbrfvcxrJdjDMqWXHz5zKbVFbwU5IN b8s67ChT+9xn3cntthP82v8IaJ89k0D/1Z7VJTdRXJ/fNBfGHmK4GSbn7CvjdTFae7FHpCnnkQB8 FVx9HCtO5gyDDVi4SviiyCkzaQxflFxIj7ajDTlh0WTZGyA2QQfPn6XJMKLs3cX7QWBSB4QqwDi4 Pm069m9EPSry5uC/TMi6bzl5xfTyibFTjelYw2mDXCvWhU6PcT3wvPDu23IeuHJ2eZx4fWDbq+KN rCImYvNFd++E47i0L0SHemrxV+KfIfx6sIwoAz7ohVo2dIb573X7G7MEj/v3N19DICME0AIAIQDC 9PP7rB8P+pW97NIF4EbSHhjl8b94kND1N70VwkWzmKlt5IljIZx8CY6NUwWAWVww+mKze9KwFN4h 6sPpDRiiAEUuD9TErtvmQGqga2vcU6E5JOL2E+t0y/26xc+hMwCi5q9TC+D2bdxlVNcjminGxN5A xei78lcBe+559OTFKoR6uO6Uxb6ObWq704/y+kN9htXqiKO+EItVU+vsGVRffmeHQJdjzrDYFU2w GjbO1Kb9HdT1TMfPPLb8H9DB+5Cg+T5aKvDN6xKDz50vdX5PejiSUw3sx5QvH+Zvi4+yfl7feO1X 16ItQYOf3Q0Gyqiv6sou7hc6FS2DCjJPZ7EsAxDpeAavDqjVwmQ2zJw2CgkqEiDTOCOeumyGa49I pwwwjSOit7rS44v9mx04yh2BIbWAta42MVwtPVEmK4ZqvKlQTXYnc17zVDa6oWBR79Ju+JvXe42z +qhvKmYjdBh2SsC4oveGPoHvld4MnUau55AOe12K9oU/EO07snjjPFTQQL5QklwgdfQpLxfgrgIN 7pfO493TdOa1JruoIeeyQF3h2whOfYwHAlZ8M+VKSbakbJGcjDzqMhNjGE7u5BD+cSw+1iKU+her 1YYjWMzFwadkftIm74YodBn5/R8M02SezmIZGZ+tAO2aDbJQMDVvFLYOcyemqoy9+YuXby4lYgIr 2fki6+I7qmQiWbFfN2oO1OJK62vTKqcBrKYXPvoznFDGwX8on8bu5liJZS5CenTPoyOLJmslCTXR c0iIXSg20ViMhhYn0NaVoa93yEGpNpwlS1mTNyYnevvPBmzflREUTW0lVCOg7jZzP8ojWpc8wqZK WVWeG16VR3G+LXU8nT7f+i1lH+S0FGAIw0E4TIY+ivFinMlYiLwUrDC0KOiX0oy09Whl6KL6/Puu ajBcL4DkzxJSvxYNTettHQzw+pKarbgywMKwp9gjZEpj/qvgj2YN8zERdTu7SZWK8T4vrCguDWpe 9HI1G26Qbcboket/bvBfUjnAtPbY1v7Hl0hLjcUkRz5Ezq88jyKvY/8tPUz5D2GhCvy2xdcHIHmv bTWhblZP5e7wX9JHSKung0RE4Tv8+xIQJtTB++Df2/ySkz8NnLacmnJluBl1A6TjkknHIDa6Mgn0 6yaxsSVvPTbJfqL85YbvyYJd6XZLSPrm04wsJL2VIYwUQAnwzqALhCWatMCajk5swrsMHrZcNM+5 5lwR3il706OApQ+T/1NLq6BQwnFDsKkn43SuFICR1xhDccM7atl41sxzrgrZ13VU+Idx6PHK2QJz I16UF8Q/yi7QkeD6fm7kVujsL+2KWpfUqpgicvoYvKU0u5HUAQcBRGgFCxoEZYStir8WR4Ki3jhR sn6G2tCR3tkL9D6BYWp8Kh9fcJiCLQg9zVZPlblBBvc3vfRRPtokqUwEh7MyI0R0oJu/ZWvMx9Lf N0MO+sx98ekKa65p0cALO9KwoaYZrGssdSN5dhjGkCxI/ikjdgrOLTeWS1cTiCx+Vk0y0AEeVCwW fvRTgCEn03S7DGdiP7/fyqA4ldvRfrY0k1IEY+JK/WQx2E0BLpnoMTrEO7ZdwjNmtw9/1Nafgxd+ LEi6sgTqNDaFIrzGLTrJaOsy5QvreMcKob6ogkjL/m/BcRFD/3MqlDZ6zuSrOShebz6he2BdpCcR RDRHohSBpqkUrU6j1IG31a49A7IOQCqriURAFWVdDXQL/DoEyIId+vkV1Zrz61370Du6w9OhVMfF sP2iO7E17IEOYep6NAOfxP7wc6chphR4SoZLcUmDUpPrRHarbK2PMjUjiM8X+BjuwU4fB0qyrxDW V4Gdd6I8JbEx9WF0iqlMo131Ir2r2pdu+fDzJOmtjJkHwYLVYrkngHuBB02cSnXCvzvAe1QWbebU VrheC2EIr72GF7JZVQ8OF1i+srygFKwmhg/nVrL9/f8LL1UBeUY1oDxVV0mHoiot0R+Up9u7z10O SiI9XGzKu3pdI6QmbWP1xz68YacTjQ30VaSbIzDI3YCFswkSXYQg09XgJPySWjgxnTDw4jmcpWND TRez+5z7H+SHTqM2Xp+bmchXMU7C9FEk4N/x+tykg87IG3TP83UN3nim6SKW0waPvk4yLXENE04B kaa41fd0yvTTziI6qyQgvr6gZ6GpaB1WCC16799gX9EBkXKT0u1UPff3ejL0plRpb7BAc/RIwCCi h/PrBdjiMy7VjpnE0kDQu1RIRHEvJT9ZxSWOVdVmovbqC74GtanF0jVosKuBnYo/JGst6Y7JANei TuP/rh5dxRrg/mDNgoBkg30ckhK9OVFQllb3re0gRwz/f8FdMNdGlKmH2URGos5VnBZiTenkeGse uP9RCmQ7ary9kCXEFIn12ADkmSopSe2XEhyBw92K4BcRbZZGkmxveBX+WyJhg5Z8FZ6bhx3G/nCU HkqWCrCY3yvuT1P6E31k+atyRvyh6cnJ8yJdq5U5mHh+u24sv7WxzK2URm4CXOk3lV6GPi0RZUqe oBnrXQumeu/mo3KrCOgvAHxzM6NlQwYtB7x9cdtF8IRO3VzLO1AUI18ZlHiYyy9j2cL23ZbyabWt 72S/wgbOiR5kULkaHqOEp5T293u4m0kf2XkO9HST8v/GwkK24xyGphYfJfAAbm3zNl4qJN2ojRk8 +RPcmyoZ1UwmrVk59Omib5VZJ0hbItVQOl/kZ3ejY0zP2Egrfu0Lk8DcvogFCwm5xSGNCkxQuWgn EB15SvuF3BrAyKkhBKzv2KFv9sUiypUObyJPIKm05l8rxpnkg3kHlU7zf7MnQCMVWyzPK63eK9kt Ft3itHE5rmCHGlBi4ki4CiS/X4sXr0abI2f+bv5LwNZ5aHGTnFy+gvSGh+/BEhDEAGodFfV4fU8t Drrlzu6K1kt8fdMHc+MDCEoxXW9LptZgR59L18hzDHkvkGLdRQEUT4Yk785gn/TQTzcK9T/hhQlw WRu4WqoHSPuNT2NfD4vuyxbOHe40/QsVBl4Nsy7rF86/pNS64fN5E5oPXCq7/VUqFzcVgjJMr8zZ EHHx9/D3t7yYRstY7iEXSOD+DaGGIErORQrvPgBkqgCy35luy6rje1Ggtqx73x34I0hX2AJ5YKSi g1CiIJFMhdhQzu+FqUa4zs+HUgitjdEdAv00nj9O3FJEjtA/l3azgU4br4Fw+lB8j3Yrz+nHH4Yj CJ1IQhnopDyFMZzJXdFxb8IPDvYQ9WYpI95KzAXR0tnMyXWTxVxIIpnfwAHoEuBL1+DiKNP1qFTP +Ca1/aCR7HztOJJVphsFv9r1NX0CgR0PapiZPyrHppMuYasGo80EGY/uJE5PjGqKJ2mfXQiv+29c wZ88v/Q3UdthjGBdJ3izQ3T5Pgnre3OFtUzUObEMwf6MDSmumHkezuY5/CMafFZ7/WKLNHoxviqq oXikptu+Zuxl0J/fSG9V9u9oEnx0muJYzYFGpWXkdZJhD1jKL3jL98VDQRvJtUPffzcI2TkmL9kJ z8aIB0II0sHo3pAHbZqSR/5O5RJp2IaQVFqU54W8pnFxC8owq9KvInse9dNbyPP0suBp9b7dPMux jIIjWsvXpNP8zHwuTm0QhngaTo1OFGnLcN8PqiBJ9iZnmVEXr7zafj4+4JhtLAwXDCORrj9g6MuG xNf6ettDbWK6+k+pMdfo7XNTa7NV7REzt47wwYUZL8FNoTR4r/yjp++eO2AkMHcnyo7Z4SzV2pTY 0jkZnD13MrOTLrN9onO/TV5uPTjwkjaJF38r8E/RcI4BKPu8Q6Rvz9XW2TIV1JnDYBVsZZnOtWTX h1TbspVwB7FMz1n1uouFBVzKM3IG7P2Cfd1wV6zt8w4TKRSNHTGQ6qEKDnLSWtAmclN4ZUxZPQnb qxkFTHKC5A3As/5Xw/rTDS//RAql8AUMe2kTGh4aNbs2nSg47TIZ+55X1DBD/FPZsb2HixRYtwpr 3lOfYTMF1VV/j/FbclUzNcGnv53ttj5dISGnWMFDor5/GH5fG6kV4BHdVutXtABrv6zlvvJHA2NB zJnV/Cw2TkFM9KKm3yRiEEzAk8O3lnwesPDMnOaL2mjNXE3oA+EnZ5a9PVaOzU7i5G32mbRO/fal 6hz7MZPESyEDelWoRcY/AucwyNg325G7eam1fVrxUEsjwczxDy91/ZoqlZV853E/xF0R1bYmj7y9 usrO/K11mAngdXc7sTl6uxXezPcgyVEnLJUkP9ybTB3jf4WLRwc4kxWYFxq2+3B6oZ9NocmF+0qq h8583ZhJ78hUWJ/Og+/MmxxETst0dXOxAL6QHFvEMuJORN8rqYfVCNZGWgz2VK5XrPqtRX3xT/ba H0X1v8kFBuu1Tl2t6zcuDOoZsIZPPLhbscBgHD7bp28gF5EpRiPe6XOpc/dgfBhCbn3QZyHTeuBK 3jb0KujM8eikCydYFMNOFKGywRAPMkAb5sC64saM6NLsSPMvdgdCUjdkQl8pQhMvxwaW6In6+lNN n0iF3lxXyHs7igO0uqpiw4mWmScH9iyYkHg8Q3OIk4qPqZCgQTk2G80+lVsKViB7RHjuJ2eJRuPr bcknYxja8UQKdydmChkw8VYKr+sSbTntaEIbdVXmKosm/MZA+kgfYl8QFSvrNVnIpwXBCvN7ip7m MC0/jki1lECuWwEcYxNpk4DE52de+tbH4Fj38RazNK/fWgso8EM+aasFnTuADdoIm4XNWtw1u03w jUNksk2LqRnUI3jVkwAsf74RZKUL+2fAx4LUvXUeT1Zr2LeNEcxDwBXGHooMg11ma5HmINlNgsWE m0WCpQqDBA8aZN7wG5qh2bwn7QQtzCsL129ulVLF+ZpQKbQj+7v2s+XvTTurp/on7WKQzRi+Taf9 D+x/bqDHK87rdd+2XaGqTepTLFlQwWjC3Zb5atgE5nUlOAXVrRYRzuIb7sdgv34ydEtfOMHZODAy hGMrj/xl2B7Z26070CXotbLwLZ2S1L3PW6+72UZ0rOp3BqwJXVzu16jDpG7GXi5xgeNS6SUPE57f EVEW36LnUOoiWwVMrxIRH6EaI2qc0LOGbwqkqb5rllVwm4/v6LuE5nhcmoEz9rFyJwB5+kJpxl1U J59jGCBdIzMEidMDoENiIkBw6o+oFJwm/TivGF3XNQg5uk+Fd4DtVQMZX+AnXY0Fx7f9krncpE1B Nv1YDg/I6jgC1lF+iH6wEEEEOjCow+cIqZl9pYsgvco++SLZNXItSY1zIY6MxywO22LBRELdtLsg SoXRKVWkZDS+XbFxxQxUqqqPFifhN6EVZKB5CDN2maOH13S7X9nUpEn+kKhAfRDWGZv2wTyJ2K1k aeLxKmWaDFbSOW6MsvqumzKYaPjTiE7be3n1Y0AgbS4aWfXG8LOhbvhSF7UVJD/2gGDaxOwBCyio PjxPKGFfUh7uIG3TuQdwPwJygoYdqjDMcUkPxYiNDIEd/X2UCac3cUdDezd2Wkl3LVg2jqx7Wtdt fK/tZoT4BNG0TZhhitFFBC3Rcpa1ZCkdPCHFazVzka9aqITvKQ5o1U16e0QP2vL+WGzqyQ33Ohnj op47MvAp1UwxYwOW5CsgAoOwMEsqKiK3OT4lLZRPk+4l/A/10YHsAmuc7EIyDew/JTMZtt1JCO4Z xjv99VmDQPq6Pfyoh0iJ6hwjDJCJcRi4hTYrMyNoHAtGi8UTS0BlhGXDOkB6E7bgeKMKcB6+11EG FZHvB15iuUuHSAlMCmIjak6lhQe9c0UAqhc9Th252ltzSAlkLyk1aF8Y5vv9peAAa59YteW8RNbQ b1E/N0TxNh5/lQEM30XrZ8QcFXy2EAizHWPhZfEA4G6JqPzUOh8qCQAOsVMRavP/6hLP62Dnlczt Tt30DQUFTugWjQicoWzE6fM5fmNkkfmiAZ0PKeyZo2RrxFaFJm+oVG4nEikDvPDw8MT1PKLS9KVZ tJdudH9itmVBrE/fmOsbSTtKGut797rcD6z0dQWSbAs/vJPVkuBW6I6bhViOTFbrbDCewsdUIu3l xwMS2gfQUB9Tta2vj7kTlbA7scK4R5BtvtA8HlQRKcUT1f2paMa/6meqwpAqY0oSxXSU71PCLRjo 7S7A+gAjHYL1m2jyjJmUzsMssboylsr6/+LFi/FamsJ8UKGJBb/psWW1w59p6Q1+T/Einx9PEsmg ucAF1P2o1zU8vGVVmjAGUG2f2070DfafNw7WC772xf1o5bU2jQ7i27an5g8/o43pAam4OqHupTzu ghXgyeGI4cs2mF3gm+kx002GyIizy7TjCFXhm6tph6XRU7Tz9Oxebfs7zRWEng1QreTlo1vniO6o nuQHs3aq5KWw9BzxErvavE9yGZ1IsQqxiapaZWkMLSGNIXd3nScJIGWLZQZ3VRTYDmVu2+wk4L9k Y8MVx3c4xc4mAEefO9lCZ9H8DF8rSE7jJTTF8/Kx2EumomL4KTPqPkTOdDNFOkD+4pyne9kLmJvv ibdv+aIMoK7j+aWFxj2z8ipm5GrZwbbIitlvz1WzoadfNm1zo0/SGww/s0ZZgsJPPDT/xLuUsBzc HAOWcETQSh031vu9rGY0/0YtFhlAJDaK7dz3/2ZGfR4bQrfdUmhyoX3DBvqejXtdrWId5fvzauhF Y27LZQfk1Ds1sH2hMo0C0GKSJUufC7GIab+w84mbiqtMSuwB0fVa/UaRAHw1MwpiO7oIJQM8eBP/ bpIyFIfEO3iG6I6xN036HhwYiLZn+o/HSe6V3WR68xlspMFLe09/2GxroD9D7s8vWJH0Z0HFH3s4 rh5vzbxCREokBovCeh6Ukpoli0egThPgADezoMDAPQ9WAgTRs/YysCJHunncrchwpevk4Ygbe7xv 5jWtnY/k28XLfdn8Rio/8Pi3ucz/gNjZmuBHv9Tzrsn2m36l3Z0963KGsGCxCrn3YgrhsZ6u9e78 ieC3ZB/Ls1jjsdCeacacqOosvIH4co89rO4ulWX75ngCPBpxdaLfXg99Wisy85V9Cz3GgzMit0FK 2ONAGk4DqTjKkd+uKyOAES0SsRgGxV0VgZKci3w70Wnkpfkxg4w9LOUvOEGGEHCgovtlxY+vXNYj xj6QVUc4BrkgaoE0iSAgidFcNBH0yA1ek1Sv2aa4LQVhvS2n/FTbqR3p4qMfsasxNXgFeHJcrVYZ kjcDlV9viR5rm5YW3n+BoPZozLUF9iESbhUD1gdjjYvwBMgEoEsfg2lMcpfcD3R8ha0qEMEAMzTZ wOK5dgR+c4Lj0WFDFETOBmaaF+gu49vekeSFktkbSJ7aalfnBq00SwBejGaVkZ7dJ0rAyAiXmVoX 0JuMr8FqJnPxDfnnre9B2LU56k0ZANN/7V2ZwU1MlM17o5jwT7cAwLjH19dmYQXNqrqijfkLhmsC 8TIthnX43IX6PZdBdV5HO+tUY9+TynZ0NuvFGMrh5dI90lDKqrwGwau6rWxdFQSeTw+BKNissJQw 1dUW3CUwbNpC6aofqGDmpioHUscppLepBsk2GGXj9ihQApM1/W14bJnR9QqkfdOYih9tfqgMmB8+ 7PwCQMzC45cPINYnsKMQvW7cPOkl7tJu+VHnL6jbfE8ZUUeq9MAfTgVfz1Iv7pSBwK418EC8PP0G Znqk/jkGenZAi2zIhI2tfuaRimWHQZ5q+GO6swKnSQKaOdV+s52dBAigaBckmT6NQFO0EspS9Utx ASJMtBhZlnPquo8NPyOiSZd+de2Xf0EIrVw2pKx/okQJ/jj/TEfQu8GcGcujokFVFrQokVxszynk KKD5YF8gp6fJG80BUBcbbJWWKY7QpBoAtifPKMnHSKqrNirULsaXR1CG5VzqJhz1M12oT6HTAbUG XD8Xn2w9Mo02oT/ys1MmEe5vf6KqpKg2Gk2mFYg9/HWSjvcFezhmTl0ZDN0RigvUDtdhQOgWoMHa 2ZKmRzjatlw10n+zFbIfhIT0tfMjJb8MwmZECa9PGGKqECewAKvU6BeRN8mQv1WLiRqDggOmRj04 M840+kZSQk7ZjGVhJAmkxcURzxsuSKrfrhU1p8Xkll0XyvLaHijS4pSuO2Ip7e/o28pfF1N5lA3n fqmvg3k8gLddGfY61Da1cqWS0NjzUuxNVPb8qsAO2rt7l/qqfX6CWSS8SPL1lGPFJIXelxGtMgJr MEKnIFhy/ZxFdFFloff2PKeqGdfsXUWgkRDlphOokMcE9IkghFDRTO72SwdQITS4dHY8gw4MdIee OfsEQFwBR4yzmcoW/ume3qs2M64QdHJ6oonRwj1Y4q82bCF9QqXuL6+2tNb1o41GStgqUrCp9bay M1Ufgr+MNOkF04E/wTirGS5MZY9ctIpcpn50kxYlDxGZbwskIvFRC8mRpjPRjdLCzIWI0z6WYvPs Oqw+s3KE8AUFd5V8c1+NNKnSrLDKjg8Iv4CodkYprM8gf/a7SkkUAP0/vrVtJd9X/ITD6dJq7nFM DSj3u82+a+4KeXQzdnFPr0xqTw16nWzpTPt/0TQix0Js33527eCaOTySdYiV8vZ6egEVCYtxXB/N qlnWso89x2mEgz1IGZgLxh23aWRUXtwTfoDth0Ctw3pIeHdBv2Vbe1Vn2EkDY4i60iJ1O8r0AVkW LOhxqh1WVHBKWdRKQXfFu7T1SHgAf3VFPJxjcOI43BxOqFafkvTcKQE3PvVzu7Y4riLOpmxZs+OQ DHojRkWhmcArkgcx1AwcCC4vBQJ+9vlckHiT8iQU2XghGf1CRPtQ54xihVSq1autFWWlu6MDC6oO 6fQPPity4/IbSWuG5fcVdZqP4zuiiAso68Ys6Dy1y2jYFT4dFjMwVtjfp+fiCHX0fIPOE45ca7v6 rrYw9zpbuvA5ZpUarShXzxJW2ZLn6kUW/2qfLglZAWiySc+GURdnKKckM73hrEXvhVkh22RcLdf2 0WqLwL/lhMXPwEDq7NnoqT6fWFBnXnpGivykiYxG/u5S8d7jG9xPKqC7n1G0rWBvxyLLJDGjGY5d NAAz2yhisxz9ko/BKYkDmhe4Y2CaAGYJPIA6EbJMu254qahLVwAVRQ4+NRBteeQexzDFfUeEsMtQ KO9Vg23O+D7SC8bJz0+aFVX5fgkEU1oC3psFt6G/yaLeKQ6pypGIF3rEIzA1AQZalIUVT78SuUCk nPQt3TV7DzYHhLTUE1H7GgjT+yZp4bQNUkIOYqW+RVHEgXR1GFiLowf7c8Jkp4BtNarc9uYfp1iH D00hU6J9QTMpX/Bix6sJwbZHg/Mn/rpdmiUIt0vgcgj/oseO2IXCkQTGO7qRnjPIXNbKLatymHpS MfeRCGekry1k7Wyu2mBtQhOeCYiiOx3ypyZwyPewbx2t3BBhPEKVuwJwby++Z0j3l6Uh4/JaK4uK Y/bTs1Qtj0k6pDLYGp8Hk4xgk2JN9KqTnu2DLvBwcYTyW7IAA6akxq2/8z3Q5uQt4e27F3rTWarL rxqgo/Il10GbrBHOZYK2Q+zKiCxkNC4rtzjrQtvBSD01k0mWmiBw5mTd7Jxtj2lz70zb8IPrleRD YWhASXmhBmsvpNgFwav1PzK+rtHbffhQLNcv4LPISuFbN4Xf4fKO8kV4WRCtqdHbutI5WtDJgHyx YW4qmYX5pzxSpcLCOynKfVdDSwOeEg8L/LmAnlvE3jPS1cQpdA6xV8Tq+oGqHYBpYbMx9lKevbYq te0MDUL/gYnMiwy9t1YIKSgAj78eFlXrOEGPIHw/xDNZL8uk2cUgt66sba6ST7sd90ueCxX3TgwM PlFwzQwuZWaQp4JWefo+Hbxh90XGKUwHFqh9z7FKnMYKzeQzZWzQPS670eSiMgLrFaJM9tilQYax yFGUH7vBKusjaYVeMadhDJ9O7u7NU6Db4/lmiMIXTn9L0aLbQz6HAKtS7QpKDIVwxaVCUNTx7jQy IhGdQeFRYbR23iNJBZPOWyIyqjYwkP/Ujx644SVdwJ3xfoHYgLjwhzXg6Jv08G1uoAJZ16SJx75j kVkqfBuD7EzCrGXo7jreU6thikkThW2oSsnHr/pm1VMrHoV7UvyrEOqts5HWMj0aKb66XqYDQIFV acahuJAVj4ZG3cFa5WU9HX3XOd4SceMFqa7/VjGDLY0+IGcGMCCen4hHJKKhNSjrjv80mCtZhLSD SfLjVD1b3FWz6yWaIUnzcyEL9Ud3e4uJ58RRWRnckZirYnRSyYmNo4msgezUAW25aoW5arn/hx1/ AIG27Lh4QcTdSDoh6VMewPoCghd8XcmM4f6Wbh40FMg1QU+bVALqpul1gO1PW7k064HSYXoujHH7 dp8ZoTknA1n3q1+LMBDYk7JPV71HY2N6VcBjWcSjbjNyjRJCFAyq5KZMTAHlmI/KDj074Cw02Eab QKO84owx+5ad7W98oiMy40JsvZW/a+kRjYbd+HbDCLMz0dKQkR41PobRkcJJ4L85I+bcFU/FSuDn fyEHB+WLR05gMqUyQ4AwDFMLK859lRKFgzCT44FOM97FwW6TvGBQs4zJb4Vgg1wbKnv4r9ulv33x DXCgha2Tn2XnCGtjoAqvAydkbYtaatbvewPNdzFIhAu3XIh3u2HheRu3KvQlKlLRTyLTOvgeUBgp Eb4xqbx9HNDdgN7vCS/QSF0UDLYsGKlDQfAnGEW6oVXFNeTYVZiIQY50K/FX46gGNbHVcgMUeLow e8Y1IzvS5PRPApbPFEpdPqCytjrmI1DhPoD+V+wHG2BunY2YwlrtgOStP7Vef2xAgwINMAaoADDW egCHSurantOWFOB4DAfR68ma8GrQz/GukwpZN4h6QUVMpK9XtDJaoWAPC+kk4SyTEHLIUBPS09tk 8h4Qo0I1/Z/hBedpQvhM1keNsaRrvmXA6cBP+5qi1EE7C48NqUEtZyodaVePZKeM5vh0dbEbCPI8 1LuvLSGDsSyYjD74q2li73EopFH0So2Ehu01dXHnEwtDZPrAYTCjgpuwYz9ViCtCRY+HEB/PJfj0 66DNb/Z0hg8CyYLGQV9v076YVctXI2TttKfO/qDK3rCQJGSJ3kE0RAybVH6fBvXMKeEdijdCM3cg 3OOwLUYwv3stFX3ASD2d8RZNpLb/yaxeMvOB6D/ZLzpBh256Nzg5YpJTiLs9/g2u7OllOtzDoAAp MqDKNI4UdWj4NiQFrY3Nt5M8vKImNqnb3lvn6kRK8tLZkXRAkDgiks49ajWT6APGi/5o3zW7KDF1 WZESTC4q/2jaGdAUzl1+STT+IRGp2GN+jDLJ+UnS+MwIiXJUslaRsvdYrUC5ZQEf5xTjK/cL041+ eJ8nWlQK/qbssUa8UkXM5rLS4AsiDH1i2YfZwzzUpbK0FKC+vV/7TuXV9EJD/qOntLKATm05nZyw XPH+noZNqRhEtBn7tB7tnF6cUw0mBfqyu9s4MtoTiPMwosuQKX/x7qelzimamqaxl5qGhkQI3lvS GLjCQhOeO02AHIzqrEJuO9YYmjSM7mwqfaJh0QhHv21qloq4ximmBCgZAgcYDVjg+Ruit49a8NuQ bkpnQdfTYVkl+xc+XX4nnQgo1tfDvGEiwCuVUrIA5ReBZND0J4VLwovuSQe2G2JuvZ9hhnbgfLO4 9FPPKoHaHUAO/eRIsS5yRI36C8X5av1CAtakURYevzlktmzK2AjhZi/lb2SLcaNZ3XWGB0WFGF0r cUyYNxTHmIaOk3kPNxPFrOnu2uvduuzt4K+3FZ6DRSEVCIJmaKFqKQy3sx4zT6iOw425U1CaGynk qRGLT7LpvyYGbfvwXTDQYsBP15SAwwnG4zx3km0gNLlumscXxmUN9jDVqShwK5Ar+v7iFDJg68o4 iR4mN9G8OASywtLaXhCrkttQpxf/Q7XyF6aH0joUR+/RmC3NoPuQQ+y3/UvxQOPxo468fN0l3zKT F86PTTVceyz5jUl1AKkKJXZl8mhEZhLEeY6EThPzXHd2Fb4tMM9xunpEc0eSNhEwWcTFO18xyRRz vH1gL9B0Ktps3yeNEkDFOOVBBLLXmDPk6mKTEOdN/MTNFzAoeQLWgtU5VP7CO34Kda5MJENoqMzl PP7itLraQ9OHtHnPNIcBeie3ZOF6JRToEzLgwz7+UDWxTUAdk8T8f5wKjMI+eS03bpasTnWb8r9n rqzAZDoLFJaGTTPiyGXIq+geb02GO6aFj/kmfQPy69732wz5pqJsf7ih0V9ESPUefZJAXVBohkdB dg+lfjHaugPBECdpoOQxtvEt295fIfvjAg4RYR7lESsWUXcaScYjcLCDS2BUBPCT4y/A1BDaRbyC hSj3HZ/Xe5vxLJqtHXkpTvrStzqjKdxQgdkFZH5XrXFTC/e9esb5AjWqeid4UmGVJRVAFrZoAoKm 8lxB/BFm2mN7aUzXOlpKQtSXWzZHyprn4uxqAjMjeu/BjfJka4HJVp+aKeoei4PFz0dLdTRVJMKv Tm1uGlWJLbObtJxProzY+2Tsc7HO1NZkXDWGbcvtB7p2CovnInoivuTD1tNmUksHD3SSfBjqTa88 xMrdGVXbzi965VbIsaOjtAjNVq66vJlf2iTsE8YKoxxunDoZjV/wOPvcQbNLqNLwYbeSiWfuLqcZ wLxaOKiqZLNLUA+E78xbt5PJSxzgKbVOWj9NJLs2i7q522Z23MgzHOcJGMAEr74Bfj5BaD7bRm9e Mc982NhpsksSDwe3lBWYkERsrYgis+49Rh2dls9l3ejuxsvHd2DkLlwAmBE8zDCPuEnNOUCujA9y m7YFpKFm+Tz68Km26QX0yIISYyM57GhIAER8RXdN/UAqXkqyybx6Tuv6GV2O1SLPC/hmGRi3au/9 kaP21q9kg63Oi8QpXgUQ5rmN36R81xblyVsT7HmdwMiU+9Sld6W/jol7vDx82Ykj59JwAKeOZVrN VZcLWjOasX8M/B9xpfCFHx/7wml+zrXjl8/afWlnpouPfrKBVIu2sqKWq3SCiQu4apr+gVjQX7n0 Be42THu92vDtwxuQgI9qMF8h2N6EeEbX6sV9X0vF3uOmQk/2BPZGSl7GLkl8VjWugDAHq8Po6oWP i2Vd2V9IpOxbZMP+s97eO0JFLK6mDHR9y+b+SKGicMH6Z+EvJT90cBC+qFstfo7Jrt93JZVxQ1Hq mTTuDEZQ2ECmIqqOVuG1hb2XPOJM4XcRyJY5EaIvURb6TtJvzU0XMOQberstFyhgCKGFb4SegJuR q4NQjQX0A2W63QLwIZ0Nlg7BJwzcNxU2/XH5MPSzSlSEGmS3cY0uuxER1ONHUavHy4WpsXUBoR39 r56BHU9d/LqPK2OzrZ6+fkqbQhgbBsK/5rr5UxK5zKxw1P+Cft7kYE1n2xwCtsX7wbCYfB3Xl9gt PbkmjVqO/LvgQ8XjaoNB/TKBEZOT/+naxEUxZEW8FcBalCvAqyKvO2PcrvJBULouRpXP4Sknuozn OkXa1e1lel4RxqnRZg8ecNqDnzDOwsptXgjDx0M1eE+JBcwxAzmH73rYhmQResKWyt08rj6XaDxN BEU85CWXCFHUZQVD+w/BTsQZlU8Ubp4gnZflZqkC2nHZBFVWx37nTAqrJPbf7lAFRiIFoDQPn47R dUIA7LLYVWk2rjl+EnuwsL+R1ED0PZEAknzC1AnvfwbEepBDkyRU5tgZ1ghwKFpec+KMZvxtLY1o c9BpD2ao0lZzaMzCJy15I2FuUn/i1rQ7iV4vZWkNuSGbtuO5T1QU3xSMSfU9EMpFpwkezaKL/5F9 IFeaaASAq9A/xUz7Rc0QbesSQK2bAh34B6sc1b/IXe+Q6gkyYEwMuifevJDrqIwz58ljlVokBp69 LK7zF4RI4NjZzqiHfQl3gigFsYMhvFdcVmYKVOkmLtNwTA/d5zHvz7HH7vETBC3H1yh82gy2cMEj x6K4XPwQxHGnCj8PN5qpPaOTPjvWOJ00jCRF96WCtISEpF+i6bCnMvZQe5ftVTksO40Oj/nnuNBf eoN5tqzrGkeUctLBeUPKRBJDH3iKLOzLlPQsrnH7Nvw5xMr4mMURVgwfAeiuDDeY4zNd1rc0qhVE yF7TdozrCRh/IeWhhr1t1qJoc7TEtgF7sJS4h50n0Y6+qLIQs0GWiBYkycfSQCqjijfP7P4HLfZM jqY8ZD0+oqpyT2y1IjQbgVX7Wj2/c70Nsc/Dx/pAXjy/ORhBdxSydqUQMY/RuSk+c2lRmd2oz/Gt 7+MAP3ZeL8NSCeMSj1xwVCGhwz0+jy1N5jfTuNp709KQTV6VK2eM4bnLV/OrMg/R7vesH4P5A93p NjbZVbSCCuahqSJaRN1SLBXV22LFjSDxGun/67yiFSY/EdqnRmKynd4iqCYZ64kPAIEVItCxJAZE dox6YFvLywXA/SX7OpIrm2LEvG5h68ArAFq7r5gkdmKOIqGIsWMfs8TsqJQT5olySTBvau6ufWl4 3pOCXwxVTcnvRBx2TyumULEmuTJB8BCUPmIMul/npD8T+Kmv60exiwLXwmR5Hafr0sx1+rv0Y17D 3APVCreHf9Pw/v36HNLH0tfRfB6lX2MpbnX8Gvclm1izon/StjpRQkpMvwxAejAybt2Jv4RPRao0 hdU0N11BUl+N0NPRSwZtMqSeYx3tbCrDYblAzF/8Vfq6FowEUKp3uqt9KO7mbZYDmYPYXW4fxouO no2qoKHLwGUP92tBHF9jyOXtuMEO4QeUDONo2yhaxrLU7x5xnHKVyioffp/wUJ5Luv3Uwx8+d/Xi oX9ux7xAgfHRjVudBS7DsIMB35jORAtKGAydC2yrtn3Cbj4PDH9wGQKb6imHoljEvHf65mDepDqL Kux/nW5bt+/qYFuW6jLWR8kRZN2ODgkCmu+nWt19dwmNQhQ8esp2ZY4eQAYJ9c5wxfYzKFXhtx1z vAs17OSPWRMM11qdJZ0CVfH99qoyXMzBPLq1Po4/2f46PnABdWmYLGbkF9b3CuHdFd5AYD3tmUZE 49jHuZFI2gBaFgWck2jDdxg/Egk2OfaS1vkENBcGBPZXCI4JjZaYODJM+0YelwT/dME65veywWfU Ji4j0QA6eapkvLzfdF3ms98Ns2BoKR2DotyOVFk6ErskCzw65vDY+013IKh9e9kUILW+8JLciB5u vE38Th84EZ2yszVouPrS0LB2ylfd+6Y1UWL+CtnNK5dkXpDnkaeuC7Bggpwg/WP3YcJNQdj+xHqx y5dqHF8dwzXY8YBl+78agUDLziLa3+aJrcDtOmkFFthuswzyMHzKR8MIvcn7wARAUocrkdaG1uxy h9ZlahPhAR7rQXs0Iq29h38Tlzj5AqFYDwdEbgxC/jgVaXRSpFV/LqWLR7KDeic/H3zjiVspklFB ssvju5HbkR1MR5nrhXOa7ZKgAE5WOVc112NscolQP6fSkocSyenzBECo70mD4w2BcUudxnS2V73A 9ImpLGHuhxuponyVDaz/fQTsAEIvo3VVBDfxYUjm6cL8kEcP2wydJpGKGziLCbnZj4oepbTgii/S EY2/iUYOZuvThKi+cE74J+bEWmNAjZJsoax6azLCByB2GFU//QXMqlsQzmwVQHgH7HkR4FpcGd4a Vbd7DZUDUZZS1pg/kBb8QCQmlfb0QBFO4mYJqOmuql4Klo+5umqxjEg0qvqBedG31NsG4XFXra5e fTltwGetlbXBGO5vyT//zI0Wd89AIyHhpqfp028fmaVFp5CcJ623NdwBQ/EEr16GW4arfKUXniPG JTvNOwBvJ5N6YTRjbJNqqYJ1/gRor7OVy6zSLC8/a90raBtcPFlcqkjzlmD7Nl7tQxSgVj82vZ9D TN2aEhMMqbAWwvF+Cw+q8WUN6rCHgkzJTtKWifmHpbXNYohanhLBznYKzYBvngeOM6MCuhtXiYyl J4K34IRqpfoWKQ4GwuW0WT0Y3UpiWmSBTOXasI7G0FT8Fp2F+AQ3ZSXtZLwSzLray+Aix1ZKSThE OLr9sNJUBE8V9EOUpsE74WTzzEqwcQPJYaXClbsS6q8J3s7xZPqAI5rpD0w834pMSNv2LOjrK6EX Wls/ni3vu0jtnP6NOhxIJX2FzgfwgHNc4Fb/AHtSsuuu1D4y6GBKQ4ZraEOA1FpGzwXD1wnQuYEH VcNKop7RvDZosp3XSuR+0vLRSpjhC2TQKGNHbXycKe3cyb3mdPHOjtOwYKwCw2kUNH795+8NGcXo 0S2vgb6kAzNJuD6wuAYovDSeXVmBxa/ouYnSjfSJpgyDSp5JGxSYQOOpWtYTIPk2s+86jOJobGic FDHJ4z7chCiFMpbsPOkFtbeUQBz4EbuFppNGhGyDsnYceJ88Ca+auAqm+D70vAUVUFOC8cuCcCXW g9kAJcsfJvijDgMHqkMg/hf2wemGqPDjrDcdmWWptLyT+NC4/D2sPV7z93/UEQyTCO7+j1OaouML 2DlKebGoT3L6ybSx8q9whVrcZdkN3u+0cInA5h4I1nq24/i9wsrpUyCrEk3q3mC1xQwG/65v3z4H F+LOF7QRL5XiRChDV6i/RJzkIp1T6TTTi5sHQwgyuuLVwLow291i85PxIe7MIO3kIJ4Li/lhIk0Y ydnxDv5nKa8i/ENRFAzvGlrukXp2gkO6AonNsU6E+ybDzTXQ70s5zwi6unqdc3Lh9qiYPhQiCGY0 mMK6hfCc1ThGVi4fOyPj8rc4by5CXAtgCR/5eaYQxlWpl4nhbcVfitBjV6FDB0X4fK8ulf7Hul2t +EcrI8masp8CVbXVdUZdkEfvJQn3Obg89qHZFdesqQUSm4uZ8eWHG4qxBd4U7DSzIrKu9PqUv2jR GR2nTquYD/rjoD2JwrqmXn/30kL6kXmc8d/GJEryjYVBRryx9IWzZP2FShsE9c0OF7T1qcp8jeBx TKUm+tPF8bUpt5d3awH3bjp6JXrx4a4KSOPzLwDLeZhh3AwhMQoL6jOPQovouCjHG0D9cgKhXkL4 fBLHjUlf+9HmpDMkEhmJ9+HIBGEnpRPMSC58CaicxoKhekmt/MqFWXkw4GJ1MqudfI2EYh45iysZ z2GMDRc2UdI5sKw8p2pO1QlJ2h/JXoAW7uq3R/vJD+mXdaAhBNy54OaHBkZrTXuOUsta2VsY1uh+ N96CBxTdO4e3jwuYHS7zyg9gTukYCKtgrpEyAzustSQUKLvlwQw5JmuwT/TvtFC7dLRsnCZPm2X6 dfLPVZzQ3nzlyLhFI6W6MsWmqe8yAXFgU5cfRmN6rdVznxlyBHudM2zGuZQCPEXz9fsSK+G87ibR t7uQ3Vd0vAauRnyVtq61839NfJaFFBi3el9e1he1IkaO9yVtjruRAda18ZAX6WJdpUf7mYALD+Nd ueJo2AX8KXpSn0JxXHIcQOH8ajW078FeL8LUc04v91MbWeTNP5fAjQ97E4XbvI0H+EDdNsJEcnFo XD3n7UGvLnU1H2Ms7NhEyuNheHst3MisJOeX3qKtqhmlgNuUGnDRjG1V5OAYq9bgTfqBYMQYT8e+ LRTQS40UAorKseCZL4/uvyLFgZAhtnmhNDObetSWUeGN6ZN2XeoJvTqo9I8aDkjsqK3UMMHsKo50 s3fgEL4pbE21dhoF4MvUsVakH7f1RRkRxeexqGOcjCZi1/uggvyPPJJI6zfpqmvJykADjqjIAvK3 uWKROSgC4uYVligqKIiwUuoNzhVGrcuhafbF8Rp60wl6f6PnkROtuI7o14yoklxXSqLPZhwjdGgt 7YokQIrJbNK4Nae5RgWrq6wlCGlCu8YqccUspVXFrJHOfaoVtLPpx6FaA3P1BHteTEUe5SqY1QhT aX/KVVKeWpJ7kSPv8spYMRWlkBMH6QUvH8HTLsls4b9YURz8Zlku5/2qkSilj5hH2W6oADPOERKU mtwi50rSldUNdsnopE+AgpnOCs4nHblEuDDWsvSdfYDQrfzWn9vtOCbk3bGEDhEyGlzSvInpIifi HQXL2hHLvtXEPZ1vnVcNZp5kmM+/z+15uI1TOYplvR8M6Bx1hDeB85JYQKVM36M8kC+VmYJmjl6X d2IKtyqX14ZEcMo2Z14aQFO3fIUryFYfkW+Q6pVck7cxuDH9DwdjbWjrW32XB7XVJDpR5+R5iLiI E42eXNmSvcoIuQPdLtsS9MMT+34mYHIvwNb7sc5lzWnoGb+Ms72mhCwIZxJsZ716LgyJobwwHYzN ceBZWCJIi/cMwifMIvqdmdc9t1PTXE0m3CR+SkcQ53RFkbQhWHUdzcPntmuQPVkdumTX+FQ17CFn cAIC9+F5hBl8WLiRpqP25Lo94BSJmEssJb3fbufdu8XquYaGLEYvcM2RSKWj3LIZBZgdkdeaCLdo HjjRkNB2icqINx3OLwHmhvEeEuv4uLWmdHdzoR+w/kmlaIQZ6DNwDMyMA9UOgwqIEyNRpCqeoxLn 96G7Q3TF15MAeaQq1QESvQl2I5rsDQ7sMavBEZV+KL3vfl8Axjk/WFRkutMTz7VK74OhydV06XnO iX3U105NiqI/S7PyorOSk4vtDIWxGDM8Cs4qcu76f4z5mXQ/RvJtsxN8q84FZXANEV1pRlGePPSC we+d5rdXvgzNVkzyoe9evQFUaKwiYVUuc9GBtjtpMiQVQ3DRISNQmj6yPglikHVQpOvn+B0jaV4G laTx8ighNr8/Fo+yX4gysTy8bTCitsOoV3ANFGNG9EpfVaKAweuxwVq28TbKyXRBFQ4RitVwe9Ie mq2DyW5ApJYX8PNa2sjk4BF8RwPA/JOMVLfsMtENvsh3Q4/jcNHje9fyDZW6zg16Vwu6El18BAiG 9jZipYc8NV+i2G5qCPJuTKxhl3+yXNXWnucbztk9vBbSd/TT3nr8O7DcXsR362Ll4eAaWqSZT3Kl RoujaO/cR76hZjVAF7TgpGWSG+hHg8/HVI0DZY9yGNo9Ed1oo+t6b4el1/X4ocjS1VsPYV7y5YBS h2FM8y7Haid1i5z7MvjsgkqNunoeGQ6c8uGPF7OpRQPVwlMAgtCssfPx1kUQKInPEXl7JPmGebpI Medy9rH+UGfh2mdWpqK9Nt0gfzfIWnAfXRaPcoFy1N+erc0rhmjNT4JOfUk5i8qHQaFv8gPMGDqm vw0u5RTEGWfIA92v3kDHC7H0gDB5H+X2cOM4WNLiq+lX6s5RKyk3CHRsGkTK7F5q8PdrBe1dfWWt gIkYs5tJufrL7duVoUJW9/7IYtfnpth8eKWMCTxRif1njOquPsgQtjbAYV5lYUbd5SR5CTZ4Ro7A +StEIqMZbbDKuAy252JavzuZbgZjEZ1ixBghJjfDWGhxmW7xMkSqbXJCTeQ3JEfGf0hQkC8Ua5vj wDt6x11rckRDxAqcQxDCM7aY8NrVX/5tQawKc24xYmaP/v+naD2UxkGsGfcbonY+zdWr107LWXR2 aQ2s66PhCGK0Dj7O3tOD/RBCqxfZm6CrIAvqAeN3YmY2VDZZ9zZwAF4yFAF2kS81dqJEFr75luKy IrdRwTGKcRZMVOjedFgK0aJOjKfnBr0+68L4fi6LwpHI6c0MOX4NfA3YMXHkspzU6j2r9+pS+Fzp XG5rZhXkniX+tjhVWxryqC8eARvtSfsEYoXutubdbBSRASnzEBGp4YPDyV3nIQPunAdMH1GbuZun fTirVPHbUxZX5LjpvBZhcGs9MrJ2LXVpnwl/ny4guei+MKaDaA7TLIF9J2FSKY1NMYw+fgoaCOW7 dg2k7RbznZBIbqHfAwS0o5zHANj6LaJN9ilL9Reo0RWcXBw844j8of/Vl+C/PQg0wku8uY3uzUya 98qXwt6iOTOLnDzaUZ5Sv7dyQkPmnyfbTTVpWfliiDxSwWSSPfrxyXmc8xLw1rw9aS6ejwsY3OgI sB0LzuRh3szWnfSs7naKaSty6YPIlqsn4eagMMRZR9q6ZmhZnuySda7/HeOTeWvEw4jgZOcqBD2N u3COPvcQEEwkK7ym4z7Rw+2GJPr9G4vm/OBr8rbW6ebOkCF1ezDmRnqeC5H/x6yqaZ1wHEhcwFQo Q8cg56qOtwwCjppzqJlJ7wIV1WApJZ5i0erU0VwXbRGzg+Kg1aXbJsEajV4ozEStKFhPwfYnVZ9H FKfr2XYiR/q/oO/wmTznf0uPCsLCaEuoLM5jDwtUv921bx6Nd7ipjkNCy5Fq256XrPACK6PkbIOS xeUrXDUjbU2UraFDcV2XtbFLYKot+7OdwbRiSZJzmlXwQugYvdXgrtjeAakNIPQrm4TPDtp59goA yfexi2Mf0Ga3nfXaSHSt8gOclzcQGDHiOh8GyFKaIq2rPaiULUtVOWvnA3ct8E/XAePo/jAjXFLZ 43gLL3fAIQ0jB717Sr3Du+JufIRA2J0XgeVbOnb2p10UQ+2dqoggrMlxFXaIJcR08n+hcf9onSA6 c1UAiroDQvC3W6itdmaw87C8ASBIJLNgaJn6BhuG6zmAvuzBz/2Ec0QMRrgjof0a9u2UqyY4PnkB fID0aesun4n40v8qKKEi24utzosTLA7ARD7vDUxH4rtYzEXHFXKVbJjPUndC4D5P8j3S2CvQNkv9 ivR5WuIiQxreyK8rdLmcZcMkOwicXMhlLReeG+hjuGG8G2JLfL5PVawCWWKQORUwOfU1DG1tPkOo ECpRlAMbfOdY3E1YzWQlKo2w3XkUVxbzdkbiT5fQoZjP8b32qpcSQkebJD4CPQfDbyecrzcqBs6r eQ+qfjpyurDJ6XafyXWeY4hZbcLMEO18FLk1js0ZRifNo+LsC+VJfCx8UJDODfPfrCuuYlE/Ach3 NXbGrqCFrXRZRos3QwwJZWozc4tu5XR7nR8bwmxRp7zVdwr+ftgEmfpCz5qp+sm9GYehvXpbzxxJ FRIRk4tF8D1UULopyraS9bugi0MYaBOMCqGhXRuL3Pt2rfM0o3HFpM0o8jwIAA6WtRBOHWEEBRNW y+q8UG1WgTkJ7/y+s6s3s0kzkPfr5Dhjuhjw/lDIJgUWULmcaf0/VYtIcIOaYC8aUqm9+exytqoi HLasfwJ97KL2ha7kUebPZIp46MO2Tl1cuSOS0fZiGf3rvPETjsAhSSMEK87OgDiPDODDdcV+U+Qc MMMUBX2fzsEUp+M1lR4T9RqXKtLv2l+VO8JmiA5bCspb/ZuMFQ8OsuXG7R81BhVTi99NAzBMGfb5 8XlKZbIVfeUJHN13g0Q0gA6h83M+BLdV3f9qq+HewzC1sfpOGu4w/8ssg0poaAQpsRtjIGI++vou lMk82mMuszbVIxXIP+VzMOhYQHwHkGYbugQd1beqVfR24UFFfe1pvHpkmBE7xn/AtF1eQUrVJR6i VKnV7ZETrrxSDTpMuB2r7MNiGNH8h1yowQ2L4k2Gm6+3voUQxXEwLEiqM+aZhd+ENF/o6XXXz07J LGmRxuXqUghEYg0x3YcmmkHbhSZEjgJS8W1+Mglv2FErQOt0yx7ztSTcBr2zMo54pLCPXZJI1rwu 35A9Rvfqj+s6+NtemcADETDMcM7GC/a0TziLUaIix2NBs95918w3vhZCIqtcQBZL1FR8b+skxqlv BmsK87qEWVEPgPIbtw/UrG3xURgfvjugesAcXISqH3J9/esdrbgJv9/KD4eEAT4jEV9XM9LIo73J U9C5BffiYrK9Eshn3BpbEcTEHwWwZi0ABgehV3DSzEdH7y5CVgFKUlyiShWlFZksPZ5COQ8xA9od fU6TMc6gY9X00hRlOUGMmN2yXzrnkLasGiPc2+/Kymp3Ob4i927C7917n29dqlI4v4VITG5F+jBl jbcW81TxYaOIsEO42S0inYfdZx1s9bjMYDVyzICYM8gi7m1EfjCb9bMCjkWleOiosKVnyJglB1LV 0iiL9hc2bTvbc61+i9oARK1CpT4BCFL3PqKNKgSYB3VzmG2NMEsxKoZ44GkK6vDhl7g31PcNnzGX GjRJ0p+CBjLttynhA7s0YZuVMOvBbYtbwwWAStnx6tXVlG8RTs8wmaMSofssNtFsgVmcAU2vOF5b O6xpjURUAnDoUGlooF0L7D77bod9scbp+s1OAI7dQeiaE68sBbI0t31hdkAKVZabDG9/eWzRlZb7 nKZoxUPGY7j+cW7v2qODJibJhdeB8W5VYrZcRW7C+7mb3f73C+n02FbJOhDBg/9+XT8AecXPWfjM NbEZ1GBNQ7qgxhSkLTNYlFDxSb73Ee1gptmkzvD0FognvkKanvqSA2b5ZBuwcDxAw1H0uSsMSq+4 7GJm6r58ddN3nu0cM9t9JrheLLcFio1Lf1YMdkGnKAmf+9lAlriTRiYHR6HWmnRbceWfsAWpz/Ts nzdMC2XvTbjdCMerHJkUrmW7IeOiCAuijwrhY8vqXR3MojAxSr6FrcPUpHyHooygpMapbb1/tSDP +wQwUoI3dN/EFjGDidzqauCwf5LNTb0Him9itqbM3p1C6RYOMLc373lfOlpx/Oig2W54aXOnb6H+ jcNPKu3/UnZLn5ac+J6/sJN9nnA3kMnYRdsJHDC/azsuLbYkjDwmPLOLJQseTzUT7b3yH/KMYhbS rmP7tbLgBtKQXYX71ztqKQYu402jHeWi+gwFiUMp1DFVmZPPgkZNQspZqK4OCE13xcf67avAcA+Y onNglZvpXac+mDnqFTAm6ruQFhiIzdoGJGRXaHNZQ3L6S00hJe4/NpS2FvtKavTR3mq1yygtU7se XmEjPPhcyRcDw4Nh8JSSykl0WVObwNLLg5IDhBkMBlz5WqMzWv0up42x4g6xgUIqxN/fxbLjoSkS f4krw44AyQbppUeCKDW1bYr2hdqX6kgq9FL24Jfa6yP+BG6i5L/TK3x3GWTmQYXeP2bHRVRrQOfe VZmANpvMSlxqQNUqaQPxqPbBu+/yIuFagUbiKGJnC3db5bKSkxgaojBA3F2ebJpV+7tKBM7nyai+ 4jqXyIuVhVhBDCtSpRKCCvFkfhxIJADzS5ihJQF1aP23AvyS97yN4qSH8rhMpwlUnrvOwx04xjEK OsCVLtR/XjnBDis9q6BdAjANz87UJU1unMq4UA7bqarfo/9kf/N6k+5KY/psVkwf+A8+GUJRPED5 KkAVCkk1qvshXnTZ6yAW+JwNJ+XAanOwV0MKHxuY4n+zUlktdv2qQopv5f1C1EyZg/DuCrcRTopN oOyGhizy4VZiwAm9PZScAdZYtfa/yAZIBUujXOt4rAo1qEVrrKWlyR8isNuclec70P5I/vJs00Ts 1mUMwGJ7qNpudB6PIRAOavdUGi6GZtPfHyIt0XbWs+mOpvfzEEoqb6nfv7zvEQbn89xr3ct5kLEf AEBVO/TYLW9QfEbp9PG20uKYfQVHKmX+8T7wghnn3FXoMfBIm2e/Dvup6i3VSpH/mA85B5VG0/Cu muZsBe0mxcUXpV9ycghZIrUgMsK7HUCHiuv5XBeUsSCcaI/blcGGDzbS1Z4LRr0l1ta3U+vpyMBU 1dVV9xZu7ymo+LKIm7Q0bIFzYkGxWthDfbRryzmRre54bHXxG2gM6CKBN/QE+1IKNtvVw9HyWtec U3UumZTlGLpJ86yfRoBRnlOxd0RHBZ/gHaSWJGO5AcELr0SrxdJdRG+4qm86A34WeduWpoB4QMMr uYPph1feAi/qzcToO0kzHMfSUCyhdFaPX7nsAU6ZzeUV9Gq1hdYRLOIKeFm+ET+Gm7yHWdPrwIFr kwA5QhWLXKpSw9wQwLZ0iM6OK9RB6YIUNa9U0yJZzrXuXjGXeeU9WhTh794iCpOYclYhh5JxicZY RUPytd8+lek83slT+KNrAyjDBZpEt2of/jv6OCe/u+9lpgAJNvTg4dRzDvtXdmxFMsjJpBeTHKGp Tf+qZh0r2aUwYkZxBsH2rXJhkf1Fs60Lawl14N/rBTGJmjPysnJqZKsVL4fB6Nz9msRp20Cwh/+X l/U85E9h1pY752vqWfThCs5XpCxm+L80OwZDqoSDCW8PcTbjDT+GbajzbCLl64quVhdoaZdHCAbQ R7xV3ApuHbs7nxGpMJnKvTj+5MRyng0EIU+w4i8xY6Y9oWZXCMwFHFcKB5VTOpGfh5IJpNJPBstT 4kD/SMmpbben8bwwUAfXEqf317//2Ru/XP9nMwjaLTwSQgNp4wvOdyv09+89CCxJD0zrI+T23qVy rT1dPkbMx51erJ3RlyNlE84DZ2IFsDgSpvJEAPxUnWoANg9J4WkFyuN86aJJ/SnSFpbB/huc4JqU ORnr/mG7b67n364RIpIIy6BAF0QgD+hCwAwefRT9hUvhFO83b2h4amhl7yH7nGT0K4q9pcoxUpps zw44nZMj9WeAz8WZrUvsqVaRj6WIFj3xO5kBnl2Xk6euZ03gXCCSYaiTJWxLeMB+6+mXjtyLL8AU c3iaV4/bjZ6oUbATaijCe+vyI9lCeXIKuuNsoU1aHQuO824uXy/EQOO2rd+xW3pDgAYGINw77be5 gBqgb7G0DANBomWhQ3MoJFudhmbsjKEPWptzEF6qxifwudvxil/UpUNeklEcrBNmsySQuDH1g1nk EJkNKFS2/Ev6wBHR7pWgwq+GIboUpDibtFpS6DlGgh/76nq784TzzRN81Kk4eoy+m16PJM6bUB+x UI6U6bbdBxNaWgFo69Lz7S7R0oUk79AlmvlLLYh7P9yTPTSm5GAJOntV/LaB3YzIdbXn8/C4BLra G2emUsIiNRGZzhC6qo2nuUsNF9qKO4BOEZ9AkxA8jatl/oF0+35yAWlZmk1MpNoGLcy2aY4R0rL6 qyAt3DlhE8ladOS3cQBw6od4z1SgZnCiZ0RJrkyFBPlzECMfTtpI12dKsNVKk+ghzTkPelApktBz S7Z38ouqWDyfvo9oT0qmJr4gag1hNyzAHrCam1aL/nFNn59zhHrvGLSfcyeNSe2B9jCbQzF9Wn1t BmEN `protect end_protected
mit
PhilippMundhenk/AutomotiveEthernetSwitch
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/ramfifo/rd_bin_cntr.vhd
5
13156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L8H38Pn5e+65ZnMCPrgePq372lx5QboRhoemdcS8kzXm3WxhEUO4tSa2P/S4rld5sGInB1EDi4BC mUYJhaWatA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block YCgZI3vLQn3JXWTnPUPW749ljnkBIShBSx7VlBLDLuJKIQcDFoTqIIK9sRuKRCNw8yOawhQEgaD7 Cr3Bm7UDRo7f+Hc+zkxV626L4tuu7+0Xs86Vg2gCbuIWRqH9nAGGgTiSFvU895Qx9MT7u/sVJ5CN 3KOe+zT1EfwRIC7tGxM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HPHzt+lwYO8YO6ONuv8Lm/QQwSgKPk6gIRjSaOLRR30MiWLnY63NjzuQk8b5EzuxCH53czJDh7/6 t+eZjQ/fHnD3Z7CvNdQnXdRsve2+qT7PxrAfpFghWHkk4VKh2OdBy+sti6m8EK82BFJqOVqbmlFO pFJ6GlM5mRRsjsCYyqjgLfulfGNMMLBVd/UhBJhRj1mgiHTM+IjYJ6mZ0x8iBWaF4auUS0vW8dkD 8gVOMzK4t8MD2NUFp/YtRdr6uJqlX69e6uKdCFWHo8H6AZ4M1V90B1BDr7D9dxxJKuCiPvV/mmVL IHnUshgpq02c2L7zSjuXJseWAdSAzxObqgeapw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 1seO8D1TglRAZwDExL1q9tv44rCD8oyQiE2di29aSVb/lPmpyltiwxraF0YFxFYpJoxUb/8eM6BI DAzjTRT/7qqXzC2+tPQQnDQsI/INhfZb0mKldM+JnW0yyVcexTk0hB/0lhGHjZUvpim4YXIShAGc Miur5wRO4grMIg6LXU0= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ltrmPHNy0YITlTbIso3YWorJhJLaMzmLqQZB0I8DyBZLr9si2To/bRkTyexOEJjV7l2AQoZaR5YK PU5ebh/JgAlxw1Fai+rGxLhu49Ejvt+96SvUHJksQXU72CvlZly3afoTJAxrDvDTDA/sgO3zOIJc qSkMuVNgxnueJZMhCCgEuUYWhTVM7VeXV7q6nhh1K+gpBYuElpfrKwjLVPZiskxaIStImZGI97ch KNlIX+M0TEOW15zVA5J4Nboad4XU+SufaHZ2AyhrVA9JYlyZhCS2H+9PNouGFxy0BGlzAR3h63oP 4B403UvgysCyTKigiABXaWIB9C2wODNpfJ5D1A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000) `protect data_block oqBwFI643hiaANpquatYQIE5hYd8VrLdva4XEAGTFddOKwOdgKICSn90S3UMUK1SfsgEd5Q21s6W 2W5Ls666D6+Hs3lGU7iZqCc59ZmzPKGNAf1MEribo/iNmwRBRAziPz1x2eoxxWpVfvheSQdagvL0 w15N8RYkfYfdYhl9/FN3tWo18Kaj2F3+bMPWEhL14wgJi3dFKgXDLLYsYGBjfjkggDrzQfJXoAib wDUz37bL1Hh24FBfrHjzRZyaTC10o5EXx3Ulv7pTMckamxmC4+VFK4KDg5TRNieQjy/gUcVUThlc 6WFE8MDXrpOOH+B8i3GGDW1kZc7bMYTu4W1vdst49lUz3MaAzZwNT7hfKIHfUL5mXzSBrdhdWaQu IWZ3qMVOsKY+Ba0KYWotzmmXLnIP+PxDQxN8DXwkq3tyLkXWbzWQDQuwXM7lG6aqLRoSXROngVqA vEH21JjZatJ7j4PF+d+CvA4RWqOwj5QG0WTryyi7eXL2VLvVJeEEO2qAnf9vrv9wpxGDbFjLkJYo xrKbGP/yLmws8hB5/YqOUJCUw10azLcet511oQmHI5MrEf8BqQZdlDh7oemKu8yNtDKwE7wmqHba Y17QsTCRnXI42aCGcZF90xPb2rMzZd0Lw0ru3RhAThsVY6lh5ECTBlz/hwIUhKe7VSUsZ0v21ihu XmoduNlGbWwWxRTydHO3krL8m66q0yFTx5AQ+O86GDDRdWDErvsIjFztxnIOb8EC1ShtUB9mpXas uZIqMUYH7MH+MoUcOTStKFgAye5Wa5xd4/dhxlGEuvXmDvybOOdxqGYpGEqHYAraFtBI+O3J9BpZ J70a34oMWNXa7FynNGCklCwYSWAby9KqIcP5isz6eD09N4HA5hY09CMvhP5FsRWPDoD2VFRwlpxw EZ3dXGBh80IlicHJCJtbi4kehI0V4JlCgqLBSNqP7LFB9u6krgfdQDp/vpgKk7UcQdT2y1+NW2sz 1ly1EHXydGTsBlrTQSszbvfCNo7EZe5xyyAO5o8jNbOWkxuj8sHfr4cjM5cvM9cpSjnLGVBvqxTC xVDg7DOp5Tlc2WoYFKmDwBcWAZUCrlMx7xTXNFFgtF7CN5syKZq3nU+gysc0U5kUVPEWHQcyL6H/ BTdt4Bou2tfkBX4QIQlCg84jhCx4QCNawJ2mVP0GWKkl4JZwv5lDTIhW9EcB684k7bNPkx0gViiO 6fDUg4cYcOuK4zHO8CpJnWS2hpLqJkM6YYGl5pYVymeUK1Uu04+1MKpmSVKMUBISYuXKIFSFErA/ kTNnvHEK8pvvIW/8lF+g9qA8RjAPVouI14e4pH4ZOoo356zqON+VCrKZwC9zH1l+kF2+Y/O8IzNP OjnwOv8TjEjYfB1wE7+CHzAjrl9xy5y+Wj/dBTLt8ciu6vn+LKz6UQOcHCDnZSZd4/AzPPoqLKq1 iaGQD5xj77q/wCx3htkdVNxj3r5740GLgSbVOoyquDS45AfwyfY3c/r+R78OH/EO5P8k0fJN+sAm YqmATIJ+O6QQD515EcYi8+1tLjj28ZTxXoYc6CMIbo/7OgHxUkh5h9WoAyn6Y3FjKUU1QYsoG9Gk 4BKFpleUyu0QpDxtWeFxFp5DxIRA35VARQKViFgIWgrGRqi1NcW32+0QDzpfPdHpQ3lzpSJey3ke xOuAf7tTe9fVlZlcjLHWzVz2Q6JY5CMRijT5dKSLLbuVqWjrM/6LHDv9eSauqd30Z7ZVwKC2hl9a jnAr34br8MBzs92RyrjEjvh/0IyVtXJUAPXm/zsdYF8u8AXaDWii6VIZLhDEsbOXbDIIxL3hXoLT mALF9O2QbojJyhiuquG1z/bpaFQSHbU8cUJWUqAzpvAYDIqOAhJbXtq0Y6oUZzFKVBCUG0a9bQOK +YHELONoWPUiNCZJxE3Up/8Hs5cgIuWeRP5sckjdMWM6ZFaGZOR5/5VKFG6JKifVgL0qgNBAmUDA DKWM5bTOfjIPAvj30ejgKHhR4luNzNXvviqWefmj8yzI40NvW0iZocO8NT4gClbeHs4oXNNc4LfE fALvcFKvdOsmnzLVQVySvh571RxSWvXxvbPLyEoUQgFA9gjG8ZKy4+YXHBDPb/Lw0EqUi30SiKce TzkoxV/x5T9el27dWLGGRGANQt8hiSigSb4KuKG8Z01S8rLBexgLYoDBQ66UGv1JIKO+1KBxxzET cpjzhUxoG5CpN/atv1Yer6BOKoupU/vRucVB8sOl3YqOgo47ZFCDKMTNQqu5jB8y2rCWdmajAaVN pm/nZktPAqUALDJtNySliY2hc9Zyz3gsNMDfOHtN8PSRu0pO5JLkOHoByUO4F8Kh865IygrgExqw 72lhZOv+hFhTn04x1D+uawwKHW4Rnxgz+2zh5LJjNqfVjNPtiJz8PnZtj+DgBC0NH4aq3jIvZvC3 FiT1c4+q7aRCJsWsxePVHK/MnzF9v5iLraDPXhUUFEZL8dQJuW5TJNAz/hpSbeE5cmrJiWDGuflF 5oWrA88bg/daBzIpdanud7vYQROwsuyYRT4+2w2l3xCuVj7KgIPkl6Z3WMksbIt0Rl4k5JieD7zC cOaQ4lGRQs1vNTstTuLL/33j0UDaYD9/d3zCkWvrdUAYWC8MshO2Hi2fjY3nGg1WnIm3eMzzv4bm r9wMgPZbVOq6bFdNg2UHz74W38NkEo9NfSlhHA2034zupRrr9weWOnrUYyi8nezGwIAadElLnu9s Nh5GwXP311vAIsFh/Wb/DK/dTPHsYxS7hg7B6Ic4e3OHB00izD9OAfJN4w2riIZIgwuPa9F8Dnpe U9gBMvMv6z6Z65RJQG+CkBaMEgV2aJdXKRIAK762ZWxM8AQL2Xb2XzP/D38/qjobi3xZAN+Z9LNy Vxu66UeEGhBU5myTI6L+DxwXQ7THYZ9EYz8Y7egdYWJ81362Jv6ZL7ttBUkG0aCuSzGrj5mPDvhG WIS10aNWXazSmewa9upolvPjftaOVSPKaaD56JGkPuzVIhe5dVtUVk39z62Ac468Pt1aozPNKccH vDE5zvJWCZyNCJiwpRc737AHj9CKnBK8xTSTDuZ8w+MvypG8cum180VKpl5IN9OqoDZjbM0HY8R9 AJZW9jyvYyfHA2UkrdAk1wyX0zz1igsF1pPgd9iVa05pLkR0Ecf2xyrLhUZBZsqYXki1xab/Lg87 Qc6iSamKmm437yjh40PTv5jkVGzqpf0fPl+GRfQvgnmAqCvpH7ke8EIeMuR/NOHp6IBLwEE2Ij3b PfOcUqHZwLWfcdqVWtbRF1j6UrEAYttk22RRnZn6NfTb653HTWrbLPWt1DJgqu3HAxXdHRlnd9ui v+cc9tvqkTyK3WvwWh7DUwXRp7d2AWe4mOFW00ISv8gxUssEOk5R+SjqgBkDjJf3GXejOJhOu9iY OEB9TUVGl6OcBvgaVMpzjoQOErfvzuZ3UYtkHZBUUkJ4VAREkvgcdRwfkjIokr8HcLAUzlwy/FWH JMtaY8YgPdkqhhhMTGh2nw8z09fID0eu7f5k8x2WX34SyRvG1HvK7rExvBT/w5UyydC2n8hSbkTF p8+Z0ap7F4XSPn5bRJ4nl2zTW4/PvzC/WjukVUDcphdo411M/XlQBpUAEgI6toYPmk4l5zA5ypaU bW7pXKIJz8jfWnzDkvaAYYH7/HcX1kidIwDAC16GyB6HXUQr5m8/nzB2P28qBlVonUW+zNRCAGEp 9LMzRcD53wakAZK1jWHP8FF1/44PKiyJ5AO6qK2tAhrbeFYXg9LA2W1f44TFsvWX9opZYU43HLev OuU5k8fL/MFO0bRJjEbpCScQ74IG9gZe0QCa5jBp3nBPbjnBGHJ9dJfZ4ZnGZZVT3zUGWn7ddcip +CsbygCzUSdnWe5oknjcW/ukI81PlC2rMcDkfCfwiusSi2a8mi6wPwkvZ5MpG6RUsSG828voSTSL 4TfmbSZH28l6mkm4liZwPgEm1F8C+2APYqCCOhETiRdFff7IZTwtAnb6gjwjVTeF8NERU8L8PLIl 2TuADABuBDTJC93rgw2s5c0rJOw5jcqGqUcGlDZmzlOQqK56/fbCqTAPB5KRthkrXeHEj5dOkYNP Lo5hX77MBewvyLPn1b3YkmRjCL9nsS4i8EvQlWOmNQsZKt8F9G0CogamHoRS8JMptx7XLPD2onhn rNTeeFo7/YNIbc45/P76F0rLEgZPsFia+cm8uySpGc6h036NX2beThRVnG2uEBzBxHBLeX4Daj8N SSaza+hmnzcRtsuU+Y1ratZnsZC43qzfBz5jLNywEGmIguQin6kbHQranbf4fRa000B/VBEOVNJP v+xXv+4CPOvC20GWxV1rXl2C1gL/C9Apn3A8y6mvZWxFMhOA4sCpRgcuM308qdi1yNfXbqY2Z7BY qrfbQht6q3vXVt71rT3AXx0KYx4R6biUqCnwQjdtlRLeHUuJOwxPFP04cH9/6dOa7qIt+U1+dO4i rGIC23wo+ZUMdbXjUalN8nyfMByRNj5Ypu3HDY/alt3kkVrsZwPLAmr0PMXAdYL3x5/9HqD9ul0u ileHYcRCkgREhbtDeoUJYp92+wAYd5Gh0U+Q7F71YhC9/sMh65OTb6kOmioQhJap78BS2kQmPKFO 0zQh90d/oomctwdmNmBJaWBynyilcvSDv+r/9pGwplgmyzcAZwFmg8dUDuIUzRapBrwjPNgeoVPP xlEf3kBYDBS6D0B6gB6b/cfBjFszIAW76lk2aS7jNi+4Zm+kaappz55JCNPPcbC/uZ6SaX5C5Elw gihsjIxWDabCj1O3pOV1Th9NPa65I3vuEdkJ6I7f1lFq+hKmM7EjN5FXGyv83NjxO1KStdY2/MZy CECeOg8ZY4X/oXoOP9IC85HZu146BL7vT0UqoBRDdRqLyxLA4hUeVtLx9FkwQRkQz2wUHsIGXks3 J/icL9KwUe2wifv6ONxT5rb7bHHak52PtINQoi7PnCnlVFXZCIghuOjw2P4DsN7zsoWzNqbuddlk 9LKPCtUO3aNJYDkV9b3HoxCNNkNHVbMku5Q9NaZ0X928XGdkh9CYg8UBJVFfCygYm1ppVZyYG59d uqnKxUG8J1m3VyVj3mCGM2K20Ip1N4EUlfTGhASPHd47PnZc9FXNISzo/PZXGyAQAJbyCQnPC8FJ kdbY+QHtos8OM/NKLig52mkfOdmnGSlyM7i8mwsJ8HTGqIF6hKIetR54Qk8WGYXlPzjC9WzzG+Jg tFicNLc9jt4/7v1vn4eP+94VeFB52bjg88NYDZJTNTpPyQEdl00fNILRp4TTrnvBIyyug+ICiX2a J2NDvTfk7jIlbg1VIbroGA8nVFv64MW+ZT38PiYvjYF1K/rT9lGI+9I5dvcmZWnC75QoRqPSy+2z lDAkqWFKG/uqUgjEukqXyrwXGNUPUeVMaQgsWGU98rfFycB89gIfb0HyfPpcOdMc6Oj1Q2xLRFIr b//uNVrNVppcoqKL/DeHBOAS8R3vbkRODtKhxbj1URtarmSWOLgk9Flg8UVToIxu5dUKzYf4uW// uvLVY6JGe0WsKYKVxxIkMxPO0mdtjDrKA646/a7MpThtl31GKGRQMNJFFm+zeohM3/Ve3cm+Hg2d Ms6liFXJKNrjtcSOzIFLU5WrIj2OTZabNPbZs/0KrPIFuPRpi540tR+ZqwUKatCvdMyf/gFOeyd4 ip+/3lMsIETix6D9ibaNTvG9+JM8RsVFKMY6RmQLTAXNRbyT8wdcB1JbjtR58hVVPFvLSUan0ptM 03bE0Ijp9SG1u0QVQ3xVBDVt1DVYcSkYlFB6Pw6Ed18lOtaMIhIPdsiNnog2bLxbiC5jxE3uXe9s P4rPZMKe13tWUu1n8aaKtdVzTGvvlc1SG4PZe1O4tCgf5NQ0nURYD1Rg9L9yjF9VyvaZx8uRlk+3 XoR9MxKFlSytXutBafcoKJgW3WUyJRzlfsbBmftodsByFUYZv7J3C9QFk+Itk4oI6rtq5rUskaLf 43US6W0V4O7zii0QiTkkqtUnyWeJ1T8VVGkPFPv5vF1WwGRwsJVi6hIXiHV0RYg9kLA1NSJTlDC6 /l9+rv6qSF2P6NGrqkMEhGIec4TcRu/4rkZTIZLHXsrlRGQoVozNaLOwciAJYmfoWcZ59poLCPEl 80L30uEVt+5aHbDI33IdESdbUT78V2OP7jF2DglP3x/92vM+5M3LiG2PMD2Sa7sUBKHq5hpn+SJ9 Ir/VGRKxPBOIY4/nnreNfJZLTfhyGt+Eoe5k6/+/GkwLlTE606DhosSW6qZmqDeaNWZZWzQzj+iZ 1/gcBhdgwridZV4+YnlzoLYlyq1d1s5mgjz55c3nnzb/phHXF3uiYfj+xl3YDlb+htasuXfXTssf SjnL7MgPCamdBS1G+WIZJ0n3IavSpF/egzrUQc7zolZLIx24GHgVhYMCrd4E+hHDSYuR9bW+uEEq aRhFUziMtQPxF6XOPeQk7XMoHLFpPzuJaUgWi1jsJULoRnXGvjp39tVNPFEeen5Sef2eAEKt4X7N 3Dy3yW+et1++wZ12j24uFxoj5zf8XeUnahKWhTRXxEYFvumG4Lu+iRJ69Enz3oGrdocwiCntDL9I uh7yqK6WIqDXzHe2UNV2d+HGg67IXR5jFow7q9JsJbvdmCA6eBkDD0IzDK0kNnjrd97qBFYhkZ/5 WU9JKPEN977pZWCXyAy8lku11paf4prWCGovgVQfr75R2y9d/9CFvbxV2KXRniYQ3yQwhow/tpSQ 4LJ6NYFMv3YMSGOrVD//rMO6esJAxtl2+htBhpph3dcjynv1SLZsha5dYuChc4oiMPNn9BZjiEIw 0tcAzqZdwfX4gQU2H/iwJUjcteBB2rzCnlHmWj5/uOhPzDGD9dj2m1Z3DPiJk8wihQh2ByOI6gaJ B+NVhHgO9J6r4yp22EogeFh03BOvWEpQnljC7ta5ZqeU85M5Eio5hH4DxD2TbMNElQcvTWUtFfZ7 j4VYWcBTDzCnvtholJRz/1XIL4h+1cWDsZ6p1kQw+tXot35SIbi4Gps2DqvGU7ZAdp3zcOte+FgL 9SZeeYmFjKVzlbl5Ol2NeDhYmIFVE23VOZghqHhpVsib9blHGRIM4imsV4fQjnEo5gJMdVqohAvk xpBSaUG4tkKt1K9YRCMnZrt+md6K+wj6midevA4J0ol3bNhfhZJ5gIjMpN/esJmZ0zQ6YMJwQrcC eAR+DVPKE68suv4m2VQkZru2wjCdnTAxuoCyXjFZE/WQjmRRo2GMsFTsSfWG7hLAmfXkS+wXDIw1 ZyrH5PuXAkR91YnYYi3D0O6zdAPXQE6tuTGStDMmDCD/iJgsCbgGGkRAJc/JtwlNnBipyciRHWk3 2q9yQ04pUpcy7AxmzwA6K71XFue+kZum1cV+YrI0tzMB/zXM4q3gz482RjmJFoIyzYS0nlktynrk eiShSzGMlcRW6NgguBZ3pJJHJSC1TT/i6u1X2qmj/JpkixWfn0RCskks0tIrU67DeWBJP2hwUrYv pnN5dmf6M7Gk4UZnjkcW11+P9UpXsbzKucDzw9+RCJDRRpD5jbdBxx69t3baGvqDm9zd/1D4e52J bkyS/1dAzbSsa199gQav3IxSSLDfByzs0Fbft2WrRxwdrdFHpAUqlccMkOOkBj0UaBzmQk3kGgcW CCsI1oolstsYfUzB0Ii9AvMMMSvnxnqkygsX9NI7mRLJaczIsOeE5bkSim92l3Fu3A4OmiZ3MnEW 4S2+24e1ufCzzqmGG/SWTQX4TiQpCVGpqbza9rUcc5LPT7WJyOqgZ94m1GkIupa6yWfbFqMip+oK qNovah0EU3db5Yf5DhdqLqoP+eE/+aGw9R6GL/o7eDibj08uaA+/ZFXlNNFB10/4g58s01gG53Lb N/ECpMjYJae5UJ476rakVrmh+YdsU5mutQKbaBrJ/IJscmp02aEu1IllA4+f+pntZYDp7kNdxUwE I6YrZcGIEyDMXeZHl+b/RYndqE9Azu3m1GrslykeDsc1SlH/YZiHGU4Z4pFXjncM0q4+q7hC91NC WDNjffKFnNad7djXw+VjGNkb2q7agMBU38xDwk5EpbszIfXxqOxvMza8gFBd7XbpYF6vPSSDiKYq fxaacwYVSe2E8bLyd9pH7a+6Dj20FtA3Vi19kn7Qyu6EWSgEBBiKqlqbZSwa7vLtHayMUsVHR4DZ WvycntDRZBfVeA7UwYxDyzePWsitZ3gb/JgSzWkcvQlQ3z/tjz/MuFdehSe6+4YKmmJ4UB8ThF99 JZlgBGcz3+KrZOooIIx/pmDke+Gkk8vpeY/XaHXWbWem0F7XTM2+oo3hcwxgdjlpX/kii2g6fl0d ruVwzb73xm1bdIMebXAL6+PTDdzPDE8gHUIkAyVyWi9P7hetfipje6+ZbKr+9+FEjMYhZ+Vt4XO1 PDweHg6jKez+/fnq6hbHT5AkaR0PRYFndn7qLzC5jsLWlr6DUPhiqHN9rrw9m4/oaV2OaEul4+9x KWagNN04Lz2aMsyYCK51Roi6sg8JmITo638Y+NSTrJTN9a2Ti6N0wJ/l6c1vNy3oOuPl/Q1Ki+oK XgWkHkrnSFk6vobir4cZTMrLyIcM4PNUPDoSZHmr3dPgVe9H10/ODZzNnIw7KjWpypoRiyCpf80I 2nd8d75MSRpfhTQrMqy0vLXWLhODZEPkNUzp+7hH5yUoe5H3sHBhmtn0GHCfQKkjRm3atw4X8swH HuGXuShiGuu09lbjma2fy2qbkHTW2qVt06rh5+ishYy4br87Asnf22Dr2Zwl/KIW0uU1gvIDnmrT lyrJt+ljvonyta8l/sPD4A2WHGZk9E4PwC8BWh6bpNhnBbgTr68B8W6TmlVgQIxRKYaHqTAqejHp uEliiW/jjXIogEKWexqPhAPn1alq5rgm5w+axP8Ei6oPvc+djeI4Phy+dIG5EbMMBUWVVJcM/ZuT Pe9SU6yU2q5IKym+7NPqMGcpEyUZb1EaizQKwyWSy4+FC7OsG0S8PtFOiR9ozpMKVfs8pBLMNZqG cRnC8owTKX8yrdkaThY0ZH01CvhWSX2JpEYQujPZZYDXq/ROo1dDBwqOsR6Fo8O6PMrH5wWb00rL vek1l7FOdWLXoZ6t7qo2Wf+aJi+aqJcZA95gD/EySG7ywqTMxvGOtUph3ig5HVt1OyauMIpyzs1l SjbRwtv4+swL2f03zbi57a/9BZyXG6KE/qTwyATD6zeR1F19KHCMR3B7o2qLnu6Byv/u9YqLI+H4 UwITuLCB/Gs4BkSnHiwE2//tkYPSEf6r92JOIrWD6v9MRlhSR3cIxQpiZm+CSJ/R5EaG8JyexX7v XuotWHi9RqittsL1+WFM6yKWVEsUmcBGW6MW3DuQx6KPjrrc2aUrfFmd0Glvv2Y4T3eyr4z3SQww sK+SuBgfXSy7+bsCfOtPj0U/sYe6WFhie+oV15c4o+fumITURT8inmLJmEzrwGAL/t7aSXhnJ1kp i8j+eid2CwLTjugZi5sUIa7a5IVwfkLdgL4KabToEd5fVis4CIBMo7Aptz/nS/5xJ92puuct/Aoe UdW/q8K4LEm1ETxKnOPfDBEKdddv2U+VCns7t7l4cC8wJ8tRQ4EyXsjy8xSSXx0T7ZWWonbZzJ6B oxUHu6w+wGK4DFoOQjw2Svt8uH2hw2jeCoWBFOoMU2brexKVGQJO/J70Q8POhqwT81SrjJIqR1er 0N4mMvyc538NXsQ/+S1ltb3cCfiRRSFRd5X5J1DZEfJW3mrhLu2+nz6mLHCwgh1hIBLNV1wDdsfl FGGIIkDEUivx3yKIAi+Jb/c0SBnFz28tH3I+iHCvsijzg8hX4Xw6J/XVrgMy9Djcr9x9CSNun7dm Vdike0ox5iHt/1jURUWbkTdwnT1MsQ/RbEtGsOQ+ncxObu6xGN59091ZCyKEbHojRrWARYeDh8xe UGsnLRVjz1gR16Tzl/WOS7VvWRDukN/c0bIAXIou4f0dC9SE/ESkgV8ZUgTXMJ88w+XJ6HNTWPQn SMp9uXekqPeSeA4dBitOQh6cA+vrU3lURzDTbLV++ucpDmqJ00bykZcb1ANTDn0pNn02CZlp/IYp NbzSDefjs+n/pBVAnIHT9IhgPUP8g69pspmX/PgYUpeWPXOxDyFPi5KmDEq/X2ZVvcvSRULq/Pqx NH9XdQbzcGO2LDQZib5+4yrq7ZkhQWbrNvNe3ssvMKI2CC/JNI7kOz8Dv1GfAljWEBqvt0n02R4i 8B1+mb7MUURivdLZC5LsuYO1NF+aTlqGJtBpxh9G7JmwrtqRDsUNWK1F2vYyfSW4P4/EgiHGHrBq hzeVeEBJVryFVpql7cgPeufpX+pe2bkYWeNQ2BL/upgX6y7l1gZdLde1k7os547kQUaz9Tu0GEnu kl+U56B0+YUsrFByDLVO0yY3sy5R/4YwwcSQ5GqAW9L4SWQ6uKKuQyQrd1Z0S2+vkWEEg2qjYqdM eJ/Jhl7TPglDqfypJyPp0ewDoZd7giK4Ufa1e0e8S4ljAC83ZjVnm024HY1MPDnuldciFobHsdUz JaJw/aERHWYdnMl7QLRYigy/3iIHNSEt500yiT4kIzSgpC6+4o8AMTybR2MphS4Pz2u9bdLqfgob p7924qUWRgy5RuDJKo8PJ4gP/OE= `protect end_protected
mit
camsoupa/cc3000
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/sp512x16/_primary.vhd
3
604
library verilog; use verilog.vl_types.all; entity sp512x16 is generic( word_width : integer := 16; word_depth : integer := 512; nb_address : integer := 9; MEMORYFILE : string := "" ); port( A : in vl_logic_vector; DI : in vl_logic_vector; DO : out vl_logic_vector; WIB : in vl_logic_vector; CLK : in vl_logic; CSB : in vl_logic; RWB : in vl_logic ); end sp512x16;
mit