repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/synth/fifo_generator_0.vhd
|
1
|
38606
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY fifo_generator_0 IS
PORT (
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END fifo_generator_0;
ARCHITECTURE fifo_generator_0_arch OF fifo_generator_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_generator_0_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF fifo_generator_0_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF fifo_generator_0_arch : ARCHITECTURE IS "fifo_generator_0,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF fifo_generator_0_arch: ARCHITECTURE IS "fifo_generator_0,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=0,x_ipLanguage=VHDL,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=10,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=10,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=1,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=1,C_PRELOAD_REGS=0,C_PRIM_FIFO_TYPE=512x36,C_PROG_EMPTY_THRESH_ASSERT_VAL=2,C_PROG_EMPTY_THRESH_NEGATE_VAL=3,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=13,C_PROG_FULL_THRESH_NEGATE_VAL=12,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=16,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=2,C_AXIS_TKEEP_WIDTH=2,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=12,C_IMPLEMENTATION_TYPE_WDCH=11,C_IMPLEMENTATION_TYPE_WRCH=12,C_IMPLEMENTATION_TYPE_RACH=12,C_IMPLEMENTATION_TYPE_RDCH=11,C_IMPLEMENTATION_TYPE_AXIS=11,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 10,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 10,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 1,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 1,
C_PRELOAD_REGS => 0,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 2,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 3,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 13,
C_PROG_FULL_THRESH_NEGATE_VAL => 12,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 16,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 2,
C_AXIS_TKEEP_WIDTH => 2,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 12,
C_IMPLEMENTATION_TYPE_WDCH => 11,
C_IMPLEMENTATION_TYPE_WRCH => 12,
C_IMPLEMENTATION_TYPE_RACH => 12,
C_IMPLEMENTATION_TYPE_RDCH => 11,
C_IMPLEMENTATION_TYPE_AXIS => 11,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => '0',
srst => '0',
wr_clk => wr_clk,
wr_rst => wr_rst,
rd_clk => rd_clk,
rd_rst => rd_rst,
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
valid => valid,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END fifo_generator_0_arch;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc706/temac_testbench_source/sources_1/imports/example_design/axi_lite_sm/tri_mode_ethernet_mac_0_axi_lite_sm.vhd
|
1
|
36859
|
--------------------------------------------------------------------------------
-- File : tri_mode_ethernet_mac_0_axi_lite_sm.vhd
-- Author : Xilinx Inc.
-- -----------------------------------------------------------------------------
-- (c) Copyright 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-- -----------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Description: This module is reponsible for bringing up both the MAC and the
-- attached PHY (if any) to enable basic packet transfer in both directions.
-- It is intended to be directly usable on a xilinx demo platform to demonstrate
-- simple bring up and data transfer. The mac speed is set via inputs (which
-- can be connected to dip switches) and the PHY is configured to ONLY advertise
-- the specified speed. To maximise compatibility on boards only IEEE registers
-- are used and the PHY address can be set via a parameter.
--
--------------------------------------------------------------------------------
library unisim;
use unisim.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity tri_mode_ethernet_mac_0_axi_lite_sm is
port (
s_axi_aclk : in std_logic;
s_axi_resetn : in std_logic;
mac_speed : in std_logic_vector(1 downto 0);
update_speed : in std_logic;
serial_command : in std_logic;
serial_response : out std_logic;
phy_loopback : in std_logic;
s_axi_awaddr : out std_logic_vector(11 downto 0) := (others => '0');
s_axi_awvalid : out std_logic := '0';
s_axi_awready : in std_logic;
s_axi_wdata : out std_logic_vector(31 downto 0) := (others => '0');
s_axi_wvalid : out std_logic := '0';
s_axi_wready : in std_logic;
s_axi_bresp : in std_logic_vector(1 downto 0);
s_axi_bvalid : in std_logic;
s_axi_bready : out std_logic;
s_axi_araddr : out std_logic_vector(11 downto 0) := (others => '0');
s_axi_arvalid : out std_logic := '0';
s_axi_arready : in std_logic;
s_axi_rdata : in std_logic_vector(31 downto 0);
s_axi_rresp : in std_logic_vector(1 downto 0);
s_axi_rvalid : in std_logic;
s_axi_rready : out std_logic := '0'
);
end tri_mode_ethernet_mac_0_axi_lite_sm;
architecture rtl of tri_mode_ethernet_mac_0_axi_lite_sm is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes";
component tri_mode_ethernet_mac_0_sync_block
port (
clk : in std_logic;
data_in : in std_logic;
data_out : out std_logic
);
end component;
-- main state machine
-- Encoded main state machine states.
type state_typ is (STARTUP,
CHANGE_SPEED,
MDIO_RD,
MDIO_POLL_CHECK,
MDIO_1G,
MDIO_10_100,
MDIO_RESTART,
MDIO_LOOPBACK,
MDIO_STATS,
MDIO_STATS_POLL_CHECK,
RESET_MAC_RX,
RESET_MAC_TX,
CNFG_MDIO,
CNFG_FLOW,
CNFG_FILTER,
CNFG_FRM_FILTER_1,
CNFG_FRM_FILTER_2,
CNFG_FRM_FILTER_3,
CNFG_FRM_FILTER_MASK_1,
CNFG_FRM_FILTER_MASK_2,
CNFG_FRM_FILTER_MASK_3,
CHECK_SPEED);
-- MDIO State machine
type mdio_state_typ is (IDLE,
SET_DATA,
INIT,
POLL);
-- AXI State Machine
type axi_state_typ is (IDLE_A,
READ,
WRITE,
DONE);
-- Management configuration register address (0x500)
constant CONFIG_MANAGEMENT_ADD : std_logic_vector(16 downto 0) := "00000" & X"500";
-- Flow control configuration register address (0x40C0)
constant CONFIG_FLOW_CTRL_ADD : std_logic_vector(16 downto 0) := "00000" & X"40C";
-- Receiver configuration register address (0x4040)
constant RECEIVER_ADD : std_logic_vector(16 downto 0) := "00000" & X"404";
-- Transmitter configuration register address (0x4080)
constant TRANSMITTER_ADD : std_logic_vector(16 downto 0) :="00000" & X"408";
-- Speed configuration register address (0x410)
constant SPEED_CONFIG_ADD : std_logic_vector(16 downto 0) :="00000" & X"410";
-- Unicast Word 0 configuration register address (0x7000)
constant CONFIG_UNI0_CTRL_ADD : std_logic_vector(16 downto 0) :="00000" & X"700";
-- Unicast Word 1 configuration register address (0x7040)
constant CONFIG_UNI1_CTRL_ADD : std_logic_vector(16 downto 0) :="00000" & X"704";
-- Address Filter configuration register address (0x7080)
constant CONFIG_ADDR_CTRL_ADD : std_logic_vector(16 downto 0) := "00000" & X"708";
-- Frame filter bytes (3 to 0) register address (0x710)
constant CONFIG_FRAME_FILTER_1 : std_logic_vector(16 downto 0) := "00000" & X"710";
-- Frame filter bytes (7 to 4) register address (0x714)
constant CONFIG_FRAME_FILTER_2 : std_logic_vector(16 downto 0) := "00000" & X"714";
-- Frame filter bytes (11 to 8) register address (0x718)
constant CONFIG_FRAME_FILTER_3 : std_logic_vector(16 downto 0) := "00000" & X"718";
-- Frame filter mask bytes (3 to 0) register address (0x750)
constant CONFIG_FRAME_FILTER_MASK_1 : std_logic_vector(16 downto 0) := "00000" & X"750";
-- Frame filter mask bytes (7 to 4) register address (0x754)
constant CONFIG_FRAME_FILTER_MASK_2 : std_logic_vector(16 downto 0) := "00000" & X"754";
-- Frame filter mask bytes (11 to 8) register address (0x758)
constant CONFIG_FRAME_FILTER_MASK_3 : std_logic_vector(16 downto 0) := "00000" & X"758";
-- MDIO registers
constant MDIO_CONTROL : std_logic_vector(16 downto 0) := "00000" & X"504";
constant MDIO_TX_DATA : std_logic_vector(16 downto 0) := "00000" & X"508";
constant MDIO_RX_DATA : std_logic_vector(16 downto 0) := "00000" & X"50C";
constant MDIO_OP_RD : std_logic_vector(1 downto 0) := "10";
constant MDIO_OP_WR : std_logic_vector(1 downto 0) := "01";
-- PHY Registers
-- phy address is actually a 6 bit field but other bits are reserved so simpler to specify as 8 bit
constant PHY_ADDR : std_logic_vector(7 downto 0) := X"07";
constant PHY_CONTROL_REG : std_logic_vector(7 downto 0) := X"00";
constant PHY_STATUS_REG : std_logic_vector(7 downto 0) := X"01";
constant PHY_ABILITY_REG : std_logic_vector(7 downto 0) := X"04";
constant PHY_1000BASET_CONTROL_REG : std_logic_vector(7 downto 0) := X"09";
---------------------------------------------------
-- Signal declarations
signal axi_status : std_logic_vector(4 downto 0); -- used to keep track of axi transactions
signal mdio_ready : std_logic; -- captured to acknowledge the end of mdio transactions
signal axi_rd_data : std_logic_vector(31 downto 0);
signal axi_wr_data : std_logic_vector(31 downto 0);
signal mdio_wr_data : std_logic_vector(31 downto 0);
signal axi_state : state_typ; -- main state machine to configure example design
signal mdio_access_sm : mdio_state_typ; -- mdio state machine to handle mdio register config
signal axi_access_sm : axi_state_typ; -- axi state machine - handles the 5 channels
signal start_access : std_logic; -- used to kick the axi acees state machine
signal start_mdio : std_logic; -- used to kick the mdio state machine
signal drive_mdio : std_logic; -- selects between mdio fields and direct sm control
signal mdio_op : std_logic_vector(1 downto 0);
signal mdio_reg_addr : std_logic_vector(7 downto 0);
signal writenread : std_logic;
signal addr : std_logic_vector(16 downto 0);
signal speed : std_logic_vector(1 downto 0);
signal update_speed_sync : std_logic;
signal update_speed_reg : std_logic;
signal speedis10 : std_logic;
signal speedis100 : std_logic;
signal count_shift : std_logic_vector(20 downto 0) := (others => '1');
-- to avoid logic being stripped a serial input is included which enables an address/data and
-- control to be setup for a user config access..
signal serial_command_shift : std_logic_vector(36 downto 0);
signal load_data : std_logic;
signal capture_data : std_logic;
signal write_access : std_logic;
signal read_access : std_logic;
signal s_axi_reset : std_logic;
signal s_axi_awvalid_int : std_logic;
signal s_axi_wvalid_int : std_logic;
signal s_axi_bready_int : std_logic;
signal s_axi_arvalid_int : std_logic;
signal s_axi_rready_int : std_logic;
signal design_on_board : std_logic_vector(3 downto 0) := X"0";
begin
s_axi_awvalid <= s_axi_awvalid_int;
s_axi_wvalid <= s_axi_wvalid_int;
s_axi_bready <= s_axi_bready_int;
s_axi_arvalid <= s_axi_arvalid_int;
s_axi_rready <= s_axi_rready_int;
s_axi_reset <= not s_axi_resetn;
speedis10 <= '1' when speed = "00" else '0';
speedis100 <= '1' when speed = "01" else '0';
update_speed_sync_inst :tri_mode_ethernet_mac_0_sync_block
port map (
clk => s_axi_aclk,
data_in => update_speed,
data_out => update_speed_sync
);
update_reg : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if s_axi_reset = '1' then
update_speed_reg <= '0';
else
update_speed_reg <= update_speed_sync;
end if;
end if;
end process update_reg;
-----------------------------------------------------------------------------
-- Management process. This process sets up the configuration by
-- turning off flow control, then checks gathered statistics at the
-- end of transmission
-----------------------------------------------------------------------------
gen_state : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if s_axi_reset = '1' then
axi_state <= STARTUP;
start_access <= '0';
start_mdio <= '0';
drive_mdio <= '0';
mdio_op <= (others => '0');
mdio_reg_addr <= (others => '0');
writenread <= '0';
addr <= (others => '0');
axi_wr_data <= (others => '0');
speed <= mac_speed;
-- main state machine is kicking off multi cycle accesses in each state so has to
-- stall while they take place
elsif axi_access_sm = IDLE_A and mdio_access_sm = IDLE and start_access = '0' and start_mdio = '0' then
case axi_state is
when STARTUP =>
-- this state will be ran after reset to wait for count_shift
if (count_shift(20) = '0') then
-- set up MDC frequency. Write 2E to Management configuration
-- register (Add=340). This will enable MDIO and set MDC to 2.5MHz
-- (set CLOCK_DIVIDE value to 50 dec. for 125MHz s_axi_aclk and
-- enable mdio)
speed <= mac_speed;
assert false
report "Setting MDC Frequency to 2.5MHz...." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_MANAGEMENT_ADD;
axi_wr_data <= X"00000068";
axi_state <= CHANGE_SPEED;
end if;
when CHANGE_SPEED =>
-- program the MAC to the required speed
assert false
report "Programming MAC speed" & cr
severity note;
drive_mdio <= '0';
start_access <= '1';
writenread <= '1';
addr <= SPEED_CONFIG_ADD;
-- bits 31:30 are used
axi_wr_data <= speed & X"0000000" & "00";
axi_state <= MDIO_RD;
when MDIO_RD =>
-- read phy status - if response is all ones then do not perform any
-- further MDIO accesses
assert false
report "Checking for PHY" & cr
severity note;
drive_mdio <= '1'; -- switch axi transactions to use mdio values..
start_mdio <= '1';
writenread <= '0';
mdio_reg_addr <= PHY_STATUS_REG;
mdio_op <= MDIO_OP_RD;
axi_state <= MDIO_POLL_CHECK;
when MDIO_POLL_CHECK =>
if axi_rd_data(15 downto 0) = X"ffff" then
-- if status is all ones then no PHY exists at this address
-- (this is used by the tri_mode_ethernet_mac_0_demo_tb to avoid performing lots of phy accesses)
design_on_board <= X"0";
axi_state <= RESET_MAC_RX;
else
design_on_board <= X"8";
axi_state <= MDIO_1G;
end if;
when MDIO_1G =>
-- set 1G advertisement
assert false
report "Setting PHY 1G advertisement" & cr
severity note;
start_mdio <= '1';
mdio_reg_addr <= PHY_1000BASET_CONTROL_REG;
mdio_op <= MDIO_OP_WR;
-- 0x200 is 1G full duplex, 0x100 is 1G half duplex
-- only advertise the mode we want..
axi_wr_data <= X"0000" & "000000" & speed(1) & '0' & X"00";
axi_state <= MDIO_10_100;
when MDIO_10_100 =>
-- set 10/100 advertisement
assert false
report "Setting PHY 10/100M advertisement" & cr
severity note;
start_mdio <= '1';
mdio_reg_addr <= PHY_ABILITY_REG;
mdio_op <= MDIO_OP_WR;
-- bit8 : full 100M, bit7 : half 100M, bit6 : full 10M, bit5 : half 10M
-- only advertise the mode we want..
axi_wr_data <= X"00000" & "000" & speedis100 & '0' & speedis10 & "000000";
axi_state <= MDIO_RESTART;
when MDIO_RESTART =>
-- set autoneg and reset
-- if loopback is selected then do not set autonegotiate and program the required speed directly
-- otherwise set autonegotiate
assert false
report "Applying PHY software reset" & cr
severity note;
start_mdio <= '1';
mdio_reg_addr <= PHY_CONTROL_REG;
mdio_op <= MDIO_OP_WR;
if phy_loopback = '1' then
-- bit15: software reset, bit13 : speed LSB, bit 8 : full duplex, bit 6 : speed MSB
axi_wr_data <= X"0000" & "10" & speedis100 & X"0" & '1' & '0' & speed(1) & "000000";
axi_state <= MDIO_LOOPBACK;
else
-- bit15: software reset, bit12 : AN enable (set after power up)
axi_wr_data <= X"0000" & X"9" & X"000";
axi_state <= MDIO_STATS;
end if;
when MDIO_LOOPBACK =>
-- set phy loopback
assert false
report "Applying PHY loopback" & cr
severity note;
start_mdio <= '1';
mdio_reg_addr <= PHY_CONTROL_REG;
mdio_op <= MDIO_OP_WR;
-- bit14: loopback, bit13 : speed LSB, bit 8 : full duplex, bit 6 : speed MSB
axi_wr_data <= X"0000" & "01" & speedis100 & X"0" & '1' & '0' & speed(1) & "000000";
axi_state <= RESET_MAC_RX;
when MDIO_STATS =>
start_mdio <= '1';
assert false
report "Wait for Autonegotiation to complete" & cr
severity note;
mdio_reg_addr <= PHY_STATUS_REG;
mdio_op <= MDIO_OP_RD;
axi_state <= MDIO_STATS_POLL_CHECK;
when MDIO_STATS_POLL_CHECK =>
-- bit 5 is autoneg complete - assume required speed is selected
if axi_rd_data(5) = '1' then
axi_state <= RESET_MAC_RX;
else
axi_state <= MDIO_STATS;
end if;
-- once here the PHY is ACTIVE - NOTE only IEEE registers are used
when RESET_MAC_RX =>
assert false
report "Reseting MAC RX" & cr
severity note;
drive_mdio <= '0';
start_access <= '1';
writenread <= '1';
addr <= RECEIVER_ADD;
axi_wr_data <= X"90000000";
axi_state <= RESET_MAC_TX;
when RESET_MAC_TX =>
assert false
report "Reseting MAC TX" & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= TRANSMITTER_ADD;
axi_wr_data <= X"90000000";
axi_state <= CNFG_MDIO;
when CNFG_MDIO =>
-- set up MDC frequency. Write 2E to Management configuration
-- register (Add=340). This will enable MDIO and set MDC to 2.5MHz
-- (set CLOCK_DIVIDE value to 50 dec. for 125MHz s_axi_aclk and
-- enable mdio)
assert false
report "Setting MDC Frequency to 2.5MHZ...." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_MANAGEMENT_ADD;
axi_wr_data <= X"00000068";
axi_state <= CNFG_FLOW;
when CNFG_FLOW =>
assert false
report "Disabling Flow control...." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FLOW_CTRL_ADD;
axi_wr_data <= (others => '0');
axi_state <= CNFG_FILTER;
when CNFG_FILTER =>
if design_on_board = X"0" then
assert false
report "Setting core to non-promiscuous mode...." & cr
severity note;
else
assert false
report "Setting core to promiscuous mode...." & cr
severity note;
end if;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_ADDR_CTRL_ADD;
axi_wr_data <= design_on_board & X"0000000";
axi_state <= CNFG_FRM_FILTER_1;
when CNFG_FRM_FILTER_1 =>
assert false
report "Configuring FRAME FILTER 1 ..." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FRAME_FILTER_1;
axi_wr_data <= X"040302DA";
axi_state <= CNFG_FRM_FILTER_MASK_1;
when CNFG_FRM_FILTER_MASK_1 =>
assert false
report "Configuring FRAME FILTER mask 1 ..." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FRAME_FILTER_MASK_1;
axi_wr_data <= X"FFFFFFFF";
axi_state <= CNFG_FRM_FILTER_2;
when CNFG_FRM_FILTER_2 =>
assert false
report "Configuring FRAME FILTER 2 ..." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FRAME_FILTER_2;
axi_wr_data <= X"025A0605";
axi_state <= CNFG_FRM_FILTER_MASK_2;
when CNFG_FRM_FILTER_MASK_2 =>
assert false
report "Configuring FRAME FILTER mask 2 ..." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FRAME_FILTER_MASK_2;
axi_wr_data <= X"FFFFFFFF";
axi_state <= CNFG_FRM_FILTER_3;
when CNFG_FRM_FILTER_3 =>
assert false
report "Configuring FRAME FILTER 3 ..." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FRAME_FILTER_3;
axi_wr_data <= X"06050403";
axi_state <= CNFG_FRM_FILTER_MASK_3;
when CNFG_FRM_FILTER_MASK_3 =>
assert false
report "Configuring FRAME FILTER mask 3 ..." & cr
severity note;
start_access <= '1';
writenread <= '1';
addr <= CONFIG_FRAME_FILTER_MASK_3;
axi_wr_data <= X"FFFFFFFF";
axi_state <= CHECK_SPEED;
when CHECK_SPEED =>
if update_speed_reg = '1' then
axi_state <= CHANGE_SPEED;
speed <= mac_speed;
else
if capture_data = '1' then
axi_wr_data <= serial_command_shift(33 downto 2);
end if;
if write_access = '1' or read_access = '1' then
addr <= "00000" & serial_command_shift (13 downto 2);
start_access <= '1';
writenread <= write_access;
end if;
end if;
when others =>
axi_state <= STARTUP;
end case;
else
start_access <= '0';
start_mdio <= '0';
end if;
end if;
end process gen_state;
--------------------------------------------------
-- MDIO setup - split from main state machine to make more manageable
gen_mdio_state : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if s_axi_reset = '1' then
mdio_access_sm <= IDLE;
elsif axi_access_sm = IDLE_A or axi_access_sm = DONE then
case mdio_access_sm is
when IDLE =>
if start_mdio = '1' then
if mdio_op = MDIO_OP_WR then
mdio_access_sm <= SET_DATA;
mdio_wr_data <= axi_wr_data;
else
mdio_access_sm <= INIT;
mdio_wr_data <= PHY_ADDR & mdio_reg_addr & mdio_op & "001" & "00000000000";
end if;
end if;
when SET_DATA =>
mdio_access_sm <= INIT;
mdio_wr_data <= PHY_ADDR & mdio_reg_addr & mdio_op & "001" & "00000000000";
when INIT =>
mdio_access_sm <= POLL;
when POLL =>
if mdio_ready = '1' then
mdio_access_sm <= IDLE;
end if;
end case;
elsif mdio_access_sm = POLL and mdio_ready = '1' then
mdio_access_sm <= IDLE;
end if;
end if;
end process gen_mdio_state;
---------------------------------------------------------------------------------------------
-- processes to generate the axi transactions - only simple reads and write can be generated
gen_axi_state : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if s_axi_reset = '1' then
axi_access_sm <= IDLE_A;
else
case axi_access_sm is
when IDLE_A =>
if start_access = '1' or start_mdio = '1' or mdio_access_sm /= IDLE then
if mdio_access_sm = POLL then
axi_access_sm <= READ;
elsif (start_access = '1' and writenread = '1') or
(start_mdio = '1' or mdio_access_sm = SET_DATA or mdio_access_sm = INIT) then
axi_access_sm <= WRITE;
else
axi_access_sm <= READ;
end if;
end if;
when WRITE =>
-- wait in this state until axi_status signals the write is complete
if axi_status(4 downto 2) = "111" then
axi_access_sm <= DONE;
end if;
when READ =>
-- wait in this state until axi_status signals the read is complete
if axi_status(1 downto 0) = "11" then
axi_access_sm <= DONE;
end if;
when DONE =>
axi_access_sm <= IDLE_A;
end case;
end if;
end if;
end process gen_axi_state;
-- need a process per axi interface (i.e 5)
-- in each case the interface is driven accordingly and once acknowledged a sticky
-- status bit is set and the process waits until the access_sm moves on
-- READ ADDR
read_addr_p : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if axi_access_sm = READ then
if axi_status(0) = '0' then
if drive_mdio = '1' then
s_axi_araddr <= MDIO_RX_DATA(11 downto 0);
else
s_axi_araddr <= addr(11 downto 0);
end if;
s_axi_arvalid_int <= '1';
if s_axi_arready = '1' and s_axi_arvalid_int = '1' then
axi_status(0) <= '1';
s_axi_araddr <= (others => '0');
s_axi_arvalid_int <= '0';
end if;
end if;
else
axi_status(0) <= '0';
s_axi_araddr <= (others => '0');
s_axi_arvalid_int <= '0';
end if;
end if;
end process read_addr_p;
-- READ DATA/RESP
read_data_p : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if axi_access_sm = READ then
if axi_status(1) = '0' then
s_axi_rready_int <= '1';
if s_axi_rvalid = '1' and s_axi_rready_int = '1' then
axi_status(1) <= '1';
s_axi_rready_int <= '0';
axi_rd_data <= s_axi_rdata;
if drive_mdio = '1' and s_axi_rdata(16) = '1' then
mdio_ready <= '1';
end if;
end if;
end if;
else
s_axi_rready_int <= '0';
axi_status(1) <= '0';
if axi_access_sm = IDLE_A and (start_access = '1' or start_mdio = '1') then
mdio_ready <= '0';
axi_rd_data <= (others => '0');
end if;
end if;
end if;
end process read_data_p;
-- WRITE ADDR
write_addr_p : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if axi_access_sm = WRITE then
if axi_status(2) = '0' then
if drive_mdio = '1' then
if mdio_access_sm = SET_DATA then
s_axi_awaddr <= MDIO_TX_DATA(11 downto 0);
else
s_axi_awaddr <= MDIO_CONTROL(11 downto 0);
end if;
else
s_axi_awaddr <= addr(11 downto 0);
end if;
s_axi_awvalid_int <= '1';
if s_axi_awready = '1' and s_axi_awvalid_int = '1' then
axi_status(2) <= '1';
s_axi_awaddr <= (others => '0');
s_axi_awvalid_int <= '0';
end if;
end if;
else
s_axi_awaddr <= (others => '0');
s_axi_awvalid_int <= '0';
axi_status(2) <= '0';
end if;
end if;
end process write_addr_p;
-- WRITE DATA
write_data_p : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if axi_access_sm = WRITE then
if axi_status(3) = '0' then
if drive_mdio = '1' then
s_axi_wdata <= mdio_wr_data;
else
s_axi_wdata <= axi_wr_data;
end if;
s_axi_wvalid_int <= '1';
if s_axi_wready = '1' and s_axi_wvalid_int = '1' then
axi_status(3) <= '1';
s_axi_wdata <= (others => '0');
s_axi_wvalid_int <= '0';
end if;
end if;
else
s_axi_wdata <= (others => '0');
s_axi_wvalid_int <= '0';
axi_status(3) <= '0';
end if;
end if;
end process write_data_p;
-- WRITE RESP
write_resp_p : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if axi_access_sm = WRITE then
if axi_status(4) = '0' then
s_axi_bready_int <= '1';
if s_axi_bvalid = '1' and s_axi_bready_int = '1' then
axi_status(4) <= '1';
s_axi_bready_int <= '0';
end if;
end if;
else
s_axi_bready_int <= '0';
axi_status(4) <= '0';
end if;
end if;
end process write_resp_p;
shift_command : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
if load_data = '1' then
serial_command_shift <= serial_command_shift(35 downto 33) & axi_rd_data & serial_command_shift(0) & serial_command;
else
serial_command_shift <= serial_command_shift(35 downto 0) & serial_command;
end if;
end if;
end process shift_command;
serial_response <= serial_command_shift(34) when axi_state = CHECK_SPEED else '1';
-- the serial command is expected to have a start and stop bit - to avoid a counter -
-- and a two bit code field in the uppper two bits.
-- these decode as follows:
-- 00 - read address
-- 01 - write address
-- 10 - write data
-- 11 - read data - slightly more involved - when detected the read data is registered into the shift and passed out
-- 11 is used for read data as if the input is tied high the output will simply reflect whatever was
-- captured but will not result in any activity
-- it is expected that the write data is setup BEFORE the write address
shift_decode : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
load_data <= '0';
capture_data <= '0';
write_access <= '0';
read_access <= '0';
if serial_command_shift(36) = '0' and serial_command_shift(35) = '1' and serial_command_shift(0) = '1' then
if serial_command_shift(34) = '1' and serial_command_shift(33) = '1' then
load_data <= '1';
elsif serial_command_shift(34) = '1' and serial_command_shift(33) = '0' then
capture_data <= '1';
elsif serial_command_shift(34) = '0' and serial_command_shift(33) = '1' then
write_access <= '1';
else
read_access <= '1';
end if;
end if;
end if;
end process shift_decode;
-- don't reset this - it will always be updated before it is used..
-- it does need an init value (all ones)
-- Create fully synchronous reset in the s_axi clock domain.
gen_count : process (s_axi_aclk)
begin
if s_axi_aclk'event and s_axi_aclk = '1' then
count_shift <= count_shift(19 downto 0) & s_axi_reset;
end if;
end process gen_count;
end rtl;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/fifo_generator_v12_0_pkg.vhd
|
5
|
136312
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Uqfmhhe32U+grK02JBnFtloD9R75vrZwSRuZPqR1VnqBr7XmHZ9tkHJS1sJOLfG8Zd+796XYlEPr
esn8WdZgAg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SQ2JFvkiP/6Sc73sJ0iKdnS6VCowgR1u3Z45BvJSh4oM6G9yLO60+MTHZ6334rXMreWy0IuTJVfx
YsKGLRIp1v29jn1JqL5X4+K/1XG0/oDdQD8qut3QXA/Sr4fQEfjJUYYMqnTvQt0dKUWt9V0hhst/
25yj0qy5VOBC8jSzi6s=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WJ/I+yHH8FvqqFy1co+ttqZkj2uaQDeZQb5hC+1bA3SuWwRCgvFumZLJdKX2yfrxkBeND8BSdhek
yG43nJnIKHgmtCpSTXIqDmD/8cG7eahI2BTK48q7plbNzSW3CZdXSn4RL3CL2JQCZKc+m/is7KXO
DSgsBSMWyLrYMnpfcolh2Lm4vV9btRLcaBz+68qYXExE1DCa6DpgDDy4qv0YETqlkq93dQ0ha/Rt
H8A3DG32kmMl7yK6s9PMAc3GlAp7xwDBU0GPyJOd19glVdCAAIBSPFIBIQ2HHLdJSYL1Xp7anroL
tgXNTs8HJ8Zv9Uh6cWotDFfPYSYyjwyUnbn+hA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
p63c4l9Cue9D6FDOAkQMAJUj+Hg/3ruXtR6nWx6J6ktFuaeu2QHd/dww6hkLZbBhIyG0LXCXtPD8
y15+0t2dgRkquT+Kn7umI+RIxYI0YGMDxmxNW2oeJQyHBYUIcGC3Imia84h8pjT/V7z56Kr5XfgL
xs5UhHtArBz0OhWEXuc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KUDZm+F3LxExF2Qe9dGMQ9l+lzR7Z9eJz2jl5fXRWxdXkwrIZi+J51Qb9ZqMTVb0RUwoV1+zCLYs
XMnqhBhAPnrzL0y+uggoz92NQ2tDaAWGNPBYI+Zh6/HWnieo7Pi5qDrVLLqFq2b3vNyr5775+Kop
x/zQq7CngRYoihhAXk5plZioD3eP/bJQRN37016KTYtXod+OZUGLS99HPEEyQITYf0pvagARKeEs
kVnvueeuq2ktx6eWYJFRI/hTLJV8QXZZoPUMdS/Zxc8Fi/9yTVI86xCXA+ESM4U3OBGxLhJQLoWl
vjV+YjEDbxzMzWDuHdg7cXYygWrc6k76gB+Slg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99168)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/fifo_generator_top.vhd
|
5
|
36480
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
KnygbMjgOQCqhfcawvvvOZM0kPu1gGKm6dHOIF+fHSKW6Sm6J8MhnFRV9XJQk5sK5HUeB8lTgYr/
k7iO5XNwiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bbzT9dbI7wikdLxg+BPxGcBgnzk1MMaLfdCmi1ZHHQbblGZr9SHd+dLGX7V9yu44cjowlNmcV8eG
c93HjAr/CqG7I2IubdE40ZWEP1v7BjpzN9qqwl+FMiLo3sbuY/CUb20KIvxTbtHWNG30U+vbVzRR
Eb6rFeN2n5wrOUzoUxE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IFVfU8sDrh+QkRjdIGftK2te+VIMb4OVpyWSOlLsWXvk2BsKk9+ZLa0Dax2Y/AYrd3UWlsa7thye
dGZznyP/PHoWTDTd/iKDTLLXbB+yz4mS8KzFVJFThMkdHfmqqyRU7Ww/XDD8dycapCq7OmPsYU+Q
XPeC65aKR9GBgUNDZquWovk3judr1xU+pO75sH24qD0rz/ArCfvEo3oE0w9Sagx0PI25nQy8BkJ8
1ISp5w50Cm+BgalgBECv0EPYax5a1xy/2Z69lzPKjc2yMb9X7ruOfOcHzGHk71alYuEvZIYQjlT5
/+AnR5QVWoIKIqwScHtNK++4EE0Hc9Iv0B36bw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
yaVAvYLOND+NGLsMj/M5+6ky0GlJCWlISRnVLqYx0nHFiLOrkULsQrxk0JIdxhjvRlbiHd7gn9Vs
FJWU4qQitGwBFV5mviEZK2xhw6fyTRDpdmNwG6VCMifTlm7GdGJepjbiaAMfDw0NvEwa99OTiMjS
2PyVQoMCxeN5wkRPB/U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
U6P1oihChtDKa88DnN0P6Zx1Noyk8D3NRxcHXGbovk5qXBD6Eu1lYouLN0lOToZugJpYUbwLO+ZQ
wkdrFb/SjkPCwT9bahL6jiTcvd+JKk2skyBlzNi0vGWk/xMfIHI5QNUOJamEope2N/ob9AHyoROd
1qthhGG1YoouxRPxKon0WkawEzMo2zCKz+/VO/Taa4wOWTAzyfZZzsx5o9Ds4/9ebzdN7nN3hHAO
72v2APCORICIBdcXCiLqi+4eXFUEBhh1WQbcE5y71QdjRH4ygGK7sQQC0qYqEOuJAXW4dTMMCg0M
Cehkdh0Rpub+ChEcT0fO2Sa0z0K+olVVuFhYXQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25264)
`protect data_block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`protect end_protected
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/drive_analog_io/_primary.vhd
|
3
|
212
|
library verilog;
use verilog.vl_types.all;
entity drive_analog_io is
port(
parallel_in : in vl_logic_vector(63 downto 0);
serial_out : out vl_logic
);
end drive_analog_io;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_1_stub.vhdl
|
1
|
1511
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (win64) Build 881834 Fri Apr 4 14:15:54 MDT 2014
-- Date : Thu Jul 24 13:39:23 2014
-- Host : CE-2013-124 running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub
-- D:/SHS/Research/AutoEnetGway/Mine/xc702/aes_xc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_1_stub.vhdl
-- Design : fifo_generator_1
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity fifo_generator_1 is
Port (
clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 93 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 93 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC
);
end fifo_generator_1;
architecture stub of fifo_generator_1 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,rst,din[93:0],wr_en,rd_en,dout[93:0],full,empty";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "fifo_generator_v12_0,Vivado 2014.1";
begin
end;
|
mit
|
Nic30/hwtHdlParsers
|
hwtHdlParsers/tests/vhdlCodesign/vhdl/ap_vldWithParam.vhd
|
1
|
231
|
library ieee;
use ieee.std_logic_1164.all;
entity Axi_basic_slave is
generic(
DATA_WIDTH : integer := 13
);
port(
data_vld : in std_logic;
data_data : in std_logic_vector(DATA_WIDTH - 1 downto 0)
);
end Axi_basic_slave;
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f@a@b@r@i@c@i@f_@h@m/_primary.vhd
|
3
|
1589
|
library verilog;
use verilog.vl_types.all;
entity FABRICIF_HM is
port(
HCLK : in vl_logic;
HRESETN : in vl_logic;
ahbMode : in vl_logic;
apb32 : in vl_logic;
lastCycle : in vl_logic;
FPGAGOOD : in vl_logic;
DS_HM_HADDR : in vl_logic_vector(19 downto 0);
DS_HM_HMASTLOCK : in vl_logic;
DS_HM_HSIZE : in vl_logic_vector(1 downto 0);
DS_HM_HTRANS1 : in vl_logic;
DS_HM_HSEL : in vl_logic;
DS_HM_HWRITE : in vl_logic;
DS_HM_HWDATA : in vl_logic_vector(31 downto 0);
DS_HM_HREADY : in vl_logic;
DS_HM_HREADYOUT : out vl_logic;
DS_HM_HRESP : out vl_logic;
DS_HM_HRDATA : out vl_logic_vector(31 downto 0);
F_HM_ADDR : out vl_logic_vector(19 downto 0);
F_HM_WDATA : out vl_logic_vector(31 downto 0);
F_HM_RDATA : in vl_logic_vector(31 downto 0);
F_HM_HMASTLOCK : out vl_logic;
F_HM_HSIZE : out vl_logic_vector(1 downto 0);
F_HM_HTRANS1 : out vl_logic;
F_HM_HWRITE : out vl_logic;
F_HM_HREADY : in vl_logic;
F_HM_HRESP : in vl_logic;
F_HM_PSEL : out vl_logic;
F_HM_PENABLE : out vl_logic;
F_HM_PWRITE : out vl_logic;
F_HM_PREADY : in vl_logic;
F_HM_PSLVERR : in vl_logic
);
end FABRICIF_HM;
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@m@i@s@c_@s@y@n@c12/_primary.vhd
|
3
|
330
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_MISC_SYNC12 is
port(
PCLK : in vl_logic;
PRESETN : in vl_logic;
D : in vl_logic_vector(11 downto 0);
Q : out vl_logic_vector(11 downto 0)
);
end F2DSS_ACE_MISC_SYNC12;
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@c@m@master3@stage/_primary.vhd
|
3
|
1817
|
library verilog;
use verilog.vl_types.all;
entity CMMaster3Stage is
port(
HCLK : in vl_logic;
HRESETn : in vl_logic;
F2_ESRAMSIZE : in vl_logic_vector(1 downto 0);
COM_MASTERENABLE: in vl_logic;
COM_CLEARSTATUS : in vl_logic;
COM_ERRORSTATUS : out vl_logic;
HADDR : in vl_logic_vector(31 downto 0);
HMASTLOCK : in vl_logic;
HSIZE : in vl_logic_vector(2 downto 0);
HTRANS1 : in vl_logic;
HWRITE : in vl_logic;
HRESP : out vl_logic;
HRDATA : out vl_logic_vector(31 downto 0);
HREADY_M : out vl_logic;
sAddrReady : in vl_logic_vector(7 downto 0);
sDataReady : in vl_logic_vector(7 downto 0);
sHResp : in vl_logic_vector(7 downto 0);
gatedHADDR : out vl_logic_vector(31 downto 0);
gatedHMASTLOCK : out vl_logic;
gatedHSIZE : out vl_logic_vector(2 downto 0);
gatedHTRANS1 : out vl_logic;
gatedHWRITE : out vl_logic;
sAddrSel : out vl_logic_vector(7 downto 0);
sDataSel : out vl_logic_vector(7 downto 0);
prevDataSlaveReady: out vl_logic;
HRDATA_S0 : in vl_logic_vector(31 downto 0);
HREADYOUT_S0 : in vl_logic;
HRDATA_S1 : in vl_logic_vector(31 downto 0);
HREADYOUT_S1 : in vl_logic;
HRDATA_S3 : in vl_logic_vector(31 downto 0);
HREADYOUT_S3 : in vl_logic;
HRDATA_S5 : in vl_logic_vector(31 downto 0);
HREADYOUT_S5 : in vl_logic
);
end CMMaster3Stage;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_synth.vhd
|
11
|
75944
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aZ51O0d+KtGKSavz1Awv/c7ZYyjj3asZjppg5CKu14DJI8ku6S+K53KQWUtoEcZv1hgj/uC6Saq6
Dyq68Z0n4A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WdX3+cWn/4z6l2oj8kJE5ESEpA4DP0Mx0mmWyavie/cFz8kHzLl/Qfy3AecGPerCGyHqOxjTHGgO
3iSZtSt60dw670649ZURQUB1e5D4AFED+SLDPUZK5Sw0Xl0ew7bMuTwyooaaO2El0XZeDDWZVCKI
YARDA5WTDfJYYxDul0c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ikaNepJ16Lhc58KeoOscyDqJ9qeE6CQzvUSYvm2KTjXG0oJYuIA44daPbLNY/NLxDa+zo4S70RaZ
MofDwcpSc6tvftFlOcE8Ls2sVj4J7s27d+K6oFnBEyrV3/6LCCopNM0rNbDueGl0rbzJQm2IDKFv
l+eT7aUEo2sA+4PjITEhQzs0p+7HqHmG0LnihStnWziT05db1Kcym7CS73qDHUp9wXYBCoKdpFlX
K8qo9mVpij2F1SDMHdYw9FytzqUqLZ2c6zc2R7BB3sIcSy05zy5knr+HhErpCl+0ZHDHagOl11n7
214omig3GMP1DlreuEs0+Oz+XOkVwf5FS9S01A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YgShRiLn2QNDQ3M/X88wH81YSgWwqJdgvj61P7byKtlszvjW/1Ls/bz+yuF1Tw2enJYB7pyZqPRA
8W7178E1N5UvcOkSHHX9ljee3LjzalD1dfxvEU9J3JYXPmgOx9VC1hDeGtmaFTWTgJCl6MzVV8QL
q2ZAih3XkHZ90+WBcpM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WJUpgYEmimgCKQZWN5HshcITVPkd9NT2vdloof0CVlSYpei/rucu7JmMcTKY1YYs2NQO6TqPdMZc
OTdd3C6F/8jPZpNtSBo6i6NrH/m8slcNpcUBuzFlz17CPLJwoU1hf1sz0BaBO0n4W7TFU3VTecwE
VgwTsVJA9r+zKO2arW5NadMONjQF33Cq7tywrI1T/5CZELQB7oeLfCM+xnjMJZ+TDNQMBv7Q1GoS
mIqb3U/gJmZ5YdRKlFB0XgfJMu9oGFTqAksPcatOVEkouQBbX1yYKtfDYs2IwNqJtSc56gzZbjXn
LlFbftiFAQxmRnLdMHxJB54hIudPFuXG21000Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54480)
`protect data_block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`protect end_protected
|
mit
|
Nic30/hwtHdlParsers
|
hwtHdlParsers/tests/vhdlCodesign/vhdl/fifo.vhd
|
1
|
2062
|
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
entity Fifo is
Generic (
constant DATA_WIDTH : positive := 64;
constant DEPTH : positive := 200
);
Port (
clk : in STD_LOGIC;
rst_n : in STD_LOGIC;
data_in_en : in STD_LOGIC;
data_in_data : in STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0);
data_in_wait : out STD_LOGIC;
data_out_en : in STD_LOGIC;
data_out_data : out STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0);
data_out_wait : out STD_LOGIC
);
end Fifo;
architecture Behavioral of Fifo is
begin
-- Memory Pointer Process
fifo_proc : process (clk)
type FIFO_Memory is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR (DATA_WIDTH - 1 downto 0);
variable Memory : FIFO_Memory;
variable Head : natural range 0 to DEPTH - 1;
variable Tail : natural range 0 to DEPTH - 1;
variable Looped : boolean;
begin
if rising_edge(clk) then
if rst_n = '0' then
Head := 0;
Tail := 0;
Looped := false;
data_in_wait <= '0';
data_out_wait <= '1';
else
if (data_out_en = '1') then
if ((Looped = true) or (Head /= Tail)) then
-- Update data output
data_out_data <= Memory(Tail);
-- Update Tail pointer as needed
if (Tail = DEPTH - 1) then
Tail := 0;
Looped := false;
else
Tail := Tail + 1;
end if;
end if;
end if;
if (data_in_en = '1') then
if ((Looped = false) or (Head /= Tail)) then
-- Write Data to Memory
Memory(Head) := data_in_data;
-- Increment Head pointer as needed
if (Head = DEPTH - 1) then
Head := 0;
Looped := true;
else
Head := Head + 1;
end if;
end if;
end if;
-- Update Empty and Full flags
if (Head = Tail) then
if Looped then
data_in_wait <= '1';
else
data_out_wait <= '1';
end if;
else
data_out_wait <= '0';
data_in_wait <= '0';
end if;
end if;
end if;
end process;
end Behavioral;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/fifo_generator_v12_0_defaults.vhd
|
5
|
30145
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mOT0ChHz6cehSpiLELxj0+iBo2W0wxQ3KDdDuko0XAAnU6xBdrTgVJ+u0CfDTb4Zl7P4zVo+9SdV
/b807CQOpQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TIcQNAMAySojflD08WJVTUU9TIju9tl3W2g8zvY8gbc1G19U84LFJDx/BCiaF5xPqjVVg87yUgP2
09TqxlZFyKabmzuwew9KYeR0jCYUfbw9LnykzrRmL8VwGZ+R+KKM6qh3sVi8kjlVL2vvzDa5TEBl
Awc1nu8HIXY2tCzJxYs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gDZhaaT6CUUs7NnIWeXm40ShkQoiwW3ulV3VTiLNOl9JkB67JiQncNfcedINRJBI0vrbIbe0VuEm
nGWVSgedEkZjaIEOLxHTzpLMo1F60XQbe2/TPwLYooDvdzl8qLzAmFDYq30Ba/2aGCezm/7vOG06
Dm5bE75znWK740jCPGoffZQ5cHij7UEXM6PI52n8olxHh68YkWTVyaj5hiyi8PuyGG2UrlIptOTi
D1+RpdGtVcyQjrxNNsGYLGgN+kuJ8JKutFcIEuspR+pdN80i9UD8VUs6tay8+LYMP2VIK7inJucl
Icj+a+DVFAuXh2jQLFzSd8f4Bhd0uuKVL0bvAA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
phGe6+X991WkQD2biWWGMisWc9bNa9/igwlr0eXn8S/V772jk636DvkirbzU7VGsfiTnxrygxlvv
j57J3a8Nt5UpmtdXn8mUc4uUSTzia8/FbHQO3bHpHnmXbnQEC73toicF2G7GUsC0kjGzRm9Qo3vn
adTUcOWLpYL/4GBEZkk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aS4078q3A9khzx6QcF9V4RShLeZGpuFmd1jFVkWlgDkOt6ay4mSLBwH/sXI6cnzi9UEFhnxS//IA
wrLO8rlxPXye2TeWt7OMLZoxoieAP4pqHBw9Kucl6CezJBsgO6EPq4FNpLzmDFabGsjkNcdr4Dcr
ugK8/zndRGMHcZ0sbKLJ9waDZ01Oz4muR30mJ/UwRz5b+1m05nbjDMvgkP8glnV9YsHgfFGJo4sF
IZoWULFK/N/g2ML20rfFUkcYFYf7BzItQg8e8ht78Mc8dO5u2afq+FWtvh+3qghvbZprDmmsz1ZS
1pm8UMJMetL7M99dFr8DjqeBexFg7nc+9NqI4w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block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=
`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/dc_ss_fwft.vhd
|
5
|
9156
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DrFNe3y2P5nP7Rx95qyoQtV577JZ6mHlWcJpkpgDuMk1tMBHYOxfAvMPjf6auNpYR8gPabRS6cnK
jRny4T5vWw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IxQn8drvE7Sb9/3BUJVMQoZBd8cj4z9U1TZMSETxI02fgVKYupGpiEh+LiYhMW3es0I1ffs6McuE
bs7kk2EOtcuTfwPsa4Wu3OOcvxHHeC98yBqddgvOLVJoAEb5RNiGoYqws9c3o1iqC3JCd5sYhWwU
LB7ySr6E8tZPaq82yHw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UC27eDrtTOjJIFtKeyx8ADcLMtfAT4mJdO9dhuxbE9av5VWQotisvd42RGIAgggOPeCblnuSjCFN
MxJcsj0Ym5C2pXnWD8k45HFBUtM1CZYllaNawVznaxCoU9cbI/F/quA9dytfQ6E3nYD7NRUiQeXI
qNLRVlKOd3sHDl4Ml8BUzkUxkau+CLIMqPeItwxQ3t7N51OKF7jRvSKtsM42GZNwvj8StkQqJPGy
f05noKhb6G5GDYG/WWfCFpt3qATC3nfEMt61wsILal4ZZQnpwZN9UWH8SrVihRpVT0MA2gdJUbVX
9rjCb9QcTD0k1vglSUdT7+KsLKo6kCJgvn2v/A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iqIbH529vk+Zbr4o+0lOrJs0Ntf6Ib2MR0xJbZnnBLs3D+25tJbl1QpWtBcK9/Y2IAVXAleMTcjs
fxnkiRTPlx6HRCqglrfz+Vz0phKv5uAumD4xiUGt4yaxyu2wRfEx1rMjicoNaeBeKJNOOrM9a9FV
tnb/+g4KQpZTMCq7fVs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hK4UEFPXCgC7q4kNPisRaOqK53obm3ze8ng6fW4VvFqUMJP5MFWxSphzH/GYPeOeKkZBMmwyzQMN
47e7HNlOFny1piZcPvqRo22Qje54G7VKf8g4sBoKB6RwAZcCt47fKr2fP6BimKj7lZ8jjoAMqczT
V55bVQ34EHRLyi4l9r3Q2wQBGK4UQoKHoiSiCQoY2ZpDaDap/GKR7pdAqwAGcIS59GXn9NtJTQyZ
aLEkmd3ecgBvcYG/Im6ZmTT7EkS3zPDAAyygUTx3LO/s2tO4zMX2OySkUFOMbQZ8wzRO0TJ8aEkg
fSuuEquk4K9hgaUcIr+/UeW6VcPEOH9JB+BOtg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/sim/fifo_generator_1.vhd
|
1
|
33376
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY fifo_generator_1 IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(93 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(93 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC
);
END fifo_generator_1;
ARCHITECTURE fifo_generator_1_arch OF fifo_generator_1 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_generator_1_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(93 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(93 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(6 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 7,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 94,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 94,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 63,
C_PROG_FULL_THRESH_NEGATE_VAL => 62,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 7,
C_RD_DEPTH => 64,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 6,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 1,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 7,
C_WR_DEPTH => 64,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 6,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => rst,
srst => '0',
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END fifo_generator_1_arch;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/builtin/builtin_extdepth_low_latency.vhd
|
5
|
43742
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Nph735vcwPEfJiMwGddBoodEOG0acsPojQBbpHCKS08PbAewG4oah31eUV1q2e0NnBpLI1FmJVyA
K+GCFHJEHw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
l6bczCTB2+vO/FDn1u0WwxHss/Yg6pxGjIpr0z+F7Yr7f426L2t6kMQenD5BobhU7xvdr3NdtVYC
TX9B1vr2gxhpgS/orzuw7u5/CUJrjxIqggV6ry+S646KMho9tVJqlG8xcdZYCzUz39BvJdjsIeML
i9rH7jm3KyWk+23No/g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VtO19K1iZmHHH9bc8vtEdLEMt4DyHf0PfOgKz5k4h06KWbeMYQNt1qgBZbujNgqga6UA3EvDQ3r7
L7n56CL2WovskhVdBlwuo5yRMD/t5ffg4CXYOeu66A05FyKYOAfUsZjNMDs3p0npWC1U1Mxfv+Vx
h+bAIHknihMzrheAzvtv0sq40P7p8THogr14G6sPvCSrgWKbM/zSQT4lLAiDehXQSQKFCgT28l9j
uOGHO8R5T7LmwQ6x+y3mBPK3YnU6gFRbJTj/gynSf5qaXRdbxb78EO0/0IsZ2/n5OQYAVaILRuNm
fPyLxx1KTT+grUhvwK7JQi9ygtEXk2MnM9lBGg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jW58T5PH2727EQrzb8b0O1Qgfx64N0KKwJPbuNVZJd/BM7938bibGAyYVU3GnE+AB3CP3gyV7UZa
U8DIYajgzWaEWyfe53PoU/tAP36Pp52wtBnXM6VeOhV7J55azDiwaxQXL85u1/3keJlZvmWdB5YN
++Mr8E0ek50XHeUDfwk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XdsEecMreUbBhbVfOZXheTGdOYKeRS4Kgmu/2hmyaDn9lWZ3ejkG3ZpSKH6oGdzT9X8p0TDS24Am
Z7T6HdCNtF8ieYl6dQYg2snOIyGZqQ6NVTy2Utg/5WvaYUToAsh+K/Hmp77RE2UFc/D7p9aZcvmQ
Z7OT/OPHxv0vsW0I2ZYA2h2L/ZWsZk47Pu9/6zPLi6B81x3r1q6UYBXl6wPw47FEa+T4AAA1dopQ
60MnL9wUl//jr0L9TFhaCaHOw5LBTtHV695NYWE8Q5qi7OcBVCV3wpC8DaSXct3tpjuUo5D4xrYM
BHfq63o3GYYMDDiza5WcG/jdAqbyjvCV9rEVKA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc706/aes_zc706.srcs/sources_1/rtl/clocking/aeg_design_clocks.vhd
|
2
|
7348
|
--------------------------------------------------------------------------------
-- File : tri_mode_ethernet_mac_0_example_design_clocks.vhd
-- Author : Xilinx Inc.
-- -----------------------------------------------------------------------------
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-- -----------------------------------------------------------------------------
-- Description: This block generates the clocking logic required for the
-- example design.
library unisim;
use unisim.vcomponents.all;
library ieee;
use ieee.std_logic_1164.all;
entity aeg_design_0_clocks is
port (
-- clocks
clk_in_p : in std_logic;
clk_in_n : in std_logic;
-- asynchronous resets
glbl_rst : in std_logic;
dcm_locked : out std_logic;
-- clock outputs
gtx_clk_bufg : out std_logic;
refclk_bufg : out std_logic;
s_axi_aclk : out std_logic
);
end aeg_design_0_clocks;
architecture RTL of aeg_design_0_clocks is
------------------------------------------------------------------------------
-- Component declaration for the clock generator
------------------------------------------------------------------------------
component aeg_design_0_clk_wiz
port
( -- Clock in ports
CLK_IN1 : in std_logic;
-- Clock out ports
CLK_OUT1 : out std_logic;
CLK_OUT2 : out std_logic;
CLK_OUT3 : out std_logic;
-- Status and control signals
RESET : in std_logic;
LOCKED : out std_logic
);
end component;
------------------------------------------------------------------------------
-- Component declaration for the reset synchroniser
------------------------------------------------------------------------------
component aeg_design_0_reset_sync
port (
clk : in std_logic; -- clock to be sync'ed to
enable : in std_logic;
reset_in : in std_logic; -- Active high asynchronous reset
reset_out : out std_logic -- "Synchronised" reset signal
);
end component;
------------------------------------------------------------------------------
-- Component declaration for the synchroniser
------------------------------------------------------------------------------
component aeg_design_0_sync_block
port (
clk : in std_logic;
data_in : in std_logic;
data_out : out std_logic
);
end component;
signal clkin1 : std_logic;
signal clkin1_bufg : std_logic;
signal mmcm_rst : std_logic;
signal dcm_locked_int : std_logic;
signal dcm_locked_sync : std_logic;
signal dcm_locked_reg : std_logic := '1';
signal dcm_locked_edge : std_logic := '1';
signal mmcm_reset_in : std_logic;
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFDS
port map
(O => clkin1,
I => clk_in_p,
IB => clk_in_n);
-- route clkin1 through a BUFGCE for the MMCM reset generation logic
bufg_clkin1 : BUFGCE port map (I => clkin1, CE => '1', O => clkin1_bufg);
-- detect a falling edge on dcm_locked (after resyncing to this domain)
lock_sync : aeg_design_0_sync_block
port map (
clk => clkin1_bufg,
data_in => dcm_locked_int,
data_out => dcm_locked_sync
);
-- for the falling edge detect we want to force this at power on so init the flop to 1
dcm_lock_detect_p : process(clkin1_bufg)
begin
if clkin1_bufg'event and clkin1_bufg = '1' then
dcm_locked_reg <= dcm_locked_sync;
dcm_locked_edge <= dcm_locked_reg and not dcm_locked_sync;
end if;
end process dcm_lock_detect_p;
mmcm_reset_in <= glbl_rst or dcm_locked_edge;
-- the MMCM reset should be at least 5ns - that is one cycle of the input clock -
-- since the source of the input reset is unknown (a push switch in board design)
-- this needs to be debounced
mmcm_reset_gen : aeg_design_0_reset_sync
port map (
clk => clkin1_bufg,
enable => '1',
reset_in => mmcm_reset_in,
reset_out => mmcm_rst
);
------------------------------------------------------------------------------
-- Clock logic to generate required clocks from the 200MHz on board
-- if 125MHz is available directly this can be removed
------------------------------------------------------------------------------
clock_generator : aeg_design_0_clk_wiz
port map (
-- Clock in ports
CLK_IN1 => clkin1,
-- Clock out ports
CLK_OUT1 => gtx_clk_bufg,
CLK_OUT2 => s_axi_aclk,
CLK_OUT3 => refclk_bufg,
-- Status and control signals
RESET => mmcm_rst,
LOCKED => dcm_locked_int
);
dcm_locked <= dcm_locked_int;
end RTL;
|
mit
|
Nic30/hwtHdlParsers
|
hwtHdlParsers/tests/vhdlCodesign/vhdl/fnImport/package0.vhd
|
1
|
347
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
package package0 is
function max(l, r : integer) return integer;
end package0;
package body package0 is
function max(l, r : integer) return integer is
begin
if l > r then
return l;
else
return r;
end if;
end;
end package0;
|
mit
|
caiopo/mips-multiciclo
|
src/extensaoSinal.vhd
|
1
|
992
|
----------------------------------------------------------------------------------
-- Company: Federal University of Santa Catarina
-- Engineer:
--
-- Create Date:
-- Design Name:
-- Module Name:
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
entity extensaoSinal is
generic(
larguraOriginal: natural := 8;
larguraExtendida: natural := 8);
port(
entrada: in std_logic_vector(larguraOriginal-1 downto 0);
saida: out std_logic_vector(larguraExtendida-1 downto 0)
);
end entity;
architecture comportamental of extensaoSinal is
begin
saida(larguraOriginal-1 downto 0) <= entrada;
saida(larguraExtendida-1 downto larguraOriginal) <= (others => entrada(larguraOriginal-1));
end architecture;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/bram_sync_reg.vhd
|
5
|
7904
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
B/Lc6fP5S0dhf5AflLymK9Z5uUNdzgoWNSj7j0QZJSdwUJ66uHyX0CvmQB28Bk/wxl/yV49htveM
AhXEh1hsTg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
UhYQuft42STOBGzVFu4+IE+VycjI4EkEDO1050jHbDa3xnOcVEsAaaZ2lXYfhGCM6btuVqQNR9jT
6sriNyq3mNZN3TGOSuB3Dqr0nB/VEK485Vdxnc+oizVSx0YWwpONw4Ls1W6paOZGjG7VzSy7ep05
xS/qqGGxidEoziUTcxg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VcHUlW7/EZ6CsY42ewqMQ9996BSW4zyr+O7iNaaxe0exWNqt7MXp219e7GHWE+qWTdPTLG9/f7mB
m0gf/WqoblBmOnOPREvPJ7WB/cnuAkrI7vY7yEd3RViPzZCIoKG3p+FDQ9dAnLmpGy+02szEH+If
qH9nslE2e7tCdPfqwiZhyoAYOXg4PPOsljb7IZfqlURIcVy+BUwqHCGZZFjy7bH51A3yrLdBahHK
B4Libm/QzYRiXsqjiQsrCnraXp1BBRkZbLgQ2aH4LAbshGeASNn8gue6OXsBiK70LYK4LMH16yFk
aM0V1SjmHi6SetaQjPutRmWYjA8Zt8e+XMrzsg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
zEZ7lFfOyvU8lLVBVibq2pjoIFo5FwTfYRUqErnZqlUtRrqXUEvqRAkRBVxK61Pae00fJC9Jjb75
d12DX3lsAH9bhK4BXTHdJNLE6Qb17kxDxDh3VJR3Xrm7mnRZ7SrbotinEC8JAy/cb5+4Ja+kPGdn
nV6IndJ5s/+548Ner4g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mnsnw5BfmhO6ikiDgpnJhnHBwBz521caVfGfE7vKZvpBERbJ58+Sd3rOfY75O5d9hNaBDFxjiLgm
VLLmbb0Q9+8ZeROguap7rFzQXK5Cpa0y3D4cw6QnFS+cNE9gGfFbQAl4AQzFiRIrxQYhpNeXEKkZ
NCBdIYktabOLkEiyJCE4dyagsXdV8q7M+LT5+Yg30Cqmp+Lf9XPH6xCZZ3JHUgxm7ZImn24nYGBK
XDjeGRYLH6IVFYDq6IiwG9BPE7szd5mQaqtJ92OWi3cWU1lDe5sHwy63RLMzw2pYmrvAGlBh9Hxv
QKDn2Je7tMVw3YQIT5x7SKReCz1qmWbRkP8l3g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl
|
1
|
1566
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (win64) Build 881834 Fri Apr 4 14:15:54 MDT 2014
-- Date : Thu Jul 24 13:50:48 2014
-- Host : CE-2013-124 running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub
-- D:/SHS/Research/AutoEnetGway/Mine/xc702/aes_xc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_0/blk_mem_gen_0_stub.vhdl
-- Design : blk_mem_gen_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity blk_mem_gen_0 is
Port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 8 downto 0 );
dina : in STD_LOGIC_VECTOR ( 63 downto 0 );
clkb : in STD_LOGIC;
enb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 8 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 63 downto 0 )
);
end blk_mem_gen_0;
architecture stub of blk_mem_gen_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clka,wea[0:0],addra[8:0],dina[63:0],clkb,enb,addrb[8:0],doutb[63:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "blk_mem_gen_v8_2,Vivado 2014.1";
begin
end;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_1/blk_mem_gen_v8_2/hdl/blk_mem_gen_top.vhd
|
11
|
73440
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fpLVXmHUjNZYaHG83u/TeuNWOSz6lkSIauGdrAhwbr2dJ4fecXpc3GWO6skA5m0g/ifDpYiKnHkb
M7uwMlgcLg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R0HmhDgUaVKY/vnP0VYPBqVPAM4D7HubyCyc4cq3IQ+82/x6FCXxTxqgUIWi+cADNskY6Zd/LJPo
OGERgXEaWxaECtcR5nNM6juCSUKoatv2fXui86uocluAEwiE8keRK3MDn8hF9JYgDVaZ08gAp/5r
TUVejTgQZlASVg0V0s8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Qh+P4zwUHmB92dq9eyV3fQsewyiB1qCB4MaD7V1y4PukTjMaNMLi6fRZrxWIkjqhqCLoe5ixShrD
eIJzP7w34ulNXkSJ9wCaG9ggcLwpVxWmy3JOLpCPjDskIUrd2ouCHC6vIB/FOZ/GigeYgSoSZJ+E
8acTDiRJwjtcNfGBE6bgomgU0qwWvo9TsCj8r0Kg0oZlVSbGUdubrBRi9qATpdwBptMQRHunT+Sn
IvTqy+nqSfgEkIjplJn9eSjmcFVljeTQNCkCaB/m54FO/iM/+QeVnLKG4WSJvgWE8oX8E94jA191
vyE0MvHC1JFxVZEVoh3oyCIv1QfE3AvkBuvOYA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tOkpeUSanlCu73mPmCZkdlzsKrAItQbA1AemEJgZrVD3/awbVG6J26coX1nn7AirzzA3ILmnCgCh
+CXSCMsthBO0sNdDfx4gVT6Cs11W+eO9OqDBT0L4EqaPK/QzRXXcinFsg92GoZeOsidLiSZmMkqv
cb0G8Knjjy6Yd/agz5g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CBq8V9tNozEnv8P1RwyL4lVr6+OFNwoVinywVPoMQfcGqDFlBStuWb9KLW/u4FpUVQ41F+qTggqR
cug9ubtRJecS6G2I7+XLQemrvIzPNesE65y4XHMzRWJ6MXFjaqkdTouPWbwnna8ejO7jy2DHgItA
GkHtb2MtbnSgwiDe31AAPaKy1Q2s8Yv1+7HQK0jTCeT31cjBRXGflhaZ7EAr5K/WoQgYaVmoZ5vQ
+hcC/AvuuLkyC+iJj6QECrT3YfIa1hQwNeSbJhpHuNy9qNTYJNBET6Pz9cTf4eJnk2VdEif9vtD1
XACp1SfcHr2k3CvR7IE/R6ZBtgIewr7ITq5Zig==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 52624)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/ramfifo/wr_dc_fwft_ext_as.vhd
|
5
|
13630
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZXRWDLkqcwei7g2aXJ6gpee67Mcuv4YxaFJpGb/KlrUC+tNGJZI9+bAL5C2O3n9sxUilqldWdCaX
76bcgq4rtw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bIX7p5W0I/Dj6HKJsQQV2A4WE7INKqL/CDQ34jxRNZL49Elv4FSz9I+/XqAO57jVcknS8kQ8Zk7h
XMbvTVpgniAnpTjl0S/2OeEd9OTrlQFveviS6zr4qc+mDwtGCytVPzUIoAZmv2IEGp9udC9KG200
Tdi0mpponsB4LTj0EM4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lkeb9OfU+oB+VihKXbOT1EUypxzPnDUvCiRAB7abHy5b3bhU8yaiw2Llfh8I2DJ6+zd1H2Ca83TT
Jjv5xSEI+YFjUXExP46RZfUM80A3Wo9Uf7XXexhkiyBoSgcDmYFHOoqZLaQtGnT9DtmvpkJ1Zrmy
VR5vapP5v7BIEdpaW4bfkpH/2X6gJiUYrsRRv9p5wm6iEHODTsn5qjk5RQld+1f0XjGjHig5FMrt
ho1R5DcYxeBhUvYqc6JYXzu38eh1tFDPqNTasXQLCCVM5MOuh7tR1wP9W1yv7WDWNvaWFYgaXUnM
VcUcNHrXSBhZwyDUG04ggRKca7T73OUCxwi6Mw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EM/nhmn0u0CaU82Y1a/YlSGWI0cx8Ig7gbT09AiORzyGGIaYovQMwBcZwBYuKUo1HtXIyDCKTzwm
05/1R76hxOJWMnwMEUZlb9y8PDAl7+rWLT6vsUtlMK0bisdPJO6ho9qJiS+vW+RU38HM0EOvTBIR
IGN3Si1tvJRH5NZBLjs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h+HI/g8gdGVB+qszmHp4/4taDR5pSlN+V4dLt7laC8AiHF3mzb7VpWQvlK6xgsNzRaqYSeDKjPNs
Kybh0qPbPa9pJjzu+8Xolt94/92CMQIhAHfCVV6Ftj5tjGAACqL7orzWkRoIqkIM4WJgfObOYs6p
6/HfDGVTWr6RSFMcBd8HGzGXHRkcBg3txkFwMfvCDA1TZpGfVf8ZTPYWfU2PDUCLvRLgLcE8n9Bi
af7wdkW/JBc9UXh5olTdH+F3s0q/gVtxUWVHDyH13hNDTDhxP35jnN5y93y/UiDCaZkzzVt6mJWC
WfcVfZgnlDIZW9YN0ux6k1fMOdsdPWm2FPKnfg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/builtin/reset_builtin.vhd
|
5
|
19078
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qOipVmY3fn0qNeJcBgG1sNHjIJOb4KstB81rnTtb8GNEMjlu+MPGjXbHiFsd7tiwTZIAB5lnmiSJ
hkKu2/ksag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mGhRFBwllporpLxI60+dRp/4eGRGHBGy3DIcDt6ess1EfQNt39yaEmH3/epnLkz2L6N+WBDQj0dN
oOfOwEB0+WQJt6J1aAx6KHxqG89t+e/knjk21TuIBuV0M8CBHOC7jZojSH91xue39VWSzezWI4Vk
j6ix3IW3HNCbVDBeo3U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XqbYI4GvYTVvdPof8LLtoyIUzAkdShzKP4ayR4CfhZYnWI91PJbQZOFsgIfX6A3BYMlkaivrvJiq
YvAOH7CuQOI/YhtdyHXMKcOAJKrw0f5UDX2HWbrH8UCv2EeYjG4V0RAGXESUJ+myJ16w4G00+JNb
v/RwgNHqqiHbguLSuARpf0vFVGScEb4WyrpgVT0NrqAtcBcmsTmXUC6CQqFFN6BvzDCreGQ0ktTQ
dEWG9gkL3GIiHaF8fXkkxCgiShjAYq1l2R53CvS2Yxvvzh/Ho1VtEEM3cAJnO2bRJKD3zYF2c8S/
LQY2uCH6190IFW5CsgO9IuvI24DnaT/56aYHXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
keYOERLKRipzOBB2uWzVpHyCDtBAuP1QXo8eS2ad1WXCfAaV7Rh53wnMq4g4cdIneM1VJogRYc7n
4kuvPEeCWT2XjsoJR8WeWZwkkQ/Sdy8Ne1984QxR6E0W58tT6AVA4EJIyFyNN39PfgsvfYIsNplb
76PRpomFk19FAVAU46M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aM/cTcRyKOUDxBTfQxqpzOnnTVFSI4bzG70fvzamupKuPyIi3W+LLR1JwEW6OqIVEnQr0MScTes/
17LlIwNY5MP0NmQJl5RtOaAZQJ4auyDcvhC+mDQn0tsqiuUB4AcF+1wGYxgwVY9p4IGAzXx9vaRD
8UOguvI61/vR59Z9pMhjo8cMXes3QBPww/cGA+HgIG0jnlQZ+UmIUsPJKwOvqbYIqQ37vTVtVX6S
PtvL0auT1SGCP8+Y2HXBCWOARJ22MNriWrBi+HSR5WCTJp8D4S8LJyN26t9S/LuD8hneZO0EsASm
W8WTOco12jpzuPoFpAXJFrDmuFd2+iPU0Eekwg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc706/aes_zc706.srcs/sources_1/rtl/switch_port/tx/output_queue_arbitration.vhd
|
2
|
8870
|
----------------------------------------------------------------------------------
-- Company: TUM CREATE
-- Engineer: Andreas Ettner
--
-- Create Date: 11.12.2013 10:00:16
-- Design Name:
-- Module Name: output_queue_arbitration - rtl
-- Project Name: automotive ethernet gateway
-- Target Devices: zynq 7000
-- Tool Versions: vivado 2013.3
--
-- Description: This module forwards the frames in the output queue memory to the transmitter-side of the MAC
-- The output queue fifo provides the start address in the output queue memory and the length in bytes
--
-- further information can be found in switch_port_txpath_output_queue.svg
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
entity output_queue_arbitration is
Generic (
TRANSMITTER_DATA_WIDTH : integer;
FRAME_LENGTH_WIDTH : integer;
NR_OQ_FIFOS : integer;
TIMESTAMP_WIDTH : integer;
OQ_MEM_ADDR_WIDTH : integer;
OQ_FIFO_DATA_WIDTH : integer;
OQ_FIFO_LENGTH_START : integer;
OQ_FIFO_TIMESTAMP_START : integer;
OQ_FIFO_MEM_PTR_START : integer
);
Port (
clk : in std_logic;
reset : in std_logic;
-- input interface fifo
oqarb_in_fifo_enable : out std_logic;
oqarb_in_fifo_prio : out std_logic;
oqarb_in_fifo_empty : in std_logic_vector(NR_OQ_FIFOS-1 downto 0);
oqarb_in_fifo_data : in std_logic_vector(NR_OQ_FIFOS*OQ_FIFO_DATA_WIDTH-1 downto 0);
-- timestamp
oqarb_in_timestamp_cnt : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0);
oqarb_out_latency : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0);
-- input interface memory
oqarb_in_mem_data : in std_logic_vector(NR_OQ_FIFOS*TRANSMITTER_DATA_WIDTH-1 downto 0);
oqarb_in_mem_enable : out std_logic;
oqarb_in_mem_addr : out std_logic_vector(OQ_MEM_ADDR_WIDTH-1 downto 0);
oqarb_in_mem_prio : out std_logic;
-- output interface mac
oqarb_out_data : out std_logic_vector(TRANSMITTER_DATA_WIDTH-1 downto 0);
oqarb_out_valid : out std_logic;
oqarb_out_last : out std_logic;
oqarb_out_ready : in std_logic
);
end output_queue_arbitration;
architecture rtl of output_queue_arbitration is
-- state machine
type state is (
IDLE,
ARBITRATE,
READ_MEM
);
-- state signals
signal cur_state : state;
signal nxt_state : state;
signal empty_const : std_logic_vector(NR_OQ_FIFOS-1 downto 0) := (others => '1');
-- config_output_state machine signals
signal update_cnt_sig : std_logic;
signal reset_frame_length_sig : std_logic;
signal measure_latency_sig : std_logic;
signal choose_fifo_sig : std_logic;
-- process registers
signal frame_length_cnt : std_logic_vector(FRAME_LENGTH_WIDTH-1 downto 0);
signal latency_reg : std_logic_vector(TIMESTAMP_WIDTH-1 downto 0);
signal winner_fifo_reg : std_logic_vector(0 downto 0);
begin
-- next state logic
next_state_logic_p : process(clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
cur_state <= IDLE;
else
cur_state <= nxt_state;
end if;
end if;
end process next_state_logic_p;
-- Decode next data_input_state, combinitorial logic
output_logic_p : process(cur_state, oqarb_in_fifo_empty, oqarb_out_ready, frame_length_cnt, oqarb_in_fifo_data, empty_const, winner_fifo_reg)
begin
-- default signal assignments
nxt_state <= IDLE;
update_cnt_sig <= '0';
measure_latency_sig <= '0';
reset_frame_length_sig <= '0';
oqarb_in_fifo_enable <= '0';
oqarb_out_last <= '0';
oqarb_out_valid <= '0';
oqarb_in_fifo_prio <= '0';
choose_fifo_sig <= '0';
case cur_state is
when IDLE =>
if oqarb_in_fifo_empty /= empty_const and oqarb_out_ready = '1' then
nxt_state <= ARBITRATE;
choose_fifo_sig <= '1'; -- choose_fifo_p
end if;
when ARBITRATE =>
nxt_state <= READ_MEM;
update_cnt_sig <= '1'; -- cnt_frame_length_p
measure_latency_sig <= '1'; -- timestamp_p
when READ_MEM =>
if frame_length_cnt >= oqarb_in_fifo_data(to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_LENGTH_START+FRAME_LENGTH_WIDTH-1
downto to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_LENGTH_START)
and oqarb_out_ready = '1' then
nxt_state <= IDLE;
reset_frame_length_sig <= '1'; -- cnt_frame_length_p
oqarb_in_fifo_enable <= '1';
oqarb_in_fifo_prio <= winner_fifo_reg(0);
oqarb_out_last <= '1';
oqarb_out_valid <= '1';
else
nxt_state <= READ_MEM;
update_cnt_sig <= oqarb_out_ready; -- cnt_frame_length_p
oqarb_out_valid <= oqarb_out_ready;
end if;
end case;
end process output_logic_p;
-- count frame bytes received from fabric
cnt_frame_length_p : process(clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
frame_length_cnt <= (others => '0');
else
frame_length_cnt <= frame_length_cnt;
if reset_frame_length_sig = '1' then
frame_length_cnt <= (others => '0');
elsif update_cnt_sig = '1' then
frame_length_cnt <= frame_length_cnt + 1;
end if;
end if;
end if;
end process;
-- take transmission timestamp of the message
timestamp_p : process(clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
latency_reg <= (others => '0');
else
latency_reg <= latency_reg;
if measure_latency_sig = '1' then
latency_reg <= oqarb_in_timestamp_cnt - oqarb_in_fifo_data
(to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_TIMESTAMP_START+TIMESTAMP_WIDTH-1
downto to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_TIMESTAMP_START)
+ 50; -- considering the clock cycles through mac, rx, tx_fifo
end if;
end if;
end if;
end process;
-- determine the fifo to be read from
choose_fifo_p : process(clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
winner_fifo_reg <= (others => '0');
else
winner_fifo_reg <= winner_fifo_reg;
if choose_fifo_sig = '1' then
if NR_OQ_FIFOS = 2 and oqarb_in_fifo_empty(NR_OQ_FIFOS-1) = '0' then -- high priority fifo
winner_fifo_reg(0) <= '1';
else
winner_fifo_reg(0) <= '0';
end if;
end if;
end if;
end if;
end process;
output_p : process(oqarb_in_mem_data, winner_fifo_reg)
begin
if NR_OQ_FIFOS = 1 then
oqarb_out_data <= oqarb_in_mem_data(TRANSMITTER_DATA_WIDTH-1 downto 0);
else
if winner_fifo_reg(0) = '0' then
oqarb_out_data <= oqarb_in_mem_data(TRANSMITTER_DATA_WIDTH-1 downto 0);
else
oqarb_out_data <= oqarb_in_mem_data(NR_OQ_FIFOS*TRANSMITTER_DATA_WIDTH-1 downto TRANSMITTER_DATA_WIDTH);
end if;
end if;
end process;
oqarb_in_mem_enable <= oqarb_out_ready;
oqarb_in_mem_addr <= oqarb_in_fifo_data(to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_MEM_PTR_START+OQ_MEM_ADDR_WIDTH-1
downto to_integer(unsigned(winner_fifo_reg))*OQ_FIFO_DATA_WIDTH+OQ_FIFO_MEM_PTR_START) + frame_length_cnt;
oqarb_out_latency <= latency_reg;
oqarb_in_mem_prio <= winner_fifo_reg(0);
end rtl;
|
mit
|
rkujawa/cr2amiga
|
clk_gen.vhd
|
1
|
599
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity clk_gen is
port( clk : in STD_LOGIC;
clkmod : out STD_LOGIC;
divval : in integer);
end clk_gen;
architecture Behavioral of clk_gen is
signal counter,divide : integer := 0;
begin
divide <= divval;
process(clk)
begin
if( rising_edge(clk) ) then
if(counter < divide/2-1) then
counter <= counter + 1;
clkmod <= '0';
elsif(counter < divide-1) then
counter <= counter + 1;
clkmod <= '1';
else
clkmod <= '0';
counter <= 0;
end if;
end if;
end process;
end Behavioral;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/sim/blk_mem_gen_2.vhd
|
1
|
12117
|
-- (c) Copyright 1995-2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 0
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY blk_mem_gen_2 IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END blk_mem_gen_2;
ARCHITECTURE blk_mem_gen_2_arch OF blk_mem_gen_2 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF blk_mem_gen_2_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(13 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 9,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "blk_mem_gen_2.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 0,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 0,
C_WEA_WIDTH => 1,
C_WRITE_MODE_A => "READ_FIRST",
C_WRITE_WIDTH_A => 32,
C_READ_WIDTH_A => 32,
C_WRITE_DEPTH_A => 4096,
C_READ_DEPTH_A => 4096,
C_ADDRA_WIDTH => 12,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 0,
C_WEB_WIDTH => 1,
C_WRITE_MODE_B => "READ_FIRST",
C_WRITE_WIDTH_B => 8,
C_READ_WIDTH_B => 8,
C_WRITE_DEPTH_B => 16384,
C_READ_DEPTH_B => 16384,
C_ADDRB_WIDTH => 14,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 1,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "4",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 10.9418 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => '0',
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END blk_mem_gen_2_arch;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_sshft.vhd
|
5
|
23122
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
USWneqzJjEvvLVqdGUAaUajBJ2ImPLxg2/KLoEbPrk9eOwxHC2j9fTm9MA1RoJeG55pMYJ8+/D0O
7mLSBorfcg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
F93gq8kzXTqGNouO5MnGLf8fO9j4iAZhWqIIgA0lnNb1UV/ene1hl7LfC+Ok65b5rNiCmCcrdko6
LASetg8CXTmlAEuthHv6DHwaI5CB2iGh4hgCW2dOtBuBKxaPnQuvKQMVJkpC+0yai1hPLkOwenfi
wQUQJkXdP8iH9tFN6Lg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o08Zhzbs8Y5TSF1h3BiCDLmb0/WsGqS6qd8k96zr2RmmF1SkQe98zXvR/e6uUmifbJahpoo8BMNr
EsITo4M3/Xj3QpMHst+toF5NkVX2m61XEiPCQ0ZsWBDH7AsC+rBahkHGy16Iy3oVhBzAzo08//1j
zvld+n8KbbbCuHaThGVUo04ep4xfrvBIMoxDx9zWsug5OBEYoIUkcT8KSfRLVYMRtOVhWmKmjBWa
Re/zK6PhdRq/n1F9Tb6sB3Van0Ch1LqzntGVDPd6550ueapI5jaVjphuIjOySrkR/HdPzj2x4AGC
okBn1wQecGn3GWUKfQita5IikS4ZGtzBbuApBQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bhHPz918RFkXPT8bC5qOlslNfijRn3VAbXxJSpXPyYTz1oad6pxgJaO0OXuHU4tXB/PjGRzPWXOQ
ve4b8KJ6wnVE5rPfWn4z4EUL7alsh5HA9xBrL8lt+mljxTJ57UNo8Z6ajutyDQ7Tnu40BZPYcSCM
FQUj+3RlPVDkTLCH9+4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
S6Tu1gelABFw6/flIL+WgFwGGJTENOQWgFks6OSNuZLemu4LJisJ4EgYWDLKHi4egK5J/RnYZmie
ZAXXCKgBWvsCA3v+ZeUWNuk75ITRReW/+NmslbIe861nkzL6CQhclPZbOuJDlp0USUBEiGGxVvmb
BmFjlUQG76mCVd4GiUxmE9ilmyp8zPr0TPo63Dqt/YIaEvCTfqvhryX14ycHobcS+qXweQ52Idzo
7wqpL3Gw2q9IeqGOFn+8TPYMSfWat67ia2zZYUorkmRKkNqwCdaPcMzqJpC93wxOXhGdaSP/su82
R7qA18LwZYZGBjzY5p1neLlT0AuD+6zW4DDRzA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/builtin/fifo_generator_v12_0_comps_builtin.vhd
|
5
|
32006
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CiYAnmWljK7dHHQsOvXS6S8XIz6XwCHFYinpyaUmoCpzAsKAFqBN/qZVqKCRHZX8Hqm8tc7DywZ1
ox5JUUKzHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Z9ePc5Q/axeopWzIcCyKCPUXrX4vhCC+NFGRmOLux04EqGnA/XM9qN32D1Gm5a8/VvuqBln//Jg+
CoOaX4hz48TTNVP7sPf9Iswz6zMyxIzS95DDjwKmIJUDF6tGqLdC2N0GFsVZhrFYK6wBoay/xLLi
8QdyG+52y+v4Z4n70Yc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Qb4A/hbXFPzj9QjPSpEzbFfhyBouJqVf+e0j7E5Sa+lK787Uij4YrZp4/dcJEV5iyQ+J+gXciwDZ
OzcqWFn4ccNlSfXS/osTSATrtK3osZO7SW32W2w9TF6i7uRjDg2/iupgMWVF0LLfZCft0hJR04hP
mDWr2+USyLO89UbpuKDV7e2IfzZnbVBexE/L7sRTbUuQrsx3NtjkLU4cUf+PqOA/ZFSUI2el0l/9
ksLezi819FVnoA1tDLGmd8328QU22PgGWT6qZMRnDIlAVOg938oQFF/qpQeRnPKjtXubOLmvUe46
JFByAroZyXFjyMjNFy5iRY4yfj/4ukdytmhCzA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4j2biwb0C/4gt8wSc6PIUJd06XYG/m+QG0l5JFievxCaATunlHItAqHfWYu3fuPetom57QD1Z4xC
U+EjjX9xjyoQBBIoAgqSPMFz3WiyrAmtAE9zcSlDECCsnHTxG7o5FINwmVWODNt+d4FUHCvJDPLw
bRYDKhKiuUGO0y7PgKg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cPpNCCUFAqecRr6OUzt7mK0aYGDZrottoqMYdYssAH8CFVyxHvfm/n+1ujHo702nrCjtlyT3wDIZ
vx/sn6cul7isqd+Fmzz3HTUThG75F8bX1xm+tCbHEJdskGJcH95P7lKi+QBQ5DvOSZHxrXNck43J
Vl2n3dtW4bioSF/xhilDVsepTCbiyYDXGcCNr1DL6hmqUzAb+PbNy9S4h5h/oN49zcqdHKT6XEqX
yxXV9Pg02oAdWu1SCdEpN1xz1hIm8d6kzq91Cc+dGc5w4zbXpJrIElwywbTf0CF0eC36oFIRovIy
Fx0x8vUSSx57GDBJP3+61YziNrql9THWn5zsQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952)
`protect data_block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`protect end_protected
|
mit
|
gihankarunarathne/vhdl-learn
|
DataFlow/ConditionBasedOn1Matching.vhd
|
1
|
1307
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:20:40 08/27/2013
-- Design Name:
-- Module Name: ConditionBasedOn1Matching - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ConditionBasedOn1Matching is
port(s0,s1: in STD_LOGIC;
input: in STD_LOGIC_VECTOR (3 downto 0);
z: out STD_LOGIC);
end ConditionBasedOn1Matching;
architecture Behavioral of ConditionBasedOn1Matching is
begin
z <= input(0) when s0='0' else -- if stop after first matching condition
-- So, here it out put input(0) when (s0=0 and s1=0) or (s0=0 and s1=1)
input(1) when s0='1' and s1='0' else
input(2) when s0='0' and s1='1' else
input(3);
end Behavioral;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/builtin/logic_builtin.vhd
|
5
|
30579
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZaIDYQkL9fQwO8milqwdrsg67fOLp0uG3CcXzB7xhmynauRDFpSMeLwaF9WeOUy+2qHOJLta8q3L
TJs/uACyxQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
khCdPx434gR6tFZjkXzNmrh8TB3aJmQPGJ+zVQtZHaHP2R8J0ou47DB/UGjvEstd/qN2LDHSA8UV
XTtxj49dwmEOEbaMF1MXG12CYEFhAj4DgnCMsgt4FfvSIo5tLz0ZDCfWjOPiSrDd1LW/Aej0T9LL
r6chvTfQNPW11inAWBw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nn/n2JRoMmNkmcFKurddLbjLiBKxSjzDogDR40dxSYkyBJooWa9HcvIVzGpGjl1jg1ljaMtgwWX4
YqBQtyh2J8PuMMLcWo9gQLA+G1sHG1CpmSw4rnftZe+Rzf7oiFKmY+M66HtAPjnFQOXwKdKpTPLH
k/HvxW8/je/E2wsyA0F2teSxCXxYit6hG97MPiKK6GJH8Jb1BW6sSE6kLGhWfvIwFEIGyAWtthoI
U4n8fU8trc6o4H0SM/9MJWIVe6CYrORU0CnrksEtNrGRzkKHpyIjVpUBAx3rX8qiR1IIjaXjqZBf
6QKq8LdXksO/bdDyPS7y4vPv97m0HV7uK4Xsxw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
w0dmpTAQPjjFEWkh4Rl8NC7hd+oKdx4bvdiu1z2Gg7BpVI8EKoQRKkrUmRIzt9amptZVctxhK+tF
DqQUqifkL2nvGEXf0TgHmp5E/xqOExSsY+nBHVcN45mrldHoRScIT8+Q+Qwag+TWRb2iAOA4wrZX
ulCFaafDTf0bpWPyucg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
muVlZmArXgh6BRq/5ITO7/Sb1rGC8i2InoA0XD0Cb/wRK9mQAYpwiqaK5zDnG378rpKyl3UndlwT
8iiLiWnm+jTGZnIUrQwD/dZ0FUL5Ew2JB/tfF7ZLbe/g65DWUmrnAqjiVCkpIn9wbzFwj/2pkGIP
F7vE20Q8jl5wAKvZKx87ao3HS7WeNI6Ga+BSug8+djHwg3DfU6B6vIxWAl2wc3fr648766U68Cld
+gNgbTL0FQWc+1KqEnBK/EDESLGM+ouJBmIjXv26cTHxb4VckTvjurzS+H6CKeJ2Np83Sunjm7ur
t3fzWfNYnphpwchLmOyZtPCVKiMWqSptRpL+SA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_as.vhd
|
5
|
20484
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kFc5K9LvdTZe57Ta4b4w54YJ9K6E6KuiE/MB1wjL4HD6ZTzmLs1XkjmPl4LtE62Yur04bELPJlTl
JgZBPy8Keg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ifyFb0NhfgHUpJjr0mbMaFOI/NskmbnU8xR3QjvYKT9xkH3UHw1vQd9BG7/dIGUHCVmtJfIXw4gS
SUqybR+7d8FU4ja9CI90DuhGphA0AOzFxDP6/prYMyeU3eoT3CfOa5ayndqM3WofQymiXhrLHY4Q
JGk/1YYX0T/IP5SAoj4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fnxoeeqy0lIt0CRjOCSmrSjpyy5+Xt2L8J8WOsSetKdIJZIpMw93R0X47CGZEzoOfrJ/ukJ+Atf6
TLLIN+LwkbiWAJVeFY98K7tAXu0tJafNd6dwSe5zrxRnxhmaFMh8dDTOyLQ9XP+KJ5I+VcjxVFg9
xS2oldU+p02+nlor9a0xBBMtG+TfAdehZQqTF7Q/A80YVyrNpe7ZnVqJNcAdX7ZRb0WEdjyjD2Gy
wJd3uQLw3vxCy+ZTcQchKfzqk0MCrY4DMpa3svZfqhfKolUae9fnLCbWHe5YL27PZbo0aU4EebOr
Zbw+AE5R2+g/FHrgJg+bNSp1mrd3OXT6zw7wDg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EZ2vkptAJUNsb1TA7J6o5Fz+WBMu3/ERvKEQ/MvoY/XTiLTvU/9yqkm0ScjwVBb+pZsOtuDz9sH/
Md+fTWZdbolo+y8tQURBldL5ShSjSBtUmPU0gq3ZTZMuArWe+awSYMPa2pbXhF3V5tHKcKVQ0w7R
iRewWPKd8p0N0fmRI1U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f/dJA8YRX7sloRncNtKg0GyZtwkTWHqn2JPiiIpKxSpvGD4hSRO+wN3Yo0F65ZRLDYigYuzN2569
lkO68mbcLDbXprbTcJMdI4MG2FLe2f8BoRWax24BqXVxMDwE33zceFEz8hMHVSoUUuKvuL0bez89
d71tWCAada52i1FAIsCGh4VC+lZUwl92NMvM3Lj8TZEwAmgYMrlT08UswGW65ZI+u/ZzirjYTwLO
fWr0grBdpVSlClH/ggeYB7P2jtAjGtGNSvUQ0WB89C8SewKnQSw47tKSuZghALJVvd8Vqs3qJVDK
d0ZNjlufAjPKzq5P2Md0Ht1FwMy7rFXT/0ZkKA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block
aD/UZYRYhPoI6KPwKITcWqbwWIyy8i48Ge15PI/tYjHPMUKu7dPfk2/aUPxrqjN8XOyFckTH6GCA
tBh7/scN6z24ZiWHT5HaCuMySJIZ8OOndMjdCBFXKU1QH2XdJAkhnEk6wLYqyrqM6m1Aiuy2vDf/
XfO0dKTe6CUpiD6xctxVrCSbZy4SIzIgwVBvRHvPxDAguPArWCYMq1h45dTgb1w5VrvvXSEA5pa3
0ZshIVUFXhTkbsU2piJO8T7/ocV5o5bQbH36TLKm5e7sXm4/wQHu2jUF4Axb58wINMfXSqbR3PFc
m7On3mubTSc3WkwI9m0dzmPGeUue2pTeonO8Hp7OQsOjDohdOc86y9vNyoZT8jko4w6gyrtGXO8i
I0PlCCJdwQlHzGnaeGt7LlVIsjKLb2fXkiDzGnkFC6AeUaQXzzaigHFSWqjkt/2PkY5cqmAKBeIt
jtWfGC606N+a/fL8vQmxvnm5t9Bcv+MiVVmb49fxXysLazWQu9R7QrW5BIEJoE69FAf79NpwMnWg
361u1kOAZs+AO8MMmXfapiA5iarsk4bG15qoeTGmCPmhXCzkZbpPOkh1bgnG5O5f96YTdQ/2wLZv
CxHmN1d8qa9c9oI3beso5pTdYF0BF8jylRqhYdasw1hOZ6ZnA6ofQQ+UWGzdNK9IdewfjGIilmKB
O+yBvyvgYWR2R7vTZeOe/Gr0Js+Mx3CuHO4VmvbEfBCfPfLlvb+cmKsGD79rebv5ItJElz3zKWic
yE9aYCrUEDWW6fcTl1TxRBmg9ye3nE/YONDuwl5SpOTZ+PlCc4j03zWU7hiH0lSdAZ6AXsHOnYSL
OCGkRKAOuyqAynh24v8d8F+fF45cX99JqeJ0zMnG9eDCYLT9DAmnQJMhd3rklsAks/tuJYfwK2zj
3QjNWRceTEV3mSMwmP8mrnbi4UtqpwD4cmTFSKLjjfzNv9RrF3gFEZQZiL/hOh/7m4wgE23Xr7i1
GVVcROg4FWe4x0/q72Bkmouj33+u5+npCWSNuDbIvzxCeHeGDvmKC//FhJaygWckdJiAHIoLvKHN
L4KswlT9XuXtXPKQ+2WToJdwEfelXjFRPLO1Z+ORXk7VjKdSjWhVdkgDEZLDPPs5bFrYOha0zrrC
PZdK9GLrY1oUvdBXOtOXGXF/8QgJ/LFiA2RYTsuJlyBlmEtRBUBfiDxmST3Pfvf2D7ywJpCfTf1F
1cbM+yoipPP+YLzX2x92cFY4ZvrL6WsYpZ0yMSP/W8Pj1xc+YS9kMqqnZemjOfc3qs/GgAIjlqkl
j031dCRzvHWE/xHw5H5KCOkkPuXTo53HEQIV+cf7yc4D7eQzzNgTyGKa1FfJOxJVovZgADyKPLcy
Zm02lPVHZ6pCvIZmzdINlf1PaX5zgsFBFBAoNt1VSkwCaK9A1ri4BBVJlfeMvtn8aAlxpaWIRLHW
0DdCCYSeSiTuCsZIoIfPSdnc2zFovMVyDpnBKMsY1m678AM0XN0M/hykGwwjJRtpUJw9ir2/yFoy
NiBA0+XZ+6wKK0mnu2MTYVpmq4OQRp11QSs/GkH8+kht0Uq8IxJeucNjDrQUtHPLt84nUuK9gh2B
mbt+Wi6/QaKStZHqJdX4H6Xys/zXvibQylOI8tzem9RekVYemBuVHbuom3hIswwSUiZXD1H/6ssW
Jfq/JGgVtMWL/GvIV3Ttpdfc/WCPgoSQUGgZOnYW72nSWzNJS5SJ4V6AXbdYbap/0RkLJ7cIMbY5
CVqkH3iKa1d9DsjaW1jnOd0YwsTzU4Y+ESCBes1xvrWUJq+Cx3BbD/p8Uls2XJ2IBlh0E6DwrUFj
Ovva3tTwhz3KWTVj1DQjk86N7EqnWEvqIeowJK6sWCylt+aDeF7Og1U/L/pJGTy/NpdNB/rbWhP7
bP1LXPIKXFOSrudEbr4ZP4LtJiYV7CDHRs2WOiZt4JI8T/v40XuU50oHp0OWGQXlZRJYE+7LybaD
u//KatgwYJFJkyNabLzz9h6C/B5OC9xBJHDhXJUabdTrjFUH5n+Bkl1yZCsjrElx/tczefB5n5NS
Sq6YLO1uIUO3uKvS0r63A9gvfIPe8HQF+mXOFgrTREBuzw61P2YFizh+1JF4N9HozppWt3O15dTX
bO3/yBzeB4uNgsPT8swqOjXX5JAclE8fZSl4dOnwK8p48vEFm4PCtPc3Rxo/SIyrKJMexqre1GvC
aH1tOdPkkvagZY8gN/p2YSAhvKCL6qeBR4w8nog1al1c3x1iH3VgCTxcw4eqvWzqKREh76gYqady
O+p0+s1zuisrP6o9WFxVIU/dopiyWg/OZjiCah6kdNdowH5xtA3NNmcYGxewBJa+Rt5SGtKKooYP
5mudvnEola4qRhtD77f9FPTJ4hjHGhikfZa63Q3vFkmSNZDqgVbTT1UtddBn+S1QvpMKoGxrtxnu
/L9Vp6Sr11zeIFKos6jYSEMlxCLqv+ImCoQILLp0vbN50DxQuZyPFPg9wPQxQXb2OhxKqmsOYS6+
jTsLNv5hMJmTvM9DwzDFoPLlkPCFgyS6gFSbi6hUyF51AsRxjPVeLnpX8RI55ZP5TohEu8zLodUj
J84zXxJQH2VW+6FY90J0fRBt8CV1pXcGxyoL80+gB9sM/LFMpRzy6i/YzZDq5JIf6lFyzakaPTMx
gwAJA/cpuVMAYQqId0vRY7MmgaJENalWJEjn58cConIVmmRcZR51ned5BMdNsPqg0EHu3zKE7Pem
QUTuOn2fxg6O7s3+irn/w0yu5jdqIJ5V7oy828cDNRFTApq+OE/QT5PJyF9/sF/YalCJ+7SLY9H5
MdlH0VfjaYJTvyQK6cD6qpyqALOtXqMh/XzhIImwGzSGtgm64gp6CHYMtMt95JpItvEULLHItSEC
ppuLbvY+sq78uncwS5aB9dbl8/SFROu+9EMTcSY9w53G/5z98QtrCJ5reMaOLLfvUidSHeZQ7rz1
oRlhv0PiFFelbFr/C+UU4zBt53K5He0Q3VyZwg9GNI1WFzJRwiyetnsmDYjsrbOWCyK2BV/K8I7Y
NrWvqqUww6iXtAKbsKeuUSUBJiMAs02b5ORaCyhWv2a3X2rRqV/JBeO4IslZdXWPVaDRiY7FBJ1I
M39Nc9s0P8z6n9SRorl0qkZ2DPG8p73Il5jEYp5m/t2VeQ/y31qRHbSdDObRCTLwPSGXRqEgtVMa
+VV2y66iAdY3YqoS4vkemrECJUNjQuMkoWrNOZci2dLfkop5hirw7M2ZOBLppsdYLVOAkqY+qJ9K
qt63to2E08toSsznpRnitSyCFWAByQzgR/0LerUm2pQqYMtNgD0hO/ekyc+tAC/chrYJd3Vw8HyZ
vCy6U9TIlWJkOKXJ1qEfvBAkZINrhjBpSnDHCPO3MBdrfvbOhorxqhcCajt478AjA4Hh6BOTPNiU
+FXvO9/GbGq26St+0JwjIU2R8GKh5gTNbi8qJGC777PM5agWA+SaeAU4qeGI2Ace7bfhzVlIgyXZ
ZyC+CkigYgWS6/cGKkhswWCKRUVsP1uMcqZ//qk/lQGJBsM7ialNQAvvpZYnOasMJgHGm16SauIa
vEdmxKCz9fRQ0N2x+yFywIuNTtBcXnZdjKfrQ9A9qOVr6wc0nkR4PdL7QPar2jSKHS37chxUPbxr
Gp8raiNXag0d5DUgFNJ673dWB9q2aKth/wdSlRfqqU0156WAlI5kIUQdPrkDwCGfdPKFAgSGveAR
Rur6Sr1mWD+B7yhixMNVS+eIqX7bcvEjbNaxoStF3qktYlRSMFHiNDBh05DZvOR8ezPI474mL7Dw
99px7AmY7h9rQCj/Jisjp46CTKwxBkrG2xDBHTsuz0Tw3E43TPTtxddwgr4EOo+Yk3k9A1Djx8rm
7uw8ufxSPDAwFIXSjaYgpEjSWY3guzGcXziwlX6/wJxcW7DzRbYXMeWOsRWcuGPBB4Ll6HiwP8Le
W3dDDKsGQMLsgQriODSJXFfY+DixgV3/Jlan5MhnEVhtNxpq10hp9iKWLboqwZofNatQbQuXN8GY
5roxuP/Sx8+6UfQXpv84r332jhe7BULnPnu1lvB8P7CHI1hJ5CkxDCSGJfofDCFaAZPVSXuduea/
J536q3BgbjcELepy2wMP+K4NjT8gbxOrRMB3W3VsOBDycFCUuE4JXHGGu00eL/REjiBlpPJmshko
v2EerW67kqRh1A3ChcjAAnNDCG3SySVRybj4GRimg5rdeqciaXBhrhSaE0nI/Ac7vZvrwxWzEM28
mitq26y/TVI1h8FjiXOFWkCAB7Dmxwg9tQT4RSRa2F5/3KD93W/sijCUNQMaTAsImcObLtmomwsf
hUS01UHGYpXhZKKc2iIMn/Uy48RHt3AWygQ2aRAYKze4wDwAqfcmlMVmAV5mYmB5hlvszceoTFgB
Swouhluxi3jXbB62vxxJoGt3VivlOgOfJhpKNO8eozhRzqpAwDB5IcpTMrxF21CnqCDMIkogPTL1
kogJ13iYCq4Yfqxge+PE1lImomRxgMjKAl+2Qex1l+7Scjs3E9OL7VXWXeI9NAVFFTdsxltVmeqD
7xbPAibzdMWhQUS/l4LS+GCExQAwwqUthpnlyFOzF2Wam9Mp7kX0yjFqSYtxNTa7+HiIMCqgQTeg
3mvt+f8cuFnfOfoaOWYqOF0V4Fgq/M2HcP21kC6lGfnfHNWHlO8MfbD5hLjd06rf5mhp65n09qbp
VmqT/spMZ+Y/lKB5wv64sGMWonIyqGcHuKbKofP5mLpH0aemrZ3DyIm3uT/aJ/8G6rzwaNzQg6Jf
itCKVHOs3N44sYoZSf4kk+EW9n8p/oYCBkZkBQVeL0XiSWVCkfO3Otb9ABg3aiTszxQOmK69V1nj
w85I4CuXPX542HN2Lpo1xamVA+cFDHacJ1dju02eoBecIbvzazDi2gaInyCqe0Gxe9kqfjvv45ml
VYxfT/HU1+KUHcafm4VeaWZjNguQpfs3qTJMMfsNlM4Brj79+mWEY3QHxunZiXRvmMMHiq1Vn7FS
i4cCGOSx7stlAdaG2M0QUvj1wLIyGSEQuyvy9nD77fuZpi94K1onKm7f/H7DCyh0o9pQIwBFHlQa
BMdyrYTnj2LVcJBwKqMSrLMv6xuwHjr2yqvj+oOVVGIsvGQhszw9sCChPfzr/6mLXZmH1qWgggsZ
KSwOxVVjjDvAT7nPpUhSHV5wttkNZPGU8uElEkHVgKwtYyEK7fUGuLGLnMPJStlTvKlrAd4faNsL
1DTrHXxQYPCFiIVjOw43LF5cYJXKwNbISfQA3RO7tNUv8GqhKCLUvUj8bLqUDzlnn/xIwqqZTlWr
fkpIZYMz4YPUBBJCrXQnUt74RMa9GTe/86DNLvCpwMRrlfC0cBm0uXg0IZG4ITRBBqrz3QQ1R2Pw
79dvM16p67fAFqt4tbf0NuhJ3MGAL8SVAwNu5gCKxiD5Yljegn+frinah/OiwhQ84IhXOSxSYC66
PbhG24VzE2nMaPiG0GH0+TJ8DHXImyObmwjncaa7vqx7Blvn9yJU+SOUlIrsCSLd4Yyube3sqM5M
Zy5V/W7lTk51czIusMv+ychx9a+IvPYT4ejlhwucbrZTI6/INDdm17ecak1Jqb/+LX1GtymtKUsd
23K5KMTNbx4FQvvTesiH/2RLgNXL+C4qOScFpBjXIg8ODRi3aUwjoQUPJmJe6NvlgIvhRWTFJ5Fm
wgUjwrQl7HHFdut4TuTxAYoanPR3Kx9zJK7x9wQeu/saVFQ1P83ILCbUeeTYz9m4f4YK+7KaBQAw
hmtjxOphcgoR4vAaDcU7aAIIT5ZOmEwWOTaaAkPGWGb6EW8Q4xwDyYDCj2PyL856fHfyhqHUjS6t
PTuVjGJr7PfGbrfQHDxkHkvMsd9Kdhy/TCUjWATLO9Lm9kbLULdZTk0KpyIxqHFH1MZ/HUxppnX5
pOAMgNu3FOO3sioCBzxOb0SnY69oG3rF1bShuYSQlsPFKaFlPhACuXLaKKzoEw5OtAB6C5KXTQuf
AudgpAhcUidrQrSB2nOfUcGvDsyc2LzcgrMAr9r8u+6IYfyqIP8f7o7K61NXaTzFmunvWEiqgGJb
6Rs2PSe9B+dp4ySZt8jxcEI4d59YxfF05uUEyHl7TRH9ztzsfTaH8Bseb+qUYoxiY4Xr/U326UpP
/dRUd9Rc8NslIv+0prLKjOPRg6FYtyvvH4KKuv8+OdwEjjHLZBpH4WN1y8nbDJpKwM7/Wd6mYr89
sHRmtwA3HahSpk349OuZ3/TCKdPLH8lizktRMqxcj2ynROpDq82yBbHR1HYhWY4pOq1RDJmTHnfK
7kLNgUevHQUheSpZdhm3XoohXmRE62b1ct324VPIylFL0i6vAptx0iqyp0sxk6Sox6jYD12pThp9
YSC9LE499ij40JiwWvuoZRpV3iTgp4/8a2VsPiCpPIbYNGs0KdRs5Kz3blqI9wVvfJlYcG8CYIO5
bfEIgX4a3/6GBatQk3BrEDPzkQQPMUsEg3MtqIgVsuLDLwaxxUVeFPJgoKG4diC6USIeh8GyxM11
7JVOpemNeKj1ECNUUE2ZBd8LpMq9jUMKsHGjDIxbDdiTJvV/uWurGgT/M95p7oy5GbihhGhfGrJX
qzFo1AnlAVQ52mS4nYP5fJuHRk6JWo5kDZsnegqj7KG7iIbx2YVM/3IVg+QO3O3ObD4Rybwd0vfw
aWAprc14Nt6eevWCr905WeOskSMXyqjlqxEVYIPGkxxuuKHI9NfaydZGg5m5OE+CsSstu+oZWFMI
3hiv/H14dbxK/mmEijYVNYVfxYkQbiSdPn+B40jOlwDpt9ykcM/xbZhUkmainrEJCGVdoGMAbG8Q
3xBOnoqU+IUujdjSMJ06FxU1We/4kL92VD1P+kAH1KRIZhO48c2Hfb2ZBHeI/S81pZtQEs8qakVy
1YokP3MNdrZq10BpQbChVQuo+CEbuVRK9FJtmvqmBTGdmB54h04yH81OyFzhl0S7autWBiJZNpiS
QdeeqQAS9/hYz/pl3hTqK6Dd8wnliEkiZ8/8vYdBTekG0whu9AaxaewALS5eOdpNKBSAmdp3+K55
FDN/OLHSlVJFYohVLUfdUorLGZ7jooZv8I03f6nMnzOVDBacsCumhaXSQC/TC3StdESY8gxqL27u
waC5CNcZkS80c3uYmezIAOJ1fx6dmVBNRmwSgiwFJU0VpEQN/MNf1XGQWn3llXjOxnYyyret7LQd
/1iK6kD4HR6W99jkFDtpCuZDA+SuouLi8IdZNgIfBysp9O5AIrNL8ZvWsD2gjH8PoPLTKrayGhJ7
eok+6AAfo32dKky+wn5U20QXYzOFJx6iDaIfJ5bpMHVlzV9sbJ6QcFX4JJoBXXFAg7Z2rlBh6Rlx
AipUazZlmxiKKkwWgS9HRCnUwSfvJ2NxIXofenuyVZoQ3NrdDXmrPc2n6tuQASY26dfHqVvon5Xr
NNkWD7fEE8/FyTNyEJmY4q0zhWMNgi2muHgc/EKqnwFkbSbhIhccb7hUJJYsKyE1spN7nWQGWS//
qjsXsub5Jvcwt9nGDz6wyVVWiJmZZ8ZYYWo9JGbtYwqnUV0rJGnLWQ6nQ475JGm4DypnvRmKKgxD
L+HMeR1Z8xCCCvw9wSKR6g/emGsmAMPYuOu0YteOtR4+bBIuWoFUJDwLcmYAyoSQXUmLN0aGVgHX
qGBVwxNafWVeHB9DGqTVFNNBqxx61LDsMt/nfnXhJkpVYEkVTVRFyyzM+65BRy8LIqPC/ac0QMus
WGrSxJrlTaMFnRUftEd5QTtw13vVqvo4bevQTRgqX2ooNMIrqGNvia7056gPmMu1YHn/HOmIaYUu
sCnwIMWuq7qCDYhvNrtb9DuObMGM1h0w2PCY7bhCrZRqu03mn7USJpyQQFj0Wba1aziVsf/TQCjD
qHqbf+lI1Qg8YbmGettXl3NDcsXsIi6fKRZ5W0X5zZ4/6aT2489IrugPuLqg/rqWimXkaUtz4jIa
2YU3y6b0jcmNTvyijr43BNRZGj6ayyXtk9oNsz48rpwFJI1LbqfW6MiPnPZ1kS6aiRZQq6hVQjvg
GvMHIBQkR5AHWGRjWZRx+rhAQZ3paa2mvFWez56Vixty8pd3TSL15EaVbDmVdJk2Mt1EtziRcF9m
6GxD9jPkfJq1ZwpRw87sr/Cdwi3IHHtfEe5SzCOgImTFiqxQi5ENdlRkJIw8t92rC2OTGNL4hGlZ
IuALOEpuav/C6HSmOUjiVleaxxx6uCtp4C07p+MY6XABYD/gDdgU3QQN3PHxhYJBn8Z4zMsjc9vZ
qZ8NiVqcUZEQBl8lyNUye+STUpn3XlhuXlvI26pftTCd/1KQRLEpLxPBSDCyaj1m43JTnT2xG6wR
GBnSbZchV5hIlZYaoSgbTes5sbQx4xXLmJUWanTxeM2nx6SLd1/6H9CgtGAIiAKeR/1ae5JlWTxz
z5ecvvFoOllt6jI9TtcrOCIYQRl8Ir/6ZK6OzOcYJzXjlQOOS/s51vKN4QURtsMt4TkObV+wUViC
uMqgCda3SvM6njVdwiUu+5I8nktXtwwn3YmdYaKVkwoUmoU7vIHqiyi/OxzyhBWPncxt+sCl7cMA
tPuNHlf+uCk1ydno6OxAGfUAer7O328GKG7BDul7lTr3xRU5xDEpBH9DFhNWpPt8HpR487YGREOw
n4CMlwwzeaSLKb7Aqy+J57w4xCJkd3PKl8w1EcdEcgt+8e3qr+UiMUxcTBLhQ5gkAXwFmkOc4I5J
GU9ysqFmbuk1OyZgnUYU7rptgg8j2wCb9GRi6lKc+MPb9DEDeFuMpRfOfYJV8uDMclgb1ObcUnHg
fqLoPuYDHap2oJUCasLQG8rRF6c1q0p5JRTimXjH86IzJ1KZ2g4olnvKoPVKluxbwAJkrIc5gF7X
bTChzxdupaa4FTQaasUPjU7vPhuH9+l98PZeSpjYWcyo1PbosSk083OV6UyRXR9otzIiWo22/5e4
0JnxIbEZUdGfiLvsub80bYVvVDdYf+r6FGA90fhga7Tq5zM9JqOJdaQvkGPPRYf1lGC6U5TLHw05
/lVH8z5/fbuoJ5/tKgJkCfu4j+bfhXf63vcJWL56262wfK6vOefbg1EvierwiKhS7PYxdYfYRywm
tp6xKLWcMaYQMQeloQ3CWIrjJufynGKiY9DINgTpJcZdvX76AkOKqLCup6bkeiGCbnRTg+9GxNVX
D8tK1EL0ZSR4qvwG9MAj4LRs2/qSj97hT/UZ6FfxKuCfhvcj9akAaGdmH0MzTGmNMP8OCvU74AzJ
AzChr45GMlvnhYaS3pBDefw+CO4D1rNfNX/GzuigKcSNJlpO3CKDbLnXRZWk6pqk1ERY7KCZMlGp
U35sB7P6ik3pRKLmZUG5jeh0ntls6IeQfjFUlpZ8R+H0zbo8d3rjdMgs+JqA46xGgkNATOWUdnwm
T0dyr2lHCuQcLp9ffalIJwLOxjrhlNBHZKTUftSbkh3ZVeICGnJtHT2YdxSwNb8qmVLu2A1aOQYB
D/33sy8TerY+KDcKZ3dJ9LItuPf7RRK0Tghs75Zo/VqWxFvWtIfJVWEPOL1dtbZM/Ll9wcFXJAyv
0u/zyo0mKLfFH8rJULL1pmiLrj3U7jX8qneWv8wGeeVQYgVaAsMVfST8nBeDKeF+vURtU+s0i4G0
xGuMR2jVJSMAAiVxiKtUExAB2UbXg19iq/accqhX1LZHGCNJqj/WzZTtFevZyk7+HVACRqqYSIZr
+XWgD8mCYzANdHDxcdDkt+CfjDIEmicO7IcMo9D8CCZc7SmFgI0RIEfDLF7wAAUMm4d6LP4anU9C
t61m1ginfmVV07leA7KK+JnN87QXZzguMxUFZ+HFOB1DT8uaqnfrPggwmGzcDT57uKGD29JFZpa0
zWxwNAsEk2UNu14ramfpQVm2oS09OYf8wUPfH5yXDqTw6coIJCwvtKfSYQO2An0FBCAwIetAPzze
RJlmRm/E7QHw3kDWX2dl8lm4St8JN7na1imE0o8lLsTB5M6Sp18uUTjfgb10COH68j0MODKYkLJI
83fFTv5GGHfeDKQLvS3SN+z9R68BKJFrg6cZy/12nA/ElEPLL9dCYU7cX9TQ1/xhmaNZZ87as+5o
bgqeSAnsqLNC4jHaTVwpP85OZLwFETqhsPptOSxgukHY/+u8rJH1RLJCkf5GrTxpZJ25ZnSLh8AV
XgFG57yWuApsUuFt3WwDiXWBp6iLHtzRM+PVdArNXqc1ncyHvrUHG8IAH3mjYBS1tfTJS0TVvIAR
/lV86X05CBLHS8awVgw/HiQLp020vma9DDVsVJrtb7oYGztoPBMzWI7xz1QvEeH3jL1RlvIWly2a
2jj0/vKM5LSNs/hWacti4kO3AT2kfWUZ+LV084zsRTIAgpdx+ejB6PTwIxxUh4AxZAWCurGB2lYz
ZM+hqGZLLGhfWFoUnVWZxYRy640EY0qoBqWpfBdsoHw+o4JiN2/HEhaq+EtK7s2eNTN2sK/HyTMJ
FCVMX0leFWNS036k8ciH55A/hdEKRYDy+Qkdn+Lw1xH9/LGT+ERPL9EFqJ2iioc8qaV3Q8EJ1jTz
xI+vMdzZpCjsjDeZ7sgEF27N+kKZZ0WEoaC+KFhR1zVCF6w0H1h+BqVV2Y1fv6DGKlU/jjkactlq
AqylaZKpy031DwSEQWgvtPVDcqm8J5jxPNTRY0kvmVi2nlE8Mvxe8wT3/YhzMLL+nu6zac6u0zfV
KRA6YvCMxsUultY4tOCkmPwjHfwPrG2pffSlBiHxE5NubWY0NLXws2ld/e3QcZiPchzItWLW6I/n
9+AkP95WKeEGbx6dtfsBSRDZdkmRp/BCW1H9Rb1OdsTzwZnCznyk8DIMeGPwTuRuXI3QVvUJ4oaP
7haG2JdcymFDetI/h9LMNwKsDs118/cjm6gHICjjrGtUTXeeaO0Jp8mXIS1Zv1+nAUcHTKAYThrQ
ZwfOpR49dqIDbE/8gLgHTGdbaUVOszPZ1tvTJdHpWwR3hT86rj2TRYxGqu9B/9QvN7FZzqMQ8yRR
w5cO2jw9wyZnm5uEEctz1fDwHjrMwuUMBTmBAWvyclvk2Q1563sBbD3VZT9AmlY/zaFE3UsEnFxY
sDKCaUGx771g8Zj2Ci2GRyCBskNMVAKep+FjHRyrI6BlTD9Jo1Fz8XpH0K83xsX/nGsSKIO1+TEq
nu2d1dgPl7tf4EOnlvKv0/B/bQi+loAJpTk4o4Muwbfs9rtxrRwaCH/9Db2bbHxaKAFAGCuqVPd+
h0SjBJAWO/TH8eBmjbGo//Q1GuRDJ7MwgJF5xGyJTz6E0TPsvBK5bIjUbAupTWYIVlAiu1+NJhqh
3E5VniTiM/iruhDKX4JN6+Tus2hyNF6pgBr4i/J16TOk8wSWqHz8+r1nek7HjLIiewYzDcy8gDAF
XfXa8OzconOeEwCAoEyyS85XMqQqoqfNuSUo8orcg9uoK2naf9KTBnh3aFnF74cypFZB7TPNlgY1
Dn24aR1CnIT61HprhbfL6fE9rv5Qg4RY/7FIyQyCWPQeESXRqJM11M3joELeway/88ATd8kQo0TZ
AptyqZ9n8/CT7pCJSqbyzXCHKHJGV75Wz5BGAoT7RBEQ+Eag+cYkUy4Xk9mE3EZTF04M7THW7QwT
sqQbM4/qZhdYWFxdglZ6fIdsmQu4lQ32VBnKN3os8uX6FdOhK3y5Qe9yZo4URkJ7hrTCdBSgggzi
LRah/8tOzzc/xo7bVYXjFrgfMbq9v/wmCz9sIRk84wRupjAigUbZ1Dp1BsLRBkA4asgXIAemyNDj
Klw61Cf56oRJTYbJUk8ROeNZxZKGw77mdYXp8Q/pM4OsSb/mN7T4fj2Y/6NyFwsqDJt4oKo2xB/s
ZuFmMgaebJd+gYs3XQQeg+EIFhZCFbAwlDg7skV5H/D37GAnuX3NvDmwaWI1s+7qxs4NbzIyeovb
RhAJbayEu5irN13gix6MnVEbtd3HRq5I7qTAZaY2FSE4Gyd3V81V14MzcMYuYi3oXh0/1ZRS+tGo
e3PMDOQfr8XzqO7sT1ERipyPpg0TjWUVlmmFr/QOweMrEW+LFVgGpjff0/SB9gIS1k8AshariKK6
+k8uQsXxANJPavtBFEOIOysAp7qRmnB/oA2WjVHrjehz8kwvTekqL4JPe/f8QOTRV+DQMNdLU01J
by/CPBRrd2u2+alrXN0Bm41QAgV+BCz557MYmQItZZS+x7DYXsgLtchY/GqlNlu+1tVeGuDMn1fE
0+Vw+5va3chtR92OvY/8D6FnxUR1dixXYULGLEq65q3RtfT0pDUwimFq545rCQZxtMSMtjm1q4YN
k27tqXWsfJ0oudDpxVwdS5SLSq93GKegg59AFJrpyYvvaxg1drzHPSXiM5O6fyWpB0o+iSy2gNou
qRZfYupygbkom1PyDZ92tIAdL5gYewFYD+ppxuSvJDfY+VsTXXxoXtQvgOo5ye/hgA386fXwoF3A
rXjDpdPF/CK3pGsvwB9x0q358haJlkiyZdYIw5EMUso8mraOhTAonE0deRYKJIo79OyiYMdYh9aI
IJOvK2bgQGjHG6GCfy+9Ly7+FGUBI3whA8TkZvb3cjHdreOoHRYztZ5RnOCtdrqNlslS0dgsdMzf
uEhZK0wHRZZ9P98CtFVDI9U99gWFz+lOgll4i+v+OzaUJY2ioKqLyXDjMY2pgIQ+0nf/sa5UgKE/
5jdF3/C1tdRBRdr0qmtkQvMTX0cNye7xHi+nCd2gcIS07PqEcYLT0lKxFP9dIjNlyJUEVYN4sSHC
E+yij1HIaBL0zZeRTH2AibY+IyGaW1D+Zg8Fc9QkVghEpohDwj9sqrAddKUxp8cjf82A/VlCm19K
mS7VaJDNO7+6e6tEOsf/CpjoDHwDQGBJnBJR2Yj9j/hkhWa07elHRiPEY61TYTP4rgQBi36R7YaR
6Bbil0TeEhhC4qp2JHL/NSJVDoelJSJ1pAVKiJLJlMBcgOGfF3Zkn5sHGSNPJZ5hncv5m5Q92hP0
ZitRzw7kvrK2j1953Ba2oYlvB17DkHAchYY24YBTi0IZXkMu2S1taTBfgeJzIylcGCAVE9XgXztZ
ejt1o+8atn4x6+SAKz37sXe/ie2Pw7k/eB842r/NdvwDOxoZZx/6ajgpnosamp3WYl5wV1CEIVhj
iCnY/9J+nhXF4jsZewppc8BcVJrQDJgL1yFgo/Rv2aol1820e9HyYpSADnvb3y6ccvPHb4ekFhLC
lvstXjfKqrOgr51t08wZXeC+bnZyJP976SEu5WAcPo2dxj4qWTgjoxjsWWH/c6X0l4DTGRuby5jZ
lrn0ugwzMFMcZh2RcKvfWWp+cQ0AvKZkoI4PdM7Jshq3e8+qp85qIy+PjeyXyicH10Q7Gd18cO8P
epAtP7ewmTc6fZQqUw86Qe/9P27OwnEKFTfSKw3s2sywf2WNqHbKMOY84GHQxNb65Uma4NmeiSt2
71AmDuggf01vwWrK5HUFQS0UFVoToNp62OT2QB1EfAlN1zyaOR9kH6mP37N9S0cowLWwcdQgFDJd
DDa6YMa+ql2v/n8z55iYVYbasE9lFMCb/6MjezzwjgZcQQqRnYE943B2XRBCm2S16YfQKouDxtoP
e7mJw7evu47iRiueX4c0j+IU+KqBlAZhql/kyUjKo6RJKdtAtw7CXgJTr+90TGPhTym3rIjZee7P
fT+3vpatmbwjDjivkQsyLjKBRJk2x9qo598M78hqdWsnpgTr9cgVMv01GZN8h8CvECpL0kok36B7
59TbfleBKc2KiFeZYoMnN2Q5rfCQ2cRs0BfmFaOH+sM2Tpzqt3h9gQVUQqDfAq8nkIBC3kMuhNP2
Fwl7MUP1Stbqc+hmOYhgJFOu/IMzk4YiF1zuL57PWZLnoOi+q0At/KKKjobkt1+PUWMpx0EPuibx
TuWrSORYGGzuOdUdXpADvQyFoelnxeEBhySP9UXBeo6qYvBTu4ypLnOIDtdJ/FgDFbjuAWJRvkG5
IF80exPF9oZTxh85M5kFXj+HBbMYXxjxhgV7rjf2Ej0fol7XS0geUwbtLv5VZC6MwIDy6hZ/w3xG
I/ogeBAGA3Y20UT5TQbPJhe5c4Lx4vWottRiPhIY4CloBpQkPOG3Wu377mMFJ4KSMZnIrBpBRCr1
PtakAh0kSIGFsxdDes4BTv5gNJuKiawRoPI0P7Gp+Cg2VM/LbqS1Si0Wq7xpILnOm4pw1DJ+GbzF
Bcho0jjSxtOjwo+1X0CYUhbN/0DLGVhXChpVWK9/VhWF9LrzanhEE+a2n0SzYXeSKPdITagOCjUm
84KATNN4G2rfNlC+Ht/Te+8P4Rn3bG7QADWK3t2a5xdmeXYbizTqqfeUBPYgeokjU0o7ZHPp/j+6
V4fvvOaxyc06QkTpxE0Pjc5lRaSOF7KuVRRm+ILTNjiKGCLRZLH3LW1NE0WHuCnFPtolVKD/LlkS
zLoEd3zcjVxCDscvG4onrG4Z9fTEN65S/KhmC5c5+0HuUlyRVxxltXr0LqFvnk/g/q4zjzWNbi/9
XmOLKfEkX5vGF+K2SqfCvqStqh9IRuSGFkjdgI/7iSb2owXWpB50Vbe0+813UDL9Bd90GV2pR0nn
ELrayBNPOAxtaGL/YNqTZp3Byjite+AQmFjRxcKHZnyDtwijYWqcE9dLqWRjoJjrd/NYxxZJDJ1w
j3Z+H1tAVz/mgbS1nlhzDfkPyYYmw9Xx6PmEXpsXM1gWUuwaeoLvOr9H/3eauAWJdtMqka8AkmQ4
pTnr/jYp+OZAzvOkf74W6w148H1hNELiB4Q45PEtsAz+AfLKzsI8gVcQhzoT1+ItWMEaDcDpUMs8
RGP61I/tK/ez3dLpmhmUDlS3B1B62YtV8LmQ+YJrPDKm24IvTQnZEMXoOJB0gkQ7CTRQnAr2aP1M
0ua8LKPI9m2WcjRHPn9iUBFFa237UWkNZtHfZSEpJmvqNtOv+trMS242saDJ7CinWFaKXIoRbCi8
OXR42Pt1XONKr2UBMrQntNjZ9mv44FFuMF3LrDcfFWcFKZt8GWn9i/YuhtjafiufopIJbNj41Aj5
oajB2d/VOzg7cGzXofgJKrg5uvq1VrHCPV7veKFL+w3tlLIF8YSNxD+RWp7Rm3afXFmXIzz0tnnH
D56ivTTN3yMrBvYH3V5kPTzU00q7qRudw1GvT+cFzRJEpL176Yxk9Of3sXgAcaXCpPMui7wSw+vx
jCyWxtTk1wO0RjFsfD3T8expJtMTWklpe0EQPzT9HPsbexIxbQXvjsBivOuSH5OCW2J4ybDQiwe6
lVwkP3zzzQOuix0dNJdRbefnMsxo/nB6+Y4U/vo+gOPmNGmmWm4fK5bMgSMwie3rcXG+UVT36nwp
vurpG1B/2TQudCE1qJMQ8iFqEEs7YwBeSPbcFgAsLdQ/Loj/CQSSeOcL7iEP4qMAAbhD4Li1qIAI
MyG5sVNkVFXyciL5zDOVdYrTGPqygTVDny2x32Ev1vaLG02+YnL/lAUo2Voey2EgRYLo+utFY15O
3RAM97N59lG2Dj3Jx2cDavOIm+MQBmSS62E3xc+AZrpjIpFH022jfblXAXrVLRPhxsTpg0yqPj1s
gOegM6+Tse6CsWrla4IB1BlCGrQ+7F/bu7P+ZRc9RT1o5aPChA4Ioj4LV2kP/qQOMxU3PvgxxBSe
Ph2YTWuuGp7CG6W6d/Nq2w36cv1jSS7nY+rP3rgK8+cCIGsGnmelrsf5kFvfX6kDZGF2rgv23aNA
e/yrapSGEbJ602RzmsABWXmjrCeH/AAAcJanwi27SGTrfk23n+TTtNQdVLszCPJ3on5cHYZ8X8MS
L7KtVFB8hUrUsZa3cQxFR4rB9cJl1ALOXoWjSdFkg8437rUR4yckWdfyHUXaj7p6S3Jzz9wEIitS
DL+2fhSgMlLBznVyZP26tiYcJ0ThMmltWUgRy7G9Si8mz4QsuwKfr66UyimpyG4tsG6eXSlrgVko
/NoDFrtUG/ALHoBIccVKxd83PQvQLMvchsiu+oaMO0ddMyfo11T58UNKxnAswPsUQwnJQj1vI9Yc
34Yic+o7vfD0A8n8oeWRAX10aJsLvtiY8tdPvhgYRs2qjoTHcvmwAi71r4K0apWqYBRxnVV6dPTh
xE2hKJDpuqH5u4heSCmnKa2+dW5RQ0MiXorwMhLgjVrBjSpn7KKiiYGONZ6y8ToPewOU1ZU+2Ybs
u/wPzstcTHjHkMRhdKsAXyB1Qp6tgNHGLpRCQtnMi2EHLucc+707GhS/EhY0x8p6pl1STwzfmYhD
EFRk27qPCD5n9r8OcruJPmvfqVLEfkBKDBjXfYIKJWo1sqj16SsJURHgpGBS/uoonlzZoiuI991h
lIf1NBM1zxqOZF5kO0zki8v7x8nBnlfuwTsACcgY0RvuWItMho45Mbq2ldAQX5xsMuBW3k4cgonx
4UbRC40CWT2GGMc86KMnJgoW9OXfaHL4EExTjzlUvdubCTl2sAuOqWUqIebY9KEkA/DJeQTNDDqc
guFdMMCt96ORjcb1TKm6iz1sqNaI/H/2d4dES6SdxtcYH1kgE6GUVluGab6a1V39Sg5sX1g4T83+
5ewrhLnwPQpHtrHTSj56SnIoOJDkPcVryjRBIKmc9N+4VYIqt1tuUhjwDgEx+tJNfbMwfkpEIZ3L
vOvwr/IKG/Y2+3GXfOPzH/BGi1ICpPuee7S1OxiZWyPUSI3ArBzwau4r+0naUSCkDlKcHTiRdQ7I
R+eGPFtDzeFtgBrJ6lSpwVFblwWHGKLv7iro4BFnUglyZ3HmVkIpwX2wSjh9H1N1XyXaCvdLhUs0
fHn55QH8k04cariwWioIkuelVyGT63xfoGF+H4V4YSfg8w6zZtibpndi0nfyyT8VqLpwek3FDz09
FkppuJ6gMrMctBWR8ucA4ipqxYuazQNgi5kAt2h7YU5FggF96RwB8rrXP91Lfugoo3U5QMlnZCDJ
9H5vZLwKa9qwN9owtP8aA68USNCbnokLshskydc1K76g7I5AzthCxNmfcdjgUPnxGEZU0eHrd1bk
+hkwVHXGYysp3wtv0y8AnqaRJy0UKSCM1ZAIBahHZVOyQHRoKhnOivGcHCMkhI1Sjpw0NzFORPSe
HUWGRPk+cvlY8Dymd+8X5pYCo3uEqcdPdzBl6y1REmiBM4rcWF9T6hXoIQwr1y9jRVLyMU7+qWK9
wpg92cCXCdLFRLCxX1VrCzN42l45j1e97LlN/pyhLgnF4vohqaWJD93SWKG7pX0U+kWmZP45jcOo
iepr/IjIJSQBH5OLKE9pkHWMJHr8JuZsblGroP1jw+xybhpLi8KgAb8KIgxTjK5d70X+RSaSCk5y
UEb0Mk2rhH6Jh4TChWWGLGs1RXHJs0qhP8AzktAUn+MD4wMMJV1lmUwACLWP8p+8spqsKH3M6FBr
zKod2gBiO4MbxCt3SNCYvYAlH6hnYtSzqLxwTLblxM3HvIcVBZkXq1yeEV57m+Wu18F/yaENB43o
B/ezfjrTy3L31QF9XbpW4ETOAMtbHcSvjt73wdsRtAVRef2lFL1FRjyD1LLaIn0rIOmKzxo/ZhuA
2ilnXizEK0futiG5G+piBHV/J1kgWy9/QlGDyiDwQbspcu6Iuy2PGstjS2ml5iHvWFPXgDA2y2gj
RD63azI1Q/rOF9CRfNa1dv28HPXqGJ9RO2mlHHVihEMBeqJ1kv5nqQ0cb+Dw6jigNgHDpjetzlY8
NVdPrk8b0IZHyFYNyvU3i9FIkFYpuH+1dzPpT2USXu1Te+23F9kb3sp/WYiJFMjasIxcAAK+FyBZ
e8o12NsjjzBQhc5vupRafMqYHLMuiBsDZeGrmHRp65GFPXxXyeOWrhoKyMs1QZ7Xuio1x1rpdxjA
r3JPfQeJQWyi+diWSRM4JqjlCZx8EBn8lQXJAu8=
`protect end_protected
|
mit
|
Nic30/hwtHdlParsers
|
hwtHdlParsers/tests/vhdlCodesign/vhdl/minimals/functionBody.vhd
|
1
|
158
|
package body package0 is
function fn0 (param0 : bit_vector)
return bit is
begin
-- function code
end fn0;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/rtl/switch_port/rx/rx_path_lookup_mod.vhd
|
1
|
14503
|
----------------------------------------------------------------------------------
-- Company: TUM CREATE
-- Engineer: Andreas Ettner
--
-- Create Date: 21.11.2013 12:06:03
-- Design Name: rx_path_lookup.vhd
-- Module Name: rx_path_lookup - rtl
-- Project Name: automotive ethernet gateway
-- Target Devices: zynq 7000
-- Tool Versions: vivado 2013.3
--
-- Description:
-- this module handles the frame lookup
-- according to the header input the output ports for each frame are searched for in the lookup memory
-- the lookup memory constists of one base configuration, frame confiugrations and one default configuration
-- - base configuration gives the entry to the frame configuration
-- - frame configurations returns a one-hot-encoded output ports vector for each valid mac destination address
-- and the priority of the frame
-- - for mac address not in the lookup table the default configuration decides whether to skip this frame or
-- to send it to default output ports
-- transmitting the frame on the receiving port is prevented by setting the corresponding bit in the ports vector to '0'
--
-- for more details on the lookup memory and search process see switch_port_rxpath_lookup_memory.svg
-- for more detail on the lookup module see switch_port_rxpath_lookup.svg
--
-- base_address is an internal register pointing to the base configuration; lateron it has to be connected to a processor
-- the housekeeping processor also has to take care of writing all the configurations to the lookup memory
-- thereby, an overflow of the memory address space of the binary list must not happen as no overflow control is
-- implemented in the lookup algorithm
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_unsigned.all;
USE ieee.numeric_std.ALL;
entity rx_path_lookup is
Generic (
DEST_MAC_WIDTH : integer;
NR_PORTS : integer;
PORT_ID : integer;
LOOKUP_MEM_ADDR_WIDTH : integer;
LOOKUP_MEM_DATA_WIDTH : integer;
VLAN_PRIO_WIDTH : integer;
TIMESTAMP_WIDTH : integer;
-- lookup memory address constants
LOWER_ADDR_START : integer := 20;
UPPER_ADDR_START : integer := 40;
DEF_ADDR_START : integer := 0;
ENABLE_START : integer := 63;
PORTS_START : integer := 60;
PRIO_START : integer := 48;
SKIP_FRAME_START : integer := 0;
DEST_MAC_START : integer := 0
);
Port (
clk : in std_logic;
reset : in std_logic;
-- input interface
lookup_in_dest : in std_logic_vector(DEST_MAC_WIDTH-1 downto 0);
lookup_in_vlan_enable : in std_logic;
lookup_in_vlan_prio : in std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0);
lookup_in_valid : in std_logic;
lookup_in_timestamp : in std_logic_vector(TIMESTAMP_WIDTH-1 downto 0);
lookup_in_ready : out std_logic;
-- output interface
lookup_out_ports : out std_logic_vector(NR_PORTS-1 downto 0);
lookup_out_prio : out std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0);
lookup_out_skip : out std_logic;
lookup_out_timestamp : out std_logic_vector(TIMESTAMP_WIDTH-1 downto 0);
lookup_out_valid : out std_logic;
-- lookup memory interface
mem_enable : out std_logic;
mem_addr : out std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
mem_data : in std_logic_vector(LOOKUP_MEM_DATA_WIDTH-1 downto 0)
);
end rx_path_lookup;
architecture rtl of rx_path_lookup is
-- determine the next search address (median) of the binary search
-- upper and lower are the corresponding border memory adresses of the remaining search space
-- return value median = (upper + lower) / 2
function upper_add_lower_by2_f (upper, lower : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0))
return std_logic_vector is
variable x1 : integer;
variable x2 : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH downto 0);
variable x3 : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
begin
x1 := to_integer(unsigned(upper)) + to_integer(unsigned(lower));
x2 := std_logic_vector(to_unsigned(x1,x2'length));
x3 := x2(LOOKUP_MEM_ADDR_WIDTH downto 1);
return x3;
end upper_add_lower_by2_f;
-- lookup state machine
type state is (
IDLE,
READ_BASE,
LOOKUP,
READ_DEFAULT
);
signal cur_state : state;
signal nxt_state : state;
-- state machine signals
signal read_header_sig : std_logic := '0';
signal read_base_sig : std_logic := '0';
signal read_default_sig : std_logic := '0';
signal lookup_valid_sig : std_logic := '0';
signal read_lookup_sig : std_logic := '0';
signal update_sig : std_logic := '0';
signal lower_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal upper_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal median_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
-- process registers
signal dest_mac_reg : std_logic_vector(DEST_MAC_WIDTH-1 downto 0);
signal vlan_enable_reg : std_logic;
signal vlan_prio_reg : std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0);
signal lower_reg : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal upper_reg : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal median_reg : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal default_reg : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal ports_reg : std_logic_vector(NR_PORTS-1 downto 0);
signal prio_reg : std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0);
signal skip_frame_reg : std_logic;
signal timestamp_reg : std_logic_vector(TIMESTAMP_WIDTH-1 downto 0);
-- alias signals for memory read access
signal mem_lower_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal mem_upper_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal mem_default_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
signal mem_lookup_enable_sig : std_logic;
signal mem_ports_sig : std_logic_vector(NR_PORTS-1 downto 0);
signal mem_prio_sig : std_logic_vector(VLAN_PRIO_WIDTH-1 downto 0);
signal mem_skip_frame_sig : std_logic;
signal mem_dest_mac_sig : std_logic_vector(DEST_MAC_WIDTH-1 downto 0);
-- internal registers (to be connected to the outside, e.g. housekeeping processor)
signal base_address : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0) := "000000000";
signal mem_addr_sig : std_logic_vector(LOOKUP_MEM_ADDR_WIDTH-1 downto 0);
begin
-- alias names (signals) for lookup memory output ranges
mem_lower_sig <= mem_data(LOWER_ADDR_START+LOOKUP_MEM_ADDR_WIDTH-1 downto LOWER_ADDR_START);
mem_upper_sig <= mem_data(UPPER_ADDR_START+LOOKUP_MEM_ADDR_WIDTH-1 downto UPPER_ADDR_START);
mem_default_sig <= mem_data(DEF_ADDR_START+LOOKUP_MEM_ADDR_WIDTH-1 downto DEF_ADDR_START);
mem_lookup_enable_sig <= mem_data(ENABLE_START);
mem_ports_sig <= mem_data(PORTS_START+NR_PORTS-1 downto PORTS_START);
mem_prio_sig <= mem_data(PRIO_START+VLAN_PRIO_WIDTH-1 downto PRIO_START);
mem_skip_frame_sig <= mem_data(SKIP_FRAME_START);
mem_dest_mac_sig <= mem_data(DEST_MAC_START+DEST_MAC_WIDTH-1 downto DEST_MAC_START);
-- next state logic
next_state_logic_p : process(clk)
begin
if (clk'event and clk = '1') then
if reset = '1' then
cur_state <= IDLE;
else
cur_state <= nxt_state;
end if;
end if;
end process next_state_logic_p;
-- Decode next state, combinitorial logic
output_logic_p : process(cur_state, lookup_in_valid, mem_lookup_enable_sig, mem_default_sig,
BASE_ADDRESS, median_sig, upper_reg, lower_reg, median_reg, default_reg, dest_mac_reg,
mem_dest_mac_sig, mem_upper_sig, mem_lower_sig)
begin
-- default signal assignments
nxt_state <= IDLE;
read_header_sig <= '0'; -- read_header_p
read_base_sig <= '0'; -- write_internal_registers_p
read_default_sig <= '0'; -- output_reg_p
lookup_valid_sig <= '0'; -- output_valid_p
read_lookup_sig <= '0'; -- output_reg_p
update_sig <= '0'; -- write_internal_registers_p
upper_sig <= (others => '0'); -- upper_add_lower_by2_f
lower_sig <= (others => '0'); -- upper_add_lower_by2_f
-- default output values
mem_enable <= '0';
mem_addr_sig <= (others => '1');
case cur_state is
when IDLE => -- waiting for a new header
if lookup_in_valid = '1' then
nxt_state <= READ_BASE;
read_header_sig <= '1'; -- read_header_p
mem_enable <= '1';
mem_addr_sig <= BASE_ADDRESS;
end if;
when READ_BASE => -- read base address, determine if lookup is enabled
read_base_sig <= '1'; -- internal_reg_p
mem_enable <= '1';
if mem_lookup_enable_sig = '0' then -- lookup disabled, read default configuration
nxt_state <= READ_DEFAULT;
mem_addr_sig <= mem_default_sig;
else -- lookup enabled, search for address in the middle of binary lookup list
nxt_state <= LOOKUP;
upper_sig <= mem_upper_sig; -- upper_add_lower_by2_f
lower_sig <= mem_lower_sig; -- upper_add_lower_by2_f
mem_addr_sig <= median_sig; -- upper_add_lower_by2_f
end if;
when LOOKUP => -- lookup the median memory address and check if the memory mac address matches the frame mac address
if dest_mac_reg = mem_dest_mac_sig then -- MAC ADDRESS found -> Algorithm terminates
nxt_state <= IDLE;
read_lookup_sig <= '1'; -- output_reg_p
lookup_valid_sig <= '1'; -- output_valid_p
elsif upper_reg <= lower_reg then -- MAC ADDRESS not found -> Algorithm terminats with default configuration
nxt_state <= READ_DEFAULT;
mem_addr_sig <= default_reg;
mem_enable <= '1';
else -- MAC ADDRESS not found, Algorithm not terminated yet, continue lookup with decreased search space
update_sig <= '1'; -- internal_reg_p
mem_addr_sig <= median_sig; -- upper_add_lower_by2_f
mem_enable <= '1';
nxt_state <= LOOKUP;
if dest_mac_reg > mem_dest_mac_sig then
upper_sig <= upper_reg; -- upper_add_lower_by2_f
lower_sig <= median_reg + 1; -- upper_add_lower_by2_f
else -- dest_mac_reg < mem_dest_mac_sig
upper_sig <= median_reg - 1; -- upper_add_lower_by2_f
lower_sig <= lower_reg; -- upper_add_lower_by2_f
end if;
end if;
when READ_DEFAULT =>
nxt_state <= IDLE;
read_default_sig <= '1'; -- output_reg_p
lookup_valid_sig <= '1'; -- output_valid_p
end case;
end process;
-- handshake protocol to read header from previous module and store header into internal buffer
read_header_p : process (clk)
begin
if clk'event and clk = '1' then
if reset = '1' then
dest_mac_reg <= (others => '0');
vlan_enable_reg <= '0';
vlan_prio_reg <= (others => '0');
timestamp_reg <= (others => '0');
lookup_in_ready <= '0';
else
dest_mac_reg <= dest_mac_reg;
vlan_enable_reg <= vlan_enable_reg;
vlan_prio_reg <= vlan_prio_reg;
timestamp_reg <= timestamp_reg;
lookup_in_ready <= '0';
if read_header_sig = '1' then
dest_mac_reg <= lookup_in_dest;
vlan_enable_reg <= lookup_in_vlan_enable;
vlan_prio_reg <= lookup_in_vlan_prio;
timestamp_reg <= lookup_in_timestamp;
lookup_in_ready <= '1';
end if;
end if;
end if;
end process;
-- handles access to the internal registers needed for lookup
internal_reg_p : process (clk) -- to be done
begin
if clk'event and clk = '1' then
if reset = '1' then
lower_reg <= (others => '0');
upper_reg <= (others => '0');
default_reg <= (others => '0');
median_reg <= (others => '0');
mem_addr <= (others => '1');
else
lower_reg <= lower_reg;
upper_reg <= upper_reg;
default_reg <= default_reg;
median_reg <= median_reg;
mem_addr <= mem_addr_sig;
if read_base_sig = '1' then -- read inital values from base configuration
lower_reg <= mem_lower_sig;
upper_reg <= mem_upper_sig;
default_reg <= mem_default_sig;
median_reg <= median_sig;
elsif update_sig = '1' then -- update registers according to remaining search space
lower_reg <= lower_sig;
upper_reg <= upper_sig;
median_reg <= median_sig;
end if;
end if;
end if;
end process;
-- assign next memory search address: median address in the remaining address search space
median_sig <= upper_add_lower_by2_f(upper_sig, lower_sig);
-- updates the output value registers (ports, priority and skip)
output_reg_p : process (clk)
begin
if clk'event and clk = '1' then
if reset = '1' then
ports_reg <= (others => '0');
prio_reg <= (others => '0');
skip_frame_reg <= '0';
else
ports_reg <= ports_reg;
prio_reg <= prio_reg;
skip_frame_reg <= skip_frame_reg;
if read_default_sig = '1' then
ports_reg <= mem_ports_sig;
ports_reg(PORT_ID) <= '0';
if lookup_in_vlan_enable = '1' then
prio_reg <= vlan_prio_reg;
else
prio_reg <= mem_prio_sig;
end if;
skip_frame_reg <= mem_skip_frame_sig;
elsif read_lookup_sig = '1' then
ports_reg <= mem_ports_sig;
ports_reg(PORT_ID) <= '0'; -- comment for loopback functionality
if lookup_in_vlan_enable = '1' then
prio_reg <= vlan_prio_reg;
else
prio_reg <= mem_prio_sig;
end if;
skip_frame_reg <= '0';
end if;
end if;
end if;
end process;
-- sets the output valid bit
output_valid_p : process (clk)
begin
if clk'event and clk = '1' then
if reset = '1' then
lookup_out_valid <= '0';
else
lookup_out_valid <= '0';
if lookup_valid_sig = '1' then
lookup_out_valid <= '1';
end if;
end if;
end if;
end process;
-- other outputs
lookup_out_ports <= ports_reg;
lookup_out_prio <= prio_reg;
lookup_out_skip <= skip_frame_reg;
lookup_out_timestamp <= timestamp_reg;
end rtl;
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@client@addr@data_@h@m/_primary.vhd
|
3
|
1234
|
library verilog;
use verilog.vl_types.all;
entity ClientAddrData_HM is
port(
HCLK : in vl_logic;
HRESETN : in vl_logic;
ahbMode : in vl_logic;
mergedWrite : in vl_logic;
mergedHsize : in vl_logic_vector(1 downto 0);
mergedHmastlock : in vl_logic;
mergedAddr : in vl_logic_vector(19 downto 0);
regAddr : in vl_logic_vector(19 downto 0);
regWrite : in vl_logic;
hAddrPhAck : in vl_logic;
hDataClkEn : in vl_logic;
pAddrPhAck : in vl_logic;
pDataClkEn : in vl_logic;
F_HM_HMASTLOCK : out vl_logic;
F_HM_HWRITE : out vl_logic;
F_HM_HSIZE : out vl_logic_vector(1 downto 0);
F_HM_PWRITE : out vl_logic;
F_HM_ADDR : out vl_logic_vector(19 downto 0);
F_HM_WDATA : out vl_logic_vector(31 downto 0);
F_HM_RDATA : in vl_logic_vector(31 downto 0);
DS_HM_HRDATA : out vl_logic_vector(31 downto 0);
DS_HM_HWDATA : in vl_logic_vector(31 downto 0)
);
end ClientAddrData_HM;
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@p@p@e_@d@p@r@a@m/_primary.vhd
|
3
|
1382
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_PPE_DPRAM is
port(
CLKA : in vl_logic;
CSBA : in vl_logic;
RWBA : in vl_logic;
AA : in vl_logic_vector(8 downto 0);
DIA : in vl_logic_vector(31 downto 0);
DOA : out vl_logic_vector(31 downto 0);
CLKB : in vl_logic;
CSBB : in vl_logic;
RWBB : in vl_logic;
AB : in vl_logic_vector(8 downto 0);
DIB : in vl_logic_vector(31 downto 0);
DOB : out vl_logic_vector(31 downto 0);
TEST_MODE : in vl_logic;
RB_TEST : in vl_logic;
RB_CSBA : in vl_logic;
RB_CSBB : in vl_logic;
RB_RWBA : in vl_logic;
RB_RWBB : in vl_logic;
RB_ADA : in vl_logic_vector(8 downto 0);
RB_ADB : in vl_logic_vector(8 downto 0);
RB_WDA : in vl_logic_vector(31 downto 0);
RB_WDB : in vl_logic_vector(31 downto 0);
RB_RDA : out vl_logic_vector(31 downto 0);
RB_RDB : out vl_logic_vector(31 downto 0)
);
end F2DSS_ACE_PPE_DPRAM;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/fifo_generator_top_bi_sim.vhd
|
5
|
28804
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS
gVbA/wQbbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH
rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd
xmvW8RxkilgDEPPOaOA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj
UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52
SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox
i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs
yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai
hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ
nsTaa5FlupaEiYpmisU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi
eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO
jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5
CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7
X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584)
`protect data_block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`protect end_protected
|
mit
|
camsoupa/cc3000
|
cc3000fpga/component/Actel/SmartFusionMSS/MSS/2.5.106/mti/user_verilog/MSS_BFM_LIB/@f2@d@s@s_@a@c@e_@p@p@e_@a@d@d@e@r/_primary.vhd
|
3
|
561
|
library verilog;
use verilog.vl_types.all;
entity F2DSS_ACE_PPE_ADDER is
port(
a : in vl_logic_vector(31 downto 0);
b : in vl_logic_vector(31 downto 0);
ci : in vl_logic;
pos_sat_en : in vl_logic;
neg_sat_en : in vl_logic;
s : out vl_logic_vector(31 downto 0);
co : out vl_logic;
pos_sat : out vl_logic;
neg_sat : out vl_logic
);
end F2DSS_ACE_PPE_ADDER;
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/common/shft_ram.vhd
|
5
|
17157
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpyGJnakVe4eFwHNRrtXIa+RCuZaQ0qVqBUYSuXeBLDMviHSfY1mCzj/qJyuFPr2ICIcOEezrjcn
MbxPF9P92A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jh3yASIkwwgzr/apUc9g+Ktqdivh6HjK+JJCNFA2kSkiPvq8guq3/lsaC7GbKg/5NEvb213QTPY0
NpnAUClY6RR2ov3dH9dPPxvGfehp/hqLFIhJOYWxw1bD77ybU6+oK/D52y4OgeSVwoBtJtFGk9LD
dfixFhvGx8OVLViTKeg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
e975i3NxLBk1BMUUzRrkJfAcGoFyeKj+kFcMDH4mZzj0scrvT67hwn0PGdz8+dRVgR8fryKRATqg
aifkssK2giAkTb3yC1DuvJXSC3AMsnhiNS6Sy8VGya+H8Bljws4X+pe1HtzRRzuo+0rDBp22TIQi
L0/bFyYfTyP7D6ejN889ssZ2/ukbljoPx1swOnsUnlxwsA8pqFSO6w97kxPckife2KPcjnAYZKSU
s4W6RSxmY3lLSujk7q8mVCUbCP1kVFLkUivj8loLW6v/CVnYKpSBxfQEmL6B4Jzhk+hYUH4xvVcV
+Q8Id5Gmb0eK0HvEUZnAJBDVNYTP+x+PuG7rxA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GxU0Y2bsjWBVg8rJOCuktP7NuoOJzJE6OTkZud4AWKxcgnLEEMnRhCG8SWAdDCPdb1vihKYfJYeJ
3qphKALSH1b6XZb8LOuupIWTPWQHc2F7ulrntFyBl8mtoue6PiuP9Bt19FcvG1Mij7xJoPizusC3
Ih2ZyJBgc56RetQuSlE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
G9RdG+dSoKCowy96fI0Y1/YdHwx3EZI9yTRj0mubLcYX1iSS9rpZZAWKkieTVuUf0caFzaiVIGD1
y8NAE+jmLKN/4V9+YYN5OLIwF9g5htPiyQ4UHRayQbf30FZFpgrKnFu5Dr48ff4Q0hOmRiimlY9V
5+vQ2b4zLIcjVk4c2jSrH/7hBeIz3lPFOa5NrWkqtd3sIsClvKV4ensnHUtfe8Bi5gjqGZyfYL7F
tb5zR/KJ1O8GPUts5kfYLnfbWvNb0tPf89r9SQRwbOy7Kr3172Ie4WNFmAN+V45aq6LKHt8mJRzb
sGb0DHhBkeZfnxSgW6BV6WX8CrYlM1Fweo7XMA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10960)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_0/fifo_generator_v12_0/hdl/ramfifo/axi_reg_slice.vhd
|
5
|
17522
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WjFxRci1wyJltN+N7KF5bUTxa3fUEk1KgggcUGhS/jvCTgYc3h+1Mur1VM5UHug5shjI/9Jstyn7
06WLOEG2ag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EjtjKcJaN0zYrzSv29bqzb9YZV58+fu8IVCeZxvn8LH7vAAdZv4w3fmaSwVZtJSjY/xhvOjcnq83
bn94R6wsy+KVKeiZqH5HEp5FMqq1RCsSKc/6zpGuu0MzG40ZLOXfpNWXQPKUVEqnJYpSsl2+AXKM
ssJcnXF1WJvc84SEnjo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JD8vFM3HJP5VGcXm+p27FhOtTXbpjhKwYM5Sgie1kW6nnGKMenZlHZcCICzDXfParSRPxijhzhPV
rvDwRFWrRRUPyrwf0cvaveae8AK3uxQHbuwtO9Dr2Kzt7s+E82qkoEvUzGLQydiu2EJnhQsELgkD
4V2ceO3F2vZWq+yI1BXyp1PsDKDdU1DDPrBkPBGE/lDKebzuYqJf3viOvN0LmWNJu3C+PJX6ckE1
DOqiAw/ry+1mp6E0woM2YNc0wEWVcXTpdKRgBthQNCV/ZMC2fqCcm0WT7QZI5GgpzUE/LHihHo+r
heEJXdK00dbsrGeV6BH/6jf+C03bEJQjg1WGow==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GDpWUJqvxrIUtJfwOZuSj/RExwivhLCWlU0BePY1+GiMdEFhNy+YYNJHH2u5JpYuMdw0OA/ALnWs
VgMqiYbUucksPlCSKfZioklE63SpKyh/w6yMbBQsfUj0wt/AtT1ZXO1ZTUx3ZshixYXHClinkegF
7ZtggMbQLEh1kJh7TLc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bwzUeNxrSpyp1eAMa7QGW/KdVyK7zkJRsJ53OyODN3XAlPTApsm4pI+e+n1ZSJzR++oHih4kXMlf
/5fKNZaPBn3jt6MpSx+CDm5KhFZHsfnjBEU6PRJCCfCHz7s9xEZ/9I0wxa1Dm97GieeUh5V3MLcA
a/FEAsCvD7RNXoy0Xc3rNhFHpwOAw50pRksqLSwze+9HL7dcPiVx+3G7u1pD9nrB+JbNm/Npx7Qs
PkwLjZjQAdQXTzAIRdxdIq3DqLiEdCK4QdAdEcbJG51ogZCSF2JuA/qy0c0TURfn3eYrpqL3me1c
HWCT3wy4empHUWAxXJ7eVxbka21guszGt83yhA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_2/fifo_generator_v12_0/hdl/ramfifo/axi_reg_slice.vhd
|
5
|
17522
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
WjFxRci1wyJltN+N7KF5bUTxa3fUEk1KgggcUGhS/jvCTgYc3h+1Mur1VM5UHug5shjI/9Jstyn7
06WLOEG2ag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EjtjKcJaN0zYrzSv29bqzb9YZV58+fu8IVCeZxvn8LH7vAAdZv4w3fmaSwVZtJSjY/xhvOjcnq83
bn94R6wsy+KVKeiZqH5HEp5FMqq1RCsSKc/6zpGuu0MzG40ZLOXfpNWXQPKUVEqnJYpSsl2+AXKM
ssJcnXF1WJvc84SEnjo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
JD8vFM3HJP5VGcXm+p27FhOtTXbpjhKwYM5Sgie1kW6nnGKMenZlHZcCICzDXfParSRPxijhzhPV
rvDwRFWrRRUPyrwf0cvaveae8AK3uxQHbuwtO9Dr2Kzt7s+E82qkoEvUzGLQydiu2EJnhQsELgkD
4V2ceO3F2vZWq+yI1BXyp1PsDKDdU1DDPrBkPBGE/lDKebzuYqJf3viOvN0LmWNJu3C+PJX6ckE1
DOqiAw/ry+1mp6E0woM2YNc0wEWVcXTpdKRgBthQNCV/ZMC2fqCcm0WT7QZI5GgpzUE/LHihHo+r
heEJXdK00dbsrGeV6BH/6jf+C03bEJQjg1WGow==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GDpWUJqvxrIUtJfwOZuSj/RExwivhLCWlU0BePY1+GiMdEFhNy+YYNJHH2u5JpYuMdw0OA/ALnWs
VgMqiYbUucksPlCSKfZioklE63SpKyh/w6yMbBQsfUj0wt/AtT1ZXO1ZTUx3ZshixYXHClinkegF
7ZtggMbQLEh1kJh7TLc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bwzUeNxrSpyp1eAMa7QGW/KdVyK7zkJRsJ53OyODN3XAlPTApsm4pI+e+n1ZSJzR++oHih4kXMlf
/5fKNZaPBn3jt6MpSx+CDm5KhFZHsfnjBEU6PRJCCfCHz7s9xEZ/9I0wxa1Dm97GieeUh5V3MLcA
a/FEAsCvD7RNXoy0Xc3rNhFHpwOAw50pRksqLSwze+9HL7dcPiVx+3G7u1pD9nrB+JbNm/Npx7Qs
PkwLjZjQAdQXTzAIRdxdIq3DqLiEdCK4QdAdEcbJG51ogZCSF2JuA/qy0c0TURfn3eYrpqL3me1c
HWCT3wy4empHUWAxXJ7eVxbka21guszGt83yhA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_1/fifo_generator_v12_0/hdl/fifo_generator_v12_0_synth.vhd
|
5
|
240450
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UqQ92sq8O2PLALfIchCzTd6pHPDxVs7seWV6ITsyVFBQkI6zQzhhuRIlQSC4EHKO3hrtX4/o7tcs
8DDz+334Ag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RoH55dYloOZl6VE3Y2nDIHNy4u5JHTyiYK70GCJNZstc+DC9a8BEmzpBUoB5+tTyr/05laUf89mE
nE4lRyeQcZ5EhNrwrXwJTsIRGNJz7vEACNqSS7avZ0QecPLBvJCIkz57aArIA9KcmaFZXJfR7vis
CWVWJM6VVnDt1OHXCkM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
piEMpctTyEx02UiAycYfJGhYavnnsd4pZ3ocHKiZcuUhRc4LHifDtzUXassxXTpApuE0ZGfEGdY7
QSYgGCMeqge7b4ox2pjeYLcwRElo7qo6VldegQ41b6VnKDRry0HvBp7wCwmrRtkNUdBwlG9o7z2I
N5YreBs98H/45gGlYk6tHdxezA/BjvxLuXqNF5R1WY84GlX/qU6PIg2KYwNWbTeGmMIg/tZOK/QM
AUmO/xECw7LlIvNT3v3h9MYxFFcdJPsGO2Wq0/FuvfisPSHCCQnj+gL3dq36UTFXt2YoShhou13M
RLM0MCd7ND65ZXTNBc3mkDW1+meuy9pMG9aa7Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aSlX2m6QyIrEOjvZWkr6dta4tIZxWbcqaeLQLv7goHlC9LPk4Wxc2zvmCBJszk8nhmoTaVCC0/B0
UEEcGAZ+gVOlseZG+QHhypFSEs/0zIuY4Aw2mhpT5eGI3bbiVeiFNaGgNk7PSkDoS46B2irLCbU7
QCTDpq0mnfWihVTfnM8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iuO9eQSHI8OkvExftT6Tj9X+QURRea7ZPQpfNhgQ6myjm8HE2RSdLbcjiVfeSEcK+nAne1OHs59h
tT1ycWmryfQDTS6TNJNK4axCqHfPFZ6ZPgGeFA46QjQ2P3GkGPQQJEUqybAD5hW+vTACr3kIqop1
gtkBXtDqnqv2esU9CVq64jJplO5TMBMWlUv/HskBH5CRPlr0iNXue2y5iqTY0LWexi3o0kvdTMs3
W4V2f+TZc5qz89AqskRUbfSgq2HAucVLtYLe7ak0fuMG3pn+e7hx6pqCVsaw3ovu7tFgzo73rKii
rXgA8qNfVgyiZ2g1mK4/SSRSRqQqyTxBJ6ToKA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 176256)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/blk_mem_gen_2/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
|
11
|
19921
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
maPvl9UcQIXB7Dt0/Du7rmaEhkJs8Kra0+gGs0DJ+Udci22VN0hKj2v1ClDXftYzfDw5OZNsMBzA
CdcWjA59MA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Mj/yVYoKfTlS9Fs1l4FDAeHWtjrinclJCnOydiP6iGWrG8GH/4ni5qHXF7a1dwAgYBrPbhM4Z2tT
XyM9crnlGmV1p2DJKkdar91DlKXbVFEGKQLqxnvvCTnRkNaOMoG+rlULDhmaMDMvmRxh+8tw2M40
1za/g4BXzsDB5iF42Xw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UZB7T1GVHARV3upN0hardeFXwiATAM6i0qchKfIvGXgdcD28P+qkPUkEXS41c0titPluLdGSwZUe
y0AXOJTw/6vCUxrHvRMcErOveQLhLePIJhO2EkYGirpR2fgMV+SK5FHEAAfOTuYmw+oZc4giXEEY
VsrXDol4awmrD7A4GSce+K9yt2HRmKXH59u2inmVu7r6shfr1h3R+am0Epl7aIaqAbkY0Ng6avsn
wHGJ32G1Asao0eSnyxUXyUik3mOmuiCKTu7RghEphHuefoXwTt8Yt4SdT2mSZmYsjz1WpjoV4L5u
lfXFFP0vkCxPwc605xloTY0rKLRltpIPQWKq+A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n7D8zZePoA4bijdSCd3owbHZwgXC0fsqk7FzmqDgN3je7hGvKGAkDQlJv4gtO64L/rUE2qNTnLS1
WBMdtV5y956RrGxLKjDQbj34oHqYybdaCFs5S+qqTqZF2uSbESEb9CGOUv+jQxsqVZjP1K0BWaJB
GTcVIa7g4A7Uxruq4e0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CNGEITwolhW5MFruCbG5o3vaYmdFFXEZ3sAb3zOvh8tFpD8oB6IFnXva8qN/CGhY7Mzz+ukgWIAf
sWZk/J4zIHnipOqXgbPFoBvCvgauQvac2NvBvjb+dmsxzyTF0tZlr95JTI9Btt0bJMOH1nQCdFbu
tNdQFEmqIkKL1bPiNpbLSr3cLONBoiN9iFHWOWMIR8ygBt+8ssWjuUznXkVLial1HfZJ9yVJlC04
tv2aLCh91+OWMc+8hmxXOU+/dBHQKTjJiXpZ8vt9Sc5v2NyAfEJNUXG2TEL86WNQiUMbdK0OiQ6q
8in7tFDFlUTKsULz5YHgcDhQGflwA5WNE364+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13008)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_gen_getinit_pkg.vhd
|
11
|
62911
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HMtBu4FUyLzOdvXt8XhD8UkDVJGw2ywmMdYA9VEIa7qfttBQA4LzKjOcnIQxB2XlOCp6Sgmn4eUf
ZvWGjdLzNg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oBWCdzLMDkwxP24pHggH/MdGM6OaZ2X+xNiyzZDYcuy1xhIuUsROmz7lguwjKKIoJZ2SKCtlOBky
sPiaX439nkETncTP0ztk2qIYugAYsiXErWAWg2KGb5pk2uxrGSbSSG6wwjVHDKGTS7GO0Qkq/VeF
M19woCOORe7vSS2bBu8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ykx5Zi9LWy14SITVFA1GVou21vCBp6Z4mp+JC7ZcURn4TC3dU0npNxKIujU9KLmWBmK+HMbxVzKg
RE7YM3bI+E1m2hLE/upWQWFovjnkAP0DtUF80qPA82NaPyEMEbXJMt2MvVTUWZoywvnVkCcMHVTc
SZ6FQyY3nh0xaC6V6inTc1akQ5pub8hoyryMuyt8F6JJtfU1JJVAijJGcPgYs8XvWnQV+pwcy3O6
DJK58pBg0KgPhB6COOiwbtTgs33CgJNK7uOLfduTFuw6pV2QnKK5lEG0rkzD6Ra0cUtAa0PbpBtL
4UkY+auKpxOCCQwa6CaSiXvLR0NBVa7WJkkUIQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jpJ9aLSNo+AY6AO+kzhV24u/NDhxjvQWp0l7CjPnGOqtuRqWMrvZJnKzDI/ektPMRCDMcNLVcOZh
OmDFqNES7PCyxJayIovb1sHL+5Fo2JbanmfppI5/HAHl2DbHn6Ta9egJ+dZ3DgxYvalh+M7Pw52t
d1+7UnNGeC9fshabcPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MLiUrcsKmBFvNxNKSKHy/LFQt+IXSuCwj/MBJgcVntzVU+FTrasCY6ppQHQkDViDaWB78UWYvPD0
aHNdIxoHpK2PcWPjbHJ5l63CIkERjRhm4/Scf4M2mIeOkvFiA53nah5pzxpYyBZNuYvRY+sQkN8d
FnERQsuPhk9vfBQSx/ZlzI6g8yuM/VbbAeQM2kBSF+Ehbt+EaJvMyOgrSVLVIwuBfNNA+uKh5xtj
X0QrspcXIq/6V8t8N+rHQIlLLHGmUkh0ZdheJLGpyctVZ5c/HGBA4EyPrLokqw8ndDWj06ACfLWy
4CdGa/iqiOwDfHSGckQMNAeBrEQOvAWmQXTUpg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 44832)
`protect data_block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`protect end_protected
|
mit
|
PhilippMundhenk/AutomotiveEthernetSwitch
|
aes_zc702/aes_xc702.srcs/sources_1/ip/fifo_generator_3/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_wrapper.vhd
|
11
|
57813
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
nCvZTB6v6PTjPS0o+JcKDNAhBR8J5MXtZrwVnjz6aVUHiESzLvsz/MO3Vj1n5CWdMBmba5Vq2T8o
ecEWLFVYYg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fEepP/mLaLUAPmpxg08CO2fXfX3BpMKxupatPdTJFRP33reGY7q8putFqYyN0IGzjKbIaFz5Bk9T
6txdG8LggeRYG0RLCLWqVIrnST+yLGDDMCM20vwFcsLK+v5CipKSAb5Z1X6yr/upuusU60mUrEI+
GhOHzu/yCKzPGhWSK5M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TWogJ391sOUOiYPV8C0VmfH7Lt2OoFDB1ANIEqjQdK7RlTImx+9cfN0ASLrc1bgQO0Fa4GhJ8GaJ
kH5SNZ0UqTITV1dcoRqYnL2eco0e36ymxVIqXR9HugA4E4j6uLcwSCE6Cj1ehLRqf/ufvmn5X9VB
VSOceS41giDc1Mz7JeJ9o8uzSMDO3sl0O2bIwk8PUP32+Il2ZbMIvS9jX72s3mNc2texJHNnq0/U
bqSbDXjDFbqFiQSJDKYpLDZXVhCPDJY0HUvk88rfiZIqQoRkG6okTsYXNZNNjr5lHOAB6HzeIg/D
Wnsp2WsHWf71gIzfjdelQypdZ4NydJ0IEzLu8w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kcKntLIZHtCuGToIf0ZKpe2A5vIZ+qu+NfNs4HGHMxr2sSxeF7dOrX4CTntdzlZP8azlUvyxQTLS
Y9oKyb/Pj4M6IHUiOjIAdmmuUYFNhRjZ01bhok6rmcXtKaz2biqTfNOK6LSCBPUtWC2YbDC9rhu/
jvbe1v+EwAQpQpabsYo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LeMeViogrUwPr003VGu0u2/vn+NkJ8KNRR2p6ViPls/q5q1w9fjiAN//jia9+xH0QRZsrOxmE4sT
mlzIu2VTOCsqmeZE4bSH8wDv+4XUIN7PA7qBqC1jPZSpSYkaBRrvcqhhNBZrLe6EPInLYPGs4i+d
w+MKX2xWu/JSlJpPAv0YuJSExBDRHco8qjiE2kJNrBWRLc5i1BztcWTxQXcvt1S9sWNFIbU3A0br
4lBphESfaxDDWYrtvRe7MB5byApf968RkN0riH2z2os381wlDOaDZyzckOM3qQ+flbAhhKRCNRVO
dr9MaUYcdsLuLJS/f/jWAJI2hxcaGIkPqsE+5Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056)
`protect data_block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`protect end_protected
|
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/bb7c21cce22cede6/ila_0_sim_netlist.vhdl
|
1
|
4948509
| null |
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/1c687287191d4ca1/dbg_ila_stub.vhdl
|
1
|
3025
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016
-- Date : Tue Oct 31 15:07:48 2017
-- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dbg_ila_stub.vhdl
-- Design : dbg_ila
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7k325tffg676-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
clk : in STD_LOGIC;
probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe2 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe3 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe4 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe5 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe6 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe7 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe8 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe9 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe10 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe11 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe12 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe13 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe14 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe15 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe16 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe17 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe18 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe19 : in STD_LOGIC_VECTOR ( 8 downto 0 );
probe20 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe21 : in STD_LOGIC_VECTOR ( 2 downto 0 );
probe22 : in STD_LOGIC_VECTOR ( 2 downto 0 );
probe23 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe24 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe25 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe26 : in STD_LOGIC_VECTOR ( 3 downto 0 );
probe27 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[63:0],probe8[0:0],probe9[0:0],probe10[0:0],probe11[0:0],probe12[63:0],probe13[0:0],probe14[0:0],probe15[0:0],probe16[0:0],probe17[0:0],probe18[0:0],probe19[8:0],probe20[7:0],probe21[2:0],probe22[2:0],probe23[0:0],probe24[0:0],probe25[7:0],probe26[3:0],probe27[0:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3";
begin
end;
|
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/8a453cb79bc1df52/dbg_ila_stub.vhdl
|
1
|
3209
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016
-- Date : Wed Nov 01 12:03:22 2017
-- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ dbg_ila_stub.vhdl
-- Design : dbg_ila
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7k325tffg676-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
clk : in STD_LOGIC;
probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe2 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe3 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe4 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe5 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe6 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe7 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe8 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe9 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe10 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe11 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe12 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe13 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe14 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe15 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe16 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe17 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe18 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe19 : in STD_LOGIC_VECTOR ( 8 downto 0 );
probe20 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe21 : in STD_LOGIC_VECTOR ( 2 downto 0 );
probe22 : in STD_LOGIC_VECTOR ( 2 downto 0 );
probe23 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe24 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe25 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe26 : in STD_LOGIC_VECTOR ( 3 downto 0 );
probe27 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe28 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe29 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe30 : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[63:0],probe8[0:0],probe9[0:0],probe10[0:0],probe11[0:0],probe12[63:0],probe13[0:0],probe14[0:0],probe15[0:0],probe16[0:0],probe17[0:0],probe18[0:0],probe19[8:0],probe20[7:0],probe21[2:0],probe22[2:0],probe23[0:0],probe24[0:0],probe25[7:0],probe26[3:0],probe27[0:0],probe28[0:0],probe29[0:0],probe30[7:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3";
begin
end;
|
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/1fbc3bf5a77a343d/dbg_ila_sim_netlist.vhdl
|
1
|
5022635
| null |
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/848354e54845ca3d/dbg_ila_sim_netlist.vhdl
|
1
|
3242916
| null |
mit
|
bwootton/Dator
|
manage_ui/static/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
superboy0712/MIPS
|
testbench/MIPS_ALU_tb.vhd
|
1
|
4653
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:20:40 10/16/2014
-- Design Name:
-- Module Name: D:/Documents/Xilinx Projects/multi_cycle_cpu/MIPS_ALU_tb.vhd
-- Project Name: multi_cycle_cpu
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: alu
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY MIPS_ALU_tb IS
END MIPS_ALU_tb;
ARCHITECTURE behavior OF MIPS_ALU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT alu
PORT(
alu_ctrl : IN std_logic_vector(3 downto 0);
alu_src1 : IN std_logic_vector(31 downto 0);
alu_src2 : IN std_logic_vector(31 downto 0);
alu_zero : OUT std_logic;
alu_result : OUT std_logic_vector(31 downto 0);
alu_carry : OUT std_logic
);
END COMPONENT;
signal clock : std_logic;
--Inputs
signal alu_ctrl : std_logic_vector(3 downto 0) := (others => '0');
signal alu_src1 : std_logic_vector(31 downto 0) := (others => '0');
signal alu_src2 : std_logic_vector(31 downto 0) := (others => '0');
--Outputs
signal alu_zero : std_logic;
signal alu_result : std_logic_vector(31 downto 0);
signal alu_carry : std_logic;
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
constant clock_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: alu PORT MAP (
alu_ctrl => alu_ctrl,
alu_src1 => alu_src1,
alu_src2 => alu_src2,
alu_zero => alu_zero,
alu_result => alu_result,
alu_carry => alu_carry
);
-- Clock process definitions
clock_process :process
begin
clock <= '0';
wait for clock_period/2;
clock <= '1';
wait for clock_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clock_period*10;
-- insert stimulus here
--test add
alu_src1 <= X"f0f0f0f0";
alu_src2 <= X"0f0f0f0f";
alu_ctrl <= "0000";
wait for 10 ns;
assert alu_result = X"00000000" report "and failed" severity error;
-- test or
wait for 10 ns;
alu_src1 <= X"f0f0f0f0";
alu_src2 <= X"0f0f0f0f";
alu_ctrl <= "0001";
wait for 10 ns;
assert alu_result = X"ffffffff" report "or failed" severity error;
-- test add
wait for 10 ns;
alu_src1 <= std_logic_vector(to_signed(1234567,32));
alu_src2 <= std_logic_vector(to_signed(7654321,32));
alu_ctrl <= "0010";
wait for 10 ns;
assert alu_result = std_logic_vector(to_signed(8888888,32)) report "add failed" severity error;
-- test sub
wait for 10 ns;
alu_src1 <= std_logic_vector(to_signed(7777777,32));
alu_src2 <= std_logic_vector(to_signed(4444444,32));
alu_ctrl <= "0110";
wait for 10 ns;
assert alu_result = std_logic_vector(to_signed(3333333,32)) report "sub failed" severity error;
-- test sub2
wait for 10 ns;
alu_src1 <= std_logic_vector(to_signed(4444444,32));
alu_src2 <= std_logic_vector(to_signed(7777777,32));
alu_ctrl <= "0110";
wait for 10 ns;
assert alu_result = std_logic_vector(to_signed(-3333333,32)) report "sub2 failed" severity error;
-- test slt
wait for 10 ns;
alu_src1 <= std_logic_vector(to_signed(4444444,32));
alu_src2 <= std_logic_vector(to_signed(7777777,32));
alu_ctrl <= "0111";
wait for 10 ns;
assert alu_result = x"00000001" report "slt failed" severity error;
-- test nor
wait for 10 ns;
alu_src1 <= X"00000000";
alu_src2 <= X"00000000";
alu_ctrl <= "1100";
wait for 10 ns;
assert alu_result = x"ffffffff" report "nor failed" severity error;
wait;
end process;
END;
|
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/a27f5e107e0af35c/ila_0_stub.vhdl
|
1
|
2653
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016
-- Date : Fri Sep 22 13:30:12 2017
-- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ ila_0_stub.vhdl
-- Design : ila_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7k325tffg676-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
clk : in STD_LOGIC;
probe0 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe1 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe2 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe3 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe4 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe5 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe6 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe7 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe8 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe9 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe10 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe11 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe12 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe13 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe14 : in STD_LOGIC_VECTOR ( 63 downto 0 );
probe15 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe16 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe17 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe18 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe19 : in STD_LOGIC_VECTOR ( 0 to 0 );
probe20 : in STD_LOGIC_VECTOR ( 7 downto 0 );
probe21 : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk,probe0[63:0],probe1[63:0],probe2[0:0],probe3[0:0],probe4[0:0],probe5[0:0],probe6[0:0],probe7[7:0],probe8[63:0],probe9[0:0],probe10[0:0],probe11[0:0],probe12[0:0],probe13[7:0],probe14[63:0],probe15[0:0],probe16[0:0],probe17[0:0],probe18[0:0],probe19[0:0],probe20[7:0],probe21[7:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "ila,Vivado 2016.3";
begin
end;
|
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/c5918a3d400116a5/ila_0_sim_netlist.vhdl
|
1
|
4818659
| null |
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/83ee88fd74348eb5/srio_gen2_0_stub.vhdl
|
1
|
6142
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.3 (win64) Build 1682563 Mon Oct 10 19:07:27 MDT 2016
-- Date : Mon Sep 18 12:17:34 2017
-- Host : vldmr-PC running 64-bit Service Pack 1 (build 7601)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ srio_gen2_0_stub.vhdl
-- Design : srio_gen2_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7k160tffg676-2
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
sys_clkp : in STD_LOGIC;
sys_clkn : in STD_LOGIC;
sys_rst : in STD_LOGIC;
log_clk_out : out STD_LOGIC;
phy_clk_out : out STD_LOGIC;
gt_clk_out : out STD_LOGIC;
gt_pcs_clk_out : out STD_LOGIC;
drpclk_out : out STD_LOGIC;
refclk_out : out STD_LOGIC;
clk_lock_out : out STD_LOGIC;
cfg_rst_out : out STD_LOGIC;
log_rst_out : out STD_LOGIC;
buf_rst_out : out STD_LOGIC;
phy_rst_out : out STD_LOGIC;
gt_pcs_rst_out : out STD_LOGIC;
gt0_qpll_clk_out : out STD_LOGIC;
gt0_qpll_out_refclk_out : out STD_LOGIC;
srio_rxn0 : in STD_LOGIC;
srio_rxp0 : in STD_LOGIC;
srio_txn0 : out STD_LOGIC;
srio_txp0 : out STD_LOGIC;
s_axis_iotx_tvalid : in STD_LOGIC;
s_axis_iotx_tready : out STD_LOGIC;
s_axis_iotx_tlast : in STD_LOGIC;
s_axis_iotx_tdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axis_iotx_tkeep : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_iotx_tuser : in STD_LOGIC_VECTOR ( 31 downto 0 );
m_axis_iorx_tvalid : out STD_LOGIC;
m_axis_iorx_tready : in STD_LOGIC;
m_axis_iorx_tlast : out STD_LOGIC;
m_axis_iorx_tdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axis_iorx_tkeep : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_iorx_tuser : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_maintr_rst : in STD_LOGIC;
s_axi_maintr_awvalid : in STD_LOGIC;
s_axi_maintr_awready : out STD_LOGIC;
s_axi_maintr_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_maintr_wvalid : in STD_LOGIC;
s_axi_maintr_wready : out STD_LOGIC;
s_axi_maintr_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_maintr_bvalid : out STD_LOGIC;
s_axi_maintr_bready : in STD_LOGIC;
s_axi_maintr_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_maintr_arvalid : in STD_LOGIC;
s_axi_maintr_arready : out STD_LOGIC;
s_axi_maintr_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_maintr_rvalid : out STD_LOGIC;
s_axi_maintr_rready : in STD_LOGIC;
s_axi_maintr_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_maintr_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
sim_train_en : in STD_LOGIC;
force_reinit : in STD_LOGIC;
phy_mce : in STD_LOGIC;
phy_link_reset : in STD_LOGIC;
phy_rcvd_mce : out STD_LOGIC;
phy_rcvd_link_reset : out STD_LOGIC;
phy_debug : out STD_LOGIC_VECTOR ( 223 downto 0 );
gtrx_disperr_or : out STD_LOGIC;
gtrx_notintable_or : out STD_LOGIC;
port_error : out STD_LOGIC;
port_timeout : out STD_LOGIC_VECTOR ( 23 downto 0 );
srio_host : out STD_LOGIC;
port_decode_error : out STD_LOGIC;
deviceid : out STD_LOGIC_VECTOR ( 15 downto 0 );
idle2_selected : out STD_LOGIC;
phy_lcl_master_enable_out : out STD_LOGIC;
buf_lcl_response_only_out : out STD_LOGIC;
buf_lcl_tx_flow_control_out : out STD_LOGIC;
buf_lcl_phy_buf_stat_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
phy_lcl_phy_next_fm_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
phy_lcl_phy_last_ack_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
phy_lcl_phy_rewind_out : out STD_LOGIC;
phy_lcl_phy_rcvd_buf_stat_out : out STD_LOGIC_VECTOR ( 5 downto 0 );
phy_lcl_maint_only_out : out STD_LOGIC;
port_initialized : out STD_LOGIC;
link_initialized : out STD_LOGIC;
idle_selected : out STD_LOGIC;
mode_1x : out STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "sys_clkp,sys_clkn,sys_rst,log_clk_out,phy_clk_out,gt_clk_out,gt_pcs_clk_out,drpclk_out,refclk_out,clk_lock_out,cfg_rst_out,log_rst_out,buf_rst_out,phy_rst_out,gt_pcs_rst_out,gt0_qpll_clk_out,gt0_qpll_out_refclk_out,srio_rxn0,srio_rxp0,srio_txn0,srio_txp0,s_axis_iotx_tvalid,s_axis_iotx_tready,s_axis_iotx_tlast,s_axis_iotx_tdata[63:0],s_axis_iotx_tkeep[7:0],s_axis_iotx_tuser[31:0],m_axis_iorx_tvalid,m_axis_iorx_tready,m_axis_iorx_tlast,m_axis_iorx_tdata[63:0],m_axis_iorx_tkeep[7:0],m_axis_iorx_tuser[31:0],s_axi_maintr_rst,s_axi_maintr_awvalid,s_axi_maintr_awready,s_axi_maintr_awaddr[31:0],s_axi_maintr_wvalid,s_axi_maintr_wready,s_axi_maintr_wdata[31:0],s_axi_maintr_bvalid,s_axi_maintr_bready,s_axi_maintr_bresp[1:0],s_axi_maintr_arvalid,s_axi_maintr_arready,s_axi_maintr_araddr[31:0],s_axi_maintr_rvalid,s_axi_maintr_rready,s_axi_maintr_rdata[31:0],s_axi_maintr_rresp[1:0],sim_train_en,force_reinit,phy_mce,phy_link_reset,phy_rcvd_mce,phy_rcvd_link_reset,phy_debug[223:0],gtrx_disperr_or,gtrx_notintable_or,port_error,port_timeout[23:0],srio_host,port_decode_error,deviceid[15:0],idle2_selected,phy_lcl_master_enable_out,buf_lcl_response_only_out,buf_lcl_tx_flow_control_out,buf_lcl_phy_buf_stat_out[5:0],phy_lcl_phy_next_fm_out[5:0],phy_lcl_phy_last_ack_out[5:0],phy_lcl_phy_rewind_out,phy_lcl_phy_rcvd_buf_stat_out[5:0],phy_lcl_maint_only_out,port_initialized,link_initialized,idle_selected,mode_1x";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "srio_gen2_v4_0_5,Vivado 2015.1.0";
begin
end;
|
mit
|
GOOD-Stuff/srio_test
|
srio_test.cache/ip/01b1ddbaf2a615e4/ila_0_sim_netlist.vhdl
|
1
|
3712825
| null |
mit
|
Given-Jiang/Gray_Processing_Altera_OpenCL_DE1-SoC
|
bin_Gray_Processing/ip/Gray_Processing/fp_sincos_fused.vhd
|
10
|
17997
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_SIN.VHD ***
--*** ***
--*** Function: Single Precision SIN Core ***
--*** ***
--*** 10/01/10 ML ***
--*** ***
--*** (c) 2010 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** 1. Input < 0.5 radians, take cos(pi/2-input)***
--*** 2. latency = depth + range_depth (11) + 7 ***
--*** (1 more than cos) ***
--***************************************************
ENTITY fp_sincos_fused IS
GENERIC (
device : integer := 0;
width : positive := 36;
depth : positive := 20;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout_sin : OUT STD_LOGIC;
exponentout_sin : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout_sin : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
signout_cos : OUT STD_LOGIC;
exponentout_cos : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout_cos : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
END fp_sincos_fused;
ARCHITECTURE rtl of fp_sincos_fused IS
constant cordic_width : positive := width;
constant cordic_depth : positive := depth;
constant range_depth : positive := 11;
signal piovertwo : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal input_number : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal input_number_delay : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal exponentinff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal exponentcheck : STD_LOGIC_VECTOR (9 DOWNTO 1);
-- range reduction
signal circle : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal negcircle : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal quadrantsign_sin, quadrantsign_cos, quadrantselect : STD_LOGIC;
signal positive_quadrant, negative_quadrant : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal fraction_quadrant : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal one_term : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal quadrant : STD_LOGIC_VECTOR (34 DOWNTO 1);
-- circle to radians mult
signal radiansnode : STD_LOGIC_VECTOR (cordic_width DOWNTO 1);
signal indexcheck : STD_LOGIC_VECTOR (16 DOWNTO 1);
signal indexbit : STD_LOGIC;
signal signinff : STD_LOGIC_VECTOR (range_depth DOWNTO 1);
signal selectoutputff : STD_LOGIC_VECTOR (range_depth+cordic_depth+5 DOWNTO 1);
signal signcalcff_sin,signcalcff_cos : STD_LOGIC_VECTOR (cordic_depth+6 DOWNTO 1);
signal quadrant_sumff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal select_sincosff : STD_LOGIC_VECTOR (4+cordic_depth DOWNTO 1);
signal fixed_sin : STD_LOGIC_VECTOR (cordic_width DOWNTO 1);
signal fixed_sinnode : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal fixed_sinff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal fixed_cos : STD_LOGIC_VECTOR (cordic_width DOWNTO 1);
signal fixed_cosnode : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal fixed_cosff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal countnode_sin : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal countff_sin : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal mantissanormnode_sin : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal mantissanormff_sin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponentnormnode_sin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal exponentnormff_sin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal overflownode_sin : STD_LOGIC_VECTOR (24 DOWNTO 1);
signal mantissaoutff_sin : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponentoutff_sin : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal signoutff_sin : STD_LOGIC;
signal countnode_cos : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal countff_cos : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal mantissanormnode_cos : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal mantissanormff_cos : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponentnormnode_cos : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal exponentnormff_cos : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal overflownode_cos : STD_LOGIC_VECTOR (24 DOWNTO 1);
signal mantissaoutff_cos : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponentoutff_cos : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal signoutff_cos : STD_LOGIC;
component fp_range1
GENERIC (device : integer);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
circle : OUT STD_LOGIC_VECTOR (36 DOWNTO 1);
negcircle : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_cordic_m1_fused
GENERIC (
width : positive := 36;
depth : positive := 20;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radians : IN STD_LOGIC_VECTOR (width DOWNTO 1); --'0'&[width-1:1]
indexbit : IN STD_LOGIC;
sin_out : OUT STD_LOGIC_VECTOR (width DOWNTO 1);
cos_out : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_clz36 IS
PORT (
mantissa : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
leading : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
component fp_lsft36 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_fxmul
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36;
pipes : positive := 1;
accuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_del IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
-- pi/2 = 1.57
piovertwo <= x"c90fdaa22";
zerovec <= x"000000000";
--*** SIN(X) = X when exponent < 115 ***
input_number <= signin & exponentin & mantissain;
-- level 1 in, level range_depth+cordic_depth+7 out
cdin: fp_del
GENERIC MAP (width=>32,pipes=>range_depth+cordic_depth+6)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>input_number,
cc=>input_number_delay);
--*** RANGE REDUCTION ***
crr: fp_range1
GENERIC MAP(device=>device)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signin=>signin,exponentin=>exponentin,mantissain=>mantissain,
circle=>circle,negcircle=>negcircle);
quadrantsign_sin <= circle(36); -- sin negative in quadrants 3&4
quadrantsign_cos <= (NOT(circle(36)) AND circle(35)) OR
(circle(36) AND NOT(circle(35))); -- cos negative in quadrants 2&3
quadrantselect <= circle(35); -- sin (1-x) in quadants 2&4
gra: FOR k IN 1 TO 34 GENERATE
quadrant(k) <= (circle(k) AND NOT(quadrantselect)) OR
(negcircle(k) AND quadrantselect);
END GENERATE;
-- if quadrant >0.5 (when quadrant(34) = 1), use quadrant, else use 1-quadrant, and take cos rather than sin
positive_quadrant <= '0' & quadrant & '0';
gnqa: FOR k IN 1 TO 36 GENERATE
negative_quadrant(k) <= NOT(positive_quadrant(k));
fraction_quadrant(k) <= (positive_quadrant(k) AND quadrant(34)) OR
(negative_quadrant(k) AND NOT(quadrant(34)));
END GENERATE;
one_term <= NOT(quadrant(34)) & zerovec(35 DOWNTO 1); -- 0 if positive quadrant
pfa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO range_depth LOOP
signinff(k) <= '0';
END LOOP;
FOR k IN 1 TO cordic_depth+6 LOOP
signcalcff_sin(k) <= '0';
signcalcff_cos(k) <= '0';
END LOOP;
FOR k IN 1 TO 8 LOOP
exponentinff(k) <= '0';
END LOOP;
FOR k IN 1 TO range_depth+cordic_depth+5 LOOP
selectoutputff(k) <= '0';
END LOOP;
FOR k IN 1 TO 36 LOOP
quadrant_sumff(k) <= '0';
END LOOP;
FOR k IN 1 TO 4+cordic_depth LOOP
select_sincosff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff(1) <= signin;
FOR k IN 2 TO range_depth LOOP
signinff(k) <= signinff(k-1);
END LOOP;
-- level range_depth+1 to range_depth+cordic_depth+6
signcalcff_sin(1) <= quadrantsign_sin XOR signinff(range_depth);
FOR k IN 2 TO cordic_depth+6 LOOP
signcalcff_sin(k) <= signcalcff_sin(k-1);
END LOOP;
signcalcff_cos(1) <= quadrantsign_cos;
FOR k IN 2 TO cordic_depth+6 LOOP
signcalcff_cos(k) <= signcalcff_cos(k-1);
END LOOP;
exponentinff <= exponentin; -- level 1
selectoutputff(1) <= exponentcheck(9); -- level 2 to range_depth+cordic_depth+6
FOR k IN 2 TO range_depth+cordic_depth+5 LOOP
selectoutputff(k) <= selectoutputff(k-1);
END LOOP;
-- range 0-0.9999
quadrant_sumff <= one_term + fraction_quadrant + NOT(quadrant(34)); -- level range_depth+1
-- level range depth+1 to range_depth+4
-- Here is an interesting thing - depending on the quadrant the input is in, computation
-- or a sin or cosine can use sin or cosine result. What this means is that we may have to swap
-- results when they come out of the cordic block.
select_sincosff(1) <= quadrant(34);
FOR k IN 2 TO 4+cordic_depth LOOP
select_sincosff(k) <= select_sincosff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
-- if exponent < 115, sin = input
exponentcheck <= ('0' & exponentinff) - ('0' & x"73");
-- levels range_depth+2,3,4
cmul: fp_fxmul
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>cordic_width,
pipes=>3,synthesize=>1)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>quadrant_sumff,databb=>piovertwo,
result=>radiansnode);
indexcheck(1) <= radiansnode(cordic_width-1);
gica: FOR k IN 2 TO 16 GENERATE
indexcheck(k) <= indexcheck(k-1) OR radiansnode(cordic_width-k);
END GENERATE;
-- for safety, give an extra bit of space
indexbit <= NOT(indexcheck(indexpoint+1));
ccc: fp_cordic_m1_fused
GENERIC MAP (width=>cordic_width,depth=>cordic_depth,indexpoint=>indexpoint)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
radians=>radiansnode,
indexbit=>indexbit,
sin_out=>fixed_sin,
cos_out=>fixed_cos);
gfxa: IF (width < 36) GENERATE
fixed_sinnode <= (fixed_sin & zerovec(36-width DOWNTO 1)) when (select_sincosff(4+cordic_depth) = '1') else (fixed_cos & zerovec(36-width DOWNTO 1));
fixed_cosnode <= (fixed_cos & zerovec(36-width DOWNTO 1)) when (select_sincosff(4+cordic_depth) = '1') else (fixed_sin & zerovec(36-width DOWNTO 1));
END GENERATE;
gfxb: IF (width = 36) GENERATE
fixed_sinnode <= fixed_sin when (select_sincosff(4+cordic_depth) = '1') else fixed_cos;
fixed_cosnode <= fixed_cos when (select_sincosff(4+cordic_depth) = '1') else fixed_sin;
END GENERATE;
clz1: fp_clz36
PORT MAP (mantissa=>fixed_sinnode,leading=>countnode_sin);
clz2: fp_clz36
PORT MAP (mantissa=>fixed_cosnode,leading=>countnode_cos);
sft1: fp_lsft36
PORT MAP (inbus=>fixed_sinff,shift=>countff_sin,
outbus=>mantissanormnode_sin);
sft2: fp_lsft36
PORT MAP (inbus=>fixed_cosff,shift=>countff_cos,
outbus=>mantissanormnode_cos);
-- maximum sin or cos = 1.0 = 1.0e127 single precision
-- 1e128 - 1 (leading one) gives correct number
exponentnormnode_sin <= "10000000" - ("00" & countff_sin);
exponentnormnode_cos <= "10000000" - ("00" & countff_cos);
overflownode_sin(1) <= mantissanormnode_sin(12);
gova1: FOR k IN 2 TO 24 GENERATE
overflownode_sin(k) <= mantissanormnode_sin(k+11) AND overflownode_sin(k-1);
END GENERATE;
overflownode_cos(1) <= mantissanormnode_cos(12);
gova2: FOR k IN 2 TO 24 GENERATE
overflownode_cos(k) <= mantissanormnode_cos(k+11) AND overflownode_cos(k-1);
END GENERATE;
-- OUTPUT
poa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 36 LOOP
fixed_sinff(k) <= '0';
fixed_cosff(k) <= '0';
END LOOP;
countff_sin <= "000000";
countff_cos <= "000000";
FOR k IN 1 TO 23 LOOP
mantissanormff_sin(k) <= '0';
mantissaoutff_sin(k) <= '0';
mantissanormff_cos(k) <= '0';
mantissaoutff_cos(k) <= '0';
END LOOP;
FOR k IN 1 TO 8 LOOP
exponentnormff_sin(k) <= '0';
exponentoutff_sin(k) <= '0';
exponentnormff_cos(k) <= '0';
exponentoutff_cos(k) <= '0';
END LOOP;
signoutff_sin <= '0';
signoutff_cos <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
fixed_sinff <= fixed_sinnode; -- level range_depth+cordic_depth+5
fixed_cosff <= fixed_cosnode; -- level range_depth+cordic_depth+5
countff_sin <= countnode_sin; -- level range_depth+4+cordic_depth+5
countff_cos <= countnode_cos; -- level range_depth+4+cordic_depth+5
-- level range_depth+cordic_depth+6
mantissanormff_cos <= mantissanormnode_cos(35 DOWNTO 13) + mantissanormnode_cos(12);
exponentnormff_cos <= exponentnormnode_cos(8 DOWNTO 1) + overflownode_cos(24);
mantissanormff_sin <= mantissanormnode_sin(35 DOWNTO 13) + mantissanormnode_sin(12);
exponentnormff_sin <= exponentnormnode_sin(8 DOWNTO 1) + overflownode_sin(24);
-- level range_depth+cordic_depth+7
FOR k IN 1 TO 23 LOOP
mantissaoutff_sin(k) <= (mantissanormff_sin(k) AND NOT(selectoutputff(range_depth+cordic_depth+5))) OR
(input_number_delay(k) AND selectoutputff(range_depth+cordic_depth+5));
END LOOP;
FOR k IN 1 TO 8 LOOP
exponentoutff_sin(k) <= (exponentnormff_sin(k) AND NOT(selectoutputff(range_depth+cordic_depth+5))) OR
(input_number_delay(k+23) AND selectoutputff(range_depth+cordic_depth+5));
END LOOP;
signoutff_sin <= (signcalcff_sin(cordic_depth+6) AND NOT(selectoutputff(range_depth+cordic_depth+5))) OR
(input_number_delay(32) AND selectoutputff(range_depth+cordic_depth+5));
mantissaoutff_cos <= mantissanormff_cos;
exponentoutff_cos <= exponentnormff_cos;
signoutff_cos <= signcalcff_cos(cordic_depth+6);
END IF;
END IF;
END PROCESS;
mantissaout_sin <= mantissaoutff_sin;
exponentout_sin <= exponentoutff_sin;
signout_sin <= signoutff_sin;
mantissaout_cos <= mantissaoutff_cos;
exponentout_cos <= exponentoutff_cos;
signout_cos <= signoutff_cos;
END rtl;
|
mit
|
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
|
bin_Dilation_Operation/ip/Dilation/fp_exp.vhd
|
10
|
7681
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** SINGLE PRECISION EXPONENT(e) - TOP LEVEL ***
--*** ***
--*** FP_EXP.VHD ***
--*** ***
--*** Function: IEEE754 SP EXP() ***
--*** ***
--*** 05/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 16 ***
--***************************************************
ENTITY fp_exp IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
END fp_exp;
ARCHITECTURE rtl OF fp_exp IS
constant expwidth : positive := 8;
constant manwidth : positive := 23;
constant coredepth : positive := 14;
signal signinff : STD_LOGIC;
signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (coredepth-1 DOWNTO 1);
signal mantissanode : STD_LOGIC_VECTOR (24 DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal rangeerror : STD_LOGIC;
-- conditions
signal zeroman : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal zeroexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal maxexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal zeromaninff : STD_LOGIC;
signal maxexpinff : STD_LOGIC;
signal naninff : STD_LOGIC;
signal nanff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1);
component fp_exp_core
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aasgn : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
ccman : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
rangeerror : OUT STD_LOGIC
);
end component;
component fp_exprnd
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentexp : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaexp : IN STD_LOGIC_VECTOR (24 DOWNTO 1);
nanin : IN STD_LOGIC;
rangeerror : IN STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
end component;
BEGIN
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
FOR k IN 1 TO manwidth LOOP
maninff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
expinff(k) <= '0';
END LOOP;
FOR k IN 1 TO coredepth-1 LOOP
signff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
maninff <= mantissain;
expinff <= exponentin;
signff(1) <= signinff;
FOR k IN 2 TO coredepth-1 LOOP
signff(k) <= signff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--********************
--*** CHECK INPUTS ***
--********************
zeroman(1) <= maninff(1);
gca: FOR k IN 2 TO manwidth GENERATE
zeroman(k) <= zeroman(k-1) OR maninff(k);
END GENERATE;
zeroexp(1) <= expinff(1);
gcb: FOR k IN 2 TO expwidth GENERATE
zeroexp(k) <= zeroexp(k-1) OR expinff(k);
END GENERATE;
maxexp(1) <= expinff(1);
gcc: FOR k IN 2 TO expwidth GENERATE
maxexp(k) <= maxexp(k-1) AND expinff(k);
END GENERATE;
pcc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
zeromaninff <= '0';
maxexpinff <= '0';
naninff <= '0';
FOR k IN 1 TO coredepth-3 LOOP
nanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
zeromaninff <= zeroman(manwidth);
maxexpinff <= maxexp(expwidth);
-- zero when man = 0, exp = 0
-- infinity when man = 0, exp = max
-- nan when man != 0, exp = max
-- all ffs '1' when condition true
naninff <= zeromaninff AND maxexpinff;
-- nan output when nan input
nanff(1) <= naninff;
FOR k IN 2 TO coredepth-3 LOOP
nanff(k) <= nanff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--****************
--*** EXP CORE ***
--****************
expcore: fp_exp_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aasgn=>signin,aaman=>mantissain,aaexp=>exponentin,
ccman=>mantissanode,ccexp=>exponentnode,
rangeerror=>rangeerror);
--************************
--*** ROUND AND OUTPUT ***
--************************
rndout: fp_exprnd
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signin=>signff(coredepth-1),
exponentexp=>exponentnode,
mantissaexp=>mantissanode,
nanin=>nanff(coredepth-3),
rangeerror=>rangeerror,
exponentout=>exponentout,mantissaout=>mantissaout,
nanout=>nanout,overflowout=>overflowout,underflowout=>underflowout);
signout <= '0';
END rtl;
|
mit
|
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
|
Sobel/ip/Sobel/fp_exp.vhd
|
10
|
7681
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** SINGLE PRECISION EXPONENT(e) - TOP LEVEL ***
--*** ***
--*** FP_EXP.VHD ***
--*** ***
--*** Function: IEEE754 SP EXP() ***
--*** ***
--*** 05/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 16 ***
--***************************************************
ENTITY fp_exp IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
END fp_exp;
ARCHITECTURE rtl OF fp_exp IS
constant expwidth : positive := 8;
constant manwidth : positive := 23;
constant coredepth : positive := 14;
signal signinff : STD_LOGIC;
signal maninff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal expinff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (coredepth-1 DOWNTO 1);
signal mantissanode : STD_LOGIC_VECTOR (24 DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal rangeerror : STD_LOGIC;
-- conditions
signal zeroman : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal zeroexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal maxexp : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal zeromaninff : STD_LOGIC;
signal maxexpinff : STD_LOGIC;
signal naninff : STD_LOGIC;
signal nanff : STD_LOGIC_VECTOR (coredepth-3 DOWNTO 1);
component fp_exp_core
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aasgn : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
ccman : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
rangeerror : OUT STD_LOGIC
);
end component;
component fp_exprnd
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentexp : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaexp : IN STD_LOGIC_VECTOR (24 DOWNTO 1);
nanin : IN STD_LOGIC;
rangeerror : IN STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
end component;
BEGIN
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signinff <= '0';
FOR k IN 1 TO manwidth LOOP
maninff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
expinff(k) <= '0';
END LOOP;
FOR k IN 1 TO coredepth-1 LOOP
signff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signinff <= signin;
maninff <= mantissain;
expinff <= exponentin;
signff(1) <= signinff;
FOR k IN 2 TO coredepth-1 LOOP
signff(k) <= signff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--********************
--*** CHECK INPUTS ***
--********************
zeroman(1) <= maninff(1);
gca: FOR k IN 2 TO manwidth GENERATE
zeroman(k) <= zeroman(k-1) OR maninff(k);
END GENERATE;
zeroexp(1) <= expinff(1);
gcb: FOR k IN 2 TO expwidth GENERATE
zeroexp(k) <= zeroexp(k-1) OR expinff(k);
END GENERATE;
maxexp(1) <= expinff(1);
gcc: FOR k IN 2 TO expwidth GENERATE
maxexp(k) <= maxexp(k-1) AND expinff(k);
END GENERATE;
pcc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
zeromaninff <= '0';
maxexpinff <= '0';
naninff <= '0';
FOR k IN 1 TO coredepth-3 LOOP
nanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
zeromaninff <= zeroman(manwidth);
maxexpinff <= maxexp(expwidth);
-- zero when man = 0, exp = 0
-- infinity when man = 0, exp = max
-- nan when man != 0, exp = max
-- all ffs '1' when condition true
naninff <= zeromaninff AND maxexpinff;
-- nan output when nan input
nanff(1) <= naninff;
FOR k IN 2 TO coredepth-3 LOOP
nanff(k) <= nanff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--****************
--*** EXP CORE ***
--****************
expcore: fp_exp_core
GENERIC MAP (synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aasgn=>signin,aaman=>mantissain,aaexp=>exponentin,
ccman=>mantissanode,ccexp=>exponentnode,
rangeerror=>rangeerror);
--************************
--*** ROUND AND OUTPUT ***
--************************
rndout: fp_exprnd
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
signin=>signff(coredepth-1),
exponentexp=>exponentnode,
mantissaexp=>mantissanode,
nanin=>nanff(coredepth-3),
rangeerror=>rangeerror,
exponentout=>exponentout,mantissaout=>mantissaout,
nanout=>nanout,overflowout=>overflowout,underflowout=>underflowout);
signout <= '0';
END rtl;
|
mit
|
Given-Jiang/Dilation_Operation_Altera_OpenCL_DE1-SoC
|
bin_Dilation_Operation/ip/Dilation/dp_exp_core.vhd
|
10
|
24362
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION EXPONENT(e) - CORE ***
--*** ***
--*** DP_EXP_CORE.VHD ***
--*** ***
--*** Function: Double Precision Exponent Core ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 24/04/09 - SIII/SIV multiplier support ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** SII Latency = 19 + 2*doublespeed ***
--*** SIII/IV Latency = 17 ***
--***************************************************
ENTITY dp_exp_core IS
GENERIC (
doublespeed : integer := 0; -- 0/1
doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aasgn : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
ccman : OUT STD_LOGIC_VECTOR (54 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
rangeerror : OUT STD_LOGIC
);
END dp_exp_core;
ARCHITECTURE rtl OF dp_exp_core IS
--SII mullatency = doublespeed+5, SIII/IV mullatency = 4
constant mullatency : positive := doublespeed+5 - device*(1+doublespeed);
constant ranlatency : positive := 15+2*doublespeed-device*(2+2*doublespeed);
type expcalcfftype IS ARRAY ((ranlatency-4) DOWNTO 1) OF
STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (54 DOWNTO 1);
-- INPUT BLOCK & SHIFTER
signal signff : STD_LOGIC_VECTOR (ranlatency+3 DOWNTO 1);
signal aamanff, aamandelff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal aaexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal leftshift, rightshift : STD_LOGIC_VECTOR (12 DOWNTO 1);
signal leftshiftff, rightshiftff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal cmpexp : STD_LOGIC_VECTOR(11 DOWNTO 1);
signal bigexpff : STD_LOGIC_VECTOR(2 DOWNTO 1);
signal smallrightshift : STD_LOGIC;
signal selshiftff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal powerbus : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal leftone, lefttwo : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal rightone, righttwo, rightthree : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal leftff, rightff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal powerff : STD_LOGIC_VECTOR (65 DOWNTO 1);
signal decimalleft : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal decimalright : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal fractionalleft, fractionalright : STD_LOGIC_VECTOR (54 DOWNTO 1);
-- TABLES
signal addlutposff, addlutnegff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal addluttwoff, addlutthrff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal lutposmanff, lutnegmanff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal luttwomanff, lutthrmanff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal lutposexpff, lutnegexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal luttwoexpff : STD_LOGIC;
signal manpos, manneg, mantwo, manthr : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exppos, expneg : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal exptwo : STD_LOGIC;
signal lutonemanff, luttwomandelff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal lutoneexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal luttwoexpdelff : STD_LOGIC;
signal expcalcff : expcalcfftype;
-- OVER & UNDERFLOW
signal powercheck : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal rangeff : STD_LOGIC_VECTOR (ranlatency DOWNTO 1);
-- TAYLOR SERIES
signal fraction : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal xterm : STD_LOGIC_VECTOR (33 DOWNTO 1);
signal xsquareterm : STD_LOGIC_VECTOR (12 DOWNTO 1);
signal approxff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal lutthrmandel : STD_LOGIC_VECTOR (54 DOWNTO 1);
-- MULTIPLY
signal resultone : STD_LOGIC_VECTOR (72 DOWNTO 1);
signal resultonedel : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal resulttwo, resultthr : STD_LOGIC_VECTOR (72 DOWNTO 1);
-- NORMALIZE
signal normshift : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal manoutff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal expout, expoutff : STD_LOGIC_VECTOR (11 DOWNTO 1);
component dp_explutpos
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
end component;
component dp_explutneg
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
end component;
component dp_explut10
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC
);
end component;
component dp_explut20
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1)
);
end component;
component fp_fxmul
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36;
pipes : positive := 1;
accuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_del
GENERIC (
width : positive := 64;
pipes : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 54 GENERATE
zerovec(k) <= '0';
END GENERATE;
--*******************
--*** INPUT BLOCK ***
--*******************
psa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO (ranlatency+3) LOOP
signff(k) <= '0';
END LOOP;
FOR k IN 1 TO 52 LOOP
aamanff(k) <= '0';
aamandelff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
aaexpff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
leftshiftff(k) <= '0';
rightshiftff(k) <= '0';
END LOOP;
selshiftff <= "00";
FOR k IN 1 TO 64 LOOP
leftff(k) <= '0';
rightff(k) <= '0';
powerff(k) <= '0';
END LOOP;
powerff(65) <= '0';
bigexpff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signff(1) <= aasgn;
FOR k IN 2 TO (ranlatency+3) LOOP
signff(k) <= signff(k-1);
END LOOP;
aamanff <= aaman;
aamandelff <= aamanff;
aaexpff <= aaexp;
leftshiftff <= leftshift(10 DOWNTO 1);
rightshiftff <= rightshift(10 DOWNTO 1);
selshiftff(1) <= leftshift(12);
selshiftff(2) <= selshiftff(1);
-- level 3
leftff <= lefttwo;
-- mask out right barrel shifter output when shifting by 64 or more positions
FOR k IN 1 TO 64 LOOP
rightff(k) <= rightthree(k) AND smallrightshift;
END LOOP;
-- overflow of left shifter matters only when the left shifted mantissa result is to be used
bigexpff(2) <= bigexpff(1) AND NOT(selshiftff(2));
bigexpff(1) <= NOT(cmpexp(11));
-- level 4
FOR k IN 1 TO 54 LOOP
powerff(k) <= (fractionalleft(k) AND NOT(selshiftff(2))) OR
(fractionalright(k) AND selshiftff(2));
END LOOP;
FOR k IN 1 TO 10 LOOP
powerff(k+54) <= (decimalleft(k) AND NOT(selshiftff(2))) OR
(decimalright(k) AND selshiftff(2));
END LOOP;
powerff(65) <= (decimalleft(11) AND NOT(selshiftff(2)));
-- overflow bit required to catch exp(-1023.frac) case
END IF;
END IF;
END PROCESS;
leftshift <= ('0' & aaexpff) - "001111111111";
rightshift <= "001111111111" - ('0' & aaexpff);
powerbus <= "0000000001" & aamandelff & "00";
decimalleft <= ('0' & leftff(64 DOWNTO 55)) + ("0000000000" & signff(3));
-- decimalleft may overflow to bit 11 when exp(x), -1024 < x <= -1023
decimalright <= rightff(64 DOWNTO 55) + ("000000000" & signff(3));
gfa: FOR k IN 1 TO 54 GENERATE
fractionalleft(k) <= leftff(k) XOR signff(3);
fractionalright(k) <= rightff(k) XOR signff(3);
END GENERATE;
--**********************
--*** BARREL SHIFTER ***
--**********************
leftone(1) <= powerbus(1) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1));
leftone(2) <= (powerbus(2) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR
(powerbus(1) AND NOT(leftshiftff(2)) AND leftshiftff(1));
leftone(3) <= (powerbus(3) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR
(powerbus(2) AND NOT(leftshiftff(2)) AND leftshiftff(1)) OR
(powerbus(1) AND leftshiftff(2) AND NOT(leftshiftff(1)));
gla: FOR k IN 4 TO 64 GENERATE
leftone(k) <= (powerbus(k) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR
(powerbus(k-1) AND NOT(leftshiftff(2)) AND leftshiftff(1)) OR
(powerbus(k-2) AND leftshiftff(2) AND NOT(leftshiftff(1))) OR
(powerbus(k-3) AND leftshiftff(2) AND leftshiftff(1));
END GENERATE;
glb: FOR k IN 1 TO 4 GENERATE
lefttwo(k) <= leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3));
END GENERATE;
glc: FOR k IN 5 TO 8 GENERATE
lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR
(leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3));
END GENERATE;
gld: FOR k IN 9 TO 12 GENERATE
lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR
(leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)) OR
(leftone(k-8) AND leftshiftff(4) AND NOT(leftshiftff(3)));
END GENERATE;
gle: FOR k IN 13 TO 64 GENERATE
lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR
(leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)) OR
(leftone(k-8) AND leftshiftff(4) AND NOT(leftshiftff(3))) OR
(leftone(k-12) AND leftshiftff(4) AND leftshiftff(3));
END GENERATE;
cmpexp <= ('0' & leftshiftff) - "00000001010";
-- detect when left barrel shifter overflows (i.e. leftshiftff > 9)
gra: FOR k IN 1 TO 61 GENERATE
rightone(k) <= (powerbus(k) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR
(powerbus(k+1) AND NOT(rightshiftff(2)) AND rightshiftff(1)) OR
(powerbus(k+2) AND rightshiftff(2) AND NOT(rightshiftff(1))) OR
(powerbus(k+3) AND rightshiftff(2) AND rightshiftff(1));
END GENERATE;
rightone(62) <= (powerbus(62) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR
(powerbus(63) AND NOT(rightshiftff(2)) AND rightshiftff(1)) OR
(powerbus(64) AND rightshiftff(2) AND NOT(rightshiftff(1)));
rightone(63) <= (powerbus(63) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR
(powerbus(64) AND NOT(rightshiftff(2)) AND rightshiftff(1));
rightone(64) <= powerbus(64) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1));
grb: FOR k IN 1 TO 52 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR
(rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)) OR
(rightone(k+8) AND rightshiftff(4) AND NOT(rightshiftff(3))) OR
(rightone(k+12) AND rightshiftff(4) AND rightshiftff(3));
END GENERATE;
grc: FOR k IN 53 TO 56 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR
(rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)) OR
(rightone(k+8) AND rightshiftff(4) AND NOT(rightshiftff(3)));
END GENERATE;
grd: FOR k IN 57 TO 60 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR
(rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3));
END GENERATE;
gre: FOR k IN 61 TO 64 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3)));
END GENERATE;
grf: FOR k IN 1 TO 16 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR
(righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)) OR
(righttwo(k+32) AND rightshiftff(6) AND NOT(rightshiftff(5))) OR
(righttwo(k+48) AND rightshiftff(6) AND rightshiftff(5));
END GENERATE;
grg: FOR k IN 17 TO 32 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR
(righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)) OR
(righttwo(k+32) AND rightshiftff(6) AND NOT(rightshiftff(5)));
END GENERATE;
grh: FOR k IN 33 TO 48 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR
(righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5));
END GENERATE;
gri: FOR k IN 49 TO 64 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5)));
END GENERATE;
-- is rightshiftff < 64, otherwise right barrel shifter output will be masked out
smallrightshift <= NOT(rightshiftff(7) OR rightshiftff(8) OR rightshiftff(9) OR rightshiftff(10));
--******************************************
--*** TABLES - NO RESET, FORCE TO MEMORY ***
--******************************************
-- level: 4 in, 6 out
pla: PROCESS (sysclk)
BEGIN
IF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
addlutposff <= powerff(64 DOWNTO 55);
addlutnegff <= powerff(64 DOWNTO 55);
addluttwoff <= powerff(54 DOWNTO 45);
addlutthrff <= powerff(44 DOWNTO 35);
lutposmanff <= '1' & manpos & '0';
lutposexpff <= exppos;
lutnegmanff <= '1' & manneg & '0';
lutnegexpff <= expneg;
luttwomanff <= '1' & mantwo & '0';
luttwoexpff <= exptwo;
lutthrmanff <= '1' & manthr & '0';
END IF;
END IF;
END PROCESS;
declut: dp_explutpos
PORT MAP (add=>addlutposff,
manhi=>manpos(52 DOWNTO 29),manlo=>manpos(28 DOWNTO 1),exponent=>exppos);
neglut: dp_explutneg
PORT MAP (add=>addlutnegff,
manhi=>manneg(52 DOWNTO 29),manlo=>manneg(28 DOWNTO 1),exponent=>expneg);
frachilut: dp_explut10
PORT MAP (add=>addluttwoff,
manhi=>mantwo(52 DOWNTO 29),manlo=>mantwo(28 DOWNTO 1),exponent=>exptwo);
fraclolut: dp_explut20
PORT MAP (add=>addlutthrff,
manhi=>manthr(52 DOWNTO 29),manlo=>manthr(28 DOWNTO 1));
-- level: 6 in, 7 out
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
lutonemanff(k) <= '0';
luttwomandelff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
lutoneexpff(k) <= '0';
END LOOP;
luttwoexpdelff <= '0';
FOR k IN 1 TO (ranlatency-4) LOOP
expcalcff(k)(11 DOWNTO 1) <= "00000000000";
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
FOR k IN 1 TO 54 LOOP
lutonemanff(k) <= (lutposmanff(k) AND NOT(signff(6))) OR (lutnegmanff(k) AND signff(6));
END LOOP;
luttwomandelff <= luttwomanff;
FOR k IN 1 TO 11 LOOP
lutoneexpff(k) <= (lutposexpff(k) AND NOT(signff(6))) OR (lutnegexpff(k) AND signff(6));
END LOOP;
luttwoexpdelff <= luttwoexpff;
-- level: 8 in
-- SII: 19+2*doublespeed out
-- SII: 17+2*doublespeed out
expcalcff(1)(11 DOWNTO 1) <= lutoneexpff + ("0000000000" & luttwoexpdelff);
FOR k IN 2 TO (ranlatency-4) LOOP
expcalcff(k)(11 DOWNTO 1) <= expcalcff(k-1)(11 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
--**************************************
--*** PREDICT OVERFLOW AND UNDERFLOW ***
--**************************************
-- overflow or underflow if power > 709
-- overflow or underflow if power != 0 and explut = 0
powercheck <= powerff(65 DOWNTO 55) - "1011000110"; -- 710
-- level 4 in
-- SII: level 19+2 out
-- SIII/IV: level 17 out
ppca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO ranlatency LOOP
rangeff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
rangeff(1) <= bigexpff(2) OR NOT(powercheck(11));
-- exp(x) -> 0 or Inf, when abs(x)>=710 or has overflowed the left shifter
FOR k IN 2 TO (ranlatency-1) LOOP
rangeff(k) <= rangeff(k-1);
END LOOP;
rangeff(ranlatency) <= rangeff(ranlatency-1) AND NOT(signff(ranlatency+3));
-- overflow only if input x is large and positive, exp(x) -> Inf
END IF;
END IF;
END PROCESS;
--***********************
--*** TAYLOR's SERIES ***
--***********************
-- approximation : sequence = 1 + x + x^2/2 + x^3/6 + x^4/24
-- but x^3/6 term is about 62 bits down, so just try 1 + x + x^2/2
-- ('1' & zero) + (zero(21:1) & x(34:2)) + (zero(42:1) & square(72:61))
fraction <= powerff(34 DOWNTO 1) & "00";
-- level: 4 in, 7 out
mulsqr: fp_fxmul
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>12,
pipes=>3,device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>fraction,databb=>fraction,
result=>xsquareterm);
delfrac: fp_del
GENERIC MAP (width=>33,pipes=>3)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>powerff(34 DOWNTO 2),
cc=>xterm);
delthr: fp_del
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>lutthrmanff,
cc=>lutthrmandel);
-- level 8
pta: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
approxff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
approxff <= ('1' & zerovec(20 DOWNTO 1) & xterm) + (zerovec(42 DOWNTO 1) & xsquareterm);
END IF;
END IF;
END PROCESS;
--*************************************
--*** MULTIPLY ALL EXP(X) SUBRANGES ***
--*************************************
-- SII level in 7, level out 12+speed
-- SIII/IV level in 7, level out 11
mulone: fp_fxmul
GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72,
pipes=>mullatency,accuracy=>doubleaccuracy,
device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>lutonemanff,databb=>luttwomandelff,
result=>resultone);
-- SII level in 12+speed, level out 13+speed
-- SIII/IV level in 11, level out 12
delone: fp_del
GENERIC MAP (width=>54,pipes=>1)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>resultone(72 DOWNTO 19),
cc=>resultonedel);
-- SII level in 8, level out 13+speed
-- SIII/IV level in 8, level out 12
multwo: fp_fxmul
GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72,
pipes=>mullatency,accuracy=>doubleaccuracy,
device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>lutthrmandel,databb=>approxff,
result=>resulttwo);
-- SII level in 13+speed, level out 18+2*speed
-- SIII/IV level in 12, level out 16
multhr: fp_fxmul
GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72,
pipes=>mullatency,accuracy=>doubleaccuracy,
device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>resultonedel,databb=>resulttwo(72 DOWNTO 19),
result=>resultthr);
--************************
--*** NORMALIZE OUTPUT ***
--************************
pns: PROCESS (resultthr)
BEGIN
CASE resultthr(72 DOWNTO 69) IS
WHEN "0000" => normshift <= "11";
WHEN "0001" => normshift <= "11";
WHEN "0010" => normshift <= "10";
WHEN "0011" => normshift <= "10";
WHEN "0100" => normshift <= "01";
WHEN "0101" => normshift <= "01";
WHEN "0110" => normshift <= "01";
WHEN "0111" => normshift <= "01";
WHEN "1000" => normshift <= "00";
WHEN "1001" => normshift <= "00";
WHEN "1010" => normshift <= "00";
WHEN "1011" => normshift <= "00";
WHEN "1100" => normshift <= "00";
WHEN "1101" => normshift <= "00";
WHEN "1110" => normshift <= "00";
WHEN "1111" => normshift <= "00";
WHEN others => normshift <= "00";
END CASE;
END PROCESS;
pna: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
manoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
expoutff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
-- SII level in 18+2*doublespeed, level out 19+2*doublespeed
-- SIII/IV level in 16, level out 17
FOR k IN 1 TO 54 LOOP
manoutff(k) <= (resultthr(k+18) AND NOT(normshift(2)) AND NOT(normshift(1))) OR
(resultthr(k+17) AND NOT(normshift(2)) AND normshift(1)) OR
(resultthr(k+16) AND normshift(2) AND NOT(normshift(1))) OR
(resultthr(k+15) AND normshift(2) AND normshift(1));
END LOOP;
FOR k IN 1 TO 11 LOOP
expoutff(k) <= expout(k) AND NOT(rangeff(ranlatency-1) AND signff(ranlatency+3));
END LOOP;
-- IEEE exponent field is set to zero when x = large negative, exp(x) -> 0
END IF;
END IF;
END PROCESS;
expout <= expcalcff(ranlatency-4)(11 DOWNTO 1) - ("000000000" & normshift) + "00000000011";
--***************
--*** OUTPUTS ***
--***************
ccman <= manoutff;
ccexp <= expoutff;
rangeerror <= rangeff(ranlatency);
END rtl;
|
mit
|
Given-Jiang/Sobel_Filter_Altera_OpenCL_DE1-SoC
|
bin_Sobel_Filter/ip/Sobel/dp_exp_core.vhd
|
10
|
24362
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** DOUBLE PRECISION EXPONENT(e) - CORE ***
--*** ***
--*** DP_EXP_CORE.VHD ***
--*** ***
--*** Function: Double Precision Exponent Core ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 24/04/09 - SIII/SIV multiplier support ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** SII Latency = 19 + 2*doublespeed ***
--*** SIII/IV Latency = 17 ***
--***************************************************
ENTITY dp_exp_core IS
GENERIC (
doublespeed : integer := 0; -- 0/1
doubleaccuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 1 -- 0/1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aasgn : IN STD_LOGIC;
aaman : IN STD_LOGIC_VECTOR (52 DOWNTO 1);
aaexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
ccman : OUT STD_LOGIC_VECTOR (54 DOWNTO 1);
ccexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
rangeerror : OUT STD_LOGIC
);
END dp_exp_core;
ARCHITECTURE rtl OF dp_exp_core IS
--SII mullatency = doublespeed+5, SIII/IV mullatency = 4
constant mullatency : positive := doublespeed+5 - device*(1+doublespeed);
constant ranlatency : positive := 15+2*doublespeed-device*(2+2*doublespeed);
type expcalcfftype IS ARRAY ((ranlatency-4) DOWNTO 1) OF
STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (54 DOWNTO 1);
-- INPUT BLOCK & SHIFTER
signal signff : STD_LOGIC_VECTOR (ranlatency+3 DOWNTO 1);
signal aamanff, aamandelff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal aaexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal leftshift, rightshift : STD_LOGIC_VECTOR (12 DOWNTO 1);
signal leftshiftff, rightshiftff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal cmpexp : STD_LOGIC_VECTOR(11 DOWNTO 1);
signal bigexpff : STD_LOGIC_VECTOR(2 DOWNTO 1);
signal smallrightshift : STD_LOGIC;
signal selshiftff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal powerbus : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal leftone, lefttwo : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal rightone, righttwo, rightthree : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal leftff, rightff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal powerff : STD_LOGIC_VECTOR (65 DOWNTO 1);
signal decimalleft : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal decimalright : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal fractionalleft, fractionalright : STD_LOGIC_VECTOR (54 DOWNTO 1);
-- TABLES
signal addlutposff, addlutnegff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal addluttwoff, addlutthrff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal lutposmanff, lutnegmanff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal luttwomanff, lutthrmanff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal lutposexpff, lutnegexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal luttwoexpff : STD_LOGIC;
signal manpos, manneg, mantwo, manthr : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exppos, expneg : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal exptwo : STD_LOGIC;
signal lutonemanff, luttwomandelff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal lutoneexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal luttwoexpdelff : STD_LOGIC;
signal expcalcff : expcalcfftype;
-- OVER & UNDERFLOW
signal powercheck : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal rangeff : STD_LOGIC_VECTOR (ranlatency DOWNTO 1);
-- TAYLOR SERIES
signal fraction : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal xterm : STD_LOGIC_VECTOR (33 DOWNTO 1);
signal xsquareterm : STD_LOGIC_VECTOR (12 DOWNTO 1);
signal approxff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal lutthrmandel : STD_LOGIC_VECTOR (54 DOWNTO 1);
-- MULTIPLY
signal resultone : STD_LOGIC_VECTOR (72 DOWNTO 1);
signal resultonedel : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal resulttwo, resultthr : STD_LOGIC_VECTOR (72 DOWNTO 1);
-- NORMALIZE
signal normshift : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal manoutff : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal expout, expoutff : STD_LOGIC_VECTOR (11 DOWNTO 1);
component dp_explutpos
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
end component;
component dp_explutneg
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC_VECTOR (11 DOWNTO 1)
);
end component;
component dp_explut10
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1);
exponent : OUT STD_LOGIC
);
end component;
component dp_explut20
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
manhi : OUT STD_LOGIC_VECTOR (24 DOWNTO 1);
manlo : OUT STD_LOGIC_VECTOR (28 DOWNTO 1)
);
end component;
component fp_fxmul
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36;
pipes : positive := 1;
accuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_del
GENERIC (
width : positive := 64;
pipes : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 54 GENERATE
zerovec(k) <= '0';
END GENERATE;
--*******************
--*** INPUT BLOCK ***
--*******************
psa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO (ranlatency+3) LOOP
signff(k) <= '0';
END LOOP;
FOR k IN 1 TO 52 LOOP
aamanff(k) <= '0';
aamandelff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
aaexpff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
leftshiftff(k) <= '0';
rightshiftff(k) <= '0';
END LOOP;
selshiftff <= "00";
FOR k IN 1 TO 64 LOOP
leftff(k) <= '0';
rightff(k) <= '0';
powerff(k) <= '0';
END LOOP;
powerff(65) <= '0';
bigexpff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signff(1) <= aasgn;
FOR k IN 2 TO (ranlatency+3) LOOP
signff(k) <= signff(k-1);
END LOOP;
aamanff <= aaman;
aamandelff <= aamanff;
aaexpff <= aaexp;
leftshiftff <= leftshift(10 DOWNTO 1);
rightshiftff <= rightshift(10 DOWNTO 1);
selshiftff(1) <= leftshift(12);
selshiftff(2) <= selshiftff(1);
-- level 3
leftff <= lefttwo;
-- mask out right barrel shifter output when shifting by 64 or more positions
FOR k IN 1 TO 64 LOOP
rightff(k) <= rightthree(k) AND smallrightshift;
END LOOP;
-- overflow of left shifter matters only when the left shifted mantissa result is to be used
bigexpff(2) <= bigexpff(1) AND NOT(selshiftff(2));
bigexpff(1) <= NOT(cmpexp(11));
-- level 4
FOR k IN 1 TO 54 LOOP
powerff(k) <= (fractionalleft(k) AND NOT(selshiftff(2))) OR
(fractionalright(k) AND selshiftff(2));
END LOOP;
FOR k IN 1 TO 10 LOOP
powerff(k+54) <= (decimalleft(k) AND NOT(selshiftff(2))) OR
(decimalright(k) AND selshiftff(2));
END LOOP;
powerff(65) <= (decimalleft(11) AND NOT(selshiftff(2)));
-- overflow bit required to catch exp(-1023.frac) case
END IF;
END IF;
END PROCESS;
leftshift <= ('0' & aaexpff) - "001111111111";
rightshift <= "001111111111" - ('0' & aaexpff);
powerbus <= "0000000001" & aamandelff & "00";
decimalleft <= ('0' & leftff(64 DOWNTO 55)) + ("0000000000" & signff(3));
-- decimalleft may overflow to bit 11 when exp(x), -1024 < x <= -1023
decimalright <= rightff(64 DOWNTO 55) + ("000000000" & signff(3));
gfa: FOR k IN 1 TO 54 GENERATE
fractionalleft(k) <= leftff(k) XOR signff(3);
fractionalright(k) <= rightff(k) XOR signff(3);
END GENERATE;
--**********************
--*** BARREL SHIFTER ***
--**********************
leftone(1) <= powerbus(1) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1));
leftone(2) <= (powerbus(2) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR
(powerbus(1) AND NOT(leftshiftff(2)) AND leftshiftff(1));
leftone(3) <= (powerbus(3) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR
(powerbus(2) AND NOT(leftshiftff(2)) AND leftshiftff(1)) OR
(powerbus(1) AND leftshiftff(2) AND NOT(leftshiftff(1)));
gla: FOR k IN 4 TO 64 GENERATE
leftone(k) <= (powerbus(k) AND NOT(leftshiftff(2)) AND NOT(leftshiftff(1))) OR
(powerbus(k-1) AND NOT(leftshiftff(2)) AND leftshiftff(1)) OR
(powerbus(k-2) AND leftshiftff(2) AND NOT(leftshiftff(1))) OR
(powerbus(k-3) AND leftshiftff(2) AND leftshiftff(1));
END GENERATE;
glb: FOR k IN 1 TO 4 GENERATE
lefttwo(k) <= leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3));
END GENERATE;
glc: FOR k IN 5 TO 8 GENERATE
lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR
(leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3));
END GENERATE;
gld: FOR k IN 9 TO 12 GENERATE
lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR
(leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)) OR
(leftone(k-8) AND leftshiftff(4) AND NOT(leftshiftff(3)));
END GENERATE;
gle: FOR k IN 13 TO 64 GENERATE
lefttwo(k) <= (leftone(k) AND NOT(leftshiftff(4)) AND NOT(leftshiftff(3))) OR
(leftone(k-4) AND NOT(leftshiftff(4)) AND leftshiftff(3)) OR
(leftone(k-8) AND leftshiftff(4) AND NOT(leftshiftff(3))) OR
(leftone(k-12) AND leftshiftff(4) AND leftshiftff(3));
END GENERATE;
cmpexp <= ('0' & leftshiftff) - "00000001010";
-- detect when left barrel shifter overflows (i.e. leftshiftff > 9)
gra: FOR k IN 1 TO 61 GENERATE
rightone(k) <= (powerbus(k) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR
(powerbus(k+1) AND NOT(rightshiftff(2)) AND rightshiftff(1)) OR
(powerbus(k+2) AND rightshiftff(2) AND NOT(rightshiftff(1))) OR
(powerbus(k+3) AND rightshiftff(2) AND rightshiftff(1));
END GENERATE;
rightone(62) <= (powerbus(62) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR
(powerbus(63) AND NOT(rightshiftff(2)) AND rightshiftff(1)) OR
(powerbus(64) AND rightshiftff(2) AND NOT(rightshiftff(1)));
rightone(63) <= (powerbus(63) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1))) OR
(powerbus(64) AND NOT(rightshiftff(2)) AND rightshiftff(1));
rightone(64) <= powerbus(64) AND NOT(rightshiftff(2)) AND NOT(rightshiftff(1));
grb: FOR k IN 1 TO 52 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR
(rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)) OR
(rightone(k+8) AND rightshiftff(4) AND NOT(rightshiftff(3))) OR
(rightone(k+12) AND rightshiftff(4) AND rightshiftff(3));
END GENERATE;
grc: FOR k IN 53 TO 56 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR
(rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3)) OR
(rightone(k+8) AND rightshiftff(4) AND NOT(rightshiftff(3)));
END GENERATE;
grd: FOR k IN 57 TO 60 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3))) OR
(rightone(k+4) AND NOT(rightshiftff(4)) AND rightshiftff(3));
END GENERATE;
gre: FOR k IN 61 TO 64 GENERATE
righttwo(k) <= (rightone(k) AND NOT(rightshiftff(4)) AND NOT(rightshiftff(3)));
END GENERATE;
grf: FOR k IN 1 TO 16 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR
(righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)) OR
(righttwo(k+32) AND rightshiftff(6) AND NOT(rightshiftff(5))) OR
(righttwo(k+48) AND rightshiftff(6) AND rightshiftff(5));
END GENERATE;
grg: FOR k IN 17 TO 32 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR
(righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5)) OR
(righttwo(k+32) AND rightshiftff(6) AND NOT(rightshiftff(5)));
END GENERATE;
grh: FOR k IN 33 TO 48 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5))) OR
(righttwo(k+16) AND NOT(rightshiftff(6)) AND rightshiftff(5));
END GENERATE;
gri: FOR k IN 49 TO 64 GENERATE
rightthree(k) <= (righttwo(k) AND NOT(rightshiftff(6)) AND NOT(rightshiftff(5)));
END GENERATE;
-- is rightshiftff < 64, otherwise right barrel shifter output will be masked out
smallrightshift <= NOT(rightshiftff(7) OR rightshiftff(8) OR rightshiftff(9) OR rightshiftff(10));
--******************************************
--*** TABLES - NO RESET, FORCE TO MEMORY ***
--******************************************
-- level: 4 in, 6 out
pla: PROCESS (sysclk)
BEGIN
IF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
addlutposff <= powerff(64 DOWNTO 55);
addlutnegff <= powerff(64 DOWNTO 55);
addluttwoff <= powerff(54 DOWNTO 45);
addlutthrff <= powerff(44 DOWNTO 35);
lutposmanff <= '1' & manpos & '0';
lutposexpff <= exppos;
lutnegmanff <= '1' & manneg & '0';
lutnegexpff <= expneg;
luttwomanff <= '1' & mantwo & '0';
luttwoexpff <= exptwo;
lutthrmanff <= '1' & manthr & '0';
END IF;
END IF;
END PROCESS;
declut: dp_explutpos
PORT MAP (add=>addlutposff,
manhi=>manpos(52 DOWNTO 29),manlo=>manpos(28 DOWNTO 1),exponent=>exppos);
neglut: dp_explutneg
PORT MAP (add=>addlutnegff,
manhi=>manneg(52 DOWNTO 29),manlo=>manneg(28 DOWNTO 1),exponent=>expneg);
frachilut: dp_explut10
PORT MAP (add=>addluttwoff,
manhi=>mantwo(52 DOWNTO 29),manlo=>mantwo(28 DOWNTO 1),exponent=>exptwo);
fraclolut: dp_explut20
PORT MAP (add=>addlutthrff,
manhi=>manthr(52 DOWNTO 29),manlo=>manthr(28 DOWNTO 1));
-- level: 6 in, 7 out
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
lutonemanff(k) <= '0';
luttwomandelff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
lutoneexpff(k) <= '0';
END LOOP;
luttwoexpdelff <= '0';
FOR k IN 1 TO (ranlatency-4) LOOP
expcalcff(k)(11 DOWNTO 1) <= "00000000000";
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
FOR k IN 1 TO 54 LOOP
lutonemanff(k) <= (lutposmanff(k) AND NOT(signff(6))) OR (lutnegmanff(k) AND signff(6));
END LOOP;
luttwomandelff <= luttwomanff;
FOR k IN 1 TO 11 LOOP
lutoneexpff(k) <= (lutposexpff(k) AND NOT(signff(6))) OR (lutnegexpff(k) AND signff(6));
END LOOP;
luttwoexpdelff <= luttwoexpff;
-- level: 8 in
-- SII: 19+2*doublespeed out
-- SII: 17+2*doublespeed out
expcalcff(1)(11 DOWNTO 1) <= lutoneexpff + ("0000000000" & luttwoexpdelff);
FOR k IN 2 TO (ranlatency-4) LOOP
expcalcff(k)(11 DOWNTO 1) <= expcalcff(k-1)(11 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
--**************************************
--*** PREDICT OVERFLOW AND UNDERFLOW ***
--**************************************
-- overflow or underflow if power > 709
-- overflow or underflow if power != 0 and explut = 0
powercheck <= powerff(65 DOWNTO 55) - "1011000110"; -- 710
-- level 4 in
-- SII: level 19+2 out
-- SIII/IV: level 17 out
ppca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO ranlatency LOOP
rangeff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
rangeff(1) <= bigexpff(2) OR NOT(powercheck(11));
-- exp(x) -> 0 or Inf, when abs(x)>=710 or has overflowed the left shifter
FOR k IN 2 TO (ranlatency-1) LOOP
rangeff(k) <= rangeff(k-1);
END LOOP;
rangeff(ranlatency) <= rangeff(ranlatency-1) AND NOT(signff(ranlatency+3));
-- overflow only if input x is large and positive, exp(x) -> Inf
END IF;
END IF;
END PROCESS;
--***********************
--*** TAYLOR's SERIES ***
--***********************
-- approximation : sequence = 1 + x + x^2/2 + x^3/6 + x^4/24
-- but x^3/6 term is about 62 bits down, so just try 1 + x + x^2/2
-- ('1' & zero) + (zero(21:1) & x(34:2)) + (zero(42:1) & square(72:61))
fraction <= powerff(34 DOWNTO 1) & "00";
-- level: 4 in, 7 out
mulsqr: fp_fxmul
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>12,
pipes=>3,device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>fraction,databb=>fraction,
result=>xsquareterm);
delfrac: fp_del
GENERIC MAP (width=>33,pipes=>3)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>powerff(34 DOWNTO 2),
cc=>xterm);
delthr: fp_del
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>lutthrmanff,
cc=>lutthrmandel);
-- level 8
pta: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
approxff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
approxff <= ('1' & zerovec(20 DOWNTO 1) & xterm) + (zerovec(42 DOWNTO 1) & xsquareterm);
END IF;
END IF;
END PROCESS;
--*************************************
--*** MULTIPLY ALL EXP(X) SUBRANGES ***
--*************************************
-- SII level in 7, level out 12+speed
-- SIII/IV level in 7, level out 11
mulone: fp_fxmul
GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72,
pipes=>mullatency,accuracy=>doubleaccuracy,
device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>lutonemanff,databb=>luttwomandelff,
result=>resultone);
-- SII level in 12+speed, level out 13+speed
-- SIII/IV level in 11, level out 12
delone: fp_del
GENERIC MAP (width=>54,pipes=>1)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>resultone(72 DOWNTO 19),
cc=>resultonedel);
-- SII level in 8, level out 13+speed
-- SIII/IV level in 8, level out 12
multwo: fp_fxmul
GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72,
pipes=>mullatency,accuracy=>doubleaccuracy,
device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>lutthrmandel,databb=>approxff,
result=>resulttwo);
-- SII level in 13+speed, level out 18+2*speed
-- SIII/IV level in 12, level out 16
multhr: fp_fxmul
GENERIC MAP (widthaa=>54,widthbb=>54,widthcc=>72,
pipes=>mullatency,accuracy=>doubleaccuracy,
device=>device,synthesize=>synthesize)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>resultonedel,databb=>resulttwo(72 DOWNTO 19),
result=>resultthr);
--************************
--*** NORMALIZE OUTPUT ***
--************************
pns: PROCESS (resultthr)
BEGIN
CASE resultthr(72 DOWNTO 69) IS
WHEN "0000" => normshift <= "11";
WHEN "0001" => normshift <= "11";
WHEN "0010" => normshift <= "10";
WHEN "0011" => normshift <= "10";
WHEN "0100" => normshift <= "01";
WHEN "0101" => normshift <= "01";
WHEN "0110" => normshift <= "01";
WHEN "0111" => normshift <= "01";
WHEN "1000" => normshift <= "00";
WHEN "1001" => normshift <= "00";
WHEN "1010" => normshift <= "00";
WHEN "1011" => normshift <= "00";
WHEN "1100" => normshift <= "00";
WHEN "1101" => normshift <= "00";
WHEN "1110" => normshift <= "00";
WHEN "1111" => normshift <= "00";
WHEN others => normshift <= "00";
END CASE;
END PROCESS;
pna: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 54 LOOP
manoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
expoutff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
-- SII level in 18+2*doublespeed, level out 19+2*doublespeed
-- SIII/IV level in 16, level out 17
FOR k IN 1 TO 54 LOOP
manoutff(k) <= (resultthr(k+18) AND NOT(normshift(2)) AND NOT(normshift(1))) OR
(resultthr(k+17) AND NOT(normshift(2)) AND normshift(1)) OR
(resultthr(k+16) AND normshift(2) AND NOT(normshift(1))) OR
(resultthr(k+15) AND normshift(2) AND normshift(1));
END LOOP;
FOR k IN 1 TO 11 LOOP
expoutff(k) <= expout(k) AND NOT(rangeff(ranlatency-1) AND signff(ranlatency+3));
END LOOP;
-- IEEE exponent field is set to zero when x = large negative, exp(x) -> 0
END IF;
END IF;
END PROCESS;
expout <= expcalcff(ranlatency-4)(11 DOWNTO 1) - ("000000000" & normshift) + "00000000011";
--***************
--*** OUTPUTS ***
--***************
ccman <= manoutff;
ccexp <= expoutff;
rangeerror <= rangeff(ranlatency);
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/hcc_rsftpipe32_sv.vhd
|
20
|
4318
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_RSFTPIPE32.VHD ***
--*** ***
--*** Function: Pipelined arithmetic right ***
--*** shift for a 32 bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_rsftpipe32 IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END hcc_rsftpipe32;
ARCHITECTURE rtl OF hcc_rsftpipe32 IS
signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal shiftff : STD_LOGIC;
signal levtwoff : STD_LOGIC_VECTOR (32 DOWNTO 1);
BEGIN
levzip <= inbus;
-- shift by 0,1,2,3
gaa: FOR k IN 1 TO 29 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k+1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k+2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k+3) AND shift(2) AND shift(1));
END GENERATE;
levone(30) <= (levzip(30) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(31) AND NOT(shift(2)) AND shift(1)) OR
(levzip(32) AND shift(2));
levone(31) <= (levzip(31) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(32) AND ((shift(2)) OR shift(1)));
levone(32) <= levzip(32);
-- shift by 0,4,8,12
gba: FOR k IN 1 TO 20 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k+8) AND shift(4) AND NOT(shift(3))) OR
(levone(k+12) AND shift(4) AND shift(3));
END GENERATE;
gbb: FOR k IN 21 TO 24 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k+8) AND shift(4) AND NOT(shift(3))) OR
(levone(32) AND shift(4) AND shift(3));
END GENERATE;
gbc: FOR k IN 25 TO 28 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(32) AND shift(4));
END GENERATE;
gbd: FOR k IN 29 TO 31 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(32) AND (shift(4) OR shift(3)));
END GENERATE;
levtwo(32) <= levone(32);
ppa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
shiftff <= '0';
FOR k IN 1 TO 32 LOOP
levtwoff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
shiftff <= shift(5);
levtwoff <= levtwo;
END IF;
END IF;
END PROCESS;
gca: FOR k IN 1 TO 16 GENERATE
levthr(k) <= (levtwoff(k) AND NOT(shiftff)) OR
(levtwoff(k+16) AND shiftff);
END GENERATE;
gcb: FOR k IN 17 TO 31 GENERATE
levthr(k) <= (levtwoff(k) AND NOT(shiftff)) OR
(levtwoff(32) AND shiftff);
END GENERATE;
levthr(32) <= levtwoff(32);
outbus <= levthr;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/dotProduct64_dut_prim_sv.vhd
|
10
|
186942
|
-----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 12.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2012 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from dotProduct64_dut_prim
-- VHDL created on Fri Oct 19 16:38:38 2012
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.hcc_package.all;
use work.math_package.all;
use work.fpc_library_package.all;
use work.dspba_library_package.all;
USE work.dotProduct64_safe_path.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
-- Text written from d:/SJ/nightly/12.1/173/w64/p4/ip/aion/src/mip_common/hw_model.cpp:1240
entity dotProduct64_dut_prim is
port (
valid_s : in std_logic_vector(0 downto 0);
channel_s : in std_logic_vector(7 downto 0);
datain_a_00 : in std_logic_vector(31 downto 0);
datain_a_01 : in std_logic_vector(31 downto 0);
datain_a_02 : in std_logic_vector(31 downto 0);
datain_a_03 : in std_logic_vector(31 downto 0);
datain_a_04 : in std_logic_vector(31 downto 0);
datain_a_05 : in std_logic_vector(31 downto 0);
datain_a_06 : in std_logic_vector(31 downto 0);
datain_a_07 : in std_logic_vector(31 downto 0);
datain_a_08 : in std_logic_vector(31 downto 0);
datain_a_09 : in std_logic_vector(31 downto 0);
datain_a_10 : in std_logic_vector(31 downto 0);
datain_a_11 : in std_logic_vector(31 downto 0);
datain_a_12 : in std_logic_vector(31 downto 0);
datain_a_13 : in std_logic_vector(31 downto 0);
datain_a_14 : in std_logic_vector(31 downto 0);
datain_a_15 : in std_logic_vector(31 downto 0);
datain_a_16 : in std_logic_vector(31 downto 0);
datain_a_17 : in std_logic_vector(31 downto 0);
datain_a_18 : in std_logic_vector(31 downto 0);
datain_a_19 : in std_logic_vector(31 downto 0);
datain_a_20 : in std_logic_vector(31 downto 0);
datain_a_21 : in std_logic_vector(31 downto 0);
datain_a_22 : in std_logic_vector(31 downto 0);
datain_a_23 : in std_logic_vector(31 downto 0);
datain_a_24 : in std_logic_vector(31 downto 0);
datain_a_25 : in std_logic_vector(31 downto 0);
datain_a_26 : in std_logic_vector(31 downto 0);
datain_a_27 : in std_logic_vector(31 downto 0);
datain_a_28 : in std_logic_vector(31 downto 0);
datain_a_29 : in std_logic_vector(31 downto 0);
datain_a_30 : in std_logic_vector(31 downto 0);
datain_a_31 : in std_logic_vector(31 downto 0);
datain_a_32 : in std_logic_vector(31 downto 0);
datain_a_33 : in std_logic_vector(31 downto 0);
datain_a_34 : in std_logic_vector(31 downto 0);
datain_a_35 : in std_logic_vector(31 downto 0);
datain_a_36 : in std_logic_vector(31 downto 0);
datain_a_37 : in std_logic_vector(31 downto 0);
datain_a_38 : in std_logic_vector(31 downto 0);
datain_a_39 : in std_logic_vector(31 downto 0);
datain_a_40 : in std_logic_vector(31 downto 0);
datain_a_41 : in std_logic_vector(31 downto 0);
datain_a_42 : in std_logic_vector(31 downto 0);
datain_a_43 : in std_logic_vector(31 downto 0);
datain_a_44 : in std_logic_vector(31 downto 0);
datain_a_45 : in std_logic_vector(31 downto 0);
datain_a_46 : in std_logic_vector(31 downto 0);
datain_a_47 : in std_logic_vector(31 downto 0);
datain_a_48 : in std_logic_vector(31 downto 0);
datain_a_49 : in std_logic_vector(31 downto 0);
datain_a_50 : in std_logic_vector(31 downto 0);
datain_a_51 : in std_logic_vector(31 downto 0);
datain_a_52 : in std_logic_vector(31 downto 0);
datain_a_53 : in std_logic_vector(31 downto 0);
datain_a_54 : in std_logic_vector(31 downto 0);
datain_a_55 : in std_logic_vector(31 downto 0);
datain_a_56 : in std_logic_vector(31 downto 0);
datain_a_57 : in std_logic_vector(31 downto 0);
datain_a_58 : in std_logic_vector(31 downto 0);
datain_a_59 : in std_logic_vector(31 downto 0);
datain_a_60 : in std_logic_vector(31 downto 0);
datain_a_61 : in std_logic_vector(31 downto 0);
datain_a_62 : in std_logic_vector(31 downto 0);
datain_a_63 : in std_logic_vector(31 downto 0);
datain_b_00 : in std_logic_vector(31 downto 0);
datain_b_01 : in std_logic_vector(31 downto 0);
datain_b_02 : in std_logic_vector(31 downto 0);
datain_b_03 : in std_logic_vector(31 downto 0);
datain_b_04 : in std_logic_vector(31 downto 0);
datain_b_05 : in std_logic_vector(31 downto 0);
datain_b_06 : in std_logic_vector(31 downto 0);
datain_b_07 : in std_logic_vector(31 downto 0);
datain_b_08 : in std_logic_vector(31 downto 0);
datain_b_09 : in std_logic_vector(31 downto 0);
datain_b_10 : in std_logic_vector(31 downto 0);
datain_b_11 : in std_logic_vector(31 downto 0);
datain_b_12 : in std_logic_vector(31 downto 0);
datain_b_13 : in std_logic_vector(31 downto 0);
datain_b_14 : in std_logic_vector(31 downto 0);
datain_b_15 : in std_logic_vector(31 downto 0);
datain_b_16 : in std_logic_vector(31 downto 0);
datain_b_17 : in std_logic_vector(31 downto 0);
datain_b_18 : in std_logic_vector(31 downto 0);
datain_b_19 : in std_logic_vector(31 downto 0);
datain_b_20 : in std_logic_vector(31 downto 0);
datain_b_21 : in std_logic_vector(31 downto 0);
datain_b_22 : in std_logic_vector(31 downto 0);
datain_b_23 : in std_logic_vector(31 downto 0);
datain_b_24 : in std_logic_vector(31 downto 0);
datain_b_25 : in std_logic_vector(31 downto 0);
datain_b_26 : in std_logic_vector(31 downto 0);
datain_b_27 : in std_logic_vector(31 downto 0);
datain_b_28 : in std_logic_vector(31 downto 0);
datain_b_29 : in std_logic_vector(31 downto 0);
datain_b_30 : in std_logic_vector(31 downto 0);
datain_b_31 : in std_logic_vector(31 downto 0);
datain_b_32 : in std_logic_vector(31 downto 0);
datain_b_33 : in std_logic_vector(31 downto 0);
datain_b_34 : in std_logic_vector(31 downto 0);
datain_b_35 : in std_logic_vector(31 downto 0);
datain_b_36 : in std_logic_vector(31 downto 0);
datain_b_37 : in std_logic_vector(31 downto 0);
datain_b_38 : in std_logic_vector(31 downto 0);
datain_b_39 : in std_logic_vector(31 downto 0);
datain_b_40 : in std_logic_vector(31 downto 0);
datain_b_41 : in std_logic_vector(31 downto 0);
datain_b_42 : in std_logic_vector(31 downto 0);
datain_b_43 : in std_logic_vector(31 downto 0);
datain_b_44 : in std_logic_vector(31 downto 0);
datain_b_45 : in std_logic_vector(31 downto 0);
datain_b_46 : in std_logic_vector(31 downto 0);
datain_b_47 : in std_logic_vector(31 downto 0);
datain_b_48 : in std_logic_vector(31 downto 0);
datain_b_49 : in std_logic_vector(31 downto 0);
datain_b_50 : in std_logic_vector(31 downto 0);
datain_b_51 : in std_logic_vector(31 downto 0);
datain_b_52 : in std_logic_vector(31 downto 0);
datain_b_53 : in std_logic_vector(31 downto 0);
datain_b_54 : in std_logic_vector(31 downto 0);
datain_b_55 : in std_logic_vector(31 downto 0);
datain_b_56 : in std_logic_vector(31 downto 0);
datain_b_57 : in std_logic_vector(31 downto 0);
datain_b_58 : in std_logic_vector(31 downto 0);
datain_b_59 : in std_logic_vector(31 downto 0);
datain_b_60 : in std_logic_vector(31 downto 0);
datain_b_61 : in std_logic_vector(31 downto 0);
datain_b_62 : in std_logic_vector(31 downto 0);
datain_b_63 : in std_logic_vector(31 downto 0);
vout_s : out std_logic_vector(0 downto 0);
cout_s : out std_logic_vector(7 downto 0);
dout_s : out std_logic_vector(31 downto 0);
clk : in std_logic;
areset : in std_logic;
h_areset : in std_logic
);
end;
architecture normal of dotProduct64_dut_prim is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal VCC_q : std_logic_vector (0 downto 0);
signal Mult_0_f_reset : std_logic;
signal Mult_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_0_f_a_real : REAL;
signal Mult_0_f_b_real : REAL;
signal Mult_0_f_q_real : REAL;
-- synopsys translate on
signal Mult_1_f_reset : std_logic;
signal Mult_1_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_1_f_a_real : REAL;
signal Mult_1_f_b_real : REAL;
signal Mult_1_f_q_real : REAL;
-- synopsys translate on
signal Mult_2_f_reset : std_logic;
signal Mult_2_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_2_f_a_real : REAL;
signal Mult_2_f_b_real : REAL;
signal Mult_2_f_q_real : REAL;
-- synopsys translate on
signal Mult_3_f_reset : std_logic;
signal Mult_3_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_3_f_a_real : REAL;
signal Mult_3_f_b_real : REAL;
signal Mult_3_f_q_real : REAL;
-- synopsys translate on
signal Mult_4_f_reset : std_logic;
signal Mult_4_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_4_f_a_real : REAL;
signal Mult_4_f_b_real : REAL;
signal Mult_4_f_q_real : REAL;
-- synopsys translate on
signal Mult_5_f_reset : std_logic;
signal Mult_5_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_5_f_a_real : REAL;
signal Mult_5_f_b_real : REAL;
signal Mult_5_f_q_real : REAL;
-- synopsys translate on
signal Mult_6_f_reset : std_logic;
signal Mult_6_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_6_f_a_real : REAL;
signal Mult_6_f_b_real : REAL;
signal Mult_6_f_q_real : REAL;
-- synopsys translate on
signal Mult_7_f_reset : std_logic;
signal Mult_7_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_7_f_a_real : REAL;
signal Mult_7_f_b_real : REAL;
signal Mult_7_f_q_real : REAL;
-- synopsys translate on
signal Mult_8_f_reset : std_logic;
signal Mult_8_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_8_f_a_real : REAL;
signal Mult_8_f_b_real : REAL;
signal Mult_8_f_q_real : REAL;
-- synopsys translate on
signal Mult_9_f_reset : std_logic;
signal Mult_9_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_9_f_a_real : REAL;
signal Mult_9_f_b_real : REAL;
signal Mult_9_f_q_real : REAL;
-- synopsys translate on
signal Mult_10_f_reset : std_logic;
signal Mult_10_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_10_f_a_real : REAL;
signal Mult_10_f_b_real : REAL;
signal Mult_10_f_q_real : REAL;
-- synopsys translate on
signal Mult_11_f_reset : std_logic;
signal Mult_11_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_11_f_a_real : REAL;
signal Mult_11_f_b_real : REAL;
signal Mult_11_f_q_real : REAL;
-- synopsys translate on
signal Mult_12_f_reset : std_logic;
signal Mult_12_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_12_f_a_real : REAL;
signal Mult_12_f_b_real : REAL;
signal Mult_12_f_q_real : REAL;
-- synopsys translate on
signal Mult_13_f_reset : std_logic;
signal Mult_13_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_13_f_a_real : REAL;
signal Mult_13_f_b_real : REAL;
signal Mult_13_f_q_real : REAL;
-- synopsys translate on
signal Mult_14_f_reset : std_logic;
signal Mult_14_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_14_f_a_real : REAL;
signal Mult_14_f_b_real : REAL;
signal Mult_14_f_q_real : REAL;
-- synopsys translate on
signal Mult_15_f_reset : std_logic;
signal Mult_15_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_15_f_a_real : REAL;
signal Mult_15_f_b_real : REAL;
signal Mult_15_f_q_real : REAL;
-- synopsys translate on
signal Mult_16_f_reset : std_logic;
signal Mult_16_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_16_f_a_real : REAL;
signal Mult_16_f_b_real : REAL;
signal Mult_16_f_q_real : REAL;
-- synopsys translate on
signal Mult_17_f_reset : std_logic;
signal Mult_17_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_17_f_a_real : REAL;
signal Mult_17_f_b_real : REAL;
signal Mult_17_f_q_real : REAL;
-- synopsys translate on
signal Mult_18_f_reset : std_logic;
signal Mult_18_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_18_f_a_real : REAL;
signal Mult_18_f_b_real : REAL;
signal Mult_18_f_q_real : REAL;
-- synopsys translate on
signal Mult_19_f_reset : std_logic;
signal Mult_19_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_19_f_a_real : REAL;
signal Mult_19_f_b_real : REAL;
signal Mult_19_f_q_real : REAL;
-- synopsys translate on
signal Mult_20_f_reset : std_logic;
signal Mult_20_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_20_f_a_real : REAL;
signal Mult_20_f_b_real : REAL;
signal Mult_20_f_q_real : REAL;
-- synopsys translate on
signal Mult_21_f_reset : std_logic;
signal Mult_21_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_21_f_a_real : REAL;
signal Mult_21_f_b_real : REAL;
signal Mult_21_f_q_real : REAL;
-- synopsys translate on
signal Mult_22_f_reset : std_logic;
signal Mult_22_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_22_f_a_real : REAL;
signal Mult_22_f_b_real : REAL;
signal Mult_22_f_q_real : REAL;
-- synopsys translate on
signal Mult_23_f_reset : std_logic;
signal Mult_23_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_23_f_a_real : REAL;
signal Mult_23_f_b_real : REAL;
signal Mult_23_f_q_real : REAL;
-- synopsys translate on
signal Mult_24_f_reset : std_logic;
signal Mult_24_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_24_f_a_real : REAL;
signal Mult_24_f_b_real : REAL;
signal Mult_24_f_q_real : REAL;
-- synopsys translate on
signal Mult_25_f_reset : std_logic;
signal Mult_25_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_25_f_a_real : REAL;
signal Mult_25_f_b_real : REAL;
signal Mult_25_f_q_real : REAL;
-- synopsys translate on
signal Mult_26_f_reset : std_logic;
signal Mult_26_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_26_f_a_real : REAL;
signal Mult_26_f_b_real : REAL;
signal Mult_26_f_q_real : REAL;
-- synopsys translate on
signal Mult_27_f_reset : std_logic;
signal Mult_27_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_27_f_a_real : REAL;
signal Mult_27_f_b_real : REAL;
signal Mult_27_f_q_real : REAL;
-- synopsys translate on
signal Mult_28_f_reset : std_logic;
signal Mult_28_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_28_f_a_real : REAL;
signal Mult_28_f_b_real : REAL;
signal Mult_28_f_q_real : REAL;
-- synopsys translate on
signal Mult_29_f_reset : std_logic;
signal Mult_29_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_29_f_a_real : REAL;
signal Mult_29_f_b_real : REAL;
signal Mult_29_f_q_real : REAL;
-- synopsys translate on
signal Mult_30_f_reset : std_logic;
signal Mult_30_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_30_f_a_real : REAL;
signal Mult_30_f_b_real : REAL;
signal Mult_30_f_q_real : REAL;
-- synopsys translate on
signal Mult_31_f_reset : std_logic;
signal Mult_31_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_31_f_a_real : REAL;
signal Mult_31_f_b_real : REAL;
signal Mult_31_f_q_real : REAL;
-- synopsys translate on
signal Mult_32_f_reset : std_logic;
signal Mult_32_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_32_f_a_real : REAL;
signal Mult_32_f_b_real : REAL;
signal Mult_32_f_q_real : REAL;
-- synopsys translate on
signal Mult_33_f_reset : std_logic;
signal Mult_33_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_33_f_a_real : REAL;
signal Mult_33_f_b_real : REAL;
signal Mult_33_f_q_real : REAL;
-- synopsys translate on
signal Mult_34_f_reset : std_logic;
signal Mult_34_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_34_f_a_real : REAL;
signal Mult_34_f_b_real : REAL;
signal Mult_34_f_q_real : REAL;
-- synopsys translate on
signal Mult_35_f_reset : std_logic;
signal Mult_35_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_35_f_a_real : REAL;
signal Mult_35_f_b_real : REAL;
signal Mult_35_f_q_real : REAL;
-- synopsys translate on
signal Mult_36_f_reset : std_logic;
signal Mult_36_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_36_f_a_real : REAL;
signal Mult_36_f_b_real : REAL;
signal Mult_36_f_q_real : REAL;
-- synopsys translate on
signal Mult_37_f_reset : std_logic;
signal Mult_37_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_37_f_a_real : REAL;
signal Mult_37_f_b_real : REAL;
signal Mult_37_f_q_real : REAL;
-- synopsys translate on
signal Mult_38_f_reset : std_logic;
signal Mult_38_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_38_f_a_real : REAL;
signal Mult_38_f_b_real : REAL;
signal Mult_38_f_q_real : REAL;
-- synopsys translate on
signal Mult_39_f_reset : std_logic;
signal Mult_39_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_39_f_a_real : REAL;
signal Mult_39_f_b_real : REAL;
signal Mult_39_f_q_real : REAL;
-- synopsys translate on
signal Mult_40_f_reset : std_logic;
signal Mult_40_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_40_f_a_real : REAL;
signal Mult_40_f_b_real : REAL;
signal Mult_40_f_q_real : REAL;
-- synopsys translate on
signal Mult_41_f_reset : std_logic;
signal Mult_41_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_41_f_a_real : REAL;
signal Mult_41_f_b_real : REAL;
signal Mult_41_f_q_real : REAL;
-- synopsys translate on
signal Mult_42_f_reset : std_logic;
signal Mult_42_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_42_f_a_real : REAL;
signal Mult_42_f_b_real : REAL;
signal Mult_42_f_q_real : REAL;
-- synopsys translate on
signal Mult_43_f_reset : std_logic;
signal Mult_43_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_43_f_a_real : REAL;
signal Mult_43_f_b_real : REAL;
signal Mult_43_f_q_real : REAL;
-- synopsys translate on
signal Mult_44_f_reset : std_logic;
signal Mult_44_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_44_f_a_real : REAL;
signal Mult_44_f_b_real : REAL;
signal Mult_44_f_q_real : REAL;
-- synopsys translate on
signal Mult_45_f_reset : std_logic;
signal Mult_45_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_45_f_a_real : REAL;
signal Mult_45_f_b_real : REAL;
signal Mult_45_f_q_real : REAL;
-- synopsys translate on
signal Mult_46_f_reset : std_logic;
signal Mult_46_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_46_f_a_real : REAL;
signal Mult_46_f_b_real : REAL;
signal Mult_46_f_q_real : REAL;
-- synopsys translate on
signal Mult_47_f_reset : std_logic;
signal Mult_47_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_47_f_a_real : REAL;
signal Mult_47_f_b_real : REAL;
signal Mult_47_f_q_real : REAL;
-- synopsys translate on
signal Mult_48_f_reset : std_logic;
signal Mult_48_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_48_f_a_real : REAL;
signal Mult_48_f_b_real : REAL;
signal Mult_48_f_q_real : REAL;
-- synopsys translate on
signal Mult_49_f_reset : std_logic;
signal Mult_49_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_49_f_a_real : REAL;
signal Mult_49_f_b_real : REAL;
signal Mult_49_f_q_real : REAL;
-- synopsys translate on
signal Mult_50_f_reset : std_logic;
signal Mult_50_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_50_f_a_real : REAL;
signal Mult_50_f_b_real : REAL;
signal Mult_50_f_q_real : REAL;
-- synopsys translate on
signal Mult_51_f_reset : std_logic;
signal Mult_51_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_51_f_a_real : REAL;
signal Mult_51_f_b_real : REAL;
signal Mult_51_f_q_real : REAL;
-- synopsys translate on
signal Mult_52_f_reset : std_logic;
signal Mult_52_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_52_f_a_real : REAL;
signal Mult_52_f_b_real : REAL;
signal Mult_52_f_q_real : REAL;
-- synopsys translate on
signal Mult_53_f_reset : std_logic;
signal Mult_53_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_53_f_a_real : REAL;
signal Mult_53_f_b_real : REAL;
signal Mult_53_f_q_real : REAL;
-- synopsys translate on
signal Mult_54_f_reset : std_logic;
signal Mult_54_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_54_f_a_real : REAL;
signal Mult_54_f_b_real : REAL;
signal Mult_54_f_q_real : REAL;
-- synopsys translate on
signal Mult_55_f_reset : std_logic;
signal Mult_55_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_55_f_a_real : REAL;
signal Mult_55_f_b_real : REAL;
signal Mult_55_f_q_real : REAL;
-- synopsys translate on
signal Mult_56_f_reset : std_logic;
signal Mult_56_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_56_f_a_real : REAL;
signal Mult_56_f_b_real : REAL;
signal Mult_56_f_q_real : REAL;
-- synopsys translate on
signal Mult_57_f_reset : std_logic;
signal Mult_57_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_57_f_a_real : REAL;
signal Mult_57_f_b_real : REAL;
signal Mult_57_f_q_real : REAL;
-- synopsys translate on
signal Mult_58_f_reset : std_logic;
signal Mult_58_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_58_f_a_real : REAL;
signal Mult_58_f_b_real : REAL;
signal Mult_58_f_q_real : REAL;
-- synopsys translate on
signal Mult_59_f_reset : std_logic;
signal Mult_59_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_59_f_a_real : REAL;
signal Mult_59_f_b_real : REAL;
signal Mult_59_f_q_real : REAL;
-- synopsys translate on
signal Mult_60_f_reset : std_logic;
signal Mult_60_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_60_f_a_real : REAL;
signal Mult_60_f_b_real : REAL;
signal Mult_60_f_q_real : REAL;
-- synopsys translate on
signal Mult_61_f_reset : std_logic;
signal Mult_61_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_61_f_a_real : REAL;
signal Mult_61_f_b_real : REAL;
signal Mult_61_f_q_real : REAL;
-- synopsys translate on
signal Mult_62_f_reset : std_logic;
signal Mult_62_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_62_f_a_real : REAL;
signal Mult_62_f_b_real : REAL;
signal Mult_62_f_q_real : REAL;
-- synopsys translate on
signal Mult_63_f_reset : std_logic;
signal Mult_63_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal Mult_63_f_a_real : REAL;
signal Mult_63_f_b_real : REAL;
signal Mult_63_f_q_real : REAL;
-- synopsys translate on
signal ChannelOut_2_cast_reset : std_logic;
signal ChannelOut_2_cast_a : std_logic_vector (44 downto 0);
signal ChannelOut_2_cast_q : std_logic_vector (31 downto 0);
-- synopsys translate off
signal ChannelOut_2_cast_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_0_f_reset : std_logic;
signal SumOfElements_0_0_add_0_0_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_0_f_a_real : REAL;
signal SumOfElements_0_0_add_0_0_f_b_real : REAL;
signal SumOfElements_0_0_add_0_0_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_0_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_0_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_1_f_reset : std_logic;
signal SumOfElements_0_0_add_0_1_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_1_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_1_f_a_real : REAL;
signal SumOfElements_0_0_add_0_1_f_b_real : REAL;
signal SumOfElements_0_0_add_0_1_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_1_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_1_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_2_f_reset : std_logic;
signal SumOfElements_0_0_add_0_2_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_2_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_2_f_a_real : REAL;
signal SumOfElements_0_0_add_0_2_f_b_real : REAL;
signal SumOfElements_0_0_add_0_2_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_2_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_2_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_3_f_reset : std_logic;
signal SumOfElements_0_0_add_0_3_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_3_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_3_f_a_real : REAL;
signal SumOfElements_0_0_add_0_3_f_b_real : REAL;
signal SumOfElements_0_0_add_0_3_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_3_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_3_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_4_f_reset : std_logic;
signal SumOfElements_0_0_add_0_4_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_4_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_4_f_a_real : REAL;
signal SumOfElements_0_0_add_0_4_f_b_real : REAL;
signal SumOfElements_0_0_add_0_4_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_4_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_4_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_5_f_reset : std_logic;
signal SumOfElements_0_0_add_0_5_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_5_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_5_f_a_real : REAL;
signal SumOfElements_0_0_add_0_5_f_b_real : REAL;
signal SumOfElements_0_0_add_0_5_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_5_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_5_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_6_f_reset : std_logic;
signal SumOfElements_0_0_add_0_6_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_6_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_6_f_a_real : REAL;
signal SumOfElements_0_0_add_0_6_f_b_real : REAL;
signal SumOfElements_0_0_add_0_6_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_6_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_6_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_7_f_reset : std_logic;
signal SumOfElements_0_0_add_0_7_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_7_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_7_f_a_real : REAL;
signal SumOfElements_0_0_add_0_7_f_b_real : REAL;
signal SumOfElements_0_0_add_0_7_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_7_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_7_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_8_f_reset : std_logic;
signal SumOfElements_0_0_add_0_8_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_8_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_8_f_a_real : REAL;
signal SumOfElements_0_0_add_0_8_f_b_real : REAL;
signal SumOfElements_0_0_add_0_8_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_8_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_8_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_9_f_reset : std_logic;
signal SumOfElements_0_0_add_0_9_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_9_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_9_f_a_real : REAL;
signal SumOfElements_0_0_add_0_9_f_b_real : REAL;
signal SumOfElements_0_0_add_0_9_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_9_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_9_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_10_f_reset : std_logic;
signal SumOfElements_0_0_add_0_10_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_10_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_10_f_a_real : REAL;
signal SumOfElements_0_0_add_0_10_f_b_real : REAL;
signal SumOfElements_0_0_add_0_10_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_10_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_10_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_11_f_reset : std_logic;
signal SumOfElements_0_0_add_0_11_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_11_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_11_f_a_real : REAL;
signal SumOfElements_0_0_add_0_11_f_b_real : REAL;
signal SumOfElements_0_0_add_0_11_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_11_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_11_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_12_f_reset : std_logic;
signal SumOfElements_0_0_add_0_12_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_12_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_12_f_a_real : REAL;
signal SumOfElements_0_0_add_0_12_f_b_real : REAL;
signal SumOfElements_0_0_add_0_12_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_12_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_12_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_13_f_reset : std_logic;
signal SumOfElements_0_0_add_0_13_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_13_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_13_f_a_real : REAL;
signal SumOfElements_0_0_add_0_13_f_b_real : REAL;
signal SumOfElements_0_0_add_0_13_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_13_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_13_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_14_f_reset : std_logic;
signal SumOfElements_0_0_add_0_14_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_14_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_14_f_a_real : REAL;
signal SumOfElements_0_0_add_0_14_f_b_real : REAL;
signal SumOfElements_0_0_add_0_14_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_14_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_14_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_15_f_reset : std_logic;
signal SumOfElements_0_0_add_0_15_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_15_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_15_f_a_real : REAL;
signal SumOfElements_0_0_add_0_15_f_b_real : REAL;
signal SumOfElements_0_0_add_0_15_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_15_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_15_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_16_f_reset : std_logic;
signal SumOfElements_0_0_add_0_16_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_16_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_16_f_a_real : REAL;
signal SumOfElements_0_0_add_0_16_f_b_real : REAL;
signal SumOfElements_0_0_add_0_16_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_16_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_16_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_17_f_reset : std_logic;
signal SumOfElements_0_0_add_0_17_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_17_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_17_f_a_real : REAL;
signal SumOfElements_0_0_add_0_17_f_b_real : REAL;
signal SumOfElements_0_0_add_0_17_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_17_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_17_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_18_f_reset : std_logic;
signal SumOfElements_0_0_add_0_18_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_18_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_18_f_a_real : REAL;
signal SumOfElements_0_0_add_0_18_f_b_real : REAL;
signal SumOfElements_0_0_add_0_18_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_18_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_18_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_19_f_reset : std_logic;
signal SumOfElements_0_0_add_0_19_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_19_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_19_f_a_real : REAL;
signal SumOfElements_0_0_add_0_19_f_b_real : REAL;
signal SumOfElements_0_0_add_0_19_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_19_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_19_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_20_f_reset : std_logic;
signal SumOfElements_0_0_add_0_20_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_20_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_20_f_a_real : REAL;
signal SumOfElements_0_0_add_0_20_f_b_real : REAL;
signal SumOfElements_0_0_add_0_20_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_20_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_20_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_21_f_reset : std_logic;
signal SumOfElements_0_0_add_0_21_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_21_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_21_f_a_real : REAL;
signal SumOfElements_0_0_add_0_21_f_b_real : REAL;
signal SumOfElements_0_0_add_0_21_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_21_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_21_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_22_f_reset : std_logic;
signal SumOfElements_0_0_add_0_22_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_22_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_22_f_a_real : REAL;
signal SumOfElements_0_0_add_0_22_f_b_real : REAL;
signal SumOfElements_0_0_add_0_22_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_22_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_22_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_23_f_reset : std_logic;
signal SumOfElements_0_0_add_0_23_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_23_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_23_f_a_real : REAL;
signal SumOfElements_0_0_add_0_23_f_b_real : REAL;
signal SumOfElements_0_0_add_0_23_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_23_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_23_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_24_f_reset : std_logic;
signal SumOfElements_0_0_add_0_24_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_24_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_24_f_a_real : REAL;
signal SumOfElements_0_0_add_0_24_f_b_real : REAL;
signal SumOfElements_0_0_add_0_24_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_24_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_24_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_25_f_reset : std_logic;
signal SumOfElements_0_0_add_0_25_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_25_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_25_f_a_real : REAL;
signal SumOfElements_0_0_add_0_25_f_b_real : REAL;
signal SumOfElements_0_0_add_0_25_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_25_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_25_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_26_f_reset : std_logic;
signal SumOfElements_0_0_add_0_26_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_26_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_26_f_a_real : REAL;
signal SumOfElements_0_0_add_0_26_f_b_real : REAL;
signal SumOfElements_0_0_add_0_26_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_26_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_26_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_27_f_reset : std_logic;
signal SumOfElements_0_0_add_0_27_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_27_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_27_f_a_real : REAL;
signal SumOfElements_0_0_add_0_27_f_b_real : REAL;
signal SumOfElements_0_0_add_0_27_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_27_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_27_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_28_f_reset : std_logic;
signal SumOfElements_0_0_add_0_28_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_28_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_28_f_a_real : REAL;
signal SumOfElements_0_0_add_0_28_f_b_real : REAL;
signal SumOfElements_0_0_add_0_28_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_28_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_28_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_29_f_reset : std_logic;
signal SumOfElements_0_0_add_0_29_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_29_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_29_f_a_real : REAL;
signal SumOfElements_0_0_add_0_29_f_b_real : REAL;
signal SumOfElements_0_0_add_0_29_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_29_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_29_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_30_f_reset : std_logic;
signal SumOfElements_0_0_add_0_30_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_30_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_30_f_a_real : REAL;
signal SumOfElements_0_0_add_0_30_f_b_real : REAL;
signal SumOfElements_0_0_add_0_30_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_30_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_30_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_31_f_reset : std_logic;
signal SumOfElements_0_0_add_0_31_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_31_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_0_31_f_a_real : REAL;
signal SumOfElements_0_0_add_0_31_f_b_real : REAL;
signal SumOfElements_0_0_add_0_31_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_0_31_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_0_31_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_0_f_reset : std_logic;
signal SumOfElements_0_0_add_1_0_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_0_f_a_real : REAL;
signal SumOfElements_0_0_add_1_0_f_b_real : REAL;
signal SumOfElements_0_0_add_1_0_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_0_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_0_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_1_f_reset : std_logic;
signal SumOfElements_0_0_add_1_1_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_1_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_1_f_a_real : REAL;
signal SumOfElements_0_0_add_1_1_f_b_real : REAL;
signal SumOfElements_0_0_add_1_1_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_1_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_1_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_2_f_reset : std_logic;
signal SumOfElements_0_0_add_1_2_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_2_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_2_f_a_real : REAL;
signal SumOfElements_0_0_add_1_2_f_b_real : REAL;
signal SumOfElements_0_0_add_1_2_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_2_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_2_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_3_f_reset : std_logic;
signal SumOfElements_0_0_add_1_3_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_3_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_3_f_a_real : REAL;
signal SumOfElements_0_0_add_1_3_f_b_real : REAL;
signal SumOfElements_0_0_add_1_3_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_3_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_3_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_4_f_reset : std_logic;
signal SumOfElements_0_0_add_1_4_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_4_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_4_f_a_real : REAL;
signal SumOfElements_0_0_add_1_4_f_b_real : REAL;
signal SumOfElements_0_0_add_1_4_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_4_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_4_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_5_f_reset : std_logic;
signal SumOfElements_0_0_add_1_5_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_5_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_5_f_a_real : REAL;
signal SumOfElements_0_0_add_1_5_f_b_real : REAL;
signal SumOfElements_0_0_add_1_5_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_5_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_5_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_6_f_reset : std_logic;
signal SumOfElements_0_0_add_1_6_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_6_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_6_f_a_real : REAL;
signal SumOfElements_0_0_add_1_6_f_b_real : REAL;
signal SumOfElements_0_0_add_1_6_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_6_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_6_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_7_f_reset : std_logic;
signal SumOfElements_0_0_add_1_7_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_7_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_7_f_a_real : REAL;
signal SumOfElements_0_0_add_1_7_f_b_real : REAL;
signal SumOfElements_0_0_add_1_7_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_7_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_7_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_8_f_reset : std_logic;
signal SumOfElements_0_0_add_1_8_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_8_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_8_f_a_real : REAL;
signal SumOfElements_0_0_add_1_8_f_b_real : REAL;
signal SumOfElements_0_0_add_1_8_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_8_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_8_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_9_f_reset : std_logic;
signal SumOfElements_0_0_add_1_9_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_9_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_9_f_a_real : REAL;
signal SumOfElements_0_0_add_1_9_f_b_real : REAL;
signal SumOfElements_0_0_add_1_9_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_9_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_9_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_10_f_reset : std_logic;
signal SumOfElements_0_0_add_1_10_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_10_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_10_f_a_real : REAL;
signal SumOfElements_0_0_add_1_10_f_b_real : REAL;
signal SumOfElements_0_0_add_1_10_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_10_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_10_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_11_f_reset : std_logic;
signal SumOfElements_0_0_add_1_11_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_11_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_11_f_a_real : REAL;
signal SumOfElements_0_0_add_1_11_f_b_real : REAL;
signal SumOfElements_0_0_add_1_11_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_11_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_11_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_12_f_reset : std_logic;
signal SumOfElements_0_0_add_1_12_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_12_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_12_f_a_real : REAL;
signal SumOfElements_0_0_add_1_12_f_b_real : REAL;
signal SumOfElements_0_0_add_1_12_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_12_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_12_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_13_f_reset : std_logic;
signal SumOfElements_0_0_add_1_13_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_13_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_13_f_a_real : REAL;
signal SumOfElements_0_0_add_1_13_f_b_real : REAL;
signal SumOfElements_0_0_add_1_13_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_13_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_13_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_14_f_reset : std_logic;
signal SumOfElements_0_0_add_1_14_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_14_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_14_f_a_real : REAL;
signal SumOfElements_0_0_add_1_14_f_b_real : REAL;
signal SumOfElements_0_0_add_1_14_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_14_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_14_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_15_f_reset : std_logic;
signal SumOfElements_0_0_add_1_15_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_15_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_1_15_f_a_real : REAL;
signal SumOfElements_0_0_add_1_15_f_b_real : REAL;
signal SumOfElements_0_0_add_1_15_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_1_15_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_1_15_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_0_f_reset : std_logic;
signal SumOfElements_0_0_add_2_0_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_0_f_a_real : REAL;
signal SumOfElements_0_0_add_2_0_f_b_real : REAL;
signal SumOfElements_0_0_add_2_0_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_0_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_0_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_1_f_reset : std_logic;
signal SumOfElements_0_0_add_2_1_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_1_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_1_f_a_real : REAL;
signal SumOfElements_0_0_add_2_1_f_b_real : REAL;
signal SumOfElements_0_0_add_2_1_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_1_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_1_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_2_f_reset : std_logic;
signal SumOfElements_0_0_add_2_2_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_2_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_2_f_a_real : REAL;
signal SumOfElements_0_0_add_2_2_f_b_real : REAL;
signal SumOfElements_0_0_add_2_2_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_2_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_2_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_3_f_reset : std_logic;
signal SumOfElements_0_0_add_2_3_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_3_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_3_f_a_real : REAL;
signal SumOfElements_0_0_add_2_3_f_b_real : REAL;
signal SumOfElements_0_0_add_2_3_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_3_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_3_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_4_f_reset : std_logic;
signal SumOfElements_0_0_add_2_4_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_4_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_4_f_a_real : REAL;
signal SumOfElements_0_0_add_2_4_f_b_real : REAL;
signal SumOfElements_0_0_add_2_4_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_4_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_4_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_5_f_reset : std_logic;
signal SumOfElements_0_0_add_2_5_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_5_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_5_f_a_real : REAL;
signal SumOfElements_0_0_add_2_5_f_b_real : REAL;
signal SumOfElements_0_0_add_2_5_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_5_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_5_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_6_f_reset : std_logic;
signal SumOfElements_0_0_add_2_6_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_6_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_6_f_a_real : REAL;
signal SumOfElements_0_0_add_2_6_f_b_real : REAL;
signal SumOfElements_0_0_add_2_6_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_6_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_6_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_7_f_reset : std_logic;
signal SumOfElements_0_0_add_2_7_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_7_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_2_7_f_a_real : REAL;
signal SumOfElements_0_0_add_2_7_f_b_real : REAL;
signal SumOfElements_0_0_add_2_7_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_2_7_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_2_7_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_0_f_reset : std_logic;
signal SumOfElements_0_0_add_3_0_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_0_f_a_real : REAL;
signal SumOfElements_0_0_add_3_0_f_b_real : REAL;
signal SumOfElements_0_0_add_3_0_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_0_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_0_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_1_f_reset : std_logic;
signal SumOfElements_0_0_add_3_1_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_1_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_1_f_a_real : REAL;
signal SumOfElements_0_0_add_3_1_f_b_real : REAL;
signal SumOfElements_0_0_add_3_1_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_1_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_1_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_2_f_reset : std_logic;
signal SumOfElements_0_0_add_3_2_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_2_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_2_f_a_real : REAL;
signal SumOfElements_0_0_add_3_2_f_b_real : REAL;
signal SumOfElements_0_0_add_3_2_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_2_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_2_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_3_f_reset : std_logic;
signal SumOfElements_0_0_add_3_3_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_3_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_3_f_a_real : REAL;
signal SumOfElements_0_0_add_3_3_f_b_real : REAL;
signal SumOfElements_0_0_add_3_3_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_3_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_3_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_4_0_f_reset : std_logic;
signal SumOfElements_0_0_add_4_0_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_4_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_4_0_f_a_real : REAL;
signal SumOfElements_0_0_add_4_0_f_b_real : REAL;
signal SumOfElements_0_0_add_4_0_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_4_0_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_4_0_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_4_1_f_reset : std_logic;
signal SumOfElements_0_0_add_4_1_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_4_1_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_4_1_f_a_real : REAL;
signal SumOfElements_0_0_add_4_1_f_b_real : REAL;
signal SumOfElements_0_0_add_4_1_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_4_1_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_4_1_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_5_0_f_reset : std_logic;
signal SumOfElements_0_0_add_5_0_f_add_sub : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_5_0_f_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_5_0_f_a_real : REAL;
signal SumOfElements_0_0_add_5_0_f_b_real : REAL;
signal SumOfElements_0_0_add_5_0_f_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_5_0_f_p : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_5_0_f_n : std_logic_vector (0 downto 0);
signal SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_reset : std_logic;
signal SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_reset : std_logic;
signal SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_reset : std_logic;
signal SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q_real : REAL;
-- synopsys translate on
signal SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_reset : std_logic;
signal SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q : std_logic_vector (44 downto 0);
-- synopsys translate off
signal SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q_real : REAL;
-- synopsys translate on
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_reset0 : std_logic;
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ir : std_logic_vector (0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_eq : std_logic;
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_mem_top_q : std_logic_vector (6 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_reset0 : std_logic;
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ir : std_logic_vector (7 downto 0);
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable_a : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable_q : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_a : std_logic_vector(6 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_b : std_logic_vector(6 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_q : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_a : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_b : std_logic_vector(0 downto 0);
signal ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_q : std_logic_vector(0 downto 0);
begin
--GND(CONSTANT,0)
--VCC(CONSTANT,1)
VCC_q <= "1";
--ChannelIn(PORTIN,2)@0
--Mult_63_f(FLOATMULT,265)@0
Mult_63_f_reset <= areset;
Mult_63_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_63_f_reset,
dataa => datain_a_63,
datab => datain_b_63,
result => Mult_63_f_q
);
-- synopsys translate off
Mult_63_f_a_real <= sIEEE_2_real(datain_a_63);
Mult_63_f_b_real <= sIEEE_2_real(datain_b_63);
Mult_63_f_q_real <= sInternalSM_2_real(Mult_63_f_q);
-- synopsys translate on
--Mult_62_f(FLOATMULT,264)@0
Mult_62_f_reset <= areset;
Mult_62_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_62_f_reset,
dataa => datain_a_62,
datab => datain_b_62,
result => Mult_62_f_q
);
-- synopsys translate off
Mult_62_f_a_real <= sIEEE_2_real(datain_a_62);
Mult_62_f_b_real <= sIEEE_2_real(datain_b_62);
Mult_62_f_q_real <= sInternalSM_2_real(Mult_62_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_31_f(FLOATADDSUB,330)@3
SumOfElements_0_0_add_0_31_f_reset <= areset;
SumOfElements_0_0_add_0_31_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_31_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_31_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_31_f_reset,
dataa => Mult_62_f_q,
datab => Mult_63_f_q,
result => SumOfElements_0_0_add_0_31_f_q
);
SumOfElements_0_0_add_0_31_f_p <= not SumOfElements_0_0_add_0_31_f_q(41 downto 41);
SumOfElements_0_0_add_0_31_f_n <= SumOfElements_0_0_add_0_31_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_31_f_a_real <= sInternalSM_2_real(Mult_62_f_q);
SumOfElements_0_0_add_0_31_f_b_real <= sInternalSM_2_real(Mult_63_f_q);
SumOfElements_0_0_add_0_31_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_31_f_q);
-- synopsys translate on
--Mult_61_f(FLOATMULT,263)@0
Mult_61_f_reset <= areset;
Mult_61_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_61_f_reset,
dataa => datain_a_61,
datab => datain_b_61,
result => Mult_61_f_q
);
-- synopsys translate off
Mult_61_f_a_real <= sIEEE_2_real(datain_a_61);
Mult_61_f_b_real <= sIEEE_2_real(datain_b_61);
Mult_61_f_q_real <= sInternalSM_2_real(Mult_61_f_q);
-- synopsys translate on
--Mult_60_f(FLOATMULT,262)@0
Mult_60_f_reset <= areset;
Mult_60_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_60_f_reset,
dataa => datain_a_60,
datab => datain_b_60,
result => Mult_60_f_q
);
-- synopsys translate off
Mult_60_f_a_real <= sIEEE_2_real(datain_a_60);
Mult_60_f_b_real <= sIEEE_2_real(datain_b_60);
Mult_60_f_q_real <= sInternalSM_2_real(Mult_60_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_30_f(FLOATADDSUB,328)@3
SumOfElements_0_0_add_0_30_f_reset <= areset;
SumOfElements_0_0_add_0_30_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_30_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_30_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_30_f_reset,
dataa => Mult_60_f_q,
datab => Mult_61_f_q,
result => SumOfElements_0_0_add_0_30_f_q
);
SumOfElements_0_0_add_0_30_f_p <= not SumOfElements_0_0_add_0_30_f_q(41 downto 41);
SumOfElements_0_0_add_0_30_f_n <= SumOfElements_0_0_add_0_30_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_30_f_a_real <= sInternalSM_2_real(Mult_60_f_q);
SumOfElements_0_0_add_0_30_f_b_real <= sInternalSM_2_real(Mult_61_f_q);
SumOfElements_0_0_add_0_30_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_30_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_15_f(FLOATADDSUB,362)@8
SumOfElements_0_0_add_1_15_f_reset <= areset;
SumOfElements_0_0_add_1_15_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_15_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_15_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_15_f_reset,
dataa => SumOfElements_0_0_add_0_30_f_q,
datab => SumOfElements_0_0_add_0_31_f_q,
result => SumOfElements_0_0_add_1_15_f_q
);
SumOfElements_0_0_add_1_15_f_p <= not SumOfElements_0_0_add_1_15_f_q(41 downto 41);
SumOfElements_0_0_add_1_15_f_n <= SumOfElements_0_0_add_1_15_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_15_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_30_f_q);
SumOfElements_0_0_add_1_15_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_31_f_q);
SumOfElements_0_0_add_1_15_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_15_f_q);
-- synopsys translate on
--Mult_59_f(FLOATMULT,261)@0
Mult_59_f_reset <= areset;
Mult_59_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_59_f_reset,
dataa => datain_a_59,
datab => datain_b_59,
result => Mult_59_f_q
);
-- synopsys translate off
Mult_59_f_a_real <= sIEEE_2_real(datain_a_59);
Mult_59_f_b_real <= sIEEE_2_real(datain_b_59);
Mult_59_f_q_real <= sInternalSM_2_real(Mult_59_f_q);
-- synopsys translate on
--Mult_58_f(FLOATMULT,260)@0
Mult_58_f_reset <= areset;
Mult_58_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_58_f_reset,
dataa => datain_a_58,
datab => datain_b_58,
result => Mult_58_f_q
);
-- synopsys translate off
Mult_58_f_a_real <= sIEEE_2_real(datain_a_58);
Mult_58_f_b_real <= sIEEE_2_real(datain_b_58);
Mult_58_f_q_real <= sInternalSM_2_real(Mult_58_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_29_f(FLOATADDSUB,326)@3
SumOfElements_0_0_add_0_29_f_reset <= areset;
SumOfElements_0_0_add_0_29_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_29_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_29_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_29_f_reset,
dataa => Mult_58_f_q,
datab => Mult_59_f_q,
result => SumOfElements_0_0_add_0_29_f_q
);
SumOfElements_0_0_add_0_29_f_p <= not SumOfElements_0_0_add_0_29_f_q(41 downto 41);
SumOfElements_0_0_add_0_29_f_n <= SumOfElements_0_0_add_0_29_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_29_f_a_real <= sInternalSM_2_real(Mult_58_f_q);
SumOfElements_0_0_add_0_29_f_b_real <= sInternalSM_2_real(Mult_59_f_q);
SumOfElements_0_0_add_0_29_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_29_f_q);
-- synopsys translate on
--Mult_57_f(FLOATMULT,259)@0
Mult_57_f_reset <= areset;
Mult_57_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_57_f_reset,
dataa => datain_a_57,
datab => datain_b_57,
result => Mult_57_f_q
);
-- synopsys translate off
Mult_57_f_a_real <= sIEEE_2_real(datain_a_57);
Mult_57_f_b_real <= sIEEE_2_real(datain_b_57);
Mult_57_f_q_real <= sInternalSM_2_real(Mult_57_f_q);
-- synopsys translate on
--Mult_56_f(FLOATMULT,258)@0
Mult_56_f_reset <= areset;
Mult_56_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_56_f_reset,
dataa => datain_a_56,
datab => datain_b_56,
result => Mult_56_f_q
);
-- synopsys translate off
Mult_56_f_a_real <= sIEEE_2_real(datain_a_56);
Mult_56_f_b_real <= sIEEE_2_real(datain_b_56);
Mult_56_f_q_real <= sInternalSM_2_real(Mult_56_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_28_f(FLOATADDSUB,324)@3
SumOfElements_0_0_add_0_28_f_reset <= areset;
SumOfElements_0_0_add_0_28_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_28_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_28_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_28_f_reset,
dataa => Mult_56_f_q,
datab => Mult_57_f_q,
result => SumOfElements_0_0_add_0_28_f_q
);
SumOfElements_0_0_add_0_28_f_p <= not SumOfElements_0_0_add_0_28_f_q(41 downto 41);
SumOfElements_0_0_add_0_28_f_n <= SumOfElements_0_0_add_0_28_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_28_f_a_real <= sInternalSM_2_real(Mult_56_f_q);
SumOfElements_0_0_add_0_28_f_b_real <= sInternalSM_2_real(Mult_57_f_q);
SumOfElements_0_0_add_0_28_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_28_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_14_f(FLOATADDSUB,360)@8
SumOfElements_0_0_add_1_14_f_reset <= areset;
SumOfElements_0_0_add_1_14_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_14_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_14_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_14_f_reset,
dataa => SumOfElements_0_0_add_0_28_f_q,
datab => SumOfElements_0_0_add_0_29_f_q,
result => SumOfElements_0_0_add_1_14_f_q
);
SumOfElements_0_0_add_1_14_f_p <= not SumOfElements_0_0_add_1_14_f_q(41 downto 41);
SumOfElements_0_0_add_1_14_f_n <= SumOfElements_0_0_add_1_14_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_14_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_28_f_q);
SumOfElements_0_0_add_1_14_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_29_f_q);
SumOfElements_0_0_add_1_14_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_14_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_7_f(FLOATADDSUB,378)@13
SumOfElements_0_0_add_2_7_f_reset <= areset;
SumOfElements_0_0_add_2_7_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_7_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_7_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_7_f_reset,
dataa => SumOfElements_0_0_add_1_14_f_q,
datab => SumOfElements_0_0_add_1_15_f_q,
result => SumOfElements_0_0_add_2_7_f_q
);
SumOfElements_0_0_add_2_7_f_p <= not SumOfElements_0_0_add_2_7_f_q(41 downto 41);
SumOfElements_0_0_add_2_7_f_n <= SumOfElements_0_0_add_2_7_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_7_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_14_f_q);
SumOfElements_0_0_add_2_7_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_15_f_q);
SumOfElements_0_0_add_2_7_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_7_f_q);
-- synopsys translate on
--Mult_55_f(FLOATMULT,257)@0
Mult_55_f_reset <= areset;
Mult_55_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_55_f_reset,
dataa => datain_a_55,
datab => datain_b_55,
result => Mult_55_f_q
);
-- synopsys translate off
Mult_55_f_a_real <= sIEEE_2_real(datain_a_55);
Mult_55_f_b_real <= sIEEE_2_real(datain_b_55);
Mult_55_f_q_real <= sInternalSM_2_real(Mult_55_f_q);
-- synopsys translate on
--Mult_54_f(FLOATMULT,256)@0
Mult_54_f_reset <= areset;
Mult_54_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_54_f_reset,
dataa => datain_a_54,
datab => datain_b_54,
result => Mult_54_f_q
);
-- synopsys translate off
Mult_54_f_a_real <= sIEEE_2_real(datain_a_54);
Mult_54_f_b_real <= sIEEE_2_real(datain_b_54);
Mult_54_f_q_real <= sInternalSM_2_real(Mult_54_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_27_f(FLOATADDSUB,322)@3
SumOfElements_0_0_add_0_27_f_reset <= areset;
SumOfElements_0_0_add_0_27_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_27_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_27_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_27_f_reset,
dataa => Mult_54_f_q,
datab => Mult_55_f_q,
result => SumOfElements_0_0_add_0_27_f_q
);
SumOfElements_0_0_add_0_27_f_p <= not SumOfElements_0_0_add_0_27_f_q(41 downto 41);
SumOfElements_0_0_add_0_27_f_n <= SumOfElements_0_0_add_0_27_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_27_f_a_real <= sInternalSM_2_real(Mult_54_f_q);
SumOfElements_0_0_add_0_27_f_b_real <= sInternalSM_2_real(Mult_55_f_q);
SumOfElements_0_0_add_0_27_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_27_f_q);
-- synopsys translate on
--Mult_53_f(FLOATMULT,255)@0
Mult_53_f_reset <= areset;
Mult_53_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_53_f_reset,
dataa => datain_a_53,
datab => datain_b_53,
result => Mult_53_f_q
);
-- synopsys translate off
Mult_53_f_a_real <= sIEEE_2_real(datain_a_53);
Mult_53_f_b_real <= sIEEE_2_real(datain_b_53);
Mult_53_f_q_real <= sInternalSM_2_real(Mult_53_f_q);
-- synopsys translate on
--Mult_52_f(FLOATMULT,254)@0
Mult_52_f_reset <= areset;
Mult_52_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_52_f_reset,
dataa => datain_a_52,
datab => datain_b_52,
result => Mult_52_f_q
);
-- synopsys translate off
Mult_52_f_a_real <= sIEEE_2_real(datain_a_52);
Mult_52_f_b_real <= sIEEE_2_real(datain_b_52);
Mult_52_f_q_real <= sInternalSM_2_real(Mult_52_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_26_f(FLOATADDSUB,320)@3
SumOfElements_0_0_add_0_26_f_reset <= areset;
SumOfElements_0_0_add_0_26_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_26_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_26_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_26_f_reset,
dataa => Mult_52_f_q,
datab => Mult_53_f_q,
result => SumOfElements_0_0_add_0_26_f_q
);
SumOfElements_0_0_add_0_26_f_p <= not SumOfElements_0_0_add_0_26_f_q(41 downto 41);
SumOfElements_0_0_add_0_26_f_n <= SumOfElements_0_0_add_0_26_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_26_f_a_real <= sInternalSM_2_real(Mult_52_f_q);
SumOfElements_0_0_add_0_26_f_b_real <= sInternalSM_2_real(Mult_53_f_q);
SumOfElements_0_0_add_0_26_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_26_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_13_f(FLOATADDSUB,358)@8
SumOfElements_0_0_add_1_13_f_reset <= areset;
SumOfElements_0_0_add_1_13_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_13_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_13_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_13_f_reset,
dataa => SumOfElements_0_0_add_0_26_f_q,
datab => SumOfElements_0_0_add_0_27_f_q,
result => SumOfElements_0_0_add_1_13_f_q
);
SumOfElements_0_0_add_1_13_f_p <= not SumOfElements_0_0_add_1_13_f_q(41 downto 41);
SumOfElements_0_0_add_1_13_f_n <= SumOfElements_0_0_add_1_13_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_13_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_26_f_q);
SumOfElements_0_0_add_1_13_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_27_f_q);
SumOfElements_0_0_add_1_13_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_13_f_q);
-- synopsys translate on
--Mult_51_f(FLOATMULT,253)@0
Mult_51_f_reset <= areset;
Mult_51_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_51_f_reset,
dataa => datain_a_51,
datab => datain_b_51,
result => Mult_51_f_q
);
-- synopsys translate off
Mult_51_f_a_real <= sIEEE_2_real(datain_a_51);
Mult_51_f_b_real <= sIEEE_2_real(datain_b_51);
Mult_51_f_q_real <= sInternalSM_2_real(Mult_51_f_q);
-- synopsys translate on
--Mult_50_f(FLOATMULT,252)@0
Mult_50_f_reset <= areset;
Mult_50_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_50_f_reset,
dataa => datain_a_50,
datab => datain_b_50,
result => Mult_50_f_q
);
-- synopsys translate off
Mult_50_f_a_real <= sIEEE_2_real(datain_a_50);
Mult_50_f_b_real <= sIEEE_2_real(datain_b_50);
Mult_50_f_q_real <= sInternalSM_2_real(Mult_50_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_25_f(FLOATADDSUB,318)@3
SumOfElements_0_0_add_0_25_f_reset <= areset;
SumOfElements_0_0_add_0_25_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_25_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_25_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_25_f_reset,
dataa => Mult_50_f_q,
datab => Mult_51_f_q,
result => SumOfElements_0_0_add_0_25_f_q
);
SumOfElements_0_0_add_0_25_f_p <= not SumOfElements_0_0_add_0_25_f_q(41 downto 41);
SumOfElements_0_0_add_0_25_f_n <= SumOfElements_0_0_add_0_25_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_25_f_a_real <= sInternalSM_2_real(Mult_50_f_q);
SumOfElements_0_0_add_0_25_f_b_real <= sInternalSM_2_real(Mult_51_f_q);
SumOfElements_0_0_add_0_25_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_25_f_q);
-- synopsys translate on
--Mult_49_f(FLOATMULT,251)@0
Mult_49_f_reset <= areset;
Mult_49_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_49_f_reset,
dataa => datain_a_49,
datab => datain_b_49,
result => Mult_49_f_q
);
-- synopsys translate off
Mult_49_f_a_real <= sIEEE_2_real(datain_a_49);
Mult_49_f_b_real <= sIEEE_2_real(datain_b_49);
Mult_49_f_q_real <= sInternalSM_2_real(Mult_49_f_q);
-- synopsys translate on
--Mult_48_f(FLOATMULT,250)@0
Mult_48_f_reset <= areset;
Mult_48_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_48_f_reset,
dataa => datain_a_48,
datab => datain_b_48,
result => Mult_48_f_q
);
-- synopsys translate off
Mult_48_f_a_real <= sIEEE_2_real(datain_a_48);
Mult_48_f_b_real <= sIEEE_2_real(datain_b_48);
Mult_48_f_q_real <= sInternalSM_2_real(Mult_48_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_24_f(FLOATADDSUB,316)@3
SumOfElements_0_0_add_0_24_f_reset <= areset;
SumOfElements_0_0_add_0_24_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_24_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_24_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_24_f_reset,
dataa => Mult_48_f_q,
datab => Mult_49_f_q,
result => SumOfElements_0_0_add_0_24_f_q
);
SumOfElements_0_0_add_0_24_f_p <= not SumOfElements_0_0_add_0_24_f_q(41 downto 41);
SumOfElements_0_0_add_0_24_f_n <= SumOfElements_0_0_add_0_24_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_24_f_a_real <= sInternalSM_2_real(Mult_48_f_q);
SumOfElements_0_0_add_0_24_f_b_real <= sInternalSM_2_real(Mult_49_f_q);
SumOfElements_0_0_add_0_24_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_24_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_12_f(FLOATADDSUB,356)@8
SumOfElements_0_0_add_1_12_f_reset <= areset;
SumOfElements_0_0_add_1_12_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_12_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_12_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_12_f_reset,
dataa => SumOfElements_0_0_add_0_24_f_q,
datab => SumOfElements_0_0_add_0_25_f_q,
result => SumOfElements_0_0_add_1_12_f_q
);
SumOfElements_0_0_add_1_12_f_p <= not SumOfElements_0_0_add_1_12_f_q(41 downto 41);
SumOfElements_0_0_add_1_12_f_n <= SumOfElements_0_0_add_1_12_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_12_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_24_f_q);
SumOfElements_0_0_add_1_12_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_25_f_q);
SumOfElements_0_0_add_1_12_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_12_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_6_f(FLOATADDSUB,376)@13
SumOfElements_0_0_add_2_6_f_reset <= areset;
SumOfElements_0_0_add_2_6_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_6_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_6_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_6_f_reset,
dataa => SumOfElements_0_0_add_1_12_f_q,
datab => SumOfElements_0_0_add_1_13_f_q,
result => SumOfElements_0_0_add_2_6_f_q
);
SumOfElements_0_0_add_2_6_f_p <= not SumOfElements_0_0_add_2_6_f_q(41 downto 41);
SumOfElements_0_0_add_2_6_f_n <= SumOfElements_0_0_add_2_6_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_6_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_12_f_q);
SumOfElements_0_0_add_2_6_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_13_f_q);
SumOfElements_0_0_add_2_6_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_6_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_3_f(FLOATADDSUB,386)@18
SumOfElements_0_0_add_3_3_f_reset <= areset;
SumOfElements_0_0_add_3_3_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_3_3_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_3_3_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_3_f_reset,
dataa => SumOfElements_0_0_add_2_6_f_q,
datab => SumOfElements_0_0_add_2_7_f_q,
result => SumOfElements_0_0_add_3_3_f_q
);
SumOfElements_0_0_add_3_3_f_p <= not SumOfElements_0_0_add_3_3_f_q(41 downto 41);
SumOfElements_0_0_add_3_3_f_n <= SumOfElements_0_0_add_3_3_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_3_3_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_2_6_f_q);
SumOfElements_0_0_add_3_3_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_2_7_f_q);
SumOfElements_0_0_add_3_3_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_3_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1((null),395)@23
SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_reset <= areset;
SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_inst : fp_norm_sInternal_2_sInternal
PORT MAP (
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_reset,
dataa => SumOfElements_0_0_add_3_3_f_q,
result => SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q
);
-- synopsys translate off
SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q);
-- synopsys translate on
--Mult_47_f(FLOATMULT,249)@0
Mult_47_f_reset <= areset;
Mult_47_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_47_f_reset,
dataa => datain_a_47,
datab => datain_b_47,
result => Mult_47_f_q
);
-- synopsys translate off
Mult_47_f_a_real <= sIEEE_2_real(datain_a_47);
Mult_47_f_b_real <= sIEEE_2_real(datain_b_47);
Mult_47_f_q_real <= sInternalSM_2_real(Mult_47_f_q);
-- synopsys translate on
--Mult_46_f(FLOATMULT,248)@0
Mult_46_f_reset <= areset;
Mult_46_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_46_f_reset,
dataa => datain_a_46,
datab => datain_b_46,
result => Mult_46_f_q
);
-- synopsys translate off
Mult_46_f_a_real <= sIEEE_2_real(datain_a_46);
Mult_46_f_b_real <= sIEEE_2_real(datain_b_46);
Mult_46_f_q_real <= sInternalSM_2_real(Mult_46_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_23_f(FLOATADDSUB,314)@3
SumOfElements_0_0_add_0_23_f_reset <= areset;
SumOfElements_0_0_add_0_23_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_23_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_23_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_23_f_reset,
dataa => Mult_46_f_q,
datab => Mult_47_f_q,
result => SumOfElements_0_0_add_0_23_f_q
);
SumOfElements_0_0_add_0_23_f_p <= not SumOfElements_0_0_add_0_23_f_q(41 downto 41);
SumOfElements_0_0_add_0_23_f_n <= SumOfElements_0_0_add_0_23_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_23_f_a_real <= sInternalSM_2_real(Mult_46_f_q);
SumOfElements_0_0_add_0_23_f_b_real <= sInternalSM_2_real(Mult_47_f_q);
SumOfElements_0_0_add_0_23_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_23_f_q);
-- synopsys translate on
--Mult_45_f(FLOATMULT,247)@0
Mult_45_f_reset <= areset;
Mult_45_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_45_f_reset,
dataa => datain_a_45,
datab => datain_b_45,
result => Mult_45_f_q
);
-- synopsys translate off
Mult_45_f_a_real <= sIEEE_2_real(datain_a_45);
Mult_45_f_b_real <= sIEEE_2_real(datain_b_45);
Mult_45_f_q_real <= sInternalSM_2_real(Mult_45_f_q);
-- synopsys translate on
--Mult_44_f(FLOATMULT,246)@0
Mult_44_f_reset <= areset;
Mult_44_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_44_f_reset,
dataa => datain_a_44,
datab => datain_b_44,
result => Mult_44_f_q
);
-- synopsys translate off
Mult_44_f_a_real <= sIEEE_2_real(datain_a_44);
Mult_44_f_b_real <= sIEEE_2_real(datain_b_44);
Mult_44_f_q_real <= sInternalSM_2_real(Mult_44_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_22_f(FLOATADDSUB,312)@3
SumOfElements_0_0_add_0_22_f_reset <= areset;
SumOfElements_0_0_add_0_22_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_22_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_22_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_22_f_reset,
dataa => Mult_44_f_q,
datab => Mult_45_f_q,
result => SumOfElements_0_0_add_0_22_f_q
);
SumOfElements_0_0_add_0_22_f_p <= not SumOfElements_0_0_add_0_22_f_q(41 downto 41);
SumOfElements_0_0_add_0_22_f_n <= SumOfElements_0_0_add_0_22_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_22_f_a_real <= sInternalSM_2_real(Mult_44_f_q);
SumOfElements_0_0_add_0_22_f_b_real <= sInternalSM_2_real(Mult_45_f_q);
SumOfElements_0_0_add_0_22_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_22_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_11_f(FLOATADDSUB,354)@8
SumOfElements_0_0_add_1_11_f_reset <= areset;
SumOfElements_0_0_add_1_11_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_11_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_11_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_11_f_reset,
dataa => SumOfElements_0_0_add_0_22_f_q,
datab => SumOfElements_0_0_add_0_23_f_q,
result => SumOfElements_0_0_add_1_11_f_q
);
SumOfElements_0_0_add_1_11_f_p <= not SumOfElements_0_0_add_1_11_f_q(41 downto 41);
SumOfElements_0_0_add_1_11_f_n <= SumOfElements_0_0_add_1_11_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_11_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_22_f_q);
SumOfElements_0_0_add_1_11_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_23_f_q);
SumOfElements_0_0_add_1_11_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_11_f_q);
-- synopsys translate on
--Mult_43_f(FLOATMULT,245)@0
Mult_43_f_reset <= areset;
Mult_43_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_43_f_reset,
dataa => datain_a_43,
datab => datain_b_43,
result => Mult_43_f_q
);
-- synopsys translate off
Mult_43_f_a_real <= sIEEE_2_real(datain_a_43);
Mult_43_f_b_real <= sIEEE_2_real(datain_b_43);
Mult_43_f_q_real <= sInternalSM_2_real(Mult_43_f_q);
-- synopsys translate on
--Mult_42_f(FLOATMULT,244)@0
Mult_42_f_reset <= areset;
Mult_42_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_42_f_reset,
dataa => datain_a_42,
datab => datain_b_42,
result => Mult_42_f_q
);
-- synopsys translate off
Mult_42_f_a_real <= sIEEE_2_real(datain_a_42);
Mult_42_f_b_real <= sIEEE_2_real(datain_b_42);
Mult_42_f_q_real <= sInternalSM_2_real(Mult_42_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_21_f(FLOATADDSUB,310)@3
SumOfElements_0_0_add_0_21_f_reset <= areset;
SumOfElements_0_0_add_0_21_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_21_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_21_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_21_f_reset,
dataa => Mult_42_f_q,
datab => Mult_43_f_q,
result => SumOfElements_0_0_add_0_21_f_q
);
SumOfElements_0_0_add_0_21_f_p <= not SumOfElements_0_0_add_0_21_f_q(41 downto 41);
SumOfElements_0_0_add_0_21_f_n <= SumOfElements_0_0_add_0_21_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_21_f_a_real <= sInternalSM_2_real(Mult_42_f_q);
SumOfElements_0_0_add_0_21_f_b_real <= sInternalSM_2_real(Mult_43_f_q);
SumOfElements_0_0_add_0_21_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_21_f_q);
-- synopsys translate on
--Mult_41_f(FLOATMULT,243)@0
Mult_41_f_reset <= areset;
Mult_41_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_41_f_reset,
dataa => datain_a_41,
datab => datain_b_41,
result => Mult_41_f_q
);
-- synopsys translate off
Mult_41_f_a_real <= sIEEE_2_real(datain_a_41);
Mult_41_f_b_real <= sIEEE_2_real(datain_b_41);
Mult_41_f_q_real <= sInternalSM_2_real(Mult_41_f_q);
-- synopsys translate on
--Mult_40_f(FLOATMULT,242)@0
Mult_40_f_reset <= areset;
Mult_40_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_40_f_reset,
dataa => datain_a_40,
datab => datain_b_40,
result => Mult_40_f_q
);
-- synopsys translate off
Mult_40_f_a_real <= sIEEE_2_real(datain_a_40);
Mult_40_f_b_real <= sIEEE_2_real(datain_b_40);
Mult_40_f_q_real <= sInternalSM_2_real(Mult_40_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_20_f(FLOATADDSUB,308)@3
SumOfElements_0_0_add_0_20_f_reset <= areset;
SumOfElements_0_0_add_0_20_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_20_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_20_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_20_f_reset,
dataa => Mult_40_f_q,
datab => Mult_41_f_q,
result => SumOfElements_0_0_add_0_20_f_q
);
SumOfElements_0_0_add_0_20_f_p <= not SumOfElements_0_0_add_0_20_f_q(41 downto 41);
SumOfElements_0_0_add_0_20_f_n <= SumOfElements_0_0_add_0_20_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_20_f_a_real <= sInternalSM_2_real(Mult_40_f_q);
SumOfElements_0_0_add_0_20_f_b_real <= sInternalSM_2_real(Mult_41_f_q);
SumOfElements_0_0_add_0_20_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_20_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_10_f(FLOATADDSUB,352)@8
SumOfElements_0_0_add_1_10_f_reset <= areset;
SumOfElements_0_0_add_1_10_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_10_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_10_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_10_f_reset,
dataa => SumOfElements_0_0_add_0_20_f_q,
datab => SumOfElements_0_0_add_0_21_f_q,
result => SumOfElements_0_0_add_1_10_f_q
);
SumOfElements_0_0_add_1_10_f_p <= not SumOfElements_0_0_add_1_10_f_q(41 downto 41);
SumOfElements_0_0_add_1_10_f_n <= SumOfElements_0_0_add_1_10_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_10_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_20_f_q);
SumOfElements_0_0_add_1_10_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_21_f_q);
SumOfElements_0_0_add_1_10_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_10_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_5_f(FLOATADDSUB,374)@13
SumOfElements_0_0_add_2_5_f_reset <= areset;
SumOfElements_0_0_add_2_5_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_5_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_5_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_5_f_reset,
dataa => SumOfElements_0_0_add_1_10_f_q,
datab => SumOfElements_0_0_add_1_11_f_q,
result => SumOfElements_0_0_add_2_5_f_q
);
SumOfElements_0_0_add_2_5_f_p <= not SumOfElements_0_0_add_2_5_f_q(41 downto 41);
SumOfElements_0_0_add_2_5_f_n <= SumOfElements_0_0_add_2_5_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_5_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_10_f_q);
SumOfElements_0_0_add_2_5_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_11_f_q);
SumOfElements_0_0_add_2_5_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_5_f_q);
-- synopsys translate on
--Mult_39_f(FLOATMULT,241)@0
Mult_39_f_reset <= areset;
Mult_39_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_39_f_reset,
dataa => datain_a_39,
datab => datain_b_39,
result => Mult_39_f_q
);
-- synopsys translate off
Mult_39_f_a_real <= sIEEE_2_real(datain_a_39);
Mult_39_f_b_real <= sIEEE_2_real(datain_b_39);
Mult_39_f_q_real <= sInternalSM_2_real(Mult_39_f_q);
-- synopsys translate on
--Mult_38_f(FLOATMULT,240)@0
Mult_38_f_reset <= areset;
Mult_38_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_38_f_reset,
dataa => datain_a_38,
datab => datain_b_38,
result => Mult_38_f_q
);
-- synopsys translate off
Mult_38_f_a_real <= sIEEE_2_real(datain_a_38);
Mult_38_f_b_real <= sIEEE_2_real(datain_b_38);
Mult_38_f_q_real <= sInternalSM_2_real(Mult_38_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_19_f(FLOATADDSUB,306)@3
SumOfElements_0_0_add_0_19_f_reset <= areset;
SumOfElements_0_0_add_0_19_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_19_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_19_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_19_f_reset,
dataa => Mult_38_f_q,
datab => Mult_39_f_q,
result => SumOfElements_0_0_add_0_19_f_q
);
SumOfElements_0_0_add_0_19_f_p <= not SumOfElements_0_0_add_0_19_f_q(41 downto 41);
SumOfElements_0_0_add_0_19_f_n <= SumOfElements_0_0_add_0_19_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_19_f_a_real <= sInternalSM_2_real(Mult_38_f_q);
SumOfElements_0_0_add_0_19_f_b_real <= sInternalSM_2_real(Mult_39_f_q);
SumOfElements_0_0_add_0_19_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_19_f_q);
-- synopsys translate on
--Mult_37_f(FLOATMULT,239)@0
Mult_37_f_reset <= areset;
Mult_37_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_37_f_reset,
dataa => datain_a_37,
datab => datain_b_37,
result => Mult_37_f_q
);
-- synopsys translate off
Mult_37_f_a_real <= sIEEE_2_real(datain_a_37);
Mult_37_f_b_real <= sIEEE_2_real(datain_b_37);
Mult_37_f_q_real <= sInternalSM_2_real(Mult_37_f_q);
-- synopsys translate on
--Mult_36_f(FLOATMULT,238)@0
Mult_36_f_reset <= areset;
Mult_36_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_36_f_reset,
dataa => datain_a_36,
datab => datain_b_36,
result => Mult_36_f_q
);
-- synopsys translate off
Mult_36_f_a_real <= sIEEE_2_real(datain_a_36);
Mult_36_f_b_real <= sIEEE_2_real(datain_b_36);
Mult_36_f_q_real <= sInternalSM_2_real(Mult_36_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_18_f(FLOATADDSUB,304)@3
SumOfElements_0_0_add_0_18_f_reset <= areset;
SumOfElements_0_0_add_0_18_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_18_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_18_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_18_f_reset,
dataa => Mult_36_f_q,
datab => Mult_37_f_q,
result => SumOfElements_0_0_add_0_18_f_q
);
SumOfElements_0_0_add_0_18_f_p <= not SumOfElements_0_0_add_0_18_f_q(41 downto 41);
SumOfElements_0_0_add_0_18_f_n <= SumOfElements_0_0_add_0_18_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_18_f_a_real <= sInternalSM_2_real(Mult_36_f_q);
SumOfElements_0_0_add_0_18_f_b_real <= sInternalSM_2_real(Mult_37_f_q);
SumOfElements_0_0_add_0_18_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_18_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_9_f(FLOATADDSUB,350)@8
SumOfElements_0_0_add_1_9_f_reset <= areset;
SumOfElements_0_0_add_1_9_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_9_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_9_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_9_f_reset,
dataa => SumOfElements_0_0_add_0_18_f_q,
datab => SumOfElements_0_0_add_0_19_f_q,
result => SumOfElements_0_0_add_1_9_f_q
);
SumOfElements_0_0_add_1_9_f_p <= not SumOfElements_0_0_add_1_9_f_q(41 downto 41);
SumOfElements_0_0_add_1_9_f_n <= SumOfElements_0_0_add_1_9_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_9_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_18_f_q);
SumOfElements_0_0_add_1_9_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_19_f_q);
SumOfElements_0_0_add_1_9_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_9_f_q);
-- synopsys translate on
--Mult_35_f(FLOATMULT,237)@0
Mult_35_f_reset <= areset;
Mult_35_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_35_f_reset,
dataa => datain_a_35,
datab => datain_b_35,
result => Mult_35_f_q
);
-- synopsys translate off
Mult_35_f_a_real <= sIEEE_2_real(datain_a_35);
Mult_35_f_b_real <= sIEEE_2_real(datain_b_35);
Mult_35_f_q_real <= sInternalSM_2_real(Mult_35_f_q);
-- synopsys translate on
--Mult_34_f(FLOATMULT,236)@0
Mult_34_f_reset <= areset;
Mult_34_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_34_f_reset,
dataa => datain_a_34,
datab => datain_b_34,
result => Mult_34_f_q
);
-- synopsys translate off
Mult_34_f_a_real <= sIEEE_2_real(datain_a_34);
Mult_34_f_b_real <= sIEEE_2_real(datain_b_34);
Mult_34_f_q_real <= sInternalSM_2_real(Mult_34_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_17_f(FLOATADDSUB,302)@3
SumOfElements_0_0_add_0_17_f_reset <= areset;
SumOfElements_0_0_add_0_17_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_17_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_17_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_17_f_reset,
dataa => Mult_34_f_q,
datab => Mult_35_f_q,
result => SumOfElements_0_0_add_0_17_f_q
);
SumOfElements_0_0_add_0_17_f_p <= not SumOfElements_0_0_add_0_17_f_q(41 downto 41);
SumOfElements_0_0_add_0_17_f_n <= SumOfElements_0_0_add_0_17_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_17_f_a_real <= sInternalSM_2_real(Mult_34_f_q);
SumOfElements_0_0_add_0_17_f_b_real <= sInternalSM_2_real(Mult_35_f_q);
SumOfElements_0_0_add_0_17_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_17_f_q);
-- synopsys translate on
--Mult_33_f(FLOATMULT,235)@0
Mult_33_f_reset <= areset;
Mult_33_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_33_f_reset,
dataa => datain_a_33,
datab => datain_b_33,
result => Mult_33_f_q
);
-- synopsys translate off
Mult_33_f_a_real <= sIEEE_2_real(datain_a_33);
Mult_33_f_b_real <= sIEEE_2_real(datain_b_33);
Mult_33_f_q_real <= sInternalSM_2_real(Mult_33_f_q);
-- synopsys translate on
--Mult_32_f(FLOATMULT,234)@0
Mult_32_f_reset <= areset;
Mult_32_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_32_f_reset,
dataa => datain_a_32,
datab => datain_b_32,
result => Mult_32_f_q
);
-- synopsys translate off
Mult_32_f_a_real <= sIEEE_2_real(datain_a_32);
Mult_32_f_b_real <= sIEEE_2_real(datain_b_32);
Mult_32_f_q_real <= sInternalSM_2_real(Mult_32_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_16_f(FLOATADDSUB,300)@3
SumOfElements_0_0_add_0_16_f_reset <= areset;
SumOfElements_0_0_add_0_16_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_16_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_16_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_16_f_reset,
dataa => Mult_32_f_q,
datab => Mult_33_f_q,
result => SumOfElements_0_0_add_0_16_f_q
);
SumOfElements_0_0_add_0_16_f_p <= not SumOfElements_0_0_add_0_16_f_q(41 downto 41);
SumOfElements_0_0_add_0_16_f_n <= SumOfElements_0_0_add_0_16_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_16_f_a_real <= sInternalSM_2_real(Mult_32_f_q);
SumOfElements_0_0_add_0_16_f_b_real <= sInternalSM_2_real(Mult_33_f_q);
SumOfElements_0_0_add_0_16_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_16_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_8_f(FLOATADDSUB,348)@8
SumOfElements_0_0_add_1_8_f_reset <= areset;
SumOfElements_0_0_add_1_8_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_8_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_8_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_8_f_reset,
dataa => SumOfElements_0_0_add_0_16_f_q,
datab => SumOfElements_0_0_add_0_17_f_q,
result => SumOfElements_0_0_add_1_8_f_q
);
SumOfElements_0_0_add_1_8_f_p <= not SumOfElements_0_0_add_1_8_f_q(41 downto 41);
SumOfElements_0_0_add_1_8_f_n <= SumOfElements_0_0_add_1_8_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_8_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_16_f_q);
SumOfElements_0_0_add_1_8_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_17_f_q);
SumOfElements_0_0_add_1_8_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_8_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_4_f(FLOATADDSUB,372)@13
SumOfElements_0_0_add_2_4_f_reset <= areset;
SumOfElements_0_0_add_2_4_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_4_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_4_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_4_f_reset,
dataa => SumOfElements_0_0_add_1_8_f_q,
datab => SumOfElements_0_0_add_1_9_f_q,
result => SumOfElements_0_0_add_2_4_f_q
);
SumOfElements_0_0_add_2_4_f_p <= not SumOfElements_0_0_add_2_4_f_q(41 downto 41);
SumOfElements_0_0_add_2_4_f_n <= SumOfElements_0_0_add_2_4_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_4_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_8_f_q);
SumOfElements_0_0_add_2_4_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_9_f_q);
SumOfElements_0_0_add_2_4_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_4_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_2_f(FLOATADDSUB,384)@18
SumOfElements_0_0_add_3_2_f_reset <= areset;
SumOfElements_0_0_add_3_2_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_3_2_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_3_2_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_2_f_reset,
dataa => SumOfElements_0_0_add_2_4_f_q,
datab => SumOfElements_0_0_add_2_5_f_q,
result => SumOfElements_0_0_add_3_2_f_q
);
SumOfElements_0_0_add_3_2_f_p <= not SumOfElements_0_0_add_3_2_f_q(41 downto 41);
SumOfElements_0_0_add_3_2_f_n <= SumOfElements_0_0_add_3_2_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_3_2_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_2_4_f_q);
SumOfElements_0_0_add_3_2_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_2_5_f_q);
SumOfElements_0_0_add_3_2_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_2_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0((null),396)@23
SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_reset <= areset;
SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_inst : fp_norm_sInternal_2_sInternal
PORT MAP (
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_reset,
dataa => SumOfElements_0_0_add_3_2_f_q,
result => SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q
);
-- synopsys translate off
SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q);
-- synopsys translate on
--SumOfElements_0_0_add_4_1_f(FLOATADDSUB,390)@28
SumOfElements_0_0_add_4_1_f_reset <= areset;
SumOfElements_0_0_add_4_1_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_4_1_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_4_1_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_4_1_f_reset,
dataa => SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q,
datab => SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q,
result => SumOfElements_0_0_add_4_1_f_q
);
SumOfElements_0_0_add_4_1_f_p <= not SumOfElements_0_0_add_4_1_f_q(41 downto 41);
SumOfElements_0_0_add_4_1_f_n <= SumOfElements_0_0_add_4_1_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_4_1_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_3_2_f_0_norm_SumOfElements_0_0_add_4_1_f_0_q);
SumOfElements_0_0_add_4_1_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_3_3_f_0_norm_SumOfElements_0_0_add_4_1_f_1_q);
SumOfElements_0_0_add_4_1_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_4_1_f_q);
-- synopsys translate on
--Mult_31_f(FLOATMULT,233)@0
Mult_31_f_reset <= areset;
Mult_31_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_31_f_reset,
dataa => datain_a_31,
datab => datain_b_31,
result => Mult_31_f_q
);
-- synopsys translate off
Mult_31_f_a_real <= sIEEE_2_real(datain_a_31);
Mult_31_f_b_real <= sIEEE_2_real(datain_b_31);
Mult_31_f_q_real <= sInternalSM_2_real(Mult_31_f_q);
-- synopsys translate on
--Mult_30_f(FLOATMULT,232)@0
Mult_30_f_reset <= areset;
Mult_30_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_30_f_reset,
dataa => datain_a_30,
datab => datain_b_30,
result => Mult_30_f_q
);
-- synopsys translate off
Mult_30_f_a_real <= sIEEE_2_real(datain_a_30);
Mult_30_f_b_real <= sIEEE_2_real(datain_b_30);
Mult_30_f_q_real <= sInternalSM_2_real(Mult_30_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_15_f(FLOATADDSUB,298)@3
SumOfElements_0_0_add_0_15_f_reset <= areset;
SumOfElements_0_0_add_0_15_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_15_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_15_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_15_f_reset,
dataa => Mult_30_f_q,
datab => Mult_31_f_q,
result => SumOfElements_0_0_add_0_15_f_q
);
SumOfElements_0_0_add_0_15_f_p <= not SumOfElements_0_0_add_0_15_f_q(41 downto 41);
SumOfElements_0_0_add_0_15_f_n <= SumOfElements_0_0_add_0_15_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_15_f_a_real <= sInternalSM_2_real(Mult_30_f_q);
SumOfElements_0_0_add_0_15_f_b_real <= sInternalSM_2_real(Mult_31_f_q);
SumOfElements_0_0_add_0_15_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_15_f_q);
-- synopsys translate on
--Mult_29_f(FLOATMULT,231)@0
Mult_29_f_reset <= areset;
Mult_29_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_29_f_reset,
dataa => datain_a_29,
datab => datain_b_29,
result => Mult_29_f_q
);
-- synopsys translate off
Mult_29_f_a_real <= sIEEE_2_real(datain_a_29);
Mult_29_f_b_real <= sIEEE_2_real(datain_b_29);
Mult_29_f_q_real <= sInternalSM_2_real(Mult_29_f_q);
-- synopsys translate on
--Mult_28_f(FLOATMULT,230)@0
Mult_28_f_reset <= areset;
Mult_28_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_28_f_reset,
dataa => datain_a_28,
datab => datain_b_28,
result => Mult_28_f_q
);
-- synopsys translate off
Mult_28_f_a_real <= sIEEE_2_real(datain_a_28);
Mult_28_f_b_real <= sIEEE_2_real(datain_b_28);
Mult_28_f_q_real <= sInternalSM_2_real(Mult_28_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_14_f(FLOATADDSUB,296)@3
SumOfElements_0_0_add_0_14_f_reset <= areset;
SumOfElements_0_0_add_0_14_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_14_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_14_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_14_f_reset,
dataa => Mult_28_f_q,
datab => Mult_29_f_q,
result => SumOfElements_0_0_add_0_14_f_q
);
SumOfElements_0_0_add_0_14_f_p <= not SumOfElements_0_0_add_0_14_f_q(41 downto 41);
SumOfElements_0_0_add_0_14_f_n <= SumOfElements_0_0_add_0_14_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_14_f_a_real <= sInternalSM_2_real(Mult_28_f_q);
SumOfElements_0_0_add_0_14_f_b_real <= sInternalSM_2_real(Mult_29_f_q);
SumOfElements_0_0_add_0_14_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_14_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_7_f(FLOATADDSUB,346)@8
SumOfElements_0_0_add_1_7_f_reset <= areset;
SumOfElements_0_0_add_1_7_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_7_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_7_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_7_f_reset,
dataa => SumOfElements_0_0_add_0_14_f_q,
datab => SumOfElements_0_0_add_0_15_f_q,
result => SumOfElements_0_0_add_1_7_f_q
);
SumOfElements_0_0_add_1_7_f_p <= not SumOfElements_0_0_add_1_7_f_q(41 downto 41);
SumOfElements_0_0_add_1_7_f_n <= SumOfElements_0_0_add_1_7_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_7_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_14_f_q);
SumOfElements_0_0_add_1_7_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_15_f_q);
SumOfElements_0_0_add_1_7_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_7_f_q);
-- synopsys translate on
--Mult_27_f(FLOATMULT,229)@0
Mult_27_f_reset <= areset;
Mult_27_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_27_f_reset,
dataa => datain_a_27,
datab => datain_b_27,
result => Mult_27_f_q
);
-- synopsys translate off
Mult_27_f_a_real <= sIEEE_2_real(datain_a_27);
Mult_27_f_b_real <= sIEEE_2_real(datain_b_27);
Mult_27_f_q_real <= sInternalSM_2_real(Mult_27_f_q);
-- synopsys translate on
--Mult_26_f(FLOATMULT,228)@0
Mult_26_f_reset <= areset;
Mult_26_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_26_f_reset,
dataa => datain_a_26,
datab => datain_b_26,
result => Mult_26_f_q
);
-- synopsys translate off
Mult_26_f_a_real <= sIEEE_2_real(datain_a_26);
Mult_26_f_b_real <= sIEEE_2_real(datain_b_26);
Mult_26_f_q_real <= sInternalSM_2_real(Mult_26_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_13_f(FLOATADDSUB,294)@3
SumOfElements_0_0_add_0_13_f_reset <= areset;
SumOfElements_0_0_add_0_13_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_13_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_13_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_13_f_reset,
dataa => Mult_26_f_q,
datab => Mult_27_f_q,
result => SumOfElements_0_0_add_0_13_f_q
);
SumOfElements_0_0_add_0_13_f_p <= not SumOfElements_0_0_add_0_13_f_q(41 downto 41);
SumOfElements_0_0_add_0_13_f_n <= SumOfElements_0_0_add_0_13_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_13_f_a_real <= sInternalSM_2_real(Mult_26_f_q);
SumOfElements_0_0_add_0_13_f_b_real <= sInternalSM_2_real(Mult_27_f_q);
SumOfElements_0_0_add_0_13_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_13_f_q);
-- synopsys translate on
--Mult_25_f(FLOATMULT,227)@0
Mult_25_f_reset <= areset;
Mult_25_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_25_f_reset,
dataa => datain_a_25,
datab => datain_b_25,
result => Mult_25_f_q
);
-- synopsys translate off
Mult_25_f_a_real <= sIEEE_2_real(datain_a_25);
Mult_25_f_b_real <= sIEEE_2_real(datain_b_25);
Mult_25_f_q_real <= sInternalSM_2_real(Mult_25_f_q);
-- synopsys translate on
--Mult_24_f(FLOATMULT,226)@0
Mult_24_f_reset <= areset;
Mult_24_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_24_f_reset,
dataa => datain_a_24,
datab => datain_b_24,
result => Mult_24_f_q
);
-- synopsys translate off
Mult_24_f_a_real <= sIEEE_2_real(datain_a_24);
Mult_24_f_b_real <= sIEEE_2_real(datain_b_24);
Mult_24_f_q_real <= sInternalSM_2_real(Mult_24_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_12_f(FLOATADDSUB,292)@3
SumOfElements_0_0_add_0_12_f_reset <= areset;
SumOfElements_0_0_add_0_12_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_12_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_12_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_12_f_reset,
dataa => Mult_24_f_q,
datab => Mult_25_f_q,
result => SumOfElements_0_0_add_0_12_f_q
);
SumOfElements_0_0_add_0_12_f_p <= not SumOfElements_0_0_add_0_12_f_q(41 downto 41);
SumOfElements_0_0_add_0_12_f_n <= SumOfElements_0_0_add_0_12_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_12_f_a_real <= sInternalSM_2_real(Mult_24_f_q);
SumOfElements_0_0_add_0_12_f_b_real <= sInternalSM_2_real(Mult_25_f_q);
SumOfElements_0_0_add_0_12_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_12_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_6_f(FLOATADDSUB,344)@8
SumOfElements_0_0_add_1_6_f_reset <= areset;
SumOfElements_0_0_add_1_6_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_6_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_6_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_6_f_reset,
dataa => SumOfElements_0_0_add_0_12_f_q,
datab => SumOfElements_0_0_add_0_13_f_q,
result => SumOfElements_0_0_add_1_6_f_q
);
SumOfElements_0_0_add_1_6_f_p <= not SumOfElements_0_0_add_1_6_f_q(41 downto 41);
SumOfElements_0_0_add_1_6_f_n <= SumOfElements_0_0_add_1_6_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_6_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_12_f_q);
SumOfElements_0_0_add_1_6_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_13_f_q);
SumOfElements_0_0_add_1_6_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_6_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_3_f(FLOATADDSUB,370)@13
SumOfElements_0_0_add_2_3_f_reset <= areset;
SumOfElements_0_0_add_2_3_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_3_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_3_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_3_f_reset,
dataa => SumOfElements_0_0_add_1_6_f_q,
datab => SumOfElements_0_0_add_1_7_f_q,
result => SumOfElements_0_0_add_2_3_f_q
);
SumOfElements_0_0_add_2_3_f_p <= not SumOfElements_0_0_add_2_3_f_q(41 downto 41);
SumOfElements_0_0_add_2_3_f_n <= SumOfElements_0_0_add_2_3_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_3_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_6_f_q);
SumOfElements_0_0_add_2_3_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_7_f_q);
SumOfElements_0_0_add_2_3_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_3_f_q);
-- synopsys translate on
--Mult_23_f(FLOATMULT,225)@0
Mult_23_f_reset <= areset;
Mult_23_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_23_f_reset,
dataa => datain_a_23,
datab => datain_b_23,
result => Mult_23_f_q
);
-- synopsys translate off
Mult_23_f_a_real <= sIEEE_2_real(datain_a_23);
Mult_23_f_b_real <= sIEEE_2_real(datain_b_23);
Mult_23_f_q_real <= sInternalSM_2_real(Mult_23_f_q);
-- synopsys translate on
--Mult_22_f(FLOATMULT,224)@0
Mult_22_f_reset <= areset;
Mult_22_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_22_f_reset,
dataa => datain_a_22,
datab => datain_b_22,
result => Mult_22_f_q
);
-- synopsys translate off
Mult_22_f_a_real <= sIEEE_2_real(datain_a_22);
Mult_22_f_b_real <= sIEEE_2_real(datain_b_22);
Mult_22_f_q_real <= sInternalSM_2_real(Mult_22_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_11_f(FLOATADDSUB,290)@3
SumOfElements_0_0_add_0_11_f_reset <= areset;
SumOfElements_0_0_add_0_11_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_11_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_11_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_11_f_reset,
dataa => Mult_22_f_q,
datab => Mult_23_f_q,
result => SumOfElements_0_0_add_0_11_f_q
);
SumOfElements_0_0_add_0_11_f_p <= not SumOfElements_0_0_add_0_11_f_q(41 downto 41);
SumOfElements_0_0_add_0_11_f_n <= SumOfElements_0_0_add_0_11_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_11_f_a_real <= sInternalSM_2_real(Mult_22_f_q);
SumOfElements_0_0_add_0_11_f_b_real <= sInternalSM_2_real(Mult_23_f_q);
SumOfElements_0_0_add_0_11_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_11_f_q);
-- synopsys translate on
--Mult_21_f(FLOATMULT,223)@0
Mult_21_f_reset <= areset;
Mult_21_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_21_f_reset,
dataa => datain_a_21,
datab => datain_b_21,
result => Mult_21_f_q
);
-- synopsys translate off
Mult_21_f_a_real <= sIEEE_2_real(datain_a_21);
Mult_21_f_b_real <= sIEEE_2_real(datain_b_21);
Mult_21_f_q_real <= sInternalSM_2_real(Mult_21_f_q);
-- synopsys translate on
--Mult_20_f(FLOATMULT,222)@0
Mult_20_f_reset <= areset;
Mult_20_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_20_f_reset,
dataa => datain_a_20,
datab => datain_b_20,
result => Mult_20_f_q
);
-- synopsys translate off
Mult_20_f_a_real <= sIEEE_2_real(datain_a_20);
Mult_20_f_b_real <= sIEEE_2_real(datain_b_20);
Mult_20_f_q_real <= sInternalSM_2_real(Mult_20_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_10_f(FLOATADDSUB,288)@3
SumOfElements_0_0_add_0_10_f_reset <= areset;
SumOfElements_0_0_add_0_10_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_10_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_10_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_10_f_reset,
dataa => Mult_20_f_q,
datab => Mult_21_f_q,
result => SumOfElements_0_0_add_0_10_f_q
);
SumOfElements_0_0_add_0_10_f_p <= not SumOfElements_0_0_add_0_10_f_q(41 downto 41);
SumOfElements_0_0_add_0_10_f_n <= SumOfElements_0_0_add_0_10_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_10_f_a_real <= sInternalSM_2_real(Mult_20_f_q);
SumOfElements_0_0_add_0_10_f_b_real <= sInternalSM_2_real(Mult_21_f_q);
SumOfElements_0_0_add_0_10_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_10_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_5_f(FLOATADDSUB,342)@8
SumOfElements_0_0_add_1_5_f_reset <= areset;
SumOfElements_0_0_add_1_5_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_5_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_5_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_5_f_reset,
dataa => SumOfElements_0_0_add_0_10_f_q,
datab => SumOfElements_0_0_add_0_11_f_q,
result => SumOfElements_0_0_add_1_5_f_q
);
SumOfElements_0_0_add_1_5_f_p <= not SumOfElements_0_0_add_1_5_f_q(41 downto 41);
SumOfElements_0_0_add_1_5_f_n <= SumOfElements_0_0_add_1_5_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_5_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_10_f_q);
SumOfElements_0_0_add_1_5_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_11_f_q);
SumOfElements_0_0_add_1_5_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_5_f_q);
-- synopsys translate on
--Mult_19_f(FLOATMULT,221)@0
Mult_19_f_reset <= areset;
Mult_19_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_19_f_reset,
dataa => datain_a_19,
datab => datain_b_19,
result => Mult_19_f_q
);
-- synopsys translate off
Mult_19_f_a_real <= sIEEE_2_real(datain_a_19);
Mult_19_f_b_real <= sIEEE_2_real(datain_b_19);
Mult_19_f_q_real <= sInternalSM_2_real(Mult_19_f_q);
-- synopsys translate on
--Mult_18_f(FLOATMULT,220)@0
Mult_18_f_reset <= areset;
Mult_18_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_18_f_reset,
dataa => datain_a_18,
datab => datain_b_18,
result => Mult_18_f_q
);
-- synopsys translate off
Mult_18_f_a_real <= sIEEE_2_real(datain_a_18);
Mult_18_f_b_real <= sIEEE_2_real(datain_b_18);
Mult_18_f_q_real <= sInternalSM_2_real(Mult_18_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_9_f(FLOATADDSUB,286)@3
SumOfElements_0_0_add_0_9_f_reset <= areset;
SumOfElements_0_0_add_0_9_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_9_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_9_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_9_f_reset,
dataa => Mult_18_f_q,
datab => Mult_19_f_q,
result => SumOfElements_0_0_add_0_9_f_q
);
SumOfElements_0_0_add_0_9_f_p <= not SumOfElements_0_0_add_0_9_f_q(41 downto 41);
SumOfElements_0_0_add_0_9_f_n <= SumOfElements_0_0_add_0_9_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_9_f_a_real <= sInternalSM_2_real(Mult_18_f_q);
SumOfElements_0_0_add_0_9_f_b_real <= sInternalSM_2_real(Mult_19_f_q);
SumOfElements_0_0_add_0_9_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_9_f_q);
-- synopsys translate on
--Mult_17_f(FLOATMULT,219)@0
Mult_17_f_reset <= areset;
Mult_17_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_17_f_reset,
dataa => datain_a_17,
datab => datain_b_17,
result => Mult_17_f_q
);
-- synopsys translate off
Mult_17_f_a_real <= sIEEE_2_real(datain_a_17);
Mult_17_f_b_real <= sIEEE_2_real(datain_b_17);
Mult_17_f_q_real <= sInternalSM_2_real(Mult_17_f_q);
-- synopsys translate on
--Mult_16_f(FLOATMULT,218)@0
Mult_16_f_reset <= areset;
Mult_16_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_16_f_reset,
dataa => datain_a_16,
datab => datain_b_16,
result => Mult_16_f_q
);
-- synopsys translate off
Mult_16_f_a_real <= sIEEE_2_real(datain_a_16);
Mult_16_f_b_real <= sIEEE_2_real(datain_b_16);
Mult_16_f_q_real <= sInternalSM_2_real(Mult_16_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_8_f(FLOATADDSUB,284)@3
SumOfElements_0_0_add_0_8_f_reset <= areset;
SumOfElements_0_0_add_0_8_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_8_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_8_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_8_f_reset,
dataa => Mult_16_f_q,
datab => Mult_17_f_q,
result => SumOfElements_0_0_add_0_8_f_q
);
SumOfElements_0_0_add_0_8_f_p <= not SumOfElements_0_0_add_0_8_f_q(41 downto 41);
SumOfElements_0_0_add_0_8_f_n <= SumOfElements_0_0_add_0_8_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_8_f_a_real <= sInternalSM_2_real(Mult_16_f_q);
SumOfElements_0_0_add_0_8_f_b_real <= sInternalSM_2_real(Mult_17_f_q);
SumOfElements_0_0_add_0_8_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_8_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_4_f(FLOATADDSUB,340)@8
SumOfElements_0_0_add_1_4_f_reset <= areset;
SumOfElements_0_0_add_1_4_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_4_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_4_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_4_f_reset,
dataa => SumOfElements_0_0_add_0_8_f_q,
datab => SumOfElements_0_0_add_0_9_f_q,
result => SumOfElements_0_0_add_1_4_f_q
);
SumOfElements_0_0_add_1_4_f_p <= not SumOfElements_0_0_add_1_4_f_q(41 downto 41);
SumOfElements_0_0_add_1_4_f_n <= SumOfElements_0_0_add_1_4_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_4_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_8_f_q);
SumOfElements_0_0_add_1_4_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_9_f_q);
SumOfElements_0_0_add_1_4_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_4_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_2_f(FLOATADDSUB,368)@13
SumOfElements_0_0_add_2_2_f_reset <= areset;
SumOfElements_0_0_add_2_2_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_2_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_2_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_2_f_reset,
dataa => SumOfElements_0_0_add_1_4_f_q,
datab => SumOfElements_0_0_add_1_5_f_q,
result => SumOfElements_0_0_add_2_2_f_q
);
SumOfElements_0_0_add_2_2_f_p <= not SumOfElements_0_0_add_2_2_f_q(41 downto 41);
SumOfElements_0_0_add_2_2_f_n <= SumOfElements_0_0_add_2_2_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_2_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_4_f_q);
SumOfElements_0_0_add_2_2_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_5_f_q);
SumOfElements_0_0_add_2_2_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_2_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_1_f(FLOATADDSUB,382)@18
SumOfElements_0_0_add_3_1_f_reset <= areset;
SumOfElements_0_0_add_3_1_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_3_1_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_3_1_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_1_f_reset,
dataa => SumOfElements_0_0_add_2_2_f_q,
datab => SumOfElements_0_0_add_2_3_f_q,
result => SumOfElements_0_0_add_3_1_f_q
);
SumOfElements_0_0_add_3_1_f_p <= not SumOfElements_0_0_add_3_1_f_q(41 downto 41);
SumOfElements_0_0_add_3_1_f_n <= SumOfElements_0_0_add_3_1_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_3_1_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_2_2_f_q);
SumOfElements_0_0_add_3_1_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_2_3_f_q);
SumOfElements_0_0_add_3_1_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_1_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1((null),393)@23
SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_reset <= areset;
SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_inst : fp_norm_sInternal_2_sInternal
PORT MAP (
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_reset,
dataa => SumOfElements_0_0_add_3_1_f_q,
result => SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q
);
-- synopsys translate off
SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q);
-- synopsys translate on
--Mult_15_f(FLOATMULT,217)@0
Mult_15_f_reset <= areset;
Mult_15_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_15_f_reset,
dataa => datain_a_15,
datab => datain_b_15,
result => Mult_15_f_q
);
-- synopsys translate off
Mult_15_f_a_real <= sIEEE_2_real(datain_a_15);
Mult_15_f_b_real <= sIEEE_2_real(datain_b_15);
Mult_15_f_q_real <= sInternalSM_2_real(Mult_15_f_q);
-- synopsys translate on
--Mult_14_f(FLOATMULT,216)@0
Mult_14_f_reset <= areset;
Mult_14_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_14_f_reset,
dataa => datain_a_14,
datab => datain_b_14,
result => Mult_14_f_q
);
-- synopsys translate off
Mult_14_f_a_real <= sIEEE_2_real(datain_a_14);
Mult_14_f_b_real <= sIEEE_2_real(datain_b_14);
Mult_14_f_q_real <= sInternalSM_2_real(Mult_14_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_7_f(FLOATADDSUB,282)@3
SumOfElements_0_0_add_0_7_f_reset <= areset;
SumOfElements_0_0_add_0_7_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_7_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_7_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_7_f_reset,
dataa => Mult_14_f_q,
datab => Mult_15_f_q,
result => SumOfElements_0_0_add_0_7_f_q
);
SumOfElements_0_0_add_0_7_f_p <= not SumOfElements_0_0_add_0_7_f_q(41 downto 41);
SumOfElements_0_0_add_0_7_f_n <= SumOfElements_0_0_add_0_7_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_7_f_a_real <= sInternalSM_2_real(Mult_14_f_q);
SumOfElements_0_0_add_0_7_f_b_real <= sInternalSM_2_real(Mult_15_f_q);
SumOfElements_0_0_add_0_7_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_7_f_q);
-- synopsys translate on
--Mult_13_f(FLOATMULT,215)@0
Mult_13_f_reset <= areset;
Mult_13_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_13_f_reset,
dataa => datain_a_13,
datab => datain_b_13,
result => Mult_13_f_q
);
-- synopsys translate off
Mult_13_f_a_real <= sIEEE_2_real(datain_a_13);
Mult_13_f_b_real <= sIEEE_2_real(datain_b_13);
Mult_13_f_q_real <= sInternalSM_2_real(Mult_13_f_q);
-- synopsys translate on
--Mult_12_f(FLOATMULT,214)@0
Mult_12_f_reset <= areset;
Mult_12_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_12_f_reset,
dataa => datain_a_12,
datab => datain_b_12,
result => Mult_12_f_q
);
-- synopsys translate off
Mult_12_f_a_real <= sIEEE_2_real(datain_a_12);
Mult_12_f_b_real <= sIEEE_2_real(datain_b_12);
Mult_12_f_q_real <= sInternalSM_2_real(Mult_12_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_6_f(FLOATADDSUB,280)@3
SumOfElements_0_0_add_0_6_f_reset <= areset;
SumOfElements_0_0_add_0_6_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_6_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_6_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_6_f_reset,
dataa => Mult_12_f_q,
datab => Mult_13_f_q,
result => SumOfElements_0_0_add_0_6_f_q
);
SumOfElements_0_0_add_0_6_f_p <= not SumOfElements_0_0_add_0_6_f_q(41 downto 41);
SumOfElements_0_0_add_0_6_f_n <= SumOfElements_0_0_add_0_6_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_6_f_a_real <= sInternalSM_2_real(Mult_12_f_q);
SumOfElements_0_0_add_0_6_f_b_real <= sInternalSM_2_real(Mult_13_f_q);
SumOfElements_0_0_add_0_6_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_6_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_3_f(FLOATADDSUB,338)@8
SumOfElements_0_0_add_1_3_f_reset <= areset;
SumOfElements_0_0_add_1_3_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_3_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_3_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_3_f_reset,
dataa => SumOfElements_0_0_add_0_6_f_q,
datab => SumOfElements_0_0_add_0_7_f_q,
result => SumOfElements_0_0_add_1_3_f_q
);
SumOfElements_0_0_add_1_3_f_p <= not SumOfElements_0_0_add_1_3_f_q(41 downto 41);
SumOfElements_0_0_add_1_3_f_n <= SumOfElements_0_0_add_1_3_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_3_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_6_f_q);
SumOfElements_0_0_add_1_3_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_7_f_q);
SumOfElements_0_0_add_1_3_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_3_f_q);
-- synopsys translate on
--Mult_11_f(FLOATMULT,213)@0
Mult_11_f_reset <= areset;
Mult_11_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_11_f_reset,
dataa => datain_a_11,
datab => datain_b_11,
result => Mult_11_f_q
);
-- synopsys translate off
Mult_11_f_a_real <= sIEEE_2_real(datain_a_11);
Mult_11_f_b_real <= sIEEE_2_real(datain_b_11);
Mult_11_f_q_real <= sInternalSM_2_real(Mult_11_f_q);
-- synopsys translate on
--Mult_10_f(FLOATMULT,212)@0
Mult_10_f_reset <= areset;
Mult_10_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_10_f_reset,
dataa => datain_a_10,
datab => datain_b_10,
result => Mult_10_f_q
);
-- synopsys translate off
Mult_10_f_a_real <= sIEEE_2_real(datain_a_10);
Mult_10_f_b_real <= sIEEE_2_real(datain_b_10);
Mult_10_f_q_real <= sInternalSM_2_real(Mult_10_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_5_f(FLOATADDSUB,278)@3
SumOfElements_0_0_add_0_5_f_reset <= areset;
SumOfElements_0_0_add_0_5_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_5_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_5_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_5_f_reset,
dataa => Mult_10_f_q,
datab => Mult_11_f_q,
result => SumOfElements_0_0_add_0_5_f_q
);
SumOfElements_0_0_add_0_5_f_p <= not SumOfElements_0_0_add_0_5_f_q(41 downto 41);
SumOfElements_0_0_add_0_5_f_n <= SumOfElements_0_0_add_0_5_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_5_f_a_real <= sInternalSM_2_real(Mult_10_f_q);
SumOfElements_0_0_add_0_5_f_b_real <= sInternalSM_2_real(Mult_11_f_q);
SumOfElements_0_0_add_0_5_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_5_f_q);
-- synopsys translate on
--Mult_9_f(FLOATMULT,211)@0
Mult_9_f_reset <= areset;
Mult_9_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_9_f_reset,
dataa => datain_a_09,
datab => datain_b_09,
result => Mult_9_f_q
);
-- synopsys translate off
Mult_9_f_a_real <= sIEEE_2_real(datain_a_09);
Mult_9_f_b_real <= sIEEE_2_real(datain_b_09);
Mult_9_f_q_real <= sInternalSM_2_real(Mult_9_f_q);
-- synopsys translate on
--Mult_8_f(FLOATMULT,210)@0
Mult_8_f_reset <= areset;
Mult_8_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_8_f_reset,
dataa => datain_a_08,
datab => datain_b_08,
result => Mult_8_f_q
);
-- synopsys translate off
Mult_8_f_a_real <= sIEEE_2_real(datain_a_08);
Mult_8_f_b_real <= sIEEE_2_real(datain_b_08);
Mult_8_f_q_real <= sInternalSM_2_real(Mult_8_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_4_f(FLOATADDSUB,276)@3
SumOfElements_0_0_add_0_4_f_reset <= areset;
SumOfElements_0_0_add_0_4_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_4_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_4_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_4_f_reset,
dataa => Mult_8_f_q,
datab => Mult_9_f_q,
result => SumOfElements_0_0_add_0_4_f_q
);
SumOfElements_0_0_add_0_4_f_p <= not SumOfElements_0_0_add_0_4_f_q(41 downto 41);
SumOfElements_0_0_add_0_4_f_n <= SumOfElements_0_0_add_0_4_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_4_f_a_real <= sInternalSM_2_real(Mult_8_f_q);
SumOfElements_0_0_add_0_4_f_b_real <= sInternalSM_2_real(Mult_9_f_q);
SumOfElements_0_0_add_0_4_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_4_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_2_f(FLOATADDSUB,336)@8
SumOfElements_0_0_add_1_2_f_reset <= areset;
SumOfElements_0_0_add_1_2_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_2_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_2_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_2_f_reset,
dataa => SumOfElements_0_0_add_0_4_f_q,
datab => SumOfElements_0_0_add_0_5_f_q,
result => SumOfElements_0_0_add_1_2_f_q
);
SumOfElements_0_0_add_1_2_f_p <= not SumOfElements_0_0_add_1_2_f_q(41 downto 41);
SumOfElements_0_0_add_1_2_f_n <= SumOfElements_0_0_add_1_2_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_2_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_4_f_q);
SumOfElements_0_0_add_1_2_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_5_f_q);
SumOfElements_0_0_add_1_2_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_2_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_1_f(FLOATADDSUB,366)@13
SumOfElements_0_0_add_2_1_f_reset <= areset;
SumOfElements_0_0_add_2_1_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_1_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_1_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_1_f_reset,
dataa => SumOfElements_0_0_add_1_2_f_q,
datab => SumOfElements_0_0_add_1_3_f_q,
result => SumOfElements_0_0_add_2_1_f_q
);
SumOfElements_0_0_add_2_1_f_p <= not SumOfElements_0_0_add_2_1_f_q(41 downto 41);
SumOfElements_0_0_add_2_1_f_n <= SumOfElements_0_0_add_2_1_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_1_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_2_f_q);
SumOfElements_0_0_add_2_1_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_3_f_q);
SumOfElements_0_0_add_2_1_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_1_f_q);
-- synopsys translate on
--Mult_7_f(FLOATMULT,209)@0
Mult_7_f_reset <= areset;
Mult_7_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_7_f_reset,
dataa => datain_a_07,
datab => datain_b_07,
result => Mult_7_f_q
);
-- synopsys translate off
Mult_7_f_a_real <= sIEEE_2_real(datain_a_07);
Mult_7_f_b_real <= sIEEE_2_real(datain_b_07);
Mult_7_f_q_real <= sInternalSM_2_real(Mult_7_f_q);
-- synopsys translate on
--Mult_6_f(FLOATMULT,208)@0
Mult_6_f_reset <= areset;
Mult_6_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_6_f_reset,
dataa => datain_a_06,
datab => datain_b_06,
result => Mult_6_f_q
);
-- synopsys translate off
Mult_6_f_a_real <= sIEEE_2_real(datain_a_06);
Mult_6_f_b_real <= sIEEE_2_real(datain_b_06);
Mult_6_f_q_real <= sInternalSM_2_real(Mult_6_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_3_f(FLOATADDSUB,274)@3
SumOfElements_0_0_add_0_3_f_reset <= areset;
SumOfElements_0_0_add_0_3_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_3_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_3_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_3_f_reset,
dataa => Mult_6_f_q,
datab => Mult_7_f_q,
result => SumOfElements_0_0_add_0_3_f_q
);
SumOfElements_0_0_add_0_3_f_p <= not SumOfElements_0_0_add_0_3_f_q(41 downto 41);
SumOfElements_0_0_add_0_3_f_n <= SumOfElements_0_0_add_0_3_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_3_f_a_real <= sInternalSM_2_real(Mult_6_f_q);
SumOfElements_0_0_add_0_3_f_b_real <= sInternalSM_2_real(Mult_7_f_q);
SumOfElements_0_0_add_0_3_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_3_f_q);
-- synopsys translate on
--Mult_5_f(FLOATMULT,207)@0
Mult_5_f_reset <= areset;
Mult_5_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_5_f_reset,
dataa => datain_a_05,
datab => datain_b_05,
result => Mult_5_f_q
);
-- synopsys translate off
Mult_5_f_a_real <= sIEEE_2_real(datain_a_05);
Mult_5_f_b_real <= sIEEE_2_real(datain_b_05);
Mult_5_f_q_real <= sInternalSM_2_real(Mult_5_f_q);
-- synopsys translate on
--Mult_4_f(FLOATMULT,206)@0
Mult_4_f_reset <= areset;
Mult_4_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_4_f_reset,
dataa => datain_a_04,
datab => datain_b_04,
result => Mult_4_f_q
);
-- synopsys translate off
Mult_4_f_a_real <= sIEEE_2_real(datain_a_04);
Mult_4_f_b_real <= sIEEE_2_real(datain_b_04);
Mult_4_f_q_real <= sInternalSM_2_real(Mult_4_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_2_f(FLOATADDSUB,272)@3
SumOfElements_0_0_add_0_2_f_reset <= areset;
SumOfElements_0_0_add_0_2_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_2_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_2_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_2_f_reset,
dataa => Mult_4_f_q,
datab => Mult_5_f_q,
result => SumOfElements_0_0_add_0_2_f_q
);
SumOfElements_0_0_add_0_2_f_p <= not SumOfElements_0_0_add_0_2_f_q(41 downto 41);
SumOfElements_0_0_add_0_2_f_n <= SumOfElements_0_0_add_0_2_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_2_f_a_real <= sInternalSM_2_real(Mult_4_f_q);
SumOfElements_0_0_add_0_2_f_b_real <= sInternalSM_2_real(Mult_5_f_q);
SumOfElements_0_0_add_0_2_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_2_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_1_f(FLOATADDSUB,334)@8
SumOfElements_0_0_add_1_1_f_reset <= areset;
SumOfElements_0_0_add_1_1_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_1_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_1_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_1_f_reset,
dataa => SumOfElements_0_0_add_0_2_f_q,
datab => SumOfElements_0_0_add_0_3_f_q,
result => SumOfElements_0_0_add_1_1_f_q
);
SumOfElements_0_0_add_1_1_f_p <= not SumOfElements_0_0_add_1_1_f_q(41 downto 41);
SumOfElements_0_0_add_1_1_f_n <= SumOfElements_0_0_add_1_1_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_1_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_2_f_q);
SumOfElements_0_0_add_1_1_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_3_f_q);
SumOfElements_0_0_add_1_1_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_1_f_q);
-- synopsys translate on
--Mult_3_f(FLOATMULT,205)@0
Mult_3_f_reset <= areset;
Mult_3_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_3_f_reset,
dataa => datain_a_03,
datab => datain_b_03,
result => Mult_3_f_q
);
-- synopsys translate off
Mult_3_f_a_real <= sIEEE_2_real(datain_a_03);
Mult_3_f_b_real <= sIEEE_2_real(datain_b_03);
Mult_3_f_q_real <= sInternalSM_2_real(Mult_3_f_q);
-- synopsys translate on
--Mult_2_f(FLOATMULT,204)@0
Mult_2_f_reset <= areset;
Mult_2_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_2_f_reset,
dataa => datain_a_02,
datab => datain_b_02,
result => Mult_2_f_q
);
-- synopsys translate off
Mult_2_f_a_real <= sIEEE_2_real(datain_a_02);
Mult_2_f_b_real <= sIEEE_2_real(datain_b_02);
Mult_2_f_q_real <= sInternalSM_2_real(Mult_2_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_1_f(FLOATADDSUB,270)@3
SumOfElements_0_0_add_0_1_f_reset <= areset;
SumOfElements_0_0_add_0_1_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_1_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_1_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_1_f_reset,
dataa => Mult_2_f_q,
datab => Mult_3_f_q,
result => SumOfElements_0_0_add_0_1_f_q
);
SumOfElements_0_0_add_0_1_f_p <= not SumOfElements_0_0_add_0_1_f_q(41 downto 41);
SumOfElements_0_0_add_0_1_f_n <= SumOfElements_0_0_add_0_1_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_1_f_a_real <= sInternalSM_2_real(Mult_2_f_q);
SumOfElements_0_0_add_0_1_f_b_real <= sInternalSM_2_real(Mult_3_f_q);
SumOfElements_0_0_add_0_1_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_1_f_q);
-- synopsys translate on
--Mult_1_f(FLOATMULT,203)@0
Mult_1_f_reset <= areset;
Mult_1_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_1_f_reset,
dataa => datain_a_01,
datab => datain_b_01,
result => Mult_1_f_q
);
-- synopsys translate off
Mult_1_f_a_real <= sIEEE_2_real(datain_a_01);
Mult_1_f_b_real <= sIEEE_2_real(datain_b_01);
Mult_1_f_q_real <= sInternalSM_2_real(Mult_1_f_q);
-- synopsys translate on
--Mult_0_f(FLOATMULT,202)@0
Mult_0_f_reset <= areset;
Mult_0_f_inst : fp_mult_sIEEE_2_sInternalSM
GENERIC MAP ( m_family => "Stratix V", m_dotopt => 2)
PORT MAP (
clk_en => '1',
clock => clk,
reset => Mult_0_f_reset,
dataa => datain_a_00,
datab => datain_b_00,
result => Mult_0_f_q
);
-- synopsys translate off
Mult_0_f_a_real <= sIEEE_2_real(datain_a_00);
Mult_0_f_b_real <= sIEEE_2_real(datain_b_00);
Mult_0_f_q_real <= sInternalSM_2_real(Mult_0_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_0_0_f(FLOATADDSUB,268)@3
SumOfElements_0_0_add_0_0_f_reset <= areset;
SumOfElements_0_0_add_0_0_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_0_0_f_inst : fp_addsub_sInternalSM_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_0_0_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_0_0_f_reset,
dataa => Mult_0_f_q,
datab => Mult_1_f_q,
result => SumOfElements_0_0_add_0_0_f_q
);
SumOfElements_0_0_add_0_0_f_p <= not SumOfElements_0_0_add_0_0_f_q(41 downto 41);
SumOfElements_0_0_add_0_0_f_n <= SumOfElements_0_0_add_0_0_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_0_0_f_a_real <= sInternalSM_2_real(Mult_0_f_q);
SumOfElements_0_0_add_0_0_f_b_real <= sInternalSM_2_real(Mult_1_f_q);
SumOfElements_0_0_add_0_0_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_0_0_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_1_0_f(FLOATADDSUB,332)@8
SumOfElements_0_0_add_1_0_f_reset <= areset;
SumOfElements_0_0_add_1_0_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_1_0_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_1_0_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_1_0_f_reset,
dataa => SumOfElements_0_0_add_0_0_f_q,
datab => SumOfElements_0_0_add_0_1_f_q,
result => SumOfElements_0_0_add_1_0_f_q
);
SumOfElements_0_0_add_1_0_f_p <= not SumOfElements_0_0_add_1_0_f_q(41 downto 41);
SumOfElements_0_0_add_1_0_f_n <= SumOfElements_0_0_add_1_0_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_1_0_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_0_0_f_q);
SumOfElements_0_0_add_1_0_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_0_1_f_q);
SumOfElements_0_0_add_1_0_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_1_0_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_2_0_f(FLOATADDSUB,364)@13
SumOfElements_0_0_add_2_0_f_reset <= areset;
SumOfElements_0_0_add_2_0_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_2_0_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_2_0_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_2_0_f_reset,
dataa => SumOfElements_0_0_add_1_0_f_q,
datab => SumOfElements_0_0_add_1_1_f_q,
result => SumOfElements_0_0_add_2_0_f_q
);
SumOfElements_0_0_add_2_0_f_p <= not SumOfElements_0_0_add_2_0_f_q(41 downto 41);
SumOfElements_0_0_add_2_0_f_n <= SumOfElements_0_0_add_2_0_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_2_0_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_1_0_f_q);
SumOfElements_0_0_add_2_0_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_1_1_f_q);
SumOfElements_0_0_add_2_0_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_2_0_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_0_f(FLOATADDSUB,380)@18
SumOfElements_0_0_add_3_0_f_reset <= areset;
SumOfElements_0_0_add_3_0_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_3_0_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_3_0_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_0_f_reset,
dataa => SumOfElements_0_0_add_2_0_f_q,
datab => SumOfElements_0_0_add_2_1_f_q,
result => SumOfElements_0_0_add_3_0_f_q
);
SumOfElements_0_0_add_3_0_f_p <= not SumOfElements_0_0_add_3_0_f_q(41 downto 41);
SumOfElements_0_0_add_3_0_f_n <= SumOfElements_0_0_add_3_0_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_3_0_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_2_0_f_q);
SumOfElements_0_0_add_3_0_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_2_1_f_q);
SumOfElements_0_0_add_3_0_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_0_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0((null),394)@23
SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_reset <= areset;
SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_inst : fp_norm_sInternal_2_sInternal
PORT MAP (
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_reset,
dataa => SumOfElements_0_0_add_3_0_f_q,
result => SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q
);
-- synopsys translate off
SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q_real <= sInternal_2_real(SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q);
-- synopsys translate on
--SumOfElements_0_0_add_4_0_f(FLOATADDSUB,388)@28
SumOfElements_0_0_add_4_0_f_reset <= areset;
SumOfElements_0_0_add_4_0_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_4_0_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_4_0_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_4_0_f_reset,
dataa => SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q,
datab => SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q,
result => SumOfElements_0_0_add_4_0_f_q
);
SumOfElements_0_0_add_4_0_f_p <= not SumOfElements_0_0_add_4_0_f_q(41 downto 41);
SumOfElements_0_0_add_4_0_f_n <= SumOfElements_0_0_add_4_0_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_4_0_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_3_0_f_0_norm_SumOfElements_0_0_add_4_0_f_0_q);
SumOfElements_0_0_add_4_0_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_3_1_f_0_norm_SumOfElements_0_0_add_4_0_f_1_q);
SumOfElements_0_0_add_4_0_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_4_0_f_q);
-- synopsys translate on
--SumOfElements_0_0_add_5_0_f(FLOATADDSUB,392)@33
SumOfElements_0_0_add_5_0_f_reset <= areset;
SumOfElements_0_0_add_5_0_f_add_sub <= not VCC_q;
SumOfElements_0_0_add_5_0_f_inst : fp_addsub_sInternal_2_sInternal
GENERIC MAP (
addsub_resetval => '0'
) PORT MAP (
add_sub => SumOfElements_0_0_add_5_0_f_add_sub,
clk_en => '1',
clock => clk,
reset => SumOfElements_0_0_add_5_0_f_reset,
dataa => SumOfElements_0_0_add_4_0_f_q,
datab => SumOfElements_0_0_add_4_1_f_q,
result => SumOfElements_0_0_add_5_0_f_q
);
SumOfElements_0_0_add_5_0_f_p <= not SumOfElements_0_0_add_5_0_f_q(41 downto 41);
SumOfElements_0_0_add_5_0_f_n <= SumOfElements_0_0_add_5_0_f_q(41 downto 41);
-- synopsys translate off
SumOfElements_0_0_add_5_0_f_a_real <= sInternal_2_real(SumOfElements_0_0_add_4_0_f_q);
SumOfElements_0_0_add_5_0_f_b_real <= sInternal_2_real(SumOfElements_0_0_add_4_1_f_q);
SumOfElements_0_0_add_5_0_f_q_real <= sInternal_2_real(SumOfElements_0_0_add_5_0_f_q);
-- synopsys translate on
--ChannelOut_2_cast(FLOATCAST,266)@38
ChannelOut_2_cast_reset <= areset;
ChannelOut_2_cast_a <= SumOfElements_0_0_add_5_0_f_q;
ChannelOut_2_cast_inst : cast_sInternal_2_sIEEE
PORT MAP (
clk_en => '1',
clock => clk,
reset => ChannelOut_2_cast_reset,
dataa => ChannelOut_2_cast_a,
result => ChannelOut_2_cast_q
);
-- synopsys translate off
ChannelOut_2_cast_q_real <= sIEEE_2_real(ChannelOut_2_cast_q);
-- synopsys translate on
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable(LOGICAL,667)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable_a <= VCC_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable_q <= not ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable_a;
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor(LOGICAL,668)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_a <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_notEnable_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_b <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_q <= not (ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_a or ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_b);
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_mem_top(CONSTANT,664)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_mem_top_q <= "0101011";
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp(LOGICAL,665)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_a <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_mem_top_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_b <= STD_LOGIC_VECTOR("0" & ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q);
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_q <= "1" when ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_a = ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_b else "0";
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmpReg(REG,666)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmpReg_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmpReg_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmp_q;
END IF;
END PROCESS;
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena(REG,669)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena_q <= "0";
ELSIF(clk'EVENT AND clk = '1') THEN
IF (ld_ChannelIn_valid_s_to_ChannelOut_vout_s_nor_q = "1") THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd(LOGICAL,670)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_a <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_sticky_ena_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_b <= VCC_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_a and ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_b;
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt(COUNTER,660)
-- every=1, low=0, high=43, step=1, init=1
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i = 42 THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_eq <= '1';
ELSE
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_eq <= '0';
END IF;
IF (ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_eq = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i - 43;
ELSE
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_i,6));
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg(REG,661)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q <= "000000";
ELSIF(clk'EVENT AND clk = '1') THEN
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_q;
END IF;
END PROCESS;
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux(MUX,662)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_s <= VCC_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux: PROCESS (ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_s, ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q, ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_q)
BEGIN
CASE ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_s IS
WHEN "0" => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q;
WHEN "1" => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdcnt_q;
WHEN OTHERS => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem(DUALMEM,671)
ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_reset0 <= areset;
ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ia <= channel_s;
ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_aa <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q;
ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ab <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q;
ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 6,
numwords_a => 44,
width_b => 8,
widthad_b => 6,
numwords_b => 44,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_reset0,
clock1 => clk,
address_b => ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_iq,
address_a => ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_aa,
data_a => ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_ia
);
ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_q <= ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_iq(7 downto 0);
--ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem(DUALMEM,659)
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_reset0 <= areset;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ia <= valid_s;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_aa <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdreg_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ab <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_rdmux_q;
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 44,
width_b => 1,
widthad_b => 6,
numwords_b => 44,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_a => "CLOCK1",
outdata_reg_b => "CLOCK1",
outdata_aclr_a => "CLEAR1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
-- indata_aclr_a => "CLEAR0",
-- indata_aclr_b => "CLEAR0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_enaAnd_q(0),
clocken0 => '1',
wren_a => VCC_q(0),
clock0 => clk,
aclr1 => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_reset0,
clock1 => clk,
address_b => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_iq,
address_a => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_aa,
data_a => ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_ia
);
ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_q <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_iq(0 downto 0);
--ChannelOut(PORTOUT,3)@45
vout_s <= ld_ChannelIn_valid_s_to_ChannelOut_vout_s_replace_mem_q;
cout_s <= ld_ChannelIn_channel_s_to_ChannelOut_cout_s_replace_mem_q;
dout_s <= ChannelOut_2_cast_q;
end normal;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/dp_rsft64x5pipe.vhd
|
10
|
3985
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LSFT64X6.VHD ***
--*** ***
--*** Function: Double Precision Left Shift ***
--*** (Combinatorial) ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_rsft64x5pipe IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
END dp_rsft64x5pipe;
ARCHITECTURE rtl OF dp_rsft64x5pipe IS
signal rightone, righttwo, rightthr : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal righttwoff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal shiftff : STD_LOGIC;
BEGIN
gra: FOR k IN 1 TO 61 GENERATE
rightone(k) <= (inbus(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(k+1) AND NOT(shift(2)) AND shift(1)) OR
(inbus(k+2) AND shift(2) AND NOT(shift(1))) OR
(inbus(k+3) AND shift(2) AND shift(1));
END GENERATE;
rightone(62) <= (inbus(62) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(63) AND NOT(shift(2)) AND shift(1)) OR
(inbus(64) AND shift(2) AND NOT(shift(1)));
rightone(63) <= (inbus(63) AND NOT(shift(2)) AND NOT(shift(1))) OR
(inbus(64) AND NOT(shift(2)) AND shift(1));
rightone(64) <= inbus(64) AND NOT(shift(2)) AND NOT(shift(1));
grb: FOR k IN 1 TO 52 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(rightone(k+8) AND shift(4) AND NOT(shift(3))) OR
(rightone(k+12) AND shift(4) AND shift(3));
END GENERATE;
grc: FOR k IN 53 TO 56 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(rightone(k+8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
grd: FOR k IN 57 TO 60 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(rightone(k+4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
gre: FOR k IN 61 TO 64 GENERATE
righttwo(k) <= (rightone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
ppa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
righttwoff(k) <= '0';
END LOOP;
shiftff <= '0';
ELSIF (rising_edge(sysclk)) THEN
righttwoff <= righttwo;
shiftff <= shift(5);
END IF;
END PROCESS;
grf: FOR k IN 1 TO 48 GENERATE
rightthr(k) <= (righttwoff(k) AND NOT(shiftff)) OR
(righttwoff(k+16) AND shiftff);
END GENERATE;
grg: FOR k IN 49 TO 64 GENERATE
rightthr(k) <= (righttwoff(k) AND NOT(shiftff));
END GENERATE;
outbus <= rightthr;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/hcc_castltoy.vhd
|
10
|
2035
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTLTOY.VHD ***
--*** ***
--*** Function: Cast Long to Internal Double ***
--*** Format ***
--*** ***
--*** 13/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castltoy IS
GENERIC (
unsigned : integer := 0 -- 0 = signed, 1 = unsigned
);
PORT (
aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (77 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_castltoy;
ARCHITECTURE rtl OF hcc_castltoy IS
signal fit : STD_LOGIC;
signal exponentfit, exponentnofit : STD_LOGIC_VECTOR (10 DOWNTO 1);
BEGIN
gxa: IF (unsigned = 0) GENERATE
cc(77 DOWNTO 73) <= aa(32) & aa(32) & aa(32) & aa(32) & aa(32);
END GENERATE;
gxb: IF (unsigned = 1) GENERATE
cc(77 DOWNTO 73) <= "00000";
END GENERATE;
cc(72 DOWNTO 41) <= aa;
gza: FOR k IN 14 TO 40 GENERATE
cc(k) <= '0';
END GENERATE;
cc(13 DOWNTO 1) <= conv_std_logic_vector (1054,13); -- account for 31bit right shift
ccsat <= '0';
cczip <= '0';
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/CosPiDPStratixVf400_safe_path.vhd
|
10
|
437
|
-- safe_path for CosPiDPStratixVf400 given rtl dir is . (quartus)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
PACKAGE CosPiDPStratixVf400_safe_path is
FUNCTION safe_path( path: string ) RETURN string;
END CosPiDPStratixVf400_safe_path;
PACKAGE body CosPiDPStratixVf400_safe_path IS
FUNCTION safe_path( path: string )
RETURN string IS
BEGIN
return string'("./") & path;
END FUNCTION safe_path;
END CosPiDPStratixVf400_safe_path;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/dp_exprnd.vhd
|
10
|
6808
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_EXPRND.VHD ***
--*** ***
--*** Function: DP Exponent Output Block - ***
--*** Rounded ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY dp_exprnd IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaexp : IN STD_LOGIC_VECTOR (53 DOWNTO 1); -- includes roundbit
nanin : IN STD_LOGIC;
rangeerror : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1);
--------------------------------------------------
nanout : OUT STD_LOGIC;
overflowout : OUT STD_LOGIC;
underflowout : OUT STD_LOGIC
);
END dp_exprnd;
ARCHITECTURE rtl OF dp_exprnd IS
constant expwidth : positive := 11;
constant manwidth : positive := 52;
type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (manwidth-1 DOWNTO 1);
signal nanff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal rangeerrorff : STD_LOGIC;
signal overflownode, underflownode : STD_LOGIC;
signal overflowff, underflowff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal manoverflowbitff : STD_LOGIC;
signal roundmantissaff, mantissaff : STD_LOGIC_VECTOR (manwidth DOWNTO 1);
signal exponentnode : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponentoneff : STD_LOGIC_VECTOR (expwidth+2 DOWNTO 1);
signal exponenttwoff : STD_LOGIC_VECTOR (expwidth DOWNTO 1);
signal manoverflow : STD_LOGIC_VECTOR (manwidth+1 DOWNTO 1);
signal infinitygen : STD_LOGIC_VECTOR (expwidth+1 DOWNTO 1);
signal zerogen : STD_LOGIC_VECTOR (expwidth+1 DOWNTO 1);
signal setmanzero, setmanmax : STD_LOGIC;
signal setexpzero, setexpmax : STD_LOGIC;
BEGIN
gzv: FOR k IN 1 TO manwidth-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
pra: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
nanff <= "00";
rangeerrorff <= '0';
overflowff <= "00";
underflowff <= "00";
manoverflowbitff <= '0';
FOR k IN 1 TO manwidth LOOP
roundmantissaff(k) <= '0';
mantissaff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth+2 LOOP
exponentoneff(k) <= '0';
END LOOP;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF(enable = '1') THEN
nanff(1) <= nanin;
nanff(2) <= nanff(1);
rangeerrorff <= rangeerror;
overflowff(1) <= overflownode;
overflowff(2) <= overflowff(1);
underflowff(1) <= underflownode;
underflowff(2) <= underflowff(1);
manoverflowbitff <= manoverflow(manwidth+1);
roundmantissaff <= mantissaexp(manwidth+1 DOWNTO 2) + (zerovec & mantissaexp(1));
-- nan takes precedence (set max)
-- nan takes precedence (set max)
FOR k IN 1 TO manwidth LOOP
mantissaff(k) <= (roundmantissaff(k) AND setmanzero) OR setmanmax;
END LOOP;
exponentoneff(expwidth+2 DOWNTO 1) <= "00" & exponentexp;
FOR k IN 1 TO expwidth LOOP
exponenttwoff(k) <= (exponentnode(k) AND setexpzero) OR setexpmax;
END LOOP;
END IF;
END IF;
END PROCESS;
exponentnode <= exponentoneff(expwidth+2 DOWNTO 1) +
(zerovec(expwidth+1 DOWNTO 1) & manoverflowbitff);
--*********************************
--*** PREDICT MANTISSA OVERFLOW ***
--*********************************
manoverflow(1) <= mantissaexp(1);
gmoa: FOR k IN 2 TO manwidth+1 GENERATE
manoverflow(k) <= manoverflow(k-1) AND mantissaexp(k);
END GENERATE;
--**********************************
--*** CHECK GENERATED CONDITIONS ***
--**********************************
-- infinity if exponent == 255
infinitygen(1) <= exponentnode(1);
gia: FOR k IN 2 TO expwidth GENERATE
infinitygen(k) <= infinitygen(k-1) AND exponentnode(k);
END GENERATE;
infinitygen(expwidth+1) <= infinitygen(expwidth) OR
(exponentnode(expwidth+1) AND
NOT(exponentnode(expwidth+2))); -- '1' if infinity
-- zero if exponent == 0
zerogen(1) <= exponentnode(1);
gza: FOR k IN 2 TO expwidth GENERATE
zerogen(k) <= zerogen(k-1) OR exponentnode(k);
END GENERATE;
zerogen(expwidth+1) <= zerogen(expwidth) AND
NOT(exponentnode(expwidth+2)); -- '0' if zero
-- trap any other overflow errors
-- when sign = 0 and rangeerror = 1, overflow
-- when sign = 1 and rangeerror = 1, underflow
overflownode <= NOT(signin) AND rangeerror;
underflownode <= signin AND rangeerror;
-- set mantissa to 0 when infinity or zero condition
setmanzero <= NOT(infinitygen(expwidth+1)) AND zerogen(expwidth+1) AND NOT(rangeerrorff);
-- setmantissa to "11..11" when nan
setmanmax <= nanff(1);
-- set exponent to 0 when zero condition
setexpzero <= zerogen(expwidth+1);
-- set exponent to "11..11" when nan, infinity, or divide by 0
setexpmax <= nanff(1) OR infinitygen(expwidth+1) OR rangeerrorff;
--***************
--*** OUTPUTS ***
--***************
signout <= '0';
mantissaout <= mantissaff;
exponentout <= exponenttwoff;
-----------------------------------------------
nanout <= nanff(2);
overflowout <= overflowff(2);
underflowout <= underflowff(2);
END rtl;
|
mit
|
boztalay/OZ-3
|
FPGA/OZ-3_System/Output_Port_MUX.vhd
|
2
|
3497
|
----------------------------------------------------------------------------------
--Ben Oztalay, 2009-2010
--
--This VHDL code is part of the OZ-3 Based Computer System designed for the
--Digilent Nexys 2 FPGA Development Board
--
--Module Title: Output_Port_MUX
--Module Description:
-- This module holds four 32-bit latches,
-- one for each extended output port, and the multiplexer that handles
-- sending data to the correct latch. This is tied to output pins 15
-- and 14, which select which one of the four latches get the output of the OZ-3.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity Output_Port_MUX is
Port ( clock : in STD_LOGIC;
reset : in STD_LOGIC;
enable : in STD_LOGIC;
data : in STD_LOGIC_VECTOR (31 downto 0);
sel : in STD_LOGIC_VECTOR (1 downto 0);
output0 : out STD_LOGIC_VECTOR (31 downto 0);
output1 : out STD_LOGIC_VECTOR (31 downto 0);
output2 : out STD_LOGIC_VECTOR (31 downto 0);
output3 : out STD_LOGIC_VECTOR (31 downto 0));
end Output_Port_MUX;
architecture Behavioral of Output_Port_MUX is
--//Signals\\--
signal reg0 : STD_LOGIC_VECTOR(31 downto 0);
signal reg1 : STD_LOGIC_VECTOR(31 downto 0);
signal reg2 : STD_LOGIC_VECTOR(31 downto 0);
signal reg3 : STD_LOGIC_VECTOR(31 downto 0);
signal enable0 : STD_LOGIC;
signal enable1 : STD_LOGIC;
signal enable2 : STD_LOGIC;
signal enable3 : STD_LOGIC;
--\\Signals//--
--//Components\\--
component GenRegFalling is
generic (size : integer);
Port ( clock : in STD_LOGIC;
enable : in STD_LOGIC;
reset : in STD_LOGIC;
data : in STD_LOGIC_VECTOR ((size - 1) downto 0);
output : out STD_LOGIC_VECTOR ((size - 1) downto 0));
end component;
--\\Components//--
begin
main : process (sel, data)
begin
reg0 <= (others => '0');
reg1 <= (others => '0');
reg2 <= (others => '0');
reg3 <= (others => '0');
case sel is
when "00" =>
reg0 <= data;
when "01" =>
reg1 <= data;
when "10" =>
reg2 <= data;
when "11" =>
reg3 <= data;
when others =>
reg0 <= (others => '0');
end case;
end process;
reg_0 : GenRegFalling generic map (size => 32)
port map (clock => clock,
enable => enable0,
reset => reset,
data => reg0,
output => output0);
reg_1 : GenRegFalling generic map (size => 32)
port map (clock => clock,
enable => enable1,
reset => reset,
data => reg1,
output => output1);
reg_2 : GenRegFalling generic map (size => 32)
port map (clock => clock,
enable => enable2,
reset => reset,
data => reg2,
output => output2);
reg_3 : GenRegFalling generic map (size => 32)
port map (clock => clock,
enable => enable3,
reset => reset,
data => reg3,
output => output3);
enable0 <= ((not sel(0)) and (not sel(1))) and enable;
enable1 <= (sel(0) and (not sel(1))) and enable;
enable2 <= ((not sel(0)) and sel(1)) and enable;
enable3 <= (sel(0) and sel(1)) and enable;
end Behavioral;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_mul54us_38s.vhd
|
10
|
3962
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_MUL54US_38S.VHD ***
--*** ***
--*** Function: 4 pipeline stage unsigned 54 ***
--*** bit multiplier ***
--*** 38S: Stratix 3, 8 18x18, synthesizeable ***
--*** ***
--*** 20/08/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Build explicitlyout of two SIII/SIV ***
--*** DSP Blocks ***
--***************************************************
ENTITY fp_mul54us_38s IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
mulaa : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
mulcc : OUT STD_LOGIC_VECTOR (72 DOWNTO 1)
);
END fp_mul54us_38s;
ARCHITECTURE rtl OF fp_mul54us_38s IS
signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal multone : STD_LOGIC_VECTOR (72 DOWNTO 1);
signal multtwo : STD_LOGIC_VECTOR (55 DOWNTO 1);
signal addmultff : STD_LOGIC_VECTOR (72 DOWNTO 1);
component fp_mul3s
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_sum36x18
PORT (
aclr3 : IN STD_LOGIC := '0';
clock0 : IN STD_LOGIC := '1';
dataa_0 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0');
dataa_1 : IN STD_LOGIC_VECTOR (17 DOWNTO 0) := (OTHERS => '0');
datab_0 : IN STD_LOGIC_VECTOR (35 DOWNTO 0) := (OTHERS => '0');
datab_1 : IN STD_LOGIC_VECTOR (35 DOWNTO 0) := (OTHERS => '0');
ena0 : IN STD_LOGIC := '1';
result : OUT STD_LOGIC_VECTOR (54 DOWNTO 0)
);
end component;
BEGIN
gza: FOR k IN 1 TO 36 GENERATE
zerovec(k) <= '0';
END GENERATE;
mone: fp_mul3s
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>72)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>mulaa(54 DOWNTO 19),databb=>mulbb(54 DOWNTO 19),
result=>multone);
mtwo: fp_sum36x18
PORT MAP (aclr3=>reset,clock0=>sysclk,
dataa_0=>mulaa(18 DOWNTO 1),
dataa_1=>mulbb(18 DOWNTO 1),
datab_0=>mulbb(54 DOWNTO 19),
datab_1=>mulaa(54 DOWNTO 19),
ena0=>enable,
result=>multtwo);
paa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 72 LOOP
addmultff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
addmultff <= multone + (zerovec(35 DOWNTO 1) & multtwo(55 DOWNTO 19));
END IF;
END IF;
END PROCESS;
mulcc <= addmultff;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_cos_s5.vhd
|
10
|
397588
|
-----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_cos_s5
-- VHDL created on Tue Mar 12 15:57:58 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_cos_s5 is
port (
a : in std_logic_vector(31 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(31 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_cos_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllOWE_uid6_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal cstAllZWF_uid7_fpCosPiTest_q : std_logic_vector (22 downto 0);
signal cstBias_uid22_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal cstBiasMwShift_uid23_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal cstBiasMwShiftM2_uid24_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal cstZwShiftP1_uid25_fpCosPiTest_q : std_logic_vector (13 downto 0);
signal cstAllZwE_uid28_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal cstNaNwF_uid30_fpCosPiTest_q : std_logic_vector (22 downto 0);
signal cstZmwFRRPwSM1_uid46_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal biasM1_uid60_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_a : std_logic_vector (25 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_b : std_logic_vector (25 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_s1 : std_logic_vector (51 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_pr : UNSIGNED (51 downto 0);
signal mul2xSinRes_uid68_fpCosPiTest_q : std_logic_vector (51 downto 0);
signal InvCosXIsOneXRR_uid79_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvCosXIsOneXRR_uid79_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal expSelector_uid88_fpCosPiTest_q : std_logic_vector(1 downto 0);
signal InvCosXOne_uid92_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvCosXOne_uid92_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal signRCond2_uid95_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal signRCond2_uid95_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal signRCond2_uid95_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal signRCond2_uid95_fpCosPiTest_d : std_logic_vector(0 downto 0);
signal signRCond2_uid95_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal signRCond1_uid100_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal signRCond1_uid100_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal signRCond1_uid100_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal signRCond1_uid100_fpCosPiTest_d : std_logic_vector(0 downto 0);
signal signRCond1_uid100_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid102_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid102_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvExc_N_uid103_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid103_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal xBranch_uid132_rrx_uid32_fpCosPiTest_a : std_logic_vector(10 downto 0);
signal xBranch_uid132_rrx_uid32_fpCosPiTest_b : std_logic_vector(10 downto 0);
signal xBranch_uid132_rrx_uid32_fpCosPiTest_o : std_logic_vector (10 downto 0);
signal xBranch_uid132_rrx_uid32_fpCosPiTest_cin : std_logic_vector (0 downto 0);
signal xBranch_uid132_rrx_uid32_fpCosPiTest_n : std_logic_vector (0 downto 0);
signal ZerosGB_uid147_rrx_uid32_fpCosPiTest_q : std_logic_vector (26 downto 0);
signal leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q : std_logic_vector (3 downto 0);
signal leftShiftStage0Idx3Pad12_uid160_fxpX_uid42_fpCosPiTest_q : std_logic_vector (11 downto 0);
signal leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1Idx3Pad3_uid171_fxpX_uid42_fpCosPiTest_q : std_logic_vector (2 downto 0);
signal zs_uid177_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal vCount_uid179_lzcZ_uid57_fpCosPiTest_a : std_logic_vector(31 downto 0);
signal vCount_uid179_lzcZ_uid57_fpCosPiTest_b : std_logic_vector(31 downto 0);
signal vCount_uid179_lzcZ_uid57_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal mO_uid180_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal zs_uid185_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (15 downto 0);
signal vCount_uid199_lzcZ_uid57_fpCosPiTest_a : std_logic_vector(3 downto 0);
signal vCount_uid199_lzcZ_uid57_fpCosPiTest_b : std_logic_vector(3 downto 0);
signal vCount_uid199_lzcZ_uid57_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx3Pad48_uid221_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (47 downto 0);
signal vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_a : std_logic_vector(7 downto 0);
signal vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector(7 downto 0);
signal vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_a : std_logic_vector(1 downto 0);
signal vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector(1 downto 0);
signal vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx3Pad24_uid306_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (23 downto 0);
signal leftShiftStage1Idx3Pad6_uid317_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (5 downto 0);
signal prodXY_uid328_pT1_uid256_sinPiZPolyEval_a : std_logic_vector (12 downto 0);
signal prodXY_uid328_pT1_uid256_sinPiZPolyEval_b : std_logic_vector (12 downto 0);
signal prodXY_uid328_pT1_uid256_sinPiZPolyEval_s1 : std_logic_vector (25 downto 0);
signal prodXY_uid328_pT1_uid256_sinPiZPolyEval_pr : SIGNED (26 downto 0);
signal prodXY_uid328_pT1_uid256_sinPiZPolyEval_q : std_logic_vector (25 downto 0);
signal prodXY_uid331_pT2_uid262_sinPiZPolyEval_a : std_logic_vector (17 downto 0);
signal prodXY_uid331_pT2_uid262_sinPiZPolyEval_b : std_logic_vector (22 downto 0);
signal prodXY_uid331_pT2_uid262_sinPiZPolyEval_s1 : std_logic_vector (40 downto 0);
signal prodXY_uid331_pT2_uid262_sinPiZPolyEval_pr : SIGNED (41 downto 0);
signal prodXY_uid331_pT2_uid262_sinPiZPolyEval_q : std_logic_vector (40 downto 0);
signal rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_reset0 : std_logic;
signal rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_ia : std_logic_vector (39 downto 0);
signal rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_aa : std_logic_vector (7 downto 0);
signal rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_ab : std_logic_vector (7 downto 0);
signal rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_iq : std_logic_vector (39 downto 0);
signal rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_q : std_logic_vector (39 downto 0);
signal rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_reset0 : std_logic;
signal rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_ia : std_logic_vector (37 downto 0);
signal rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_aa : std_logic_vector (7 downto 0);
signal rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_ab : std_logic_vector (7 downto 0);
signal rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_iq : std_logic_vector (37 downto 0);
signal rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_q : std_logic_vector (37 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_a : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_b : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_s1 : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_pr : UNSIGNED (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_q : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_a : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_b : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_s1 : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_pr : UNSIGNED (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_q : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_a : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_b : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_s1 : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_pr : UNSIGNED (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_a : std_logic_vector(81 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_b : std_logic_vector(81 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_o : std_logic_vector (81 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_q : std_logic_vector (81 downto 0);
signal memoryC0_uid249_sinPiZTableGenerator_lutmem_reset0 : std_logic;
signal memoryC0_uid249_sinPiZTableGenerator_lutmem_ia : std_logic_vector (29 downto 0);
signal memoryC0_uid249_sinPiZTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid249_sinPiZTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid249_sinPiZTableGenerator_lutmem_iq : std_logic_vector (29 downto 0);
signal memoryC0_uid249_sinPiZTableGenerator_lutmem_q : std_logic_vector (29 downto 0);
signal memoryC1_uid251_sinPiZTableGenerator_lutmem_reset0 : std_logic;
signal memoryC1_uid251_sinPiZTableGenerator_lutmem_ia : std_logic_vector (20 downto 0);
signal memoryC1_uid251_sinPiZTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid251_sinPiZTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid251_sinPiZTableGenerator_lutmem_iq : std_logic_vector (20 downto 0);
signal memoryC1_uid251_sinPiZTableGenerator_lutmem_q : std_logic_vector (20 downto 0);
signal memoryC2_uid253_sinPiZTableGenerator_lutmem_reset0 : std_logic;
signal memoryC2_uid253_sinPiZTableGenerator_lutmem_ia : std_logic_vector (12 downto 0);
signal memoryC2_uid253_sinPiZTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid253_sinPiZTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid253_sinPiZTableGenerator_lutmem_iq : std_logic_vector (12 downto 0);
signal memoryC2_uid253_sinPiZTableGenerator_lutmem_q : std_logic_vector (12 downto 0);
signal reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_0_q : std_logic_vector (39 downto 0);
signal reg_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_1_q : std_logic_vector (37 downto 0);
signal reg_prod_uid139_rrx_uid32_fpCosPiTest_a_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_0_q : std_logic_vector (26 downto 0);
signal reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1_q : std_logic_vector (26 downto 0);
signal reg_prod_uid139_rrx_uid32_fpCosPiTest_a_1_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_0_q : std_logic_vector (26 downto 0);
signal reg_prod_uid139_rrx_uid32_fpCosPiTest_a_2_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_0_q : std_logic_vector (26 downto 0);
signal reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1_q : std_logic_vector (15 downto 0);
signal reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3_q : std_logic_vector (15 downto 0);
signal reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2_q : std_logic_vector (1 downto 0);
signal reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3_q : std_logic_vector (1 downto 0);
signal reg_vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_2_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2_q : std_logic_vector (75 downto 0);
signal reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3_q : std_logic_vector (75 downto 0);
signal reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4_q : std_logic_vector (75 downto 0);
signal reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5_q : std_logic_vector (75 downto 0);
signal reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2_q : std_logic_vector (49 downto 0);
signal reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2_q : std_logic_vector (7 downto 0);
signal reg_leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2_q : std_logic_vector (64 downto 0);
signal reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1_q : std_logic_vector (62 downto 0);
signal reg_yHalfCosNotOne_uid81_fpCosPiTest_0_to_excZOrCosOne_uid83_fpCosPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_cosXIsOne_uid36_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_2_q : std_logic_vector (0 downto 0);
signal reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q : std_logic_vector (0 downto 0);
signal reg_excRNaN_uid82_fpCosPiTest_0_to_join_uid84_fpCosPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_pad_one_uid49_fpCosPiTest_0_to_oneMinusY_uid49_fpCosPiTest_0_q : std_logic_vector (63 downto 0);
signal reg_oneMinusY_uid49_fpCosPiTest_0_to_cmpYToOneMinusY_uid51_fpCosPiTest_0_q : std_logic_vector (64 downto 0);
signal reg_InvCmpYToOneMinusY_uid52_fpCosPiTest_0_to_z_uid55_fpCosPiTest_1_q : std_logic_vector (0 downto 0);
signal reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q : std_logic_vector (61 downto 0);
signal reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q : std_logic_vector (61 downto 0);
signal reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2_q : std_logic_vector (3 downto 0);
signal reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3_q : std_logic_vector (3 downto 0);
signal reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2_q : std_logic_vector (61 downto 0);
signal reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2_q : std_logic_vector (61 downto 0);
signal reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q : std_logic_vector (12 downto 0);
signal reg_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_1_q : std_logic_vector (12 downto 0);
signal reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0_q : std_logic_vector (20 downto 0);
signal reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q : std_logic_vector (17 downto 0);
signal reg_s1_uid257_uid260_sinPiZPolyEval_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_1_q : std_logic_vector (22 downto 0);
signal reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0_q : std_logic_vector (29 downto 0);
signal reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_q : std_logic_vector (25 downto 0);
signal reg_fxpSinRes_uid67_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_1_q : std_logic_vector (25 downto 0);
signal reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q : std_logic_vector (5 downto 0);
signal reg_expP_uid62_fpCosPiTest_0_to_expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_1_q : std_logic_vector (7 downto 0);
signal reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_q : std_logic_vector (1 downto 0);
signal reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2_q : std_logic_vector (22 downto 0);
signal reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_join_uid86_fpCosPiTest_2_q : std_logic_vector (0 downto 0);
signal reg_expSelBits_uid87_fpCosPiTest_0_to_expSelector_uid88_fpCosPiTest_0_q : std_logic_vector (3 downto 0);
signal reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2_q : std_logic_vector (7 downto 0);
signal ld_fracXRR_uid35_fpCosPiTest_b_to_oFracXRR_uid38_uid38_fpCosPiTest_a_q : std_logic_vector (49 downto 0);
signal ld_y_uid44_fpCosPiTest_b_to_cmpYToOneMinusY_uid51_fpCosPiTest_b_q : std_logic_vector (62 downto 0);
signal ld_reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q_to_z_uid55_fpCosPiTest_c_q : std_logic_vector (61 downto 0);
signal ld_reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q_to_z_uid55_fpCosPiTest_d_q : std_logic_vector (61 downto 0);
signal ld_cosXIsOneXRR_uid37_fpCosPiTest_n_to_InvCosXIsOneXRR_uid79_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_InvCosXIsOne_uid80_fpCosPiTest_q_to_yHalfCosNotOne_uid81_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q_to_excZOrCosOne_uid83_fpCosPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid82_fpCosPiTest_q_to_join_uid86_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_cosXIsOne_uid36_fpCosPiTest_n_to_expSelBits_uid87_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_cosXIsOne_uid36_fpCosPiTest_n_to_cosXOne_uid91_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_cosXOne_uid91_fpCosPiTest_q_to_InvCosXOne_uid92_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_yIsZero_uid45_fpCosPiTest_q_to_InvYIsZero_uid94_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_intXParity_uid43_fpCosPiTest_b_to_signRCond2_uid95_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid15_fpCosPiTest_q_to_InvExc_I_uid102_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_InvExc_N_uid103_fpCosPiTest_q_to_signRNotNaNOrInf_uid104_fpCosPiTest_a_q : std_logic_vector (0 downto 0);
signal ld_signRNotNaNOrInf_uid104_fpCosPiTest_q_to_cosXR_uid105_fpCosPiTest_c_q : std_logic_vector (0 downto 0);
signal ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalExp_uid150_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_finalExp_uid150_rrx_uid32_fpCosPiTest_q_to_RRangeRed_uid151_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (7 downto 0);
signal ld_LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_b_q : std_logic_vector (63 downto 0);
signal ld_LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_b_q : std_logic_vector (62 downto 0);
signal ld_LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_b_q : std_logic_vector (61 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_cStage_uid182_lzcZ_uid57_fpCosPiTest_b_q : std_logic_vector (29 downto 0);
signal ld_rVStage_uid178_lzcZ_uid57_fpCosPiTest_b_to_vStagei_uid184_lzcZ_uid57_fpCosPiTest_c_q : std_logic_vector (31 downto 0);
signal ld_vCount_uid193_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_e_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid179_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_f_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_b_q : std_logic_vector (57 downto 0);
signal ld_LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_b_q : std_logic_vector (53 downto 0);
signal ld_LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_b_q : std_logic_vector (49 downto 0);
signal ld_LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_b_q : std_logic_vector (60 downto 0);
signal ld_LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_b_q : std_logic_vector (59 downto 0);
signal ld_LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_b_q : std_logic_vector (58 downto 0);
signal ld_vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_d_q : std_logic_vector (0 downto 0);
signal ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (67 downto 0);
signal ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (59 downto 0);
signal ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (51 downto 0);
signal ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_q : std_logic_vector (75 downto 0);
signal ld_leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_q : std_logic_vector (12 downto 0);
signal ld_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q_to_prod_uid139_rrx_uid32_fpCosPiTest_align_2_a_q : std_logic_vector (53 downto 0);
signal ld_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_q_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_a_q : std_logic_vector (7 downto 0);
signal ld_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_a_q : std_logic_vector (0 downto 0);
signal ld_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_inputreg_q : std_logic_vector (5 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ia : std_logic_vector (5 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_iq : std_logic_vector (5 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_q : std_logic_vector (5 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve : boolean;
attribute preserve of ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q : signal is true;
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_inputreg_q : std_logic_vector (1 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_eq : std_logic;
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_mem_top_q : std_logic_vector (4 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena_q : signal is true;
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_inputreg_q : std_logic_vector (31 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_reset0 : std_logic;
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_ia : std_logic_vector (31 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_iq : std_logic_vector (31 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_q : std_logic_vector (31 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena_q : signal is true;
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_inputreg_q : std_logic_vector (22 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_ia : std_logic_vector (22 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_iq : std_logic_vector (22 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_q : std_logic_vector (22 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena_q : signal is true;
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_reset0 : std_logic;
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_eq : std_logic;
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_mem_top_q : std_logic_vector (4 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena_q : signal is true;
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_inputreg_q : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_q : std_logic_vector (45 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q : signal is true;
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia : std_logic_vector (29 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq : std_logic_vector (29 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_q : std_logic_vector (29 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q : signal is true;
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_inputreg_q : std_logic_vector (13 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0 : std_logic;
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia : std_logic_vector (13 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq : std_logic_vector (13 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_q : std_logic_vector (13 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q : signal is true;
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_inputreg_q : std_logic_vector (61 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_reset0 : std_logic;
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_ia : std_logic_vector (61 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_iq : std_logic_vector (61 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_q : std_logic_vector (61 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena_q : signal is true;
signal ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q : std_logic_vector (67 downto 0);
signal ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q : std_logic_vector (59 downto 0);
signal ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q : std_logic_vector (51 downto 0);
signal ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_inputreg_q : std_logic_vector (75 downto 0);
signal ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_inputreg_q : std_logic_vector (12 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_inputreg_q : std_logic_vector (17 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_ia : std_logic_vector (17 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_iq : std_logic_vector (17 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_q : std_logic_vector (17 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena_q : signal is true;
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena_q : signal is true;
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_inputreg_q : std_logic_vector (25 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_reset0 : std_logic;
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_ia : std_logic_vector (25 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_iq : std_logic_vector (25 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_q : std_logic_vector (25 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_q : std_logic_vector(1 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i : unsigned(1 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_eq : std_logic;
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg_q : std_logic_vector (1 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_mem_top_q : std_logic_vector (2 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena_q : signal is true;
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_inputreg_q : std_logic_vector (1 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_reset0 : std_logic;
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_ia : std_logic_vector (1 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_iq : std_logic_vector (1 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_q : std_logic_vector (1 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena_q : signal is true;
signal yIsZero_uid45_fpCosPiTest_a : std_logic_vector(62 downto 0);
signal yIsZero_uid45_fpCosPiTest_b : std_logic_vector(62 downto 0);
signal yIsZero_uid45_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal pad_one_uid49_fpCosPiTest_q : std_logic_vector (63 downto 0);
signal cmpYToOneMinusY_uid51_fpCosPiTest_a : std_logic_vector(67 downto 0);
signal cmpYToOneMinusY_uid51_fpCosPiTest_b : std_logic_vector(67 downto 0);
signal cmpYToOneMinusY_uid51_fpCosPiTest_o : std_logic_vector (67 downto 0);
signal cmpYToOneMinusY_uid51_fpCosPiTest_cin : std_logic_vector (0 downto 0);
signal cmpYToOneMinusY_uid51_fpCosPiTest_c : std_logic_vector (0 downto 0);
signal leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal InvCmpYToOneMinusY_uid52_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvCmpYToOneMinusY_uid52_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvYIsZero_uid94_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvYIsZero_uid94_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvIntXParity_uid98_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvIntXParity_uid98_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal oFracXRR_uid38_uid38_fpCosPiTest_q : std_logic_vector (50 downto 0);
signal half_uid47_fpCosPiTest_q : std_logic_vector (62 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_q : std_logic_vector (1 downto 0);
signal exp_uid11_fpCosPiTest_in : std_logic_vector (30 downto 0);
signal exp_uid11_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal frac_uid13_fpCosPiTest_in : std_logic_vector (22 downto 0);
signal frac_uid13_fpCosPiTest_b : std_logic_vector (22 downto 0);
signal expFracX_uid107_px_uid31_fpCosPiTest_in : std_logic_vector (30 downto 0);
signal expFracX_uid107_px_uid31_fpCosPiTest_b : std_logic_vector (30 downto 0);
signal expXIsMax_uid12_fpCosPiTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid12_fpCosPiTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid12_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid14_fpCosPiTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid14_fpCosPiTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid14_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid15_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid15_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid15_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal cosXIsOne_uid36_fpCosPiTest_a : std_logic_vector(10 downto 0);
signal cosXIsOne_uid36_fpCosPiTest_b : std_logic_vector(10 downto 0);
signal cosXIsOne_uid36_fpCosPiTest_o : std_logic_vector (10 downto 0);
signal cosXIsOne_uid36_fpCosPiTest_cin : std_logic_vector (0 downto 0);
signal cosXIsOne_uid36_fpCosPiTest_n : std_logic_vector (0 downto 0);
signal yIsHalf_uid48_fpCosPiTest_a : std_logic_vector(62 downto 0);
signal yIsHalf_uid48_fpCosPiTest_b : std_logic_vector(62 downto 0);
signal yIsHalf_uid48_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal oneMinusY_uid49_fpCosPiTest_a : std_logic_vector(64 downto 0);
signal oneMinusY_uid49_fpCosPiTest_b : std_logic_vector(64 downto 0);
signal oneMinusY_uid49_fpCosPiTest_o : std_logic_vector (64 downto 0);
signal oneMinusY_uid49_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal z_uid55_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal z_uid55_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal expHardCase_uid61_fpCosPiTest_a : std_logic_vector(8 downto 0);
signal expHardCase_uid61_fpCosPiTest_b : std_logic_vector(8 downto 0);
signal expHardCase_uid61_fpCosPiTest_o : std_logic_vector (8 downto 0);
signal expHardCase_uid61_fpCosPiTest_q : std_logic_vector (8 downto 0);
signal yHalfCosNotOne_uid81_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal yHalfCosNotOne_uid81_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal yHalfCosNotOne_uid81_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal yHalfCosNotOne_uid81_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal excZOrCosOne_uid83_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal excZOrCosOne_uid83_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal excZOrCosOne_uid83_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal excZOrCosOne_uid83_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal fracRPostExc_uid85_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid85_fpCosPiTest_q : std_logic_vector (22 downto 0);
signal expRPostExc_uid90_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid90_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal signR_uid101_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal signR_uid101_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal signR_uid101_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal signRNotNaNOrInf_uid104_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal signRNotNaNOrInf_uid104_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal signRNotNaNOrInf_uid104_fpCosPiTest_c : std_logic_vector(0 downto 0);
signal signRNotNaNOrInf_uid104_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal finalExp_uid150_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal finalExp_uid150_rrx_uid32_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal vCount_uid193_lzcZ_uid57_fpCosPiTest_a : std_logic_vector(7 downto 0);
signal vCount_uid193_lzcZ_uid57_fpCosPiTest_b : std_logic_vector(7 downto 0);
signal vCount_uid193_lzcZ_uid57_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid196_lzcZ_uid57_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid196_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid202_lzcZ_uid57_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid202_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (3 downto 0);
signal vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_a : std_logic_vector(15 downto 0);
signal vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector(15 downto 0);
signal vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector (15 downto 0);
signal vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_q : std_logic_vector(0 downto 0);
signal extendedFracX_uid41_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal normBit_uid69_fpCosPiTest_in : std_logic_vector (51 downto 0);
signal normBit_uid69_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal highRes_uid70_fpCosPiTest_in : std_logic_vector (50 downto 0);
signal highRes_uid70_fpCosPiTest_b : std_logic_vector (23 downto 0);
signal lowRes_uid71_fpCosPiTest_in : std_logic_vector (49 downto 0);
signal lowRes_uid71_fpCosPiTest_b : std_logic_vector (23 downto 0);
signal fracXRExt_uid148_rrx_uid32_fpCosPiTest_q : std_logic_vector (49 downto 0);
signal leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal cStage_uid182_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_in : std_logic_vector (25 downto 0);
signal prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_b : std_logic_vector (13 downto 0);
signal prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_in : std_logic_vector (40 downto 0);
signal prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_b : std_logic_vector (23 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_align_0_q_int : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_align_0_q : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_align_1_q_int : std_logic_vector (80 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_align_1_q : std_logic_vector (80 downto 0);
signal os_uid137_rrx_uid32_fpCosPiTest_q : std_logic_vector (77 downto 0);
signal finalFrac_uid149_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal finalFrac_uid149_rrx_uid32_fpCosPiTest_q : std_logic_vector (49 downto 0);
signal leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal join_uid84_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q : std_logic_vector (61 downto 0);
signal join_uid86_fpCosPiTest_q : std_logic_vector (2 downto 0);
signal expSelBits_uid87_fpCosPiTest_q : std_logic_vector (3 downto 0);
signal cosXR_uid105_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal RRangeRed_uid151_rrx_uid32_fpCosPiTest_q : std_logic_vector (58 downto 0);
signal vStagei_uid184_lzcZ_uid57_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid184_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_align_2_q_int : std_logic_vector (107 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_align_2_q : std_logic_vector (107 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_a : std_logic_vector(4 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_b : std_logic_vector(4 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal fracX_uid128_rrx_uid32_fpCosPiTest_in : std_logic_vector (22 downto 0);
signal fracX_uid128_rrx_uid32_fpCosPiTest_b : std_logic_vector (22 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_a : std_logic_vector(4 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_b : std_logic_vector(4 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_a : std_logic_vector(2 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_b : std_logic_vector(2 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_a : std_logic_vector(0 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_b : std_logic_vector(0 downto 0);
signal ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_q : std_logic_vector(0 downto 0);
signal R_uid108_px_uid31_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal InvFracXIsZero_uid16_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid16_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal InvCosXIsOne_uid80_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal InvCosXIsOne_uid80_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal oMyBottom_uid53_fpCosPiTest_in : std_logic_vector (61 downto 0);
signal oMyBottom_uid53_fpCosPiTest_b : std_logic_vector (61 downto 0);
signal zAddr_uid64_fpCosPiTest_in : std_logic_vector (61 downto 0);
signal zAddr_uid64_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal zPPolyEval_uid65_fpCosPiTest_in : std_logic_vector (53 downto 0);
signal zPPolyEval_uid65_fpCosPiTest_b : std_logic_vector (17 downto 0);
signal rVStage_uid178_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (61 downto 0);
signal rVStage_uid178_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (31 downto 0);
signal vStage_uid181_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (29 downto 0);
signal vStage_uid181_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (29 downto 0);
signal X45dto0_uid216_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (45 downto 0);
signal X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (45 downto 0);
signal X13dto0_uid222_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (13 downto 0);
signal X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (13 downto 0);
signal expP_uid62_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal expP_uid62_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid198_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid198_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal vStage_uid200_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal vStage_uid200_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid204_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid204_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal vStage_uid206_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal vStage_uid206_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (74 downto 0);
signal LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (74 downto 0);
signal X60dto0_uid155_fxpX_uid42_fpCosPiTest_in : std_logic_vector (60 downto 0);
signal X60dto0_uid155_fxpX_uid42_fpCosPiTest_b : std_logic_vector (60 downto 0);
signal X56dto0_uid158_fxpX_uid42_fpCosPiTest_in : std_logic_vector (56 downto 0);
signal X56dto0_uid158_fxpX_uid42_fpCosPiTest_b : std_logic_vector (56 downto 0);
signal X52dto0_uid161_fxpX_uid42_fpCosPiTest_in : std_logic_vector (52 downto 0);
signal X52dto0_uid161_fxpX_uid42_fpCosPiTest_b : std_logic_vector (52 downto 0);
signal fracRCompPreRnd_uid72_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal fracRCompPreRnd_uid72_fpCosPiTest_q : std_logic_vector (23 downto 0);
signal rndOp_uid74_uid75_fpCosPiTest_q : std_logic_vector (24 downto 0);
signal lowRangeB_uid257_sinPiZPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid257_sinPiZPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid258_sinPiZPolyEval_in : std_logic_vector (13 downto 0);
signal highBBits_uid258_sinPiZPolyEval_b : std_logic_vector (12 downto 0);
signal lowRangeB_uid263_sinPiZPolyEval_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid263_sinPiZPolyEval_b : std_logic_vector (1 downto 0);
signal highBBits_uid264_sinPiZPolyEval_in : std_logic_vector (23 downto 0);
signal highBBits_uid264_sinPiZPolyEval_b : std_logic_vector (21 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a_0_in : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a_0_b : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a_1_in : std_logic_vector (53 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a_1_b : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a_2_in : std_logic_vector (80 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_a_2_b : std_logic_vector (26 downto 0);
signal intXParity_uid43_fpCosPiTest_in : std_logic_vector (64 downto 0);
signal intXParity_uid43_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal y_uid44_fpCosPiTest_in : std_logic_vector (63 downto 0);
signal y_uid44_fpCosPiTest_b : std_logic_vector (62 downto 0);
signal LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (57 downto 0);
signal LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (57 downto 0);
signal LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (53 downto 0);
signal LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (53 downto 0);
signal LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (49 downto 0);
signal LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (49 downto 0);
signal LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (60 downto 0);
signal LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (60 downto 0);
signal LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (59 downto 0);
signal LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (59 downto 0);
signal LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (58 downto 0);
signal LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (58 downto 0);
signal p_uid59_fpCosPiTest_in : std_logic_vector (61 downto 0);
signal p_uid59_fpCosPiTest_b : std_logic_vector (25 downto 0);
signal expXRR_uid34_fpCosPiTest_in : std_logic_vector (57 downto 0);
signal expXRR_uid34_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal fracXRR_uid35_fpCosPiTest_in : std_logic_vector (49 downto 0);
signal fracXRR_uid35_fpCosPiTest_b : std_logic_vector (49 downto 0);
signal rVStage_uid186_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid186_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (15 downto 0);
signal vStage_uid188_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (15 downto 0);
signal vStage_uid188_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (15 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_a : std_logic_vector(108 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_b : std_logic_vector(108 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_o : std_logic_vector (108 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_q : std_logic_vector (108 downto 0);
signal oFracX_uid138_uid138_rrx_uid32_fpCosPiTest_q : std_logic_vector (23 downto 0);
signal expX_uid127_rrx_uid32_fpCosPiTest_in : std_logic_vector (30 downto 0);
signal expX_uid127_rrx_uid32_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal exc_N_uid17_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid17_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid17_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal yT1_uid255_sinPiZPolyEval_in : std_logic_vector (17 downto 0);
signal yT1_uid255_sinPiZPolyEval_b : std_logic_vector (12 downto 0);
signal vCount_uid205_lzcZ_uid57_fpCosPiTest_a : std_logic_vector(1 downto 0);
signal vCount_uid205_lzcZ_uid57_fpCosPiTest_b : std_logic_vector(1 downto 0);
signal vCount_uid205_lzcZ_uid57_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid208_lzcZ_uid57_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid208_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (1 downto 0);
signal vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_a : std_logic_vector(3 downto 0);
signal vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector(3 downto 0);
signal vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector (3 downto 0);
signal vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage2Idx1_uid324_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal leftShiftStage0Idx1_uid156_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage0Idx2_uid159_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal leftShiftStage0Idx3_uid162_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_q : std_logic_vector (31 downto 0);
signal expRCompFracRComp_uid76_fpCosPiTest_a : std_logic_vector(32 downto 0);
signal expRCompFracRComp_uid76_fpCosPiTest_b : std_logic_vector(32 downto 0);
signal expRCompFracRComp_uid76_fpCosPiTest_o : std_logic_vector (32 downto 0);
signal expRCompFracRComp_uid76_fpCosPiTest_q : std_logic_vector (32 downto 0);
signal sumAHighB_uid259_sinPiZPolyEval_a : std_logic_vector(21 downto 0);
signal sumAHighB_uid259_sinPiZPolyEval_b : std_logic_vector(21 downto 0);
signal sumAHighB_uid259_sinPiZPolyEval_o : std_logic_vector (21 downto 0);
signal sumAHighB_uid259_sinPiZPolyEval_q : std_logic_vector (21 downto 0);
signal sumAHighB_uid265_sinPiZPolyEval_a : std_logic_vector(30 downto 0);
signal sumAHighB_uid265_sinPiZPolyEval_b : std_logic_vector(30 downto 0);
signal sumAHighB_uid265_sinPiZPolyEval_o : std_logic_vector (30 downto 0);
signal sumAHighB_uid265_sinPiZPolyEval_q : std_logic_vector (30 downto 0);
signal yBottom_uid54_fpCosPiTest_in : std_logic_vector (61 downto 0);
signal yBottom_uid54_fpCosPiTest_b : std_logic_vector (61 downto 0);
signal cosXIsOneXRR_uid37_fpCosPiTest_a : std_logic_vector(11 downto 0);
signal cosXIsOneXRR_uid37_fpCosPiTest_b : std_logic_vector(11 downto 0);
signal cosXIsOneXRR_uid37_fpCosPiTest_o : std_logic_vector (11 downto 0);
signal cosXIsOneXRR_uid37_fpCosPiTest_cin : std_logic_vector (0 downto 0);
signal cosXIsOneXRR_uid37_fpCosPiTest_n : std_logic_vector (0 downto 0);
signal fxpXShiftValExt_uid39_fpCosPiTest_a : std_logic_vector(10 downto 0);
signal fxpXShiftValExt_uid39_fpCosPiTest_b : std_logic_vector(10 downto 0);
signal fxpXShiftValExt_uid39_fpCosPiTest_o : std_logic_vector (10 downto 0);
signal fxpXShiftValExt_uid39_fpCosPiTest_q : std_logic_vector (9 downto 0);
signal vCount_uid187_lzcZ_uid57_fpCosPiTest_a : std_logic_vector(15 downto 0);
signal vCount_uid187_lzcZ_uid57_fpCosPiTest_b : std_logic_vector(15 downto 0);
signal vCount_uid187_lzcZ_uid57_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid190_lzcZ_uid57_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid190_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (15 downto 0);
signal multFracBits_uid140_rrx_uid32_fpCosPiTest_in : std_logic_vector (75 downto 0);
signal multFracBits_uid140_rrx_uid32_fpCosPiTest_b : std_logic_vector (75 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_b_0_in : std_logic_vector (26 downto 0);
signal prod_uid139_rrx_uid32_fpCosPiTest_b_0_b : std_logic_vector (26 downto 0);
signal expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_a : std_logic_vector(8 downto 0);
signal expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_b : std_logic_vector(8 downto 0);
signal expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_o : std_logic_vector (8 downto 0);
signal expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_q : std_logic_vector (8 downto 0);
signal excRNaN_uid82_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid82_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid82_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid210_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid210_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector (4 downto 0);
signal leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_s : std_logic_vector (0 downto 0);
signal leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q : std_logic_vector (64 downto 0);
signal fracRComp_uid77_fpCosPiTest_in : std_logic_vector (23 downto 0);
signal fracRComp_uid77_fpCosPiTest_b : std_logic_vector (22 downto 0);
signal expRComp_uid78_fpCosPiTest_in : std_logic_vector (31 downto 0);
signal expRComp_uid78_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal s1_uid257_uid260_sinPiZPolyEval_q : std_logic_vector (22 downto 0);
signal s2_uid263_uid266_sinPiZPolyEval_q : std_logic_vector (32 downto 0);
signal cosXOne_uid91_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal cosXOne_uid91_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal cosXOne_uid91_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal fxpXShiftVal_uid40_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal fxpXShiftVal_uid40_fpCosPiTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid192_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid192_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal vStage_uid194_lzcZ_uid57_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal vStage_uid194_lzcZ_uid57_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_in : std_logic_vector (75 downto 0);
signal multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_b : std_logic_vector (29 downto 0);
signal X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (67 downto 0);
signal X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (67 downto 0);
signal X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (59 downto 0);
signal X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (59 downto 0);
signal X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (51 downto 0);
signal X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (51 downto 0);
signal expXTableAddr_uid134_rrx_uid32_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal expXTableAddr_uid134_rrx_uid32_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal vCount_uid211_lzcZ_uid57_fpCosPiTest_a : std_logic_vector(0 downto 0);
signal vCount_uid211_lzcZ_uid57_fpCosPiTest_b : std_logic_vector(0 downto 0);
signal vCount_uid211_lzcZ_uid57_fpCosPiTest_q : std_logic_vector(0 downto 0);
signal expCompOutExt_uid145_rrx_uid32_fpCosPiTest_a : std_logic_vector(8 downto 0);
signal expCompOutExt_uid145_rrx_uid32_fpCosPiTest_b : std_logic_vector(8 downto 0);
signal expCompOutExt_uid145_rrx_uid32_fpCosPiTest_o : std_logic_vector (8 downto 0);
signal expCompOutExt_uid145_rrx_uid32_fpCosPiTest_q : std_logic_vector (8 downto 0);
signal leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (0 downto 0);
signal fracCompOut_uid144_rrx_uid32_fpCosPiTest_in : std_logic_vector (74 downto 0);
signal fracCompOut_uid144_rrx_uid32_fpCosPiTest_b : std_logic_vector (49 downto 0);
signal LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_in : std_logic_vector (63 downto 0);
signal LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b : std_logic_vector (63 downto 0);
signal LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_in : std_logic_vector (62 downto 0);
signal LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b : std_logic_vector (62 downto 0);
signal LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_in : std_logic_vector (61 downto 0);
signal LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b : std_logic_vector (61 downto 0);
signal fxpSinRes_uid67_fpCosPiTest_in : std_logic_vector (30 downto 0);
signal fxpSinRes_uid67_fpCosPiTest_b : std_logic_vector (25 downto 0);
signal leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (29 downto 0);
signal rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (15 downto 0);
signal vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest_in : std_logic_vector (13 downto 0);
signal vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest_b : std_logic_vector (13 downto 0);
signal r_uid212_lzcZ_uid57_fpCosPiTest_q : std_logic_vector (5 downto 0);
signal expCompOut_uid146_rrx_uid32_fpCosPiTest_in : std_logic_vector (7 downto 0);
signal expCompOut_uid146_rrx_uid32_fpCosPiTest_b : std_logic_vector (7 downto 0);
signal leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_q : std_logic_vector (15 downto 0);
signal leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (5 downto 0);
signal leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b : std_logic_vector (1 downto 0);
signal LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (73 downto 0);
signal LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (73 downto 0);
signal LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (71 downto 0);
signal LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (71 downto 0);
signal LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest_in : std_logic_vector (69 downto 0);
signal LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest_b : std_logic_vector (69 downto 0);
signal leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
signal leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_q : std_logic_vector (75 downto 0);
begin
--xIn(GPIN,3)@0
--GND(CONSTANT,0)
GND_q <= "0";
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable(LOGICAL,823)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_a <= en;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q <= not ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_a;
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor(LOGICAL,874)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_b <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_q <= not (ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_a or ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_b);
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_mem_top(CONSTANT,870)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_mem_top_q <= "01010";
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp(LOGICAL,871)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_a <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_mem_top_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_q);
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_q <= "1" when ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_a = ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_b else "0";
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmpReg(REG,872)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmpReg_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena(REG,875)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_nor_q = "1") THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd(LOGICAL,876)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_a <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_sticky_ena_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_b <= en;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_a and ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_b;
--expFracX_uid107_px_uid31_fpCosPiTest(BITSELECT,106)@0
expFracX_uid107_px_uid31_fpCosPiTest_in <= a(30 downto 0);
expFracX_uid107_px_uid31_fpCosPiTest_b <= expFracX_uid107_px_uid31_fpCosPiTest_in(30 downto 0);
--R_uid108_px_uid31_fpCosPiTest(BITJOIN,107)@0
R_uid108_px_uid31_fpCosPiTest_q <= GND_q & expFracX_uid107_px_uid31_fpCosPiTest_b;
--expX_uid127_rrx_uid32_fpCosPiTest(BITSELECT,126)@0
expX_uid127_rrx_uid32_fpCosPiTest_in <= R_uid108_px_uid31_fpCosPiTest_q(30 downto 0);
expX_uid127_rrx_uid32_fpCosPiTest_b <= expX_uid127_rrx_uid32_fpCosPiTest_in(30 downto 23);
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_inputreg(DELAY,864)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expX_uid127_rrx_uid32_fpCosPiTest_b, xout => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt(COUNTER,866)
-- every=1, low=0, high=10, step=1, init=1
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i = 9 THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_eq = '1') THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i - 10;
ELSE
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_i,4));
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg(REG,867)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux(MUX,868)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_s <= en;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux: PROCESS (ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_s, ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg_q, ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_q)
BEGIN
CASE ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_s IS
WHEN "0" => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg_q;
WHEN "1" => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem(DUALMEM,865)
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_ia <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_inputreg_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_aa <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdreg_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_ab <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_rdmux_q;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 4,
numwords_a => 11,
width_b => 8,
widthad_b => 4,
numwords_b => 11,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_iq,
address_a => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_aa,
data_a => ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_ia
);
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_reset0 <= areset;
ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_iq(7 downto 0);
--zs_uid185_lzcZ_uid57_fpCosPiTest(CONSTANT,184)
zs_uid185_lzcZ_uid57_fpCosPiTest_q <= "0000000000000000";
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor(LOGICAL,848)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_b <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena_q;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_q <= not (ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_a or ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_b);
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg(REG,846)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena(REG,849)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_nor_q = "1") THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd(LOGICAL,850)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_a <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_sticky_ena_q;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_b <= en;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_a and ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_b;
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_inputreg(DELAY,840)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => R_uid108_px_uid31_fpCosPiTest_q, xout => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt(COUNTER,842)
-- every=1, low=0, high=1, step=1, init=1
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_i <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_i,1));
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg(REG,843)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux(MUX,844)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_s <= en;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux: PROCESS (ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_s, ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q, ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_q)
BEGIN
CASE ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_s IS
WHEN "0" => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q;
WHEN "1" => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem(DUALMEM,841)
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_ia <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_inputreg_q;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_aa <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_ab <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 32,
widthad_a => 1,
numwords_a => 2,
width_b => 32,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_iq,
address_a => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_aa,
data_a => ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_ia
);
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_reset0 <= areset;
ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_iq(31 downto 0);
--fracX_uid128_rrx_uid32_fpCosPiTest(BITSELECT,127)@4
fracX_uid128_rrx_uid32_fpCosPiTest_in <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_mem_q(22 downto 0);
fracX_uid128_rrx_uid32_fpCosPiTest_b <= fracX_uid128_rrx_uid32_fpCosPiTest_in(22 downto 0);
--oFracX_uid138_uid138_rrx_uid32_fpCosPiTest(BITJOIN,137)@4
oFracX_uid138_uid138_rrx_uid32_fpCosPiTest_q <= VCC_q & fracX_uid128_rrx_uid32_fpCosPiTest_b;
--prod_uid139_rrx_uid32_fpCosPiTest_b_0(BITSELECT,338)@4
prod_uid139_rrx_uid32_fpCosPiTest_b_0_in <= STD_LOGIC_VECTOR("000" & oFracX_uid138_uid138_rrx_uid32_fpCosPiTest_q);
prod_uid139_rrx_uid32_fpCosPiTest_b_0_b <= prod_uid139_rrx_uid32_fpCosPiTest_b_0_in(26 downto 0);
--reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1(REG,355)@4
reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1_q <= prod_uid139_rrx_uid32_fpCosPiTest_b_0_b;
END IF;
END IF;
END PROCESS;
--cstBiasMwShift_uid23_fpCosPiTest(CONSTANT,22)
cstBiasMwShift_uid23_fpCosPiTest_q <= "01110011";
--expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest(SUB,132)@0
expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expX_uid127_rrx_uid32_fpCosPiTest_b);
expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_b <= STD_LOGIC_VECTOR("0" & cstBiasMwShift_uid23_fpCosPiTest_q);
expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_a) - UNSIGNED(expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_b));
expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_q <= expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_o(8 downto 0);
--expXTableAddr_uid134_rrx_uid32_fpCosPiTest(BITSELECT,133)@0
expXTableAddr_uid134_rrx_uid32_fpCosPiTest_in <= expXTableAddrExt_uid133_rrx_uid32_fpCosPiTest_q(7 downto 0);
expXTableAddr_uid134_rrx_uid32_fpCosPiTest_b <= expXTableAddr_uid134_rrx_uid32_fpCosPiTest_in(7 downto 0);
--reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0(REG,350)@0
reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_q <= expXTableAddr_uid134_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem(DUALMEM,334)@1
rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_ia <= (others => '0');
rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_aa <= (others => '0');
rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_ab <= reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_q;
rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 38,
widthad_a => 8,
numwords_a => 140,
width_b => 38,
widthad_b => 8,
numwords_b => 140,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_cos_s5_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_reset0,
clock0 => clk,
address_b => rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_ab,
-- data_b => (others => '0'),
q_b => rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_iq,
address_a => rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_aa,
data_a => rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_ia
);
rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_reset0 <= areset;
rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_q <= rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_iq(37 downto 0);
--reg_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_1(REG,353)@3
reg_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_1_q <= "00000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_1_q <= rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_q;
END IF;
END IF;
END PROCESS;
--rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem(DUALMEM,333)@1
rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_ia <= (others => '0');
rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_aa <= (others => '0');
rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_ab <= reg_expXTableAddr_uid134_rrx_uid32_fpCosPiTest_0_to_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_q;
rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 40,
widthad_a => 8,
numwords_a => 140,
width_b => 40,
widthad_b => 8,
numwords_b => 140,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_cos_s5_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_reset0,
clock0 => clk,
address_b => rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_ab,
-- data_b => (others => '0'),
q_b => rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_iq,
address_a => rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_aa,
data_a => rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_ia
);
rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_reset0 <= areset;
rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_q <= rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_iq(39 downto 0);
--reg_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_0(REG,352)@3
reg_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_0_q <= rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid137_rrx_uid32_fpCosPiTest(BITJOIN,136)@4
os_uid137_rrx_uid32_fpCosPiTest_q <= reg_rrTable_uid136_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_1_q & reg_rrTable_uid135_rrx_uid32_fpCosPiTest_lutmem_0_to_os_uid137_rrx_uid32_fpCosPiTest_0_q;
--prod_uid139_rrx_uid32_fpCosPiTest_a_2(BITSELECT,337)@4
prod_uid139_rrx_uid32_fpCosPiTest_a_2_in <= STD_LOGIC_VECTOR("000" & os_uid137_rrx_uid32_fpCosPiTest_q);
prod_uid139_rrx_uid32_fpCosPiTest_a_2_b <= prod_uid139_rrx_uid32_fpCosPiTest_a_2_in(80 downto 54);
--reg_prod_uid139_rrx_uid32_fpCosPiTest_a_2_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_0(REG,358)@4
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_2_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_2_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_2_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_0_q <= prod_uid139_rrx_uid32_fpCosPiTest_a_2_b;
END IF;
END IF;
END PROCESS;
--prod_uid139_rrx_uid32_fpCosPiTest_a2_b0(MULT,341)@5
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_pr <= UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_a) * UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_b);
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_a <= (others => '0');
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_b <= (others => '0');
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_a <= reg_prod_uid139_rrx_uid32_fpCosPiTest_a_2_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_0_q;
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_b <= reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1_q;
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_s1 <= STD_LOGIC_VECTOR(prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_pr);
END IF;
END IF;
END PROCESS;
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q <= prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_s1;
END IF;
END IF;
END PROCESS;
--ld_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q_to_prod_uid139_rrx_uid32_fpCosPiTest_align_2_a(DELAY,736)@8
ld_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q_to_prod_uid139_rrx_uid32_fpCosPiTest_align_2_a : dspba_delay
GENERIC MAP ( width => 54, depth => 1 )
PORT MAP ( xin => prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q, xout => ld_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q_to_prod_uid139_rrx_uid32_fpCosPiTest_align_2_a_q, ena => en(0), clk => clk, aclr => areset );
--prod_uid139_rrx_uid32_fpCosPiTest_align_2(BITSHIFT,344)@9
prod_uid139_rrx_uid32_fpCosPiTest_align_2_q_int <= ld_prod_uid139_rrx_uid32_fpCosPiTest_a2_b0_q_to_prod_uid139_rrx_uid32_fpCosPiTest_align_2_a_q & "000000000000000000000000000000000000000000000000000000";
prod_uid139_rrx_uid32_fpCosPiTest_align_2_q <= prod_uid139_rrx_uid32_fpCosPiTest_align_2_q_int(107 downto 0);
--prod_uid139_rrx_uid32_fpCosPiTest_a_1(BITSELECT,336)@4
prod_uid139_rrx_uid32_fpCosPiTest_a_1_in <= os_uid137_rrx_uid32_fpCosPiTest_q(53 downto 0);
prod_uid139_rrx_uid32_fpCosPiTest_a_1_b <= prod_uid139_rrx_uid32_fpCosPiTest_a_1_in(53 downto 27);
--reg_prod_uid139_rrx_uid32_fpCosPiTest_a_1_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_0(REG,356)@4
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_1_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_1_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_1_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_0_q <= prod_uid139_rrx_uid32_fpCosPiTest_a_1_b;
END IF;
END IF;
END PROCESS;
--prod_uid139_rrx_uid32_fpCosPiTest_a1_b0(MULT,340)@5
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_pr <= UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_a) * UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_b);
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_a <= (others => '0');
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_b <= (others => '0');
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_a <= reg_prod_uid139_rrx_uid32_fpCosPiTest_a_1_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_0_q;
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_b <= reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1_q;
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_s1 <= STD_LOGIC_VECTOR(prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_pr);
END IF;
END IF;
END PROCESS;
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_q <= prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_s1;
END IF;
END IF;
END PROCESS;
--prod_uid139_rrx_uid32_fpCosPiTest_align_1(BITSHIFT,343)@8
prod_uid139_rrx_uid32_fpCosPiTest_align_1_q_int <= prod_uid139_rrx_uid32_fpCosPiTest_a1_b0_q & "000000000000000000000000000";
prod_uid139_rrx_uid32_fpCosPiTest_align_1_q <= prod_uid139_rrx_uid32_fpCosPiTest_align_1_q_int(80 downto 0);
--prod_uid139_rrx_uid32_fpCosPiTest_a_0(BITSELECT,335)@4
prod_uid139_rrx_uid32_fpCosPiTest_a_0_in <= os_uid137_rrx_uid32_fpCosPiTest_q(26 downto 0);
prod_uid139_rrx_uid32_fpCosPiTest_a_0_b <= prod_uid139_rrx_uid32_fpCosPiTest_a_0_in(26 downto 0);
--reg_prod_uid139_rrx_uid32_fpCosPiTest_a_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_0(REG,354)@4
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_prod_uid139_rrx_uid32_fpCosPiTest_a_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_0_q <= prod_uid139_rrx_uid32_fpCosPiTest_a_0_b;
END IF;
END IF;
END PROCESS;
--prod_uid139_rrx_uid32_fpCosPiTest_a0_b0(MULT,339)@5
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_pr <= UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_a) * UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_b);
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_a <= (others => '0');
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_b <= (others => '0');
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_a <= reg_prod_uid139_rrx_uid32_fpCosPiTest_a_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_0_q;
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_b <= reg_prod_uid139_rrx_uid32_fpCosPiTest_b_0_0_to_prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_1_q;
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_s1 <= STD_LOGIC_VECTOR(prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_pr);
END IF;
END IF;
END PROCESS;
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_q <= prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_s1;
END IF;
END IF;
END PROCESS;
--prod_uid139_rrx_uid32_fpCosPiTest_align_0(BITSHIFT,342)@8
prod_uid139_rrx_uid32_fpCosPiTest_align_0_q_int <= prod_uid139_rrx_uid32_fpCosPiTest_a0_b0_q;
prod_uid139_rrx_uid32_fpCosPiTest_align_0_q <= prod_uid139_rrx_uid32_fpCosPiTest_align_0_q_int(53 downto 0);
--prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0(ADD,345)@8
prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_a <= STD_LOGIC_VECTOR("0000000000000000000000000000" & prod_uid139_rrx_uid32_fpCosPiTest_align_0_q);
prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_b <= STD_LOGIC_VECTOR("0" & prod_uid139_rrx_uid32_fpCosPiTest_align_1_q);
prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_o <= STD_LOGIC_VECTOR(UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_a) + UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_b));
END IF;
END PROCESS;
prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_q <= prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_o(81 downto 0);
--prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0(ADD,346)@9
prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_a <= STD_LOGIC_VECTOR("000000000000000000000000000" & prod_uid139_rrx_uid32_fpCosPiTest_result_add_0_0_q);
prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_b <= STD_LOGIC_VECTOR("0" & prod_uid139_rrx_uid32_fpCosPiTest_align_2_q);
prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_o <= STD_LOGIC_VECTOR(UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_a) + UNSIGNED(prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_b));
prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_q <= prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_o(108 downto 0);
--multFracBits_uid140_rrx_uid32_fpCosPiTest(BITSELECT,139)@9
multFracBits_uid140_rrx_uid32_fpCosPiTest_in <= prod_uid139_rrx_uid32_fpCosPiTest_result_add_1_0_q(75 downto 0);
multFracBits_uid140_rrx_uid32_fpCosPiTest_b <= multFracBits_uid140_rrx_uid32_fpCosPiTest_in(75 downto 0);
--multFracBitsTop_uid141_rrx_uid32_fpCosPiTest(BITSELECT,140)@9
multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_in <= multFracBits_uid140_rrx_uid32_fpCosPiTest_b;
multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_b <= multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_in(75 downto 46);
--rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,268)@9
rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_in <= multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_b;
rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_b <= rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_in(29 downto 14);
--reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1(REG,360)@9
reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1_q <= rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest(LOGICAL,269)@10
vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_a <= reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1_q;
vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_b <= zs_uid185_lzcZ_uid57_fpCosPiTest_q;
vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "1" when vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_a = vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_b else "0";
--ld_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_a(DELAY,762)@10
ld_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q, xout => ld_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4(REG,368)@11
reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_q <= ld_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_a_q;
END IF;
END IF;
END PROCESS;
--cstAllZwE_uid28_fpCosPiTest(CONSTANT,27)
cstAllZwE_uid28_fpCosPiTest_q <= "00000000";
--vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,271)@9
vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest_in <= multFracBitsTop_uid141_rrx_uid32_fpCosPiTest_b(13 downto 0);
vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest_b <= vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest_in(13 downto 0);
--mO_uid180_lzcZ_uid57_fpCosPiTest(CONSTANT,179)
mO_uid180_lzcZ_uid57_fpCosPiTest_q <= "11";
--cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest(BITJOIN,272)@9
cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_q <= vStage_uid272_zCount_uid142_rrx_uid32_fpCosPiTest_b & mO_uid180_lzcZ_uid57_fpCosPiTest_q;
--reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3(REG,362)@9
reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3_q <= cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest(MUX,274)@10
vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_s <= vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_q;
vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest: PROCESS (vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_s, en, reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1_q, reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3_q)
BEGIN
CASE vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_rVStage_uid269_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_1_q;
WHEN "1" => vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_cStage_uid273_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_3_q;
WHEN OTHERS => vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,276)@10
rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_q;
rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_b <= rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_in(15 downto 8);
--vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest(LOGICAL,277)@10
vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_a <= rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_b;
vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_b <= cstAllZwE_uid28_fpCosPiTest_q;
vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
IF (vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_a = vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_b) THEN
vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "1";
ELSE
vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "0";
END IF;
END IF;
END IF;
END PROCESS;
--ld_vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_d(DELAY,684)@11
ld_vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q, xout => ld_vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest(CONSTANT,153)
leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q <= "0000";
--vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,278)@10
vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid275_zCount_uid142_rrx_uid32_fpCosPiTest_q(7 downto 0);
vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_b <= vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_in(7 downto 0);
--reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3(REG,364)@10
reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3_q <= vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2(REG,363)@10
reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2_q <= rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest(MUX,280)@11
vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_s <= vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q;
vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest: PROCESS (vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_s, en, reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2_q, reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3_q)
BEGIN
CASE vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_rVStage_uid277_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_2_q;
WHEN "1" => vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_vStage_uid279_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_3_q;
WHEN OTHERS => vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,282)@11
rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_q;
rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_b <= rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_in(7 downto 4);
--vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest(LOGICAL,283)@11
vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_a <= rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_b;
vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_b <= leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q;
vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "1" when vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_a = vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_b else "0";
--reg_vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_2(REG,367)@11
reg_vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_2_q <= vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest(CONSTANT,167)
leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q <= "00";
--vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,284)@11
vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid281_zCount_uid142_rrx_uid32_fpCosPiTest_q(3 downto 0);
vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_b <= vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_in(3 downto 0);
--vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest(MUX,286)@11
vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_s <= vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_q;
vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest: PROCESS (vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_s, en, rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_b, vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_b)
BEGIN
CASE vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_q <= rVStage_uid283_zCount_uid142_rrx_uid32_fpCosPiTest_b;
WHEN "1" => vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_q <= vStage_uid285_zCount_uid142_rrx_uid32_fpCosPiTest_b;
WHEN OTHERS => vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,288)@11
rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_q;
rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_b <= rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_in(3 downto 2);
--vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest(LOGICAL,289)@11
vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_a <= rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_b;
vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_b <= leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q;
vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
IF (vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_a = vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_b) THEN
vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "1";
ELSE
vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "0";
END IF;
END IF;
END IF;
END PROCESS;
--vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,290)@11
vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid287_zCount_uid142_rrx_uid32_fpCosPiTest_q(1 downto 0);
vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_b <= vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_in(1 downto 0);
--reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3(REG,366)@11
reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3_q <= vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2(REG,365)@11
reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2_q <= rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest(MUX,292)@12
vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_s <= vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_q;
vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest: PROCESS (vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_s, en, reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2_q, reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3_q)
BEGIN
CASE vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_rVStage_uid289_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_2_q;
WHEN "1" => vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_vStage_uid291_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_3_q;
WHEN OTHERS => vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest(BITSELECT,294)@12
rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest_in <= vStagei_uid293_zCount_uid142_rrx_uid32_fpCosPiTest_q;
rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest_b <= rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest_in(1 downto 1);
--vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest(LOGICAL,295)@12
vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_a <= rVStage_uid295_zCount_uid142_rrx_uid32_fpCosPiTest_b;
vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_b <= GND_q;
vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_q <= "1" when vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_a = vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_b else "0";
--r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest(BITJOIN,296)@12
r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_q <= reg_vCount_uid270_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_4_q & ld_vCount_uid278_zCount_uid142_rrx_uid32_fpCosPiTest_q_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_d_q & reg_vCount_uid284_zCount_uid142_rrx_uid32_fpCosPiTest_0_to_r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_2_q & vCount_uid290_zCount_uid142_rrx_uid32_fpCosPiTest_q & vCount_uid296_zCount_uid142_rrx_uid32_fpCosPiTest_q;
--biasM1_uid60_fpCosPiTest(CONSTANT,59)
biasM1_uid60_fpCosPiTest_q <= "01111110";
--expCompOutExt_uid145_rrx_uid32_fpCosPiTest(SUB,144)@12
expCompOutExt_uid145_rrx_uid32_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & biasM1_uid60_fpCosPiTest_q);
expCompOutExt_uid145_rrx_uid32_fpCosPiTest_b <= STD_LOGIC_VECTOR("0000" & r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_q);
expCompOutExt_uid145_rrx_uid32_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expCompOutExt_uid145_rrx_uid32_fpCosPiTest_a) - UNSIGNED(expCompOutExt_uid145_rrx_uid32_fpCosPiTest_b));
expCompOutExt_uid145_rrx_uid32_fpCosPiTest_q <= expCompOutExt_uid145_rrx_uid32_fpCosPiTest_o(8 downto 0);
--expCompOut_uid146_rrx_uid32_fpCosPiTest(BITSELECT,145)@12
expCompOut_uid146_rrx_uid32_fpCosPiTest_in <= expCompOutExt_uid145_rrx_uid32_fpCosPiTest_q(7 downto 0);
expCompOut_uid146_rrx_uid32_fpCosPiTest_b <= expCompOut_uid146_rrx_uid32_fpCosPiTest_in(7 downto 0);
--reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2(REG,375)@12
reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2_q <= expCompOut_uid146_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--xBranch_uid132_rrx_uid32_fpCosPiTest(COMPARE,131)@0
xBranch_uid132_rrx_uid32_fpCosPiTest_cin <= GND_q;
xBranch_uid132_rrx_uid32_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & cstBiasMwShift_uid23_fpCosPiTest_q) & '0';
xBranch_uid132_rrx_uid32_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & expX_uid127_rrx_uid32_fpCosPiTest_b) & xBranch_uid132_rrx_uid32_fpCosPiTest_cin(0);
xBranch_uid132_rrx_uid32_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
xBranch_uid132_rrx_uid32_fpCosPiTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
xBranch_uid132_rrx_uid32_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xBranch_uid132_rrx_uid32_fpCosPiTest_a) - UNSIGNED(xBranch_uid132_rrx_uid32_fpCosPiTest_b));
END IF;
END IF;
END PROCESS;
xBranch_uid132_rrx_uid32_fpCosPiTest_n(0) <= not xBranch_uid132_rrx_uid32_fpCosPiTest_o(10);
--ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalExp_uid150_rrx_uid32_fpCosPiTest_b(DELAY,538)@1
ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalExp_uid150_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 12 )
PORT MAP ( xin => xBranch_uid132_rrx_uid32_fpCosPiTest_n, xout => ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalExp_uid150_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--finalExp_uid150_rrx_uid32_fpCosPiTest(MUX,149)@13
finalExp_uid150_rrx_uid32_fpCosPiTest_s <= ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalExp_uid150_rrx_uid32_fpCosPiTest_b_q;
finalExp_uid150_rrx_uid32_fpCosPiTest: PROCESS (finalExp_uid150_rrx_uid32_fpCosPiTest_s, en, reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2_q, ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_q)
BEGIN
CASE finalExp_uid150_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => finalExp_uid150_rrx_uid32_fpCosPiTest_q <= reg_expCompOut_uid146_rrx_uid32_fpCosPiTest_0_to_finalExp_uid150_rrx_uid32_fpCosPiTest_2_q;
WHEN "1" => finalExp_uid150_rrx_uid32_fpCosPiTest_q <= ld_expX_uid127_rrx_uid32_fpCosPiTest_b_to_finalExp_uid150_rrx_uid32_fpCosPiTest_d_replace_mem_q;
WHEN OTHERS => finalExp_uid150_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_finalExp_uid150_rrx_uid32_fpCosPiTest_q_to_RRangeRed_uid151_rrx_uid32_fpCosPiTest_b(DELAY,542)@13
ld_finalExp_uid150_rrx_uid32_fpCosPiTest_q_to_RRangeRed_uid151_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => finalExp_uid150_rrx_uid32_fpCosPiTest_q, xout => ld_finalExp_uid150_rrx_uid32_fpCosPiTest_q_to_RRangeRed_uid151_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor(LOGICAL,861)
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_b <= ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena_q;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_q <= not (ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_a or ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_b);
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top(CONSTANT,820)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top_q <= "0111";
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp(LOGICAL,821)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_mem_top_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q);
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_q <= "1" when ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_a = ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_b else "0";
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg(REG,822)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena(REG,862)
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_nor_q = "1") THEN
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd(LOGICAL,863)
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_a <= ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_sticky_ena_q;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_b <= en;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_q <= ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_a and ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_b;
--ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_inputreg(DELAY,851)
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => fracX_uid128_rrx_uid32_fpCosPiTest_b, xout => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt(COUNTER,816)
-- every=1, low=0, high=7, step=1, init=1
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_i,3));
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg(REG,817)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux(MUX,818)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s <= en;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux: PROCESS (ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s, ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q, ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q;
WHEN "1" => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem(DUALMEM,852)
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_ia <= ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_inputreg_q;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_aa <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_ab <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 23,
widthad_a => 3,
numwords_a => 8,
width_b => 23,
widthad_b => 3,
numwords_b => 8,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_iq,
address_a => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_aa,
data_a => ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_ia
);
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_q <= ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_iq(22 downto 0);
--ZerosGB_uid147_rrx_uid32_fpCosPiTest(CONSTANT,146)
ZerosGB_uid147_rrx_uid32_fpCosPiTest_q <= "000000000000000000000000000";
--fracXRExt_uid148_rrx_uid32_fpCosPiTest(BITJOIN,147)@14
fracXRExt_uid148_rrx_uid32_fpCosPiTest_q <= ld_fracX_uid128_rrx_uid32_fpCosPiTest_b_to_fracXRExt_uid148_rrx_uid32_fpCosPiTest_b_replace_mem_q & ZerosGB_uid147_rrx_uid32_fpCosPiTest_q;
--LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,322)@13
LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest_in <= leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q(74 downto 0);
LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest_b <= LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest_in(74 downto 0);
--leftShiftStage2Idx1_uid324_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,323)@13
leftShiftStage2Idx1_uid324_normMult_uid143_rrx_uid32_fpCosPiTest_q <= LeftShiftStage174dto0_uid323_normMult_uid143_rrx_uid32_fpCosPiTest_b & GND_q;
--X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,306)@9
X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_in <= multFracBits_uid140_rrx_uid32_fpCosPiTest_b(51 downto 0);
X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b <= X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_in(51 downto 0);
--ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg(DELAY,923)
ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 52, depth => 1 )
PORT MAP ( xin => X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b, xout => ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b(DELAY,691)@9
ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 52, depth => 2 )
PORT MAP ( xin => ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q, xout => ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage0Idx3Pad24_uid306_normMult_uid143_rrx_uid32_fpCosPiTest(CONSTANT,305)
leftShiftStage0Idx3Pad24_uid306_normMult_uid143_rrx_uid32_fpCosPiTest_q <= "000000000000000000000000";
--leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,307)@12
leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_q <= ld_X51dto0_uid307_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_b_q & leftShiftStage0Idx3Pad24_uid306_normMult_uid143_rrx_uid32_fpCosPiTest_q;
--X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,303)@9
X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_in <= multFracBits_uid140_rrx_uid32_fpCosPiTest_b(59 downto 0);
X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b <= X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_in(59 downto 0);
--ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg(DELAY,922)
ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 60, depth => 1 )
PORT MAP ( xin => X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b, xout => ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b(DELAY,689)@9
ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 60, depth => 2 )
PORT MAP ( xin => ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q, xout => ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,304)@12
leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_q <= ld_X59dto0_uid304_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_b_q & zs_uid185_lzcZ_uid57_fpCosPiTest_q;
--X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,300)@9
X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_in <= multFracBits_uid140_rrx_uid32_fpCosPiTest_b(67 downto 0);
X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b <= X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_in(67 downto 0);
--ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg(DELAY,921)
ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 68, depth => 1 )
PORT MAP ( xin => X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b, xout => ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b(DELAY,687)@9
ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 68, depth => 2 )
PORT MAP ( xin => ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_inputreg_q, xout => ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,301)@12
leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_q <= ld_X67dto0_uid301_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_b_q & cstAllZwE_uid28_fpCosPiTest_q;
--ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_inputreg(DELAY,924)
ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 76, depth => 1 )
PORT MAP ( xin => multFracBits_uid140_rrx_uid32_fpCosPiTest_b, xout => ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c(DELAY,694)@9
ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 76, depth => 2 )
PORT MAP ( xin => ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_inputreg_q, xout => ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,308)@12
leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest_in <= r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_q;
leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest_b <= leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest_in(4 downto 3);
--leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest(MUX,309)@12
leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_s <= leftShiftStageSel4Dto3_uid309_normMult_uid143_rrx_uid32_fpCosPiTest_b;
leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest: PROCESS (leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_s, en, ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_q, leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_q, leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_q, leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_q)
BEGIN
CASE leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_s IS
WHEN "00" => leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q <= ld_multFracBits_uid140_rrx_uid32_fpCosPiTest_b_to_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_c_q;
WHEN "01" => leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q <= leftShiftStage0Idx1_uid302_normMult_uid143_rrx_uid32_fpCosPiTest_q;
WHEN "10" => leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q <= leftShiftStage0Idx2_uid305_normMult_uid143_rrx_uid32_fpCosPiTest_q;
WHEN "11" => leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q <= leftShiftStage0Idx3_uid308_normMult_uid143_rrx_uid32_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,317)@12
LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest_in <= leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q(69 downto 0);
LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest_b <= LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest_in(69 downto 0);
--leftShiftStage1Idx3Pad6_uid317_normMult_uid143_rrx_uid32_fpCosPiTest(CONSTANT,316)
leftShiftStage1Idx3Pad6_uid317_normMult_uid143_rrx_uid32_fpCosPiTest_q <= "000000";
--leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,318)@12
leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_q <= LeftShiftStage069dto0_uid318_normMult_uid143_rrx_uid32_fpCosPiTest_b & leftShiftStage1Idx3Pad6_uid317_normMult_uid143_rrx_uid32_fpCosPiTest_q;
--reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5(REG,373)@12
reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5_q <= leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,314)@12
LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest_in <= leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q(71 downto 0);
LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest_b <= LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest_in(71 downto 0);
--leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,315)@12
leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_q <= LeftShiftStage071dto0_uid315_normMult_uid143_rrx_uid32_fpCosPiTest_b & leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q;
--reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4(REG,372)@12
reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4_q <= leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,311)@12
LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest_in <= leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q(73 downto 0);
LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest_b <= LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest_in(73 downto 0);
--leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest(BITJOIN,312)@12
leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_q <= LeftShiftStage073dto0_uid312_normMult_uid143_rrx_uid32_fpCosPiTest_b & leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q;
--reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3(REG,371)@12
reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3_q <= leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2(REG,370)@12
reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2_q <= leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,319)@12
leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_in <= r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_q(2 downto 0);
leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_b <= leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_in(2 downto 1);
--reg_leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_1(REG,369)@12
reg_leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_1_q <= leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest(MUX,320)@13
leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_s <= reg_leftShiftStageSel2Dto1_uid320_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_1_q;
leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest: PROCESS (leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_s, en, reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2_q, reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3_q, reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4_q, reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5_q)
BEGIN
CASE leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_s IS
WHEN "00" => leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q <= reg_leftShiftStage0_uid310_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_2_q;
WHEN "01" => leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q <= reg_leftShiftStage1Idx1_uid313_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_3_q;
WHEN "10" => leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q <= reg_leftShiftStage1Idx2_uid316_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_4_q;
WHEN "11" => leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q <= reg_leftShiftStage1Idx3_uid319_normMult_uid143_rrx_uid32_fpCosPiTest_0_to_leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_5_q;
WHEN OTHERS => leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest(BITSELECT,324)@12
leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_in <= r_uid297_zCount_uid142_rrx_uid32_fpCosPiTest_q(0 downto 0);
leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b <= leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_in(0 downto 0);
--ld_leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_b(DELAY,713)@12
ld_leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b, xout => ld_leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest(MUX,325)@13
leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_s <= ld_leftShiftStageSel0Dto0_uid325_normMult_uid143_rrx_uid32_fpCosPiTest_b_to_leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_b_q;
leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest: PROCESS (leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_s, en, leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q, leftShiftStage2Idx1_uid324_normMult_uid143_rrx_uid32_fpCosPiTest_q)
BEGIN
CASE leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_q <= leftShiftStage1_uid321_normMult_uid143_rrx_uid32_fpCosPiTest_q;
WHEN "1" => leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_q <= leftShiftStage2Idx1_uid324_normMult_uid143_rrx_uid32_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracCompOut_uid144_rrx_uid32_fpCosPiTest(BITSELECT,143)@13
fracCompOut_uid144_rrx_uid32_fpCosPiTest_in <= leftShiftStage2_uid326_normMult_uid143_rrx_uid32_fpCosPiTest_q(74 downto 0);
fracCompOut_uid144_rrx_uid32_fpCosPiTest_b <= fracCompOut_uid144_rrx_uid32_fpCosPiTest_in(74 downto 25);
--reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2(REG,374)@13
reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2_q <= "00000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2_q <= fracCompOut_uid144_rrx_uid32_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_b(DELAY,535)@1
ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 13 )
PORT MAP ( xin => xBranch_uid132_rrx_uid32_fpCosPiTest_n, xout => ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--finalFrac_uid149_rrx_uid32_fpCosPiTest(MUX,148)@14
finalFrac_uid149_rrx_uid32_fpCosPiTest_s <= ld_xBranch_uid132_rrx_uid32_fpCosPiTest_n_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_b_q;
finalFrac_uid149_rrx_uid32_fpCosPiTest: PROCESS (finalFrac_uid149_rrx_uid32_fpCosPiTest_s, en, reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2_q, fracXRExt_uid148_rrx_uid32_fpCosPiTest_q)
BEGIN
CASE finalFrac_uid149_rrx_uid32_fpCosPiTest_s IS
WHEN "0" => finalFrac_uid149_rrx_uid32_fpCosPiTest_q <= reg_fracCompOut_uid144_rrx_uid32_fpCosPiTest_0_to_finalFrac_uid149_rrx_uid32_fpCosPiTest_2_q;
WHEN "1" => finalFrac_uid149_rrx_uid32_fpCosPiTest_q <= fracXRExt_uid148_rrx_uid32_fpCosPiTest_q;
WHEN OTHERS => finalFrac_uid149_rrx_uid32_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--RRangeRed_uid151_rrx_uid32_fpCosPiTest(BITJOIN,150)@14
RRangeRed_uid151_rrx_uid32_fpCosPiTest_q <= GND_q & ld_finalExp_uid150_rrx_uid32_fpCosPiTest_q_to_RRangeRed_uid151_rrx_uid32_fpCosPiTest_b_q & finalFrac_uid149_rrx_uid32_fpCosPiTest_q;
--expXRR_uid34_fpCosPiTest(BITSELECT,33)@14
expXRR_uid34_fpCosPiTest_in <= RRangeRed_uid151_rrx_uid32_fpCosPiTest_q(57 downto 0);
expXRR_uid34_fpCosPiTest_b <= expXRR_uid34_fpCosPiTest_in(57 downto 50);
--cstBiasMwShiftM2_uid24_fpCosPiTest(CONSTANT,23)
cstBiasMwShiftM2_uid24_fpCosPiTest_q <= "01110000";
--cosXIsOneXRR_uid37_fpCosPiTest(COMPARE,36)@14
cosXIsOneXRR_uid37_fpCosPiTest_cin <= GND_q;
cosXIsOneXRR_uid37_fpCosPiTest_a <= STD_LOGIC_VECTOR('0' & "00" & cstBiasMwShiftM2_uid24_fpCosPiTest_q) & '0';
cosXIsOneXRR_uid37_fpCosPiTest_b <= STD_LOGIC_VECTOR((10 downto 8 => expXRR_uid34_fpCosPiTest_b(7)) & expXRR_uid34_fpCosPiTest_b) & cosXIsOneXRR_uid37_fpCosPiTest_cin(0);
cosXIsOneXRR_uid37_fpCosPiTest_o <= STD_LOGIC_VECTOR(SIGNED(cosXIsOneXRR_uid37_fpCosPiTest_a) - SIGNED(cosXIsOneXRR_uid37_fpCosPiTest_b));
cosXIsOneXRR_uid37_fpCosPiTest_n(0) <= not cosXIsOneXRR_uid37_fpCosPiTest_o(11);
--exp_uid11_fpCosPiTest(BITSELECT,10)@0
exp_uid11_fpCosPiTest_in <= a(30 downto 0);
exp_uid11_fpCosPiTest_b <= exp_uid11_fpCosPiTest_in(30 downto 23);
--cosXIsOne_uid36_fpCosPiTest(COMPARE,35)@0
cosXIsOne_uid36_fpCosPiTest_cin <= GND_q;
cosXIsOne_uid36_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & cstBiasMwShift_uid23_fpCosPiTest_q) & '0';
cosXIsOne_uid36_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & exp_uid11_fpCosPiTest_b) & cosXIsOne_uid36_fpCosPiTest_cin(0);
cosXIsOne_uid36_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cosXIsOne_uid36_fpCosPiTest_a) - UNSIGNED(cosXIsOne_uid36_fpCosPiTest_b));
cosXIsOne_uid36_fpCosPiTest_n(0) <= not cosXIsOne_uid36_fpCosPiTest_o(10);
--ld_cosXIsOne_uid36_fpCosPiTest_n_to_cosXOne_uid91_fpCosPiTest_a(DELAY,496)@0
ld_cosXIsOne_uid36_fpCosPiTest_n_to_cosXOne_uid91_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 14 )
PORT MAP ( xin => cosXIsOne_uid36_fpCosPiTest_n, xout => ld_cosXIsOne_uid36_fpCosPiTest_n_to_cosXOne_uid91_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--cosXOne_uid91_fpCosPiTest(LOGICAL,90)@14
cosXOne_uid91_fpCosPiTest_a <= ld_cosXIsOne_uid36_fpCosPiTest_n_to_cosXOne_uid91_fpCosPiTest_a_q;
cosXOne_uid91_fpCosPiTest_b <= cosXIsOneXRR_uid37_fpCosPiTest_n;
cosXOne_uid91_fpCosPiTest_q <= cosXOne_uid91_fpCosPiTest_a or cosXOne_uid91_fpCosPiTest_b;
--ld_cosXOne_uid91_fpCosPiTest_q_to_InvCosXOne_uid92_fpCosPiTest_a(DELAY,498)@14
ld_cosXOne_uid91_fpCosPiTest_q_to_InvCosXOne_uid92_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => cosXOne_uid91_fpCosPiTest_q, xout => ld_cosXOne_uid91_fpCosPiTest_q_to_InvCosXOne_uid92_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--VCC(CONSTANT,1)
VCC_q <= "1";
--InvCosXOne_uid92_fpCosPiTest(LOGICAL,91)@17
InvCosXOne_uid92_fpCosPiTest_a <= ld_cosXOne_uid91_fpCosPiTest_q_to_InvCosXOne_uid92_fpCosPiTest_a_q;
InvCosXOne_uid92_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
InvCosXOne_uid92_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
InvCosXOne_uid92_fpCosPiTest_q <= not InvCosXOne_uid92_fpCosPiTest_a;
END IF;
END PROCESS;
--X52dto0_uid161_fxpX_uid42_fpCosPiTest(BITSELECT,160)@15
X52dto0_uid161_fxpX_uid42_fpCosPiTest_in <= extendedFracX_uid41_fpCosPiTest_q(52 downto 0);
X52dto0_uid161_fxpX_uid42_fpCosPiTest_b <= X52dto0_uid161_fxpX_uid42_fpCosPiTest_in(52 downto 0);
--leftShiftStage0Idx3Pad12_uid160_fxpX_uid42_fpCosPiTest(CONSTANT,159)
leftShiftStage0Idx3Pad12_uid160_fxpX_uid42_fpCosPiTest_q <= "000000000000";
--leftShiftStage0Idx3_uid162_fxpX_uid42_fpCosPiTest(BITJOIN,161)@15
leftShiftStage0Idx3_uid162_fxpX_uid42_fpCosPiTest_q <= X52dto0_uid161_fxpX_uid42_fpCosPiTest_b & leftShiftStage0Idx3Pad12_uid160_fxpX_uid42_fpCosPiTest_q;
--X56dto0_uid158_fxpX_uid42_fpCosPiTest(BITSELECT,157)@15
X56dto0_uid158_fxpX_uid42_fpCosPiTest_in <= extendedFracX_uid41_fpCosPiTest_q(56 downto 0);
X56dto0_uid158_fxpX_uid42_fpCosPiTest_b <= X56dto0_uid158_fxpX_uid42_fpCosPiTest_in(56 downto 0);
--leftShiftStage0Idx2_uid159_fxpX_uid42_fpCosPiTest(BITJOIN,158)@15
leftShiftStage0Idx2_uid159_fxpX_uid42_fpCosPiTest_q <= X56dto0_uid158_fxpX_uid42_fpCosPiTest_b & cstAllZwE_uid28_fpCosPiTest_q;
--X60dto0_uid155_fxpX_uid42_fpCosPiTest(BITSELECT,154)@15
X60dto0_uid155_fxpX_uid42_fpCosPiTest_in <= extendedFracX_uid41_fpCosPiTest_q(60 downto 0);
X60dto0_uid155_fxpX_uid42_fpCosPiTest_b <= X60dto0_uid155_fxpX_uid42_fpCosPiTest_in(60 downto 0);
--leftShiftStage0Idx1_uid156_fxpX_uid42_fpCosPiTest(BITJOIN,155)@15
leftShiftStage0Idx1_uid156_fxpX_uid42_fpCosPiTest_q <= X60dto0_uid155_fxpX_uid42_fpCosPiTest_b & leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q;
--cstZwShiftP1_uid25_fpCosPiTest(CONSTANT,24)
cstZwShiftP1_uid25_fpCosPiTest_q <= "00000000000000";
--fracXRR_uid35_fpCosPiTest(BITSELECT,34)@14
fracXRR_uid35_fpCosPiTest_in <= RRangeRed_uid151_rrx_uid32_fpCosPiTest_q(49 downto 0);
fracXRR_uid35_fpCosPiTest_b <= fracXRR_uid35_fpCosPiTest_in(49 downto 0);
--ld_fracXRR_uid35_fpCosPiTest_b_to_oFracXRR_uid38_uid38_fpCosPiTest_a(DELAY,434)@14
ld_fracXRR_uid35_fpCosPiTest_b_to_oFracXRR_uid38_uid38_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 50, depth => 1 )
PORT MAP ( xin => fracXRR_uid35_fpCosPiTest_b, xout => ld_fracXRR_uid35_fpCosPiTest_b_to_oFracXRR_uid38_uid38_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--oFracXRR_uid38_uid38_fpCosPiTest(BITJOIN,37)@15
oFracXRR_uid38_uid38_fpCosPiTest_q <= VCC_q & ld_fracXRR_uid35_fpCosPiTest_b_to_oFracXRR_uid38_uid38_fpCosPiTest_a_q;
--extendedFracX_uid41_fpCosPiTest(BITJOIN,40)@15
extendedFracX_uid41_fpCosPiTest_q <= cstZwShiftP1_uid25_fpCosPiTest_q & oFracXRR_uid38_uid38_fpCosPiTest_q;
--fxpXShiftValExt_uid39_fpCosPiTest(SUB,38)@14
fxpXShiftValExt_uid39_fpCosPiTest_a <= STD_LOGIC_VECTOR((10 downto 8 => expXRR_uid34_fpCosPiTest_b(7)) & expXRR_uid34_fpCosPiTest_b);
fxpXShiftValExt_uid39_fpCosPiTest_b <= STD_LOGIC_VECTOR('0' & "00" & cstBiasMwShiftM2_uid24_fpCosPiTest_q);
fxpXShiftValExt_uid39_fpCosPiTest_o <= STD_LOGIC_VECTOR(SIGNED(fxpXShiftValExt_uid39_fpCosPiTest_a) - SIGNED(fxpXShiftValExt_uid39_fpCosPiTest_b));
fxpXShiftValExt_uid39_fpCosPiTest_q <= fxpXShiftValExt_uid39_fpCosPiTest_o(9 downto 0);
--fxpXShiftVal_uid40_fpCosPiTest(BITSELECT,39)@14
fxpXShiftVal_uid40_fpCosPiTest_in <= fxpXShiftValExt_uid39_fpCosPiTest_q(3 downto 0);
fxpXShiftVal_uid40_fpCosPiTest_b <= fxpXShiftVal_uid40_fpCosPiTest_in(3 downto 0);
--leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest(BITSELECT,162)@14
leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_in <= fxpXShiftVal_uid40_fpCosPiTest_b;
leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_b <= leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_in(3 downto 2);
--reg_leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_1(REG,376)@14
reg_leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_1_q <= leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest(MUX,163)@15
leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_s <= reg_leftShiftStageSel3Dto2_uid163_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_1_q;
leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest: PROCESS (leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_s, en, extendedFracX_uid41_fpCosPiTest_q, leftShiftStage0Idx1_uid156_fxpX_uid42_fpCosPiTest_q, leftShiftStage0Idx2_uid159_fxpX_uid42_fpCosPiTest_q, leftShiftStage0Idx3_uid162_fxpX_uid42_fpCosPiTest_q)
BEGIN
CASE leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_s IS
WHEN "00" => leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q <= extendedFracX_uid41_fpCosPiTest_q;
WHEN "01" => leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q <= leftShiftStage0Idx1_uid156_fxpX_uid42_fpCosPiTest_q;
WHEN "10" => leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q <= leftShiftStage0Idx2_uid159_fxpX_uid42_fpCosPiTest_q;
WHEN "11" => leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q <= leftShiftStage0Idx3_uid162_fxpX_uid42_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest(BITSELECT,171)@15
LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_in <= leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q(61 downto 0);
LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b <= LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_in(61 downto 0);
--ld_LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_b(DELAY,560)@15
ld_LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 62, depth => 1 )
PORT MAP ( xin => LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b, xout => ld_LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3Pad3_uid171_fxpX_uid42_fpCosPiTest(CONSTANT,170)
leftShiftStage1Idx3Pad3_uid171_fxpX_uid42_fpCosPiTest_q <= "000";
--leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest(BITJOIN,172)@16
leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_q <= ld_LeftShiftStage061dto0_uid172_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_b_q & leftShiftStage1Idx3Pad3_uid171_fxpX_uid42_fpCosPiTest_q;
--LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest(BITSELECT,168)@15
LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_in <= leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q(62 downto 0);
LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b <= LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_in(62 downto 0);
--ld_LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_b(DELAY,558)@15
ld_LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 63, depth => 1 )
PORT MAP ( xin => LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b, xout => ld_LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest(BITJOIN,169)@16
leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_q <= ld_LeftShiftStage062dto0_uid169_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_b_q & leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q;
--LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest(BITSELECT,165)@15
LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_in <= leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q(63 downto 0);
LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b <= LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_in(63 downto 0);
--ld_LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_b(DELAY,556)@15
ld_LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b, xout => ld_LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest(BITJOIN,166)@16
leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_q <= ld_LeftShiftStage063dto0_uid166_fxpX_uid42_fpCosPiTest_b_to_leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_b_q & GND_q;
--reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2(REG,378)@15
reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2_q <= leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest(BITSELECT,173)@14
leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_in <= fxpXShiftVal_uid40_fpCosPiTest_b(1 downto 0);
leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b <= leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_a(DELAY,771)@14
ld_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b, xout => ld_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1(REG,377)@15
reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_q <= ld_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest(MUX,174)@16
leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_s <= reg_leftShiftStageSel1Dto0_uid174_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_1_q;
leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest: PROCESS (leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_s, en, reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2_q, leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_q, leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_q, leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_q)
BEGIN
CASE leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_s IS
WHEN "00" => leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q <= reg_leftShiftStage0_uid164_fxpX_uid42_fpCosPiTest_0_to_leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_2_q;
WHEN "01" => leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q <= leftShiftStage1Idx1_uid167_fxpX_uid42_fpCosPiTest_q;
WHEN "10" => leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q <= leftShiftStage1Idx2_uid170_fxpX_uid42_fpCosPiTest_q;
WHEN "11" => leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q <= leftShiftStage1Idx3_uid173_fxpX_uid42_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--y_uid44_fpCosPiTest(BITSELECT,43)@16
y_uid44_fpCosPiTest_in <= leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q(63 downto 0);
y_uid44_fpCosPiTest_b <= y_uid44_fpCosPiTest_in(63 downto 1);
--ld_y_uid44_fpCosPiTest_b_to_cmpYToOneMinusY_uid51_fpCosPiTest_b(DELAY,446)@16
ld_y_uid44_fpCosPiTest_b_to_cmpYToOneMinusY_uid51_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 63, depth => 2 )
PORT MAP ( xin => y_uid44_fpCosPiTest_b, xout => ld_y_uid44_fpCosPiTest_b_to_cmpYToOneMinusY_uid51_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1(REG,379)@16
reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1_q <= "000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1_q <= y_uid44_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--pad_one_uid49_fpCosPiTest(BITJOIN,48)@16
pad_one_uid49_fpCosPiTest_q <= VCC_q & STD_LOGIC_VECTOR((62 downto 1 => GND_q(0)) & GND_q);
--reg_pad_one_uid49_fpCosPiTest_0_to_oneMinusY_uid49_fpCosPiTest_0(REG,384)@16
reg_pad_one_uid49_fpCosPiTest_0_to_oneMinusY_uid49_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_one_uid49_fpCosPiTest_0_to_oneMinusY_uid49_fpCosPiTest_0_q <= "0000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_one_uid49_fpCosPiTest_0_to_oneMinusY_uid49_fpCosPiTest_0_q <= pad_one_uid49_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--oneMinusY_uid49_fpCosPiTest(SUB,49)@17
oneMinusY_uid49_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_one_uid49_fpCosPiTest_0_to_oneMinusY_uid49_fpCosPiTest_0_q);
oneMinusY_uid49_fpCosPiTest_b <= STD_LOGIC_VECTOR("00" & reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1_q);
oneMinusY_uid49_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oneMinusY_uid49_fpCosPiTest_a) - UNSIGNED(oneMinusY_uid49_fpCosPiTest_b));
oneMinusY_uid49_fpCosPiTest_q <= oneMinusY_uid49_fpCosPiTest_o(64 downto 0);
--reg_oneMinusY_uid49_fpCosPiTest_0_to_cmpYToOneMinusY_uid51_fpCosPiTest_0(REG,386)@17
reg_oneMinusY_uid49_fpCosPiTest_0_to_cmpYToOneMinusY_uid51_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oneMinusY_uid49_fpCosPiTest_0_to_cmpYToOneMinusY_uid51_fpCosPiTest_0_q <= "00000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oneMinusY_uid49_fpCosPiTest_0_to_cmpYToOneMinusY_uid51_fpCosPiTest_0_q <= oneMinusY_uid49_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--cmpYToOneMinusY_uid51_fpCosPiTest(COMPARE,50)@18
cmpYToOneMinusY_uid51_fpCosPiTest_cin <= GND_q;
cmpYToOneMinusY_uid51_fpCosPiTest_a <= STD_LOGIC_VECTOR("00" & reg_oneMinusY_uid49_fpCosPiTest_0_to_cmpYToOneMinusY_uid51_fpCosPiTest_0_q) & '0';
cmpYToOneMinusY_uid51_fpCosPiTest_b <= STD_LOGIC_VECTOR("0000" & ld_y_uid44_fpCosPiTest_b_to_cmpYToOneMinusY_uid51_fpCosPiTest_b_q) & cmpYToOneMinusY_uid51_fpCosPiTest_cin(0);
cmpYToOneMinusY_uid51_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(cmpYToOneMinusY_uid51_fpCosPiTest_a) - UNSIGNED(cmpYToOneMinusY_uid51_fpCosPiTest_b));
cmpYToOneMinusY_uid51_fpCosPiTest_c(0) <= cmpYToOneMinusY_uid51_fpCosPiTest_o(67);
--InvCmpYToOneMinusY_uid52_fpCosPiTest(LOGICAL,51)@18
InvCmpYToOneMinusY_uid52_fpCosPiTest_a <= cmpYToOneMinusY_uid51_fpCosPiTest_c;
InvCmpYToOneMinusY_uid52_fpCosPiTest_q <= not InvCmpYToOneMinusY_uid52_fpCosPiTest_a;
--intXParity_uid43_fpCosPiTest(BITSELECT,42)@16
intXParity_uid43_fpCosPiTest_in <= leftShiftStage1_uid175_fxpX_uid42_fpCosPiTest_q;
intXParity_uid43_fpCosPiTest_b <= intXParity_uid43_fpCosPiTest_in(64 downto 64);
--ld_intXParity_uid43_fpCosPiTest_b_to_signRCond2_uid95_fpCosPiTest_b(DELAY,501)@16
ld_intXParity_uid43_fpCosPiTest_b_to_signRCond2_uid95_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => intXParity_uid43_fpCosPiTest_b, xout => ld_intXParity_uid43_fpCosPiTest_b_to_signRCond2_uid95_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--yIsZero_uid45_fpCosPiTest(LOGICAL,44)@17
yIsZero_uid45_fpCosPiTest_a <= reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1_q;
yIsZero_uid45_fpCosPiTest_b <= STD_LOGIC_VECTOR("00000000000000000000000000000000000000000000000000000000000000" & GND_q);
yIsZero_uid45_fpCosPiTest_q <= "1" when yIsZero_uid45_fpCosPiTest_a = yIsZero_uid45_fpCosPiTest_b else "0";
--ld_yIsZero_uid45_fpCosPiTest_q_to_InvYIsZero_uid94_fpCosPiTest_a(DELAY,499)@17
ld_yIsZero_uid45_fpCosPiTest_q_to_InvYIsZero_uid94_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => yIsZero_uid45_fpCosPiTest_q, xout => ld_yIsZero_uid45_fpCosPiTest_q_to_InvYIsZero_uid94_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvYIsZero_uid94_fpCosPiTest(LOGICAL,93)@18
InvYIsZero_uid94_fpCosPiTest_a <= ld_yIsZero_uid45_fpCosPiTest_q_to_InvYIsZero_uid94_fpCosPiTest_a_q;
InvYIsZero_uid94_fpCosPiTest_q <= not InvYIsZero_uid94_fpCosPiTest_a;
--signRCond2_uid95_fpCosPiTest(LOGICAL,94)@18
signRCond2_uid95_fpCosPiTest_a <= InvYIsZero_uid94_fpCosPiTest_q;
signRCond2_uid95_fpCosPiTest_b <= ld_intXParity_uid43_fpCosPiTest_b_to_signRCond2_uid95_fpCosPiTest_b_q;
signRCond2_uid95_fpCosPiTest_c <= InvCmpYToOneMinusY_uid52_fpCosPiTest_q;
signRCond2_uid95_fpCosPiTest_d <= InvCosXOne_uid92_fpCosPiTest_q;
signRCond2_uid95_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
signRCond2_uid95_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
signRCond2_uid95_fpCosPiTest_q <= signRCond2_uid95_fpCosPiTest_a and signRCond2_uid95_fpCosPiTest_b and signRCond2_uid95_fpCosPiTest_c and signRCond2_uid95_fpCosPiTest_d;
END IF;
END IF;
END PROCESS;
--InvIntXParity_uid98_fpCosPiTest(LOGICAL,97)@18
InvIntXParity_uid98_fpCosPiTest_a <= ld_intXParity_uid43_fpCosPiTest_b_to_signRCond2_uid95_fpCosPiTest_b_q;
InvIntXParity_uid98_fpCosPiTest_q <= not InvIntXParity_uid98_fpCosPiTest_a;
--signRCond1_uid100_fpCosPiTest(LOGICAL,99)@18
signRCond1_uid100_fpCosPiTest_a <= InvYIsZero_uid94_fpCosPiTest_q;
signRCond1_uid100_fpCosPiTest_b <= InvIntXParity_uid98_fpCosPiTest_q;
signRCond1_uid100_fpCosPiTest_c <= cmpYToOneMinusY_uid51_fpCosPiTest_c;
signRCond1_uid100_fpCosPiTest_d <= InvCosXOne_uid92_fpCosPiTest_q;
signRCond1_uid100_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
signRCond1_uid100_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
signRCond1_uid100_fpCosPiTest_q <= signRCond1_uid100_fpCosPiTest_a and signRCond1_uid100_fpCosPiTest_b and signRCond1_uid100_fpCosPiTest_c and signRCond1_uid100_fpCosPiTest_d;
END IF;
END IF;
END PROCESS;
--signR_uid101_fpCosPiTest(LOGICAL,100)@19
signR_uid101_fpCosPiTest_a <= signRCond1_uid100_fpCosPiTest_q;
signR_uid101_fpCosPiTest_b <= signRCond2_uid95_fpCosPiTest_q;
signR_uid101_fpCosPiTest_q <= signR_uid101_fpCosPiTest_a or signR_uid101_fpCosPiTest_b;
--cstAllZWF_uid7_fpCosPiTest(CONSTANT,6)
cstAllZWF_uid7_fpCosPiTest_q <= "00000000000000000000000";
--frac_uid13_fpCosPiTest(BITSELECT,12)@0
frac_uid13_fpCosPiTest_in <= a(22 downto 0);
frac_uid13_fpCosPiTest_b <= frac_uid13_fpCosPiTest_in(22 downto 0);
--fracXIsZero_uid14_fpCosPiTest(LOGICAL,13)@0
fracXIsZero_uid14_fpCosPiTest_a <= frac_uid13_fpCosPiTest_b;
fracXIsZero_uid14_fpCosPiTest_b <= cstAllZWF_uid7_fpCosPiTest_q;
fracXIsZero_uid14_fpCosPiTest_q <= "1" when fracXIsZero_uid14_fpCosPiTest_a = fracXIsZero_uid14_fpCosPiTest_b else "0";
--cstAllOWE_uid6_fpCosPiTest(CONSTANT,5)
cstAllOWE_uid6_fpCosPiTest_q <= "11111111";
--expXIsMax_uid12_fpCosPiTest(LOGICAL,11)@0
expXIsMax_uid12_fpCosPiTest_a <= exp_uid11_fpCosPiTest_b;
expXIsMax_uid12_fpCosPiTest_b <= cstAllOWE_uid6_fpCosPiTest_q;
expXIsMax_uid12_fpCosPiTest_q <= "1" when expXIsMax_uid12_fpCosPiTest_a = expXIsMax_uid12_fpCosPiTest_b else "0";
--exc_I_uid15_fpCosPiTest(LOGICAL,14)@0
exc_I_uid15_fpCosPiTest_a <= expXIsMax_uid12_fpCosPiTest_q;
exc_I_uid15_fpCosPiTest_b <= fracXIsZero_uid14_fpCosPiTest_q;
exc_I_uid15_fpCosPiTest_q <= exc_I_uid15_fpCosPiTest_a and exc_I_uid15_fpCosPiTest_b;
--ld_exc_I_uid15_fpCosPiTest_q_to_InvExc_I_uid102_fpCosPiTest_a(DELAY,511)@0
ld_exc_I_uid15_fpCosPiTest_q_to_InvExc_I_uid102_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 18 )
PORT MAP ( xin => exc_I_uid15_fpCosPiTest_q, xout => ld_exc_I_uid15_fpCosPiTest_q_to_InvExc_I_uid102_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvExc_I_uid102_fpCosPiTest(LOGICAL,101)@18
InvExc_I_uid102_fpCosPiTest_a <= ld_exc_I_uid15_fpCosPiTest_q_to_InvExc_I_uid102_fpCosPiTest_a_q;
InvExc_I_uid102_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
InvExc_I_uid102_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
InvExc_I_uid102_fpCosPiTest_q <= not InvExc_I_uid102_fpCosPiTest_a;
END IF;
END PROCESS;
--InvFracXIsZero_uid16_fpCosPiTest(LOGICAL,15)@0
InvFracXIsZero_uid16_fpCosPiTest_a <= fracXIsZero_uid14_fpCosPiTest_q;
InvFracXIsZero_uid16_fpCosPiTest_q <= not InvFracXIsZero_uid16_fpCosPiTest_a;
--exc_N_uid17_fpCosPiTest(LOGICAL,16)@0
exc_N_uid17_fpCosPiTest_a <= expXIsMax_uid12_fpCosPiTest_q;
exc_N_uid17_fpCosPiTest_b <= InvFracXIsZero_uid16_fpCosPiTest_q;
exc_N_uid17_fpCosPiTest_q <= exc_N_uid17_fpCosPiTest_a and exc_N_uid17_fpCosPiTest_b;
--InvExc_N_uid103_fpCosPiTest(LOGICAL,102)@0
InvExc_N_uid103_fpCosPiTest_a <= exc_N_uid17_fpCosPiTest_q;
InvExc_N_uid103_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
InvExc_N_uid103_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
InvExc_N_uid103_fpCosPiTest_q <= not InvExc_N_uid103_fpCosPiTest_a;
END IF;
END PROCESS;
--ld_InvExc_N_uid103_fpCosPiTest_q_to_signRNotNaNOrInf_uid104_fpCosPiTest_a(DELAY,513)@1
ld_InvExc_N_uid103_fpCosPiTest_q_to_signRNotNaNOrInf_uid104_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 18 )
PORT MAP ( xin => InvExc_N_uid103_fpCosPiTest_q, xout => ld_InvExc_N_uid103_fpCosPiTest_q_to_signRNotNaNOrInf_uid104_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--signRNotNaNOrInf_uid104_fpCosPiTest(LOGICAL,103)@19
signRNotNaNOrInf_uid104_fpCosPiTest_a <= ld_InvExc_N_uid103_fpCosPiTest_q_to_signRNotNaNOrInf_uid104_fpCosPiTest_a_q;
signRNotNaNOrInf_uid104_fpCosPiTest_b <= InvExc_I_uid102_fpCosPiTest_q;
signRNotNaNOrInf_uid104_fpCosPiTest_c <= signR_uid101_fpCosPiTest_q;
signRNotNaNOrInf_uid104_fpCosPiTest_q <= signRNotNaNOrInf_uid104_fpCosPiTest_a and signRNotNaNOrInf_uid104_fpCosPiTest_b and signRNotNaNOrInf_uid104_fpCosPiTest_c;
--ld_signRNotNaNOrInf_uid104_fpCosPiTest_q_to_cosXR_uid105_fpCosPiTest_c(DELAY,518)@19
ld_signRNotNaNOrInf_uid104_fpCosPiTest_q_to_cosXR_uid105_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 16 )
PORT MAP ( xin => signRNotNaNOrInf_uid104_fpCosPiTest_q, xout => ld_signRNotNaNOrInf_uid104_fpCosPiTest_q_to_cosXR_uid105_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--cstBias_uid22_fpCosPiTest(CONSTANT,21)
cstBias_uid22_fpCosPiTest_q <= "01111111";
--oMyBottom_uid53_fpCosPiTest(BITSELECT,52)@17
oMyBottom_uid53_fpCosPiTest_in <= oneMinusY_uid49_fpCosPiTest_q(61 downto 0);
oMyBottom_uid53_fpCosPiTest_b <= oMyBottom_uid53_fpCosPiTest_in(61 downto 0);
--reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3(REG,389)@17
reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q <= "00000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q <= oMyBottom_uid53_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q_to_z_uid55_fpCosPiTest_d(DELAY,452)@18
ld_reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q_to_z_uid55_fpCosPiTest_d : dspba_delay
GENERIC MAP ( width => 62, depth => 1 )
PORT MAP ( xin => reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q, xout => ld_reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q_to_z_uid55_fpCosPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--yBottom_uid54_fpCosPiTest(BITSELECT,53)@16
yBottom_uid54_fpCosPiTest_in <= y_uid44_fpCosPiTest_b(61 downto 0);
yBottom_uid54_fpCosPiTest_b <= yBottom_uid54_fpCosPiTest_in(61 downto 0);
--reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2(REG,388)@16
reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q <= yBottom_uid54_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q_to_z_uid55_fpCosPiTest_c(DELAY,451)@17
ld_reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q_to_z_uid55_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 62, depth => 2 )
PORT MAP ( xin => reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q, xout => ld_reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q_to_z_uid55_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--reg_InvCmpYToOneMinusY_uid52_fpCosPiTest_0_to_z_uid55_fpCosPiTest_1(REG,387)@18
reg_InvCmpYToOneMinusY_uid52_fpCosPiTest_0_to_z_uid55_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_InvCmpYToOneMinusY_uid52_fpCosPiTest_0_to_z_uid55_fpCosPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_InvCmpYToOneMinusY_uid52_fpCosPiTest_0_to_z_uid55_fpCosPiTest_1_q <= InvCmpYToOneMinusY_uid52_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--z_uid55_fpCosPiTest(MUX,54)@19
z_uid55_fpCosPiTest_s <= reg_InvCmpYToOneMinusY_uid52_fpCosPiTest_0_to_z_uid55_fpCosPiTest_1_q;
z_uid55_fpCosPiTest: PROCESS (z_uid55_fpCosPiTest_s, en, ld_reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q_to_z_uid55_fpCosPiTest_c_q, ld_reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q_to_z_uid55_fpCosPiTest_d_q)
BEGIN
CASE z_uid55_fpCosPiTest_s IS
WHEN "0" => z_uid55_fpCosPiTest_q <= ld_reg_yBottom_uid54_fpCosPiTest_0_to_z_uid55_fpCosPiTest_2_q_to_z_uid55_fpCosPiTest_c_q;
WHEN "1" => z_uid55_fpCosPiTest_q <= ld_reg_oMyBottom_uid53_fpCosPiTest_0_to_z_uid55_fpCosPiTest_3_q_to_z_uid55_fpCosPiTest_d_q;
WHEN OTHERS => z_uid55_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--zAddr_uid64_fpCosPiTest(BITSELECT,63)@19
zAddr_uid64_fpCosPiTest_in <= z_uid55_fpCosPiTest_q;
zAddr_uid64_fpCosPiTest_b <= zAddr_uid64_fpCosPiTest_in(61 downto 54);
--reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0(REG,401)@19
reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_q <= zAddr_uid64_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--memoryC2_uid253_sinPiZTableGenerator_lutmem(DUALMEM,349)@20
memoryC2_uid253_sinPiZTableGenerator_lutmem_ia <= (others => '0');
memoryC2_uid253_sinPiZTableGenerator_lutmem_aa <= (others => '0');
memoryC2_uid253_sinPiZTableGenerator_lutmem_ab <= reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_q;
memoryC2_uid253_sinPiZTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 13,
widthad_a => 8,
numwords_a => 256,
width_b => 13,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_cos_s5_memoryC2_uid253_sinPiZTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid253_sinPiZTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid253_sinPiZTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid253_sinPiZTableGenerator_lutmem_iq,
address_a => memoryC2_uid253_sinPiZTableGenerator_lutmem_aa,
data_a => memoryC2_uid253_sinPiZTableGenerator_lutmem_ia
);
memoryC2_uid253_sinPiZTableGenerator_lutmem_reset0 <= areset;
memoryC2_uid253_sinPiZTableGenerator_lutmem_q <= memoryC2_uid253_sinPiZTableGenerator_lutmem_iq(12 downto 0);
--reg_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_1(REG,403)@22
reg_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_1_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_1_q <= memoryC2_uid253_sinPiZTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--zPPolyEval_uid65_fpCosPiTest(BITSELECT,64)@19
zPPolyEval_uid65_fpCosPiTest_in <= z_uid55_fpCosPiTest_q(53 downto 0);
zPPolyEval_uid65_fpCosPiTest_b <= zPPolyEval_uid65_fpCosPiTest_in(53 downto 36);
--yT1_uid255_sinPiZPolyEval(BITSELECT,254)@19
yT1_uid255_sinPiZPolyEval_in <= zPPolyEval_uid65_fpCosPiTest_b;
yT1_uid255_sinPiZPolyEval_b <= yT1_uid255_sinPiZPolyEval_in(17 downto 5);
--reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0(REG,402)@19
reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q <= yT1_uid255_sinPiZPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_inputreg(DELAY,925)
ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 13, depth => 1 )
PORT MAP ( xin => reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q, xout => ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a(DELAY,716)@20
ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a : dspba_delay
GENERIC MAP ( width => 13, depth => 2 )
PORT MAP ( xin => ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_inputreg_q, xout => ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_q, ena => en(0), clk => clk, aclr => areset );
--prodXY_uid328_pT1_uid256_sinPiZPolyEval(MULT,327)@23
prodXY_uid328_pT1_uid256_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid328_pT1_uid256_sinPiZPolyEval_a),14)) * SIGNED(prodXY_uid328_pT1_uid256_sinPiZPolyEval_b);
prodXY_uid328_pT1_uid256_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid328_pT1_uid256_sinPiZPolyEval_a <= (others => '0');
prodXY_uid328_pT1_uid256_sinPiZPolyEval_b <= (others => '0');
prodXY_uid328_pT1_uid256_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid328_pT1_uid256_sinPiZPolyEval_a <= ld_reg_yT1_uid255_sinPiZPolyEval_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_0_q_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_a_q;
prodXY_uid328_pT1_uid256_sinPiZPolyEval_b <= reg_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_to_prodXY_uid328_pT1_uid256_sinPiZPolyEval_1_q;
prodXY_uid328_pT1_uid256_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid328_pT1_uid256_sinPiZPolyEval_pr,26));
END IF;
END IF;
END PROCESS;
prodXY_uid328_pT1_uid256_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid328_pT1_uid256_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid328_pT1_uid256_sinPiZPolyEval_q <= prodXY_uid328_pT1_uid256_sinPiZPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval(BITSELECT,328)@26
prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_in <= prodXY_uid328_pT1_uid256_sinPiZPolyEval_q;
prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_b <= prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_in(25 downto 12);
--highBBits_uid258_sinPiZPolyEval(BITSELECT,257)@26
highBBits_uid258_sinPiZPolyEval_in <= prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_b;
highBBits_uid258_sinPiZPolyEval_b <= highBBits_uid258_sinPiZPolyEval_in(13 downto 1);
--ld_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_q_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_a(DELAY,742)@20
ld_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_q_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => reg_zAddr_uid64_fpCosPiTest_0_to_memoryC2_uid253_sinPiZTableGenerator_lutmem_0_q, xout => ld_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_q_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_a_q, ena => en(0), clk => clk, aclr => areset );
--memoryC1_uid251_sinPiZTableGenerator_lutmem(DUALMEM,348)@23
memoryC1_uid251_sinPiZTableGenerator_lutmem_ia <= (others => '0');
memoryC1_uid251_sinPiZTableGenerator_lutmem_aa <= (others => '0');
memoryC1_uid251_sinPiZTableGenerator_lutmem_ab <= ld_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_q_to_memoryC1_uid251_sinPiZTableGenerator_lutmem_a_q;
memoryC1_uid251_sinPiZTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 21,
widthad_a => 8,
numwords_a => 256,
width_b => 21,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_cos_s5_memoryC1_uid251_sinPiZTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid251_sinPiZTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid251_sinPiZTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid251_sinPiZTableGenerator_lutmem_iq,
address_a => memoryC1_uid251_sinPiZTableGenerator_lutmem_aa,
data_a => memoryC1_uid251_sinPiZTableGenerator_lutmem_ia
);
memoryC1_uid251_sinPiZTableGenerator_lutmem_reset0 <= areset;
memoryC1_uid251_sinPiZTableGenerator_lutmem_q <= memoryC1_uid251_sinPiZTableGenerator_lutmem_iq(20 downto 0);
--reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0(REG,405)@25
reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0_q <= "000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0_q <= memoryC1_uid251_sinPiZTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid259_sinPiZPolyEval(ADD,258)@26
sumAHighB_uid259_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0_q(20)) & reg_memoryC1_uid251_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid259_sinPiZPolyEval_0_q);
sumAHighB_uid259_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((21 downto 13 => highBBits_uid258_sinPiZPolyEval_b(12)) & highBBits_uid258_sinPiZPolyEval_b);
sumAHighB_uid259_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid259_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid259_sinPiZPolyEval_b));
sumAHighB_uid259_sinPiZPolyEval_q <= sumAHighB_uid259_sinPiZPolyEval_o(21 downto 0);
--lowRangeB_uid257_sinPiZPolyEval(BITSELECT,256)@26
lowRangeB_uid257_sinPiZPolyEval_in <= prodXYTruncFR_uid329_pT1_uid256_sinPiZPolyEval_b(0 downto 0);
lowRangeB_uid257_sinPiZPolyEval_b <= lowRangeB_uid257_sinPiZPolyEval_in(0 downto 0);
--s1_uid257_uid260_sinPiZPolyEval(BITJOIN,259)@26
s1_uid257_uid260_sinPiZPolyEval_q <= sumAHighB_uid259_sinPiZPolyEval_q & lowRangeB_uid257_sinPiZPolyEval_b;
--reg_s1_uid257_uid260_sinPiZPolyEval_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_1(REG,407)@26
reg_s1_uid257_uid260_sinPiZPolyEval_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid257_uid260_sinPiZPolyEval_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid257_uid260_sinPiZPolyEval_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_1_q <= s1_uid257_uid260_sinPiZPolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor(LOGICAL,936)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_b <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_q <= not (ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_a or ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_b);
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_mem_top(CONSTANT,932)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_mem_top_q <= "0100";
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp(LOGICAL,933)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_a <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_mem_top_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q);
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_q <= "1" when ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_a = ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_b else "0";
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg(REG,934)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena(REG,937)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_nor_q = "1") THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd(LOGICAL,938)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_a <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_sticky_ena_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_b <= en;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_a and ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_b;
--reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0(REG,406)@19
reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q <= zPPolyEval_uid65_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_inputreg(DELAY,926)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 18, depth => 1 )
PORT MAP ( xin => reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q, xout => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt(COUNTER,928)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i = 3 THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i - 4;
ELSE
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_i,3));
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg(REG,929)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux(MUX,930)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_s <= en;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux: PROCESS (ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_s, ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q, ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem(DUALMEM,927)
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_ia <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_inputreg_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_aa <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_ab <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 18,
widthad_a => 3,
numwords_a => 5,
width_b => 18,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_iq,
address_a => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_aa,
data_a => ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_ia
);
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_reset0 <= areset;
ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_iq(17 downto 0);
--prodXY_uid331_pT2_uid262_sinPiZPolyEval(MULT,330)@27
prodXY_uid331_pT2_uid262_sinPiZPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid331_pT2_uid262_sinPiZPolyEval_a),19)) * SIGNED(prodXY_uid331_pT2_uid262_sinPiZPolyEval_b);
prodXY_uid331_pT2_uid262_sinPiZPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid331_pT2_uid262_sinPiZPolyEval_a <= (others => '0');
prodXY_uid331_pT2_uid262_sinPiZPolyEval_b <= (others => '0');
prodXY_uid331_pT2_uid262_sinPiZPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid331_pT2_uid262_sinPiZPolyEval_a <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_mem_q;
prodXY_uid331_pT2_uid262_sinPiZPolyEval_b <= reg_s1_uid257_uid260_sinPiZPolyEval_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_1_q;
prodXY_uid331_pT2_uid262_sinPiZPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid331_pT2_uid262_sinPiZPolyEval_pr,41));
END IF;
END IF;
END PROCESS;
prodXY_uid331_pT2_uid262_sinPiZPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid331_pT2_uid262_sinPiZPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid331_pT2_uid262_sinPiZPolyEval_q <= prodXY_uid331_pT2_uid262_sinPiZPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval(BITSELECT,331)@30
prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_in <= prodXY_uid331_pT2_uid262_sinPiZPolyEval_q;
prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_b <= prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_in(40 downto 17);
--highBBits_uid264_sinPiZPolyEval(BITSELECT,263)@30
highBBits_uid264_sinPiZPolyEval_in <= prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_b;
highBBits_uid264_sinPiZPolyEval_b <= highBBits_uid264_sinPiZPolyEval_in(23 downto 2);
--ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor(LOGICAL,949)
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_b <= ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_q <= not (ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_a or ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_b);
--ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena(REG,950)
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_nor_q = "1") THEN
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena_q <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd(LOGICAL,951)
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_a <= ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_sticky_ena_q;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_b <= en;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_q <= ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_a and ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_b;
--ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_inputreg(DELAY,939)
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => zAddr_uid64_fpCosPiTest_b, xout => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem(DUALMEM,940)
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_ia <= ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_inputreg_q;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_aa <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdreg_q;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_ab <= ld_reg_zPPolyEval_uid65_fpCosPiTest_0_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_0_q_to_prodXY_uid331_pT2_uid262_sinPiZPolyEval_a_replace_rdmux_q;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_iq,
address_a => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_aa,
data_a => ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_ia
);
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_reset0 <= areset;
ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_q <= ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0(REG,408)@26
reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_q <= ld_zAddr_uid64_fpCosPiTest_b_to_reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid249_sinPiZTableGenerator_lutmem(DUALMEM,347)@27
memoryC0_uid249_sinPiZTableGenerator_lutmem_ia <= (others => '0');
memoryC0_uid249_sinPiZTableGenerator_lutmem_aa <= (others => '0');
memoryC0_uid249_sinPiZTableGenerator_lutmem_ab <= reg_zAddr_uid64_fpCosPiTest_0_to_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_q;
memoryC0_uid249_sinPiZTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 30,
widthad_a => 8,
numwords_a => 256,
width_b => 30,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_cos_s5_memoryC0_uid249_sinPiZTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid249_sinPiZTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid249_sinPiZTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid249_sinPiZTableGenerator_lutmem_iq,
address_a => memoryC0_uid249_sinPiZTableGenerator_lutmem_aa,
data_a => memoryC0_uid249_sinPiZTableGenerator_lutmem_ia
);
memoryC0_uid249_sinPiZTableGenerator_lutmem_reset0 <= areset;
memoryC0_uid249_sinPiZTableGenerator_lutmem_q <= memoryC0_uid249_sinPiZTableGenerator_lutmem_iq(29 downto 0);
--reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0(REG,409)@29
reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0_q <= "000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0_q <= memoryC0_uid249_sinPiZTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid265_sinPiZPolyEval(ADD,264)@30
sumAHighB_uid265_sinPiZPolyEval_a <= STD_LOGIC_VECTOR((30 downto 30 => reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0_q(29)) & reg_memoryC0_uid249_sinPiZTableGenerator_lutmem_0_to_sumAHighB_uid265_sinPiZPolyEval_0_q);
sumAHighB_uid265_sinPiZPolyEval_b <= STD_LOGIC_VECTOR((30 downto 22 => highBBits_uid264_sinPiZPolyEval_b(21)) & highBBits_uid264_sinPiZPolyEval_b);
sumAHighB_uid265_sinPiZPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid265_sinPiZPolyEval_a) + SIGNED(sumAHighB_uid265_sinPiZPolyEval_b));
sumAHighB_uid265_sinPiZPolyEval_q <= sumAHighB_uid265_sinPiZPolyEval_o(30 downto 0);
--lowRangeB_uid263_sinPiZPolyEval(BITSELECT,262)@30
lowRangeB_uid263_sinPiZPolyEval_in <= prodXYTruncFR_uid332_pT2_uid262_sinPiZPolyEval_b(1 downto 0);
lowRangeB_uid263_sinPiZPolyEval_b <= lowRangeB_uid263_sinPiZPolyEval_in(1 downto 0);
--s2_uid263_uid266_sinPiZPolyEval(BITJOIN,265)@30
s2_uid263_uid266_sinPiZPolyEval_q <= sumAHighB_uid265_sinPiZPolyEval_q & lowRangeB_uid263_sinPiZPolyEval_b;
--fxpSinRes_uid67_fpCosPiTest(BITSELECT,66)@30
fxpSinRes_uid67_fpCosPiTest_in <= s2_uid263_uid266_sinPiZPolyEval_q(30 downto 0);
fxpSinRes_uid67_fpCosPiTest_b <= fxpSinRes_uid67_fpCosPiTest_in(30 downto 5);
--reg_fxpSinRes_uid67_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_1(REG,411)@30
reg_fxpSinRes_uid67_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fxpSinRes_uid67_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_1_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fxpSinRes_uid67_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_1_q <= fxpSinRes_uid67_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor(LOGICAL,962)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_b <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_q <= not (ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_a or ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_b);
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_mem_top(CONSTANT,958)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_mem_top_q <= "010";
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp(LOGICAL,959)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_a <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_mem_top_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_q);
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_q <= "1" when ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_a = ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_b else "0";
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmpReg(REG,960)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmpReg_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena(REG,963)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_nor_q = "1") THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd(LOGICAL,964)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_a <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_sticky_ena_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_b <= en;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_a and ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_b;
--ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor(LOGICAL,907)
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_b <= ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_q <= not (ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_a or ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_b);
--ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena(REG,908)
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_nor_q = "1") THEN
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd(LOGICAL,909)
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_a <= ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_b <= en;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_q <= ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_a and ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_b;
--X13dto0_uid222_alignedZ_uid58_fpCosPiTest(BITSELECT,221)@19
X13dto0_uid222_alignedZ_uid58_fpCosPiTest_in <= z_uid55_fpCosPiTest_q(13 downto 0);
X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b <= X13dto0_uid222_alignedZ_uid58_fpCosPiTest_in(13 downto 0);
--ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_inputreg(DELAY,899)
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 14, depth => 1 )
PORT MAP ( xin => X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b, xout => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem(DUALMEM,900)
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia <= ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_inputreg_q;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 14,
widthad_a => 1,
numwords_a => 2,
width_b => 14,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq,
address_a => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa,
data_a => ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia
);
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_q <= ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq(13 downto 0);
--leftShiftStage0Idx3Pad48_uid221_alignedZ_uid58_fpCosPiTest(CONSTANT,220)
leftShiftStage0Idx3Pad48_uid221_alignedZ_uid58_fpCosPiTest_q <= "000000000000000000000000000000000000000000000000";
--leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest(BITJOIN,222)@23
leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_q <= ld_X13dto0_uid222_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_b_replace_mem_q & leftShiftStage0Idx3Pad48_uid221_alignedZ_uid58_fpCosPiTest_q;
--ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor(LOGICAL,896)
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_b <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_q <= not (ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_a or ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_b);
--ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena(REG,897)
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_nor_q = "1") THEN
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd(LOGICAL,898)
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_a <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_b <= en;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_q <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_a and ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_b;
--vStage_uid181_lzcZ_uid57_fpCosPiTest(BITSELECT,180)@19
vStage_uid181_lzcZ_uid57_fpCosPiTest_in <= z_uid55_fpCosPiTest_q(29 downto 0);
vStage_uid181_lzcZ_uid57_fpCosPiTest_b <= vStage_uid181_lzcZ_uid57_fpCosPiTest_in(29 downto 0);
--ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_cStage_uid182_lzcZ_uid57_fpCosPiTest_b(DELAY,570)@19
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_cStage_uid182_lzcZ_uid57_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 30, depth => 1 )
PORT MAP ( xin => vStage_uid181_lzcZ_uid57_fpCosPiTest_b, xout => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_cStage_uid182_lzcZ_uid57_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem(DUALMEM,889)
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_cStage_uid182_lzcZ_uid57_fpCosPiTest_b_q;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 30,
widthad_a => 1,
numwords_a => 2,
width_b => 30,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq,
address_a => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa,
data_a => ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia
);
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_q <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq(29 downto 0);
--zs_uid177_lzcZ_uid57_fpCosPiTest(CONSTANT,176)
zs_uid177_lzcZ_uid57_fpCosPiTest_q <= "00000000000000000000000000000000";
--leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest(BITJOIN,219)@23
leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_q <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_b_replace_mem_q & zs_uid177_lzcZ_uid57_fpCosPiTest_q;
--ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor(LOGICAL,885)
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_b <= ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_q <= not (ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_a or ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_b);
--ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena(REG,886)
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_nor_q = "1") THEN
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd(LOGICAL,887)
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_a <= ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_sticky_ena_q;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_b <= en;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_q <= ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_a and ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_b;
--X45dto0_uid216_alignedZ_uid58_fpCosPiTest(BITSELECT,215)@19
X45dto0_uid216_alignedZ_uid58_fpCosPiTest_in <= z_uid55_fpCosPiTest_q(45 downto 0);
X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b <= X45dto0_uid216_alignedZ_uid58_fpCosPiTest_in(45 downto 0);
--ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_inputreg(DELAY,877)
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 46, depth => 1 )
PORT MAP ( xin => X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b, xout => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem(DUALMEM,878)
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia <= ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_inputreg_q;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 46,
widthad_a => 1,
numwords_a => 2,
width_b => 46,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq,
address_a => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_aa,
data_a => ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_ia
);
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_q <= ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_iq(45 downto 0);
--leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest(BITJOIN,216)@23
leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_q <= ld_X45dto0_uid216_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_b_replace_mem_q & zs_uid185_lzcZ_uid57_fpCosPiTest_q;
--ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor(LOGICAL,918)
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_b <= ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena_q;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_q <= not (ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_a or ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_b);
--ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena(REG,919)
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_nor_q = "1") THEN
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena_q <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd(LOGICAL,920)
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_a <= ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_sticky_ena_q;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_b <= en;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_q <= ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_a and ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_b;
--ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_inputreg(DELAY,910)
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 62, depth => 1 )
PORT MAP ( xin => z_uid55_fpCosPiTest_q, xout => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem(DUALMEM,911)
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_ia <= ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_inputreg_q;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_aa <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdreg_q;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_ab <= ld_R_uid108_px_uid31_fpCosPiTest_q_to_fracX_uid128_rrx_uid32_fpCosPiTest_a_replace_rdmux_q;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 62,
widthad_a => 1,
numwords_a => 2,
width_b => 62,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_iq,
address_a => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_aa,
data_a => ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_ia
);
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_reset0 <= areset;
ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_q <= ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_iq(61 downto 0);
--rVStage_uid178_lzcZ_uid57_fpCosPiTest(BITSELECT,177)@19
rVStage_uid178_lzcZ_uid57_fpCosPiTest_in <= z_uid55_fpCosPiTest_q;
rVStage_uid178_lzcZ_uid57_fpCosPiTest_b <= rVStage_uid178_lzcZ_uid57_fpCosPiTest_in(61 downto 30);
--vCount_uid179_lzcZ_uid57_fpCosPiTest(LOGICAL,178)@19
vCount_uid179_lzcZ_uid57_fpCosPiTest_a <= rVStage_uid178_lzcZ_uid57_fpCosPiTest_b;
vCount_uid179_lzcZ_uid57_fpCosPiTest_b <= zs_uid177_lzcZ_uid57_fpCosPiTest_q;
vCount_uid179_lzcZ_uid57_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
vCount_uid179_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
IF (vCount_uid179_lzcZ_uid57_fpCosPiTest_a = vCount_uid179_lzcZ_uid57_fpCosPiTest_b) THEN
vCount_uid179_lzcZ_uid57_fpCosPiTest_q <= "1";
ELSE
vCount_uid179_lzcZ_uid57_fpCosPiTest_q <= "0";
END IF;
END IF;
END IF;
END PROCESS;
--ld_vCount_uid179_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_f(DELAY,605)@20
ld_vCount_uid179_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_f : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid179_lzcZ_uid57_fpCosPiTest_q, xout => ld_vCount_uid179_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_f_q, ena => en(0), clk => clk, aclr => areset );
--cStage_uid182_lzcZ_uid57_fpCosPiTest(BITJOIN,181)@20
cStage_uid182_lzcZ_uid57_fpCosPiTest_q <= ld_vStage_uid181_lzcZ_uid57_fpCosPiTest_b_to_cStage_uid182_lzcZ_uid57_fpCosPiTest_b_q & mO_uid180_lzcZ_uid57_fpCosPiTest_q;
--ld_rVStage_uid178_lzcZ_uid57_fpCosPiTest_b_to_vStagei_uid184_lzcZ_uid57_fpCosPiTest_c(DELAY,572)@19
ld_rVStage_uid178_lzcZ_uid57_fpCosPiTest_b_to_vStagei_uid184_lzcZ_uid57_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 32, depth => 1 )
PORT MAP ( xin => rVStage_uid178_lzcZ_uid57_fpCosPiTest_b, xout => ld_rVStage_uid178_lzcZ_uid57_fpCosPiTest_b_to_vStagei_uid184_lzcZ_uid57_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid184_lzcZ_uid57_fpCosPiTest(MUX,183)@20
vStagei_uid184_lzcZ_uid57_fpCosPiTest_s <= vCount_uid179_lzcZ_uid57_fpCosPiTest_q;
vStagei_uid184_lzcZ_uid57_fpCosPiTest: PROCESS (vStagei_uid184_lzcZ_uid57_fpCosPiTest_s, en, ld_rVStage_uid178_lzcZ_uid57_fpCosPiTest_b_to_vStagei_uid184_lzcZ_uid57_fpCosPiTest_c_q, cStage_uid182_lzcZ_uid57_fpCosPiTest_q)
BEGIN
CASE vStagei_uid184_lzcZ_uid57_fpCosPiTest_s IS
WHEN "0" => vStagei_uid184_lzcZ_uid57_fpCosPiTest_q <= ld_rVStage_uid178_lzcZ_uid57_fpCosPiTest_b_to_vStagei_uid184_lzcZ_uid57_fpCosPiTest_c_q;
WHEN "1" => vStagei_uid184_lzcZ_uid57_fpCosPiTest_q <= cStage_uid182_lzcZ_uid57_fpCosPiTest_q;
WHEN OTHERS => vStagei_uid184_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid186_lzcZ_uid57_fpCosPiTest(BITSELECT,185)@20
rVStage_uid186_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid184_lzcZ_uid57_fpCosPiTest_q;
rVStage_uid186_lzcZ_uid57_fpCosPiTest_b <= rVStage_uid186_lzcZ_uid57_fpCosPiTest_in(31 downto 16);
--vCount_uid187_lzcZ_uid57_fpCosPiTest(LOGICAL,186)@20
vCount_uid187_lzcZ_uid57_fpCosPiTest_a <= rVStage_uid186_lzcZ_uid57_fpCosPiTest_b;
vCount_uid187_lzcZ_uid57_fpCosPiTest_b <= zs_uid185_lzcZ_uid57_fpCosPiTest_q;
vCount_uid187_lzcZ_uid57_fpCosPiTest_q <= "1" when vCount_uid187_lzcZ_uid57_fpCosPiTest_a = vCount_uid187_lzcZ_uid57_fpCosPiTest_b else "0";
--reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4(REG,395)@20
reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q <= vCount_uid187_lzcZ_uid57_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_e(DELAY,604)@21
ld_reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_e : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q, xout => ld_reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_e_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid188_lzcZ_uid57_fpCosPiTest(BITSELECT,187)@20
vStage_uid188_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid184_lzcZ_uid57_fpCosPiTest_q(15 downto 0);
vStage_uid188_lzcZ_uid57_fpCosPiTest_b <= vStage_uid188_lzcZ_uid57_fpCosPiTest_in(15 downto 0);
--vStagei_uid190_lzcZ_uid57_fpCosPiTest(MUX,189)@20
vStagei_uid190_lzcZ_uid57_fpCosPiTest_s <= vCount_uid187_lzcZ_uid57_fpCosPiTest_q;
vStagei_uid190_lzcZ_uid57_fpCosPiTest: PROCESS (vStagei_uid190_lzcZ_uid57_fpCosPiTest_s, en, rVStage_uid186_lzcZ_uid57_fpCosPiTest_b, vStage_uid188_lzcZ_uid57_fpCosPiTest_b)
BEGIN
CASE vStagei_uid190_lzcZ_uid57_fpCosPiTest_s IS
WHEN "0" => vStagei_uid190_lzcZ_uid57_fpCosPiTest_q <= rVStage_uid186_lzcZ_uid57_fpCosPiTest_b;
WHEN "1" => vStagei_uid190_lzcZ_uid57_fpCosPiTest_q <= vStage_uid188_lzcZ_uid57_fpCosPiTest_b;
WHEN OTHERS => vStagei_uid190_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid192_lzcZ_uid57_fpCosPiTest(BITSELECT,191)@20
rVStage_uid192_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid190_lzcZ_uid57_fpCosPiTest_q;
rVStage_uid192_lzcZ_uid57_fpCosPiTest_b <= rVStage_uid192_lzcZ_uid57_fpCosPiTest_in(15 downto 8);
--reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1(REG,390)@20
reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1_q <= rVStage_uid192_lzcZ_uid57_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid193_lzcZ_uid57_fpCosPiTest(LOGICAL,192)@21
vCount_uid193_lzcZ_uid57_fpCosPiTest_a <= reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1_q;
vCount_uid193_lzcZ_uid57_fpCosPiTest_b <= cstAllZwE_uid28_fpCosPiTest_q;
vCount_uid193_lzcZ_uid57_fpCosPiTest_q <= "1" when vCount_uid193_lzcZ_uid57_fpCosPiTest_a = vCount_uid193_lzcZ_uid57_fpCosPiTest_b else "0";
--ld_vCount_uid193_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_d(DELAY,603)@21
ld_vCount_uid193_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid193_lzcZ_uid57_fpCosPiTest_q, xout => ld_vCount_uid193_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_d_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid194_lzcZ_uid57_fpCosPiTest(BITSELECT,193)@20
vStage_uid194_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid190_lzcZ_uid57_fpCosPiTest_q(7 downto 0);
vStage_uid194_lzcZ_uid57_fpCosPiTest_b <= vStage_uid194_lzcZ_uid57_fpCosPiTest_in(7 downto 0);
--reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3(REG,392)@20
reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3_q <= vStage_uid194_lzcZ_uid57_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid196_lzcZ_uid57_fpCosPiTest(MUX,195)@21
vStagei_uid196_lzcZ_uid57_fpCosPiTest_s <= vCount_uid193_lzcZ_uid57_fpCosPiTest_q;
vStagei_uid196_lzcZ_uid57_fpCosPiTest: PROCESS (vStagei_uid196_lzcZ_uid57_fpCosPiTest_s, en, reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1_q, reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3_q)
BEGIN
CASE vStagei_uid196_lzcZ_uid57_fpCosPiTest_s IS
WHEN "0" => vStagei_uid196_lzcZ_uid57_fpCosPiTest_q <= reg_rVStage_uid192_lzcZ_uid57_fpCosPiTest_0_to_vCount_uid193_lzcZ_uid57_fpCosPiTest_1_q;
WHEN "1" => vStagei_uid196_lzcZ_uid57_fpCosPiTest_q <= reg_vStage_uid194_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid196_lzcZ_uid57_fpCosPiTest_3_q;
WHEN OTHERS => vStagei_uid196_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid198_lzcZ_uid57_fpCosPiTest(BITSELECT,197)@21
rVStage_uid198_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid196_lzcZ_uid57_fpCosPiTest_q;
rVStage_uid198_lzcZ_uid57_fpCosPiTest_b <= rVStage_uid198_lzcZ_uid57_fpCosPiTest_in(7 downto 4);
--vCount_uid199_lzcZ_uid57_fpCosPiTest(LOGICAL,198)@21
vCount_uid199_lzcZ_uid57_fpCosPiTest_a <= rVStage_uid198_lzcZ_uid57_fpCosPiTest_b;
vCount_uid199_lzcZ_uid57_fpCosPiTest_b <= leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q;
vCount_uid199_lzcZ_uid57_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
vCount_uid199_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
IF (vCount_uid199_lzcZ_uid57_fpCosPiTest_a = vCount_uid199_lzcZ_uid57_fpCosPiTest_b) THEN
vCount_uid199_lzcZ_uid57_fpCosPiTest_q <= "1";
ELSE
vCount_uid199_lzcZ_uid57_fpCosPiTest_q <= "0";
END IF;
END IF;
END IF;
END PROCESS;
--vStage_uid200_lzcZ_uid57_fpCosPiTest(BITSELECT,199)@21
vStage_uid200_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid196_lzcZ_uid57_fpCosPiTest_q(3 downto 0);
vStage_uid200_lzcZ_uid57_fpCosPiTest_b <= vStage_uid200_lzcZ_uid57_fpCosPiTest_in(3 downto 0);
--reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3(REG,394)@21
reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3_q <= vStage_uid200_lzcZ_uid57_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2(REG,393)@21
reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2_q <= rVStage_uid198_lzcZ_uid57_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid202_lzcZ_uid57_fpCosPiTest(MUX,201)@22
vStagei_uid202_lzcZ_uid57_fpCosPiTest_s <= vCount_uid199_lzcZ_uid57_fpCosPiTest_q;
vStagei_uid202_lzcZ_uid57_fpCosPiTest: PROCESS (vStagei_uid202_lzcZ_uid57_fpCosPiTest_s, en, reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2_q, reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3_q)
BEGIN
CASE vStagei_uid202_lzcZ_uid57_fpCosPiTest_s IS
WHEN "0" => vStagei_uid202_lzcZ_uid57_fpCosPiTest_q <= reg_rVStage_uid198_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_2_q;
WHEN "1" => vStagei_uid202_lzcZ_uid57_fpCosPiTest_q <= reg_vStage_uid200_lzcZ_uid57_fpCosPiTest_0_to_vStagei_uid202_lzcZ_uid57_fpCosPiTest_3_q;
WHEN OTHERS => vStagei_uid202_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid204_lzcZ_uid57_fpCosPiTest(BITSELECT,203)@22
rVStage_uid204_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid202_lzcZ_uid57_fpCosPiTest_q;
rVStage_uid204_lzcZ_uid57_fpCosPiTest_b <= rVStage_uid204_lzcZ_uid57_fpCosPiTest_in(3 downto 2);
--vCount_uid205_lzcZ_uid57_fpCosPiTest(LOGICAL,204)@22
vCount_uid205_lzcZ_uid57_fpCosPiTest_a <= rVStage_uid204_lzcZ_uid57_fpCosPiTest_b;
vCount_uid205_lzcZ_uid57_fpCosPiTest_b <= leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q;
vCount_uid205_lzcZ_uid57_fpCosPiTest_q <= "1" when vCount_uid205_lzcZ_uid57_fpCosPiTest_a = vCount_uid205_lzcZ_uid57_fpCosPiTest_b else "0";
--vStage_uid206_lzcZ_uid57_fpCosPiTest(BITSELECT,205)@22
vStage_uid206_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid202_lzcZ_uid57_fpCosPiTest_q(1 downto 0);
vStage_uid206_lzcZ_uid57_fpCosPiTest_b <= vStage_uid206_lzcZ_uid57_fpCosPiTest_in(1 downto 0);
--vStagei_uid208_lzcZ_uid57_fpCosPiTest(MUX,207)@22
vStagei_uid208_lzcZ_uid57_fpCosPiTest_s <= vCount_uid205_lzcZ_uid57_fpCosPiTest_q;
vStagei_uid208_lzcZ_uid57_fpCosPiTest: PROCESS (vStagei_uid208_lzcZ_uid57_fpCosPiTest_s, en, rVStage_uid204_lzcZ_uid57_fpCosPiTest_b, vStage_uid206_lzcZ_uid57_fpCosPiTest_b)
BEGIN
CASE vStagei_uid208_lzcZ_uid57_fpCosPiTest_s IS
WHEN "0" => vStagei_uid208_lzcZ_uid57_fpCosPiTest_q <= rVStage_uid204_lzcZ_uid57_fpCosPiTest_b;
WHEN "1" => vStagei_uid208_lzcZ_uid57_fpCosPiTest_q <= vStage_uid206_lzcZ_uid57_fpCosPiTest_b;
WHEN OTHERS => vStagei_uid208_lzcZ_uid57_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid210_lzcZ_uid57_fpCosPiTest(BITSELECT,209)@22
rVStage_uid210_lzcZ_uid57_fpCosPiTest_in <= vStagei_uid208_lzcZ_uid57_fpCosPiTest_q;
rVStage_uid210_lzcZ_uid57_fpCosPiTest_b <= rVStage_uid210_lzcZ_uid57_fpCosPiTest_in(1 downto 1);
--vCount_uid211_lzcZ_uid57_fpCosPiTest(LOGICAL,210)@22
vCount_uid211_lzcZ_uid57_fpCosPiTest_a <= rVStage_uid210_lzcZ_uid57_fpCosPiTest_b;
vCount_uid211_lzcZ_uid57_fpCosPiTest_b <= GND_q;
vCount_uid211_lzcZ_uid57_fpCosPiTest_q <= "1" when vCount_uid211_lzcZ_uid57_fpCosPiTest_a = vCount_uid211_lzcZ_uid57_fpCosPiTest_b else "0";
--r_uid212_lzcZ_uid57_fpCosPiTest(BITJOIN,211)@22
r_uid212_lzcZ_uid57_fpCosPiTest_q <= ld_vCount_uid179_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_f_q & ld_reg_vCount_uid187_lzcZ_uid57_fpCosPiTest_0_to_r_uid212_lzcZ_uid57_fpCosPiTest_4_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_e_q & ld_vCount_uid193_lzcZ_uid57_fpCosPiTest_q_to_r_uid212_lzcZ_uid57_fpCosPiTest_d_q & vCount_uid199_lzcZ_uid57_fpCosPiTest_q & vCount_uid205_lzcZ_uid57_fpCosPiTest_q & vCount_uid211_lzcZ_uid57_fpCosPiTest_q;
--leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest(BITSELECT,223)@22
leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_in <= r_uid212_lzcZ_uid57_fpCosPiTest_q;
leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_b <= leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_in(5 downto 4);
--reg_leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_1(REG,396)@22
reg_leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_1_q <= leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest(MUX,224)@23
leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_s <= reg_leftShiftStageSel5Dto4_uid224_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_1_q;
leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest: PROCESS (leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_s, en, ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_q, leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_q, leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_q, leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_q)
BEGIN
CASE leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_s IS
WHEN "00" => leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q <= ld_z_uid55_fpCosPiTest_q_to_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_c_replace_mem_q;
WHEN "01" => leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage0Idx1_uid217_alignedZ_uid58_fpCosPiTest_q;
WHEN "10" => leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage0Idx2_uid220_alignedZ_uid58_fpCosPiTest_q;
WHEN "11" => leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage0Idx3_uid223_alignedZ_uid58_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest(BITSELECT,232)@23
LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_in <= leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q(49 downto 0);
LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b <= LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_in(49 downto 0);
--ld_LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_b(DELAY,622)@23
ld_LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 50, depth => 1 )
PORT MAP ( xin => LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b, xout => ld_LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest(BITJOIN,233)@24
leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_q <= ld_LeftShiftStage049dto0_uid233_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_b_q & leftShiftStage0Idx3Pad12_uid160_fxpX_uid42_fpCosPiTest_q;
--LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest(BITSELECT,229)@23
LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_in <= leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q(53 downto 0);
LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b <= LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_in(53 downto 0);
--ld_LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_b(DELAY,620)@23
ld_LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 54, depth => 1 )
PORT MAP ( xin => LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b, xout => ld_LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest(BITJOIN,230)@24
leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_q <= ld_LeftShiftStage053dto0_uid230_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_b_q & cstAllZwE_uid28_fpCosPiTest_q;
--LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest(BITSELECT,226)@23
LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_in <= leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q(57 downto 0);
LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b <= LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_in(57 downto 0);
--ld_LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_b(DELAY,618)@23
ld_LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 58, depth => 1 )
PORT MAP ( xin => LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b, xout => ld_LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest(BITJOIN,227)@24
leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_q <= ld_LeftShiftStage057dto0_uid227_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_b_q & leftShiftStage0Idx1Pad4_uid154_fxpX_uid42_fpCosPiTest_q;
--reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2(REG,398)@23
reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2_q <= leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest(BITSELECT,234)@22
leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_in <= r_uid212_lzcZ_uid57_fpCosPiTest_q(3 downto 0);
leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b <= leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_in(3 downto 2);
--ld_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_a(DELAY,791)@22
ld_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b, xout => ld_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1(REG,397)@23
reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_q <= ld_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest(MUX,235)@24
leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_s <= reg_leftShiftStageSel3Dto2_uid235_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_1_q;
leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest: PROCESS (leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_s, en, reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2_q, leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_q, leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_q, leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_q)
BEGIN
CASE leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_s IS
WHEN "00" => leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q <= reg_leftShiftStage0_uid225_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_2_q;
WHEN "01" => leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage1Idx1_uid228_alignedZ_uid58_fpCosPiTest_q;
WHEN "10" => leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage1Idx2_uid231_alignedZ_uid58_fpCosPiTest_q;
WHEN "11" => leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage1Idx3_uid234_alignedZ_uid58_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest(BITSELECT,243)@24
LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_in <= leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q(58 downto 0);
LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b <= LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_in(58 downto 0);
--ld_LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_b(DELAY,634)@24
ld_LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 59, depth => 1 )
PORT MAP ( xin => LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b, xout => ld_LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest(BITJOIN,244)@25
leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_q <= ld_LeftShiftStage158dto0_uid244_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_b_q & leftShiftStage1Idx3Pad3_uid171_fxpX_uid42_fpCosPiTest_q;
--LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest(BITSELECT,240)@24
LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_in <= leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q(59 downto 0);
LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b <= LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_in(59 downto 0);
--ld_LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_b(DELAY,632)@24
ld_LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 60, depth => 1 )
PORT MAP ( xin => LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b, xout => ld_LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest(BITJOIN,241)@25
leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_q <= ld_LeftShiftStage159dto0_uid241_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_b_q & leftShiftStage1Idx2Pad2_uid168_fxpX_uid42_fpCosPiTest_q;
--LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest(BITSELECT,237)@24
LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_in <= leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q(60 downto 0);
LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b <= LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_in(60 downto 0);
--ld_LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_b(DELAY,630)@24
ld_LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 61, depth => 1 )
PORT MAP ( xin => LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b, xout => ld_LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest(BITJOIN,238)@25
leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_q <= ld_LeftShiftStage160dto0_uid238_alignedZ_uid58_fpCosPiTest_b_to_leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_b_q & GND_q;
--reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2(REG,400)@24
reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2_q <= "00000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2_q <= leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest(BITSELECT,245)@22
leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_in <= r_uid212_lzcZ_uid57_fpCosPiTest_q(1 downto 0);
leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b <= leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_in(1 downto 0);
--ld_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_a(DELAY,793)@22
ld_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 2 )
PORT MAP ( xin => leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b, xout => ld_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1(REG,399)@24
reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_q <= ld_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_b_to_reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_a_q;
END IF;
END IF;
END PROCESS;
--leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest(MUX,246)@25
leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_s <= reg_leftShiftStageSel1Dto0_uid246_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_1_q;
leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest: PROCESS (leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_s, en, reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2_q, leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_q, leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_q, leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_q)
BEGIN
CASE leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_s IS
WHEN "00" => leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q <= reg_leftShiftStage1_uid236_alignedZ_uid58_fpCosPiTest_0_to_leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_2_q;
WHEN "01" => leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage2Idx1_uid239_alignedZ_uid58_fpCosPiTest_q;
WHEN "10" => leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage2Idx2_uid242_alignedZ_uid58_fpCosPiTest_q;
WHEN "11" => leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q <= leftShiftStage2Idx3_uid245_alignedZ_uid58_fpCosPiTest_q;
WHEN OTHERS => leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--p_uid59_fpCosPiTest(BITSELECT,58)@25
p_uid59_fpCosPiTest_in <= leftShiftStage2_uid247_alignedZ_uid58_fpCosPiTest_q;
p_uid59_fpCosPiTest_b <= p_uid59_fpCosPiTest_in(61 downto 36);
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_inputreg(DELAY,952)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 26, depth => 1 )
PORT MAP ( xin => p_uid59_fpCosPiTest_b, xout => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt(COUNTER,954)
-- every=1, low=0, high=2, step=1, init=1
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,2);
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i = 1 THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_eq = '1') THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i - 2;
ELSE
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_i,2));
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg(REG,955)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux(MUX,956)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_s <= en;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux: PROCESS (ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_s, ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg_q, ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_q)
BEGIN
CASE ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_s IS
WHEN "0" => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg_q;
WHEN "1" => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem(DUALMEM,953)
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_ia <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_inputreg_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_aa <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdreg_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_ab <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_rdmux_q;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 26,
widthad_a => 2,
numwords_a => 3,
width_b => 26,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_iq,
address_a => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_aa,
data_a => ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_ia
);
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_reset0 <= areset;
ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_iq(25 downto 0);
--reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0(REG,410)@30
reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_q <= ld_p_uid59_fpCosPiTest_b_to_reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--mul2xSinRes_uid68_fpCosPiTest(MULT,67)@31
mul2xSinRes_uid68_fpCosPiTest_pr <= UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_a) * UNSIGNED(mul2xSinRes_uid68_fpCosPiTest_b);
mul2xSinRes_uid68_fpCosPiTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_a <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_b <= (others => '0');
mul2xSinRes_uid68_fpCosPiTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mul2xSinRes_uid68_fpCosPiTest_a <= reg_p_uid59_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_0_q;
mul2xSinRes_uid68_fpCosPiTest_b <= reg_fxpSinRes_uid67_fpCosPiTest_0_to_mul2xSinRes_uid68_fpCosPiTest_1_q;
mul2xSinRes_uid68_fpCosPiTest_s1 <= STD_LOGIC_VECTOR(mul2xSinRes_uid68_fpCosPiTest_pr);
END IF;
END IF;
END PROCESS;
mul2xSinRes_uid68_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
mul2xSinRes_uid68_fpCosPiTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
mul2xSinRes_uid68_fpCosPiTest_q <= mul2xSinRes_uid68_fpCosPiTest_s1;
END IF;
END IF;
END PROCESS;
--normBit_uid69_fpCosPiTest(BITSELECT,68)@34
normBit_uid69_fpCosPiTest_in <= mul2xSinRes_uid68_fpCosPiTest_q;
normBit_uid69_fpCosPiTest_b <= normBit_uid69_fpCosPiTest_in(51 downto 51);
--rndOp_uid74_uid75_fpCosPiTest(BITJOIN,74)@34
rndOp_uid74_uid75_fpCosPiTest_q <= normBit_uid69_fpCosPiTest_b & cstAllZWF_uid7_fpCosPiTest_q & VCC_q;
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor(LOGICAL,824)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_b <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_q <= not (ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_a or ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_b);
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena(REG,825)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_nor_q = "1") THEN
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd(LOGICAL,826)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_sticky_ena_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_b <= en;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_a and ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_b;
--reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1(REG,412)@22
reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q <= r_uid212_lzcZ_uid57_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_inputreg(DELAY,814)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q, xout => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem(DUALMEM,815)
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ia <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_inputreg_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_aa <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdreg_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ab <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_rdmux_q;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 6,
widthad_a => 3,
numwords_a => 8,
width_b => 6,
widthad_b => 3,
numwords_b => 8,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_iq,
address_a => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_aa,
data_a => ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_ia
);
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_q <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_iq(5 downto 0);
--expHardCase_uid61_fpCosPiTest(SUB,60)@33
expHardCase_uid61_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & biasM1_uid60_fpCosPiTest_q);
expHardCase_uid61_fpCosPiTest_b <= STD_LOGIC_VECTOR("000" & ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_replace_mem_q);
expHardCase_uid61_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expHardCase_uid61_fpCosPiTest_a) - UNSIGNED(expHardCase_uid61_fpCosPiTest_b));
expHardCase_uid61_fpCosPiTest_q <= expHardCase_uid61_fpCosPiTest_o(8 downto 0);
--expP_uid62_fpCosPiTest(BITSELECT,61)@33
expP_uid62_fpCosPiTest_in <= expHardCase_uid61_fpCosPiTest_q(7 downto 0);
expP_uid62_fpCosPiTest_b <= expP_uid62_fpCosPiTest_in(7 downto 0);
--reg_expP_uid62_fpCosPiTest_0_to_expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_1(REG,413)@33
reg_expP_uid62_fpCosPiTest_0_to_expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expP_uid62_fpCosPiTest_0_to_expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_1_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expP_uid62_fpCosPiTest_0_to_expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_1_q <= expP_uid62_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--highRes_uid70_fpCosPiTest(BITSELECT,69)@34
highRes_uid70_fpCosPiTest_in <= mul2xSinRes_uid68_fpCosPiTest_q(50 downto 0);
highRes_uid70_fpCosPiTest_b <= highRes_uid70_fpCosPiTest_in(50 downto 27);
--lowRes_uid71_fpCosPiTest(BITSELECT,70)@34
lowRes_uid71_fpCosPiTest_in <= mul2xSinRes_uid68_fpCosPiTest_q(49 downto 0);
lowRes_uid71_fpCosPiTest_b <= lowRes_uid71_fpCosPiTest_in(49 downto 26);
--fracRCompPreRnd_uid72_fpCosPiTest(MUX,71)@34
fracRCompPreRnd_uid72_fpCosPiTest_s <= normBit_uid69_fpCosPiTest_b;
fracRCompPreRnd_uid72_fpCosPiTest: PROCESS (fracRCompPreRnd_uid72_fpCosPiTest_s, en, lowRes_uid71_fpCosPiTest_b, highRes_uid70_fpCosPiTest_b)
BEGIN
CASE fracRCompPreRnd_uid72_fpCosPiTest_s IS
WHEN "0" => fracRCompPreRnd_uid72_fpCosPiTest_q <= lowRes_uid71_fpCosPiTest_b;
WHEN "1" => fracRCompPreRnd_uid72_fpCosPiTest_q <= highRes_uid70_fpCosPiTest_b;
WHEN OTHERS => fracRCompPreRnd_uid72_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest(BITJOIN,72)@34
expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_q <= reg_expP_uid62_fpCosPiTest_0_to_expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_1_q & fracRCompPreRnd_uid72_fpCosPiTest_q;
--expRCompFracRComp_uid76_fpCosPiTest(ADD,75)@34
expRCompFracRComp_uid76_fpCosPiTest_a <= STD_LOGIC_VECTOR("0" & expRCompFracRCompPreRnd_uid73_uid73_fpCosPiTest_q);
expRCompFracRComp_uid76_fpCosPiTest_b <= STD_LOGIC_VECTOR("00000000" & rndOp_uid74_uid75_fpCosPiTest_q);
expRCompFracRComp_uid76_fpCosPiTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRCompFracRComp_uid76_fpCosPiTest_a) + UNSIGNED(expRCompFracRComp_uid76_fpCosPiTest_b));
expRCompFracRComp_uid76_fpCosPiTest_q <= expRCompFracRComp_uid76_fpCosPiTest_o(32 downto 0);
--expRComp_uid78_fpCosPiTest(BITSELECT,77)@34
expRComp_uid78_fpCosPiTest_in <= expRCompFracRComp_uid76_fpCosPiTest_q(31 downto 0);
expRComp_uid78_fpCosPiTest_b <= expRComp_uid78_fpCosPiTest_in(31 downto 24);
--reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2(REG,418)@34
reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2_q <= expRComp_uid78_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor(LOGICAL,837)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_b <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_q <= not (ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_a or ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_b);
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_mem_top(CONSTANT,833)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_mem_top_q <= "01101";
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp(LOGICAL,834)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_a <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_mem_top_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q);
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_q <= "1" when ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_a = ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_b else "0";
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg(REG,835)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena(REG,838)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_nor_q = "1") THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd(LOGICAL,839)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_a <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_sticky_ena_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_b <= en;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_a and ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_b;
--ld_cosXIsOne_uid36_fpCosPiTest_n_to_expSelBits_uid87_fpCosPiTest_b(DELAY,492)@0
ld_cosXIsOne_uid36_fpCosPiTest_n_to_expSelBits_uid87_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 17 )
PORT MAP ( xin => cosXIsOne_uid36_fpCosPiTest_n, xout => ld_cosXIsOne_uid36_fpCosPiTest_n_to_expSelBits_uid87_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--ld_cosXIsOneXRR_uid37_fpCosPiTest_n_to_InvCosXIsOneXRR_uid79_fpCosPiTest_a(DELAY,474)@14
ld_cosXIsOneXRR_uid37_fpCosPiTest_n_to_InvCosXIsOneXRR_uid79_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => cosXIsOneXRR_uid37_fpCosPiTest_n, xout => ld_cosXIsOneXRR_uid37_fpCosPiTest_n_to_InvCosXIsOneXRR_uid79_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_join_uid86_fpCosPiTest_2(REG,416)@16
reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_join_uid86_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_join_uid86_fpCosPiTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_join_uid86_fpCosPiTest_2_q <= ld_cosXIsOneXRR_uid37_fpCosPiTest_n_to_InvCosXIsOneXRR_uid79_fpCosPiTest_a_q;
END IF;
END IF;
END PROCESS;
--InvCosXIsOneXRR_uid79_fpCosPiTest(LOGICAL,78)@16
InvCosXIsOneXRR_uid79_fpCosPiTest_a <= ld_cosXIsOneXRR_uid37_fpCosPiTest_n_to_InvCosXIsOneXRR_uid79_fpCosPiTest_a_q;
InvCosXIsOneXRR_uid79_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
InvCosXIsOneXRR_uid79_fpCosPiTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
InvCosXIsOneXRR_uid79_fpCosPiTest_q <= not InvCosXIsOneXRR_uid79_fpCosPiTest_a;
END IF;
END PROCESS;
--InvCosXIsOne_uid80_fpCosPiTest(LOGICAL,79)@0
InvCosXIsOne_uid80_fpCosPiTest_a <= cosXIsOne_uid36_fpCosPiTest_n;
InvCosXIsOne_uid80_fpCosPiTest_q <= not InvCosXIsOne_uid80_fpCosPiTest_a;
--ld_InvCosXIsOne_uid80_fpCosPiTest_q_to_yHalfCosNotOne_uid81_fpCosPiTest_b(DELAY,477)@0
ld_InvCosXIsOne_uid80_fpCosPiTest_q_to_yHalfCosNotOne_uid81_fpCosPiTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 17 )
PORT MAP ( xin => InvCosXIsOne_uid80_fpCosPiTest_q, xout => ld_InvCosXIsOne_uid80_fpCosPiTest_q_to_yHalfCosNotOne_uid81_fpCosPiTest_b_q, ena => en(0), clk => clk, aclr => areset );
--cstZmwFRRPwSM1_uid46_fpCosPiTest(CONSTANT,45)
cstZmwFRRPwSM1_uid46_fpCosPiTest_q <= "00000000000000000000000000000000000000000000000000000000000000";
--half_uid47_fpCosPiTest(BITJOIN,46)@17
half_uid47_fpCosPiTest_q <= VCC_q & cstZmwFRRPwSM1_uid46_fpCosPiTest_q;
--yIsHalf_uid48_fpCosPiTest(LOGICAL,47)@17
yIsHalf_uid48_fpCosPiTest_a <= reg_y_uid44_fpCosPiTest_0_to_yIsHalf_uid48_fpCosPiTest_1_q;
yIsHalf_uid48_fpCosPiTest_b <= half_uid47_fpCosPiTest_q;
yIsHalf_uid48_fpCosPiTest_q <= "1" when yIsHalf_uid48_fpCosPiTest_a = yIsHalf_uid48_fpCosPiTest_b else "0";
--yHalfCosNotOne_uid81_fpCosPiTest(LOGICAL,80)@17
yHalfCosNotOne_uid81_fpCosPiTest_a <= yIsHalf_uid48_fpCosPiTest_q;
yHalfCosNotOne_uid81_fpCosPiTest_b <= ld_InvCosXIsOne_uid80_fpCosPiTest_q_to_yHalfCosNotOne_uid81_fpCosPiTest_b_q;
yHalfCosNotOne_uid81_fpCosPiTest_c <= InvCosXIsOneXRR_uid79_fpCosPiTest_q;
yHalfCosNotOne_uid81_fpCosPiTest_q <= yHalfCosNotOne_uid81_fpCosPiTest_a and yHalfCosNotOne_uid81_fpCosPiTest_b and yHalfCosNotOne_uid81_fpCosPiTest_c;
--excRNaN_uid82_fpCosPiTest(LOGICAL,81)@0
excRNaN_uid82_fpCosPiTest_a <= exc_N_uid17_fpCosPiTest_q;
excRNaN_uid82_fpCosPiTest_b <= exc_I_uid15_fpCosPiTest_q;
excRNaN_uid82_fpCosPiTest_q <= excRNaN_uid82_fpCosPiTest_a or excRNaN_uid82_fpCosPiTest_b;
--ld_excRNaN_uid82_fpCosPiTest_q_to_join_uid86_fpCosPiTest_a(DELAY,488)@0
ld_excRNaN_uid82_fpCosPiTest_q_to_join_uid86_fpCosPiTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 17 )
PORT MAP ( xin => excRNaN_uid82_fpCosPiTest_q, xout => ld_excRNaN_uid82_fpCosPiTest_q_to_join_uid86_fpCosPiTest_a_q, ena => en(0), clk => clk, aclr => areset );
--join_uid86_fpCosPiTest(BITJOIN,85)@17
join_uid86_fpCosPiTest_q <= reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_join_uid86_fpCosPiTest_2_q & yHalfCosNotOne_uid81_fpCosPiTest_q & ld_excRNaN_uid82_fpCosPiTest_q_to_join_uid86_fpCosPiTest_a_q;
--expSelBits_uid87_fpCosPiTest(BITJOIN,86)@17
expSelBits_uid87_fpCosPiTest_q <= ld_cosXIsOne_uid36_fpCosPiTest_n_to_expSelBits_uid87_fpCosPiTest_b_q & join_uid86_fpCosPiTest_q;
--reg_expSelBits_uid87_fpCosPiTest_0_to_expSelector_uid88_fpCosPiTest_0(REG,417)@17
reg_expSelBits_uid87_fpCosPiTest_0_to_expSelector_uid88_fpCosPiTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expSelBits_uid87_fpCosPiTest_0_to_expSelector_uid88_fpCosPiTest_0_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expSelBits_uid87_fpCosPiTest_0_to_expSelector_uid88_fpCosPiTest_0_q <= expSelBits_uid87_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--expSelector_uid88_fpCosPiTest(LOOKUP,87)@18
expSelector_uid88_fpCosPiTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expSelector_uid88_fpCosPiTest_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_expSelBits_uid87_fpCosPiTest_0_to_expSelector_uid88_fpCosPiTest_0_q) IS
WHEN "0000" => expSelector_uid88_fpCosPiTest_q <= "00";
WHEN "0001" => expSelector_uid88_fpCosPiTest_q <= "11";
WHEN "0010" => expSelector_uid88_fpCosPiTest_q <= "10";
WHEN "0011" => expSelector_uid88_fpCosPiTest_q <= "00";
WHEN "0100" => expSelector_uid88_fpCosPiTest_q <= "01";
WHEN "0101" => expSelector_uid88_fpCosPiTest_q <= "11";
WHEN "0110" => expSelector_uid88_fpCosPiTest_q <= "10";
WHEN "0111" => expSelector_uid88_fpCosPiTest_q <= "00";
WHEN "1000" => expSelector_uid88_fpCosPiTest_q <= "01";
WHEN "1001" => expSelector_uid88_fpCosPiTest_q <= "11";
WHEN "1010" => expSelector_uid88_fpCosPiTest_q <= "10";
WHEN "1011" => expSelector_uid88_fpCosPiTest_q <= "00";
WHEN "1100" => expSelector_uid88_fpCosPiTest_q <= "01";
WHEN "1101" => expSelector_uid88_fpCosPiTest_q <= "11";
WHEN "1110" => expSelector_uid88_fpCosPiTest_q <= "10";
WHEN "1111" => expSelector_uid88_fpCosPiTest_q <= "00";
WHEN OTHERS =>
expSelector_uid88_fpCosPiTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_inputreg(DELAY,827)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => expSelector_uid88_fpCosPiTest_q, xout => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt(COUNTER,829)
-- every=1, low=0, high=13, step=1, init=1
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i = 12 THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_eq = '1') THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i - 13;
ELSE
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_i,4));
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg(REG,830)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux(MUX,831)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_s <= en;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux: PROCESS (ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_s, ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q, ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_q)
BEGIN
CASE ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_s IS
WHEN "0" => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q;
WHEN "1" => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem(DUALMEM,828)
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_ia <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_inputreg_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_aa <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_ab <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 4,
numwords_a => 14,
width_b => 2,
widthad_b => 4,
numwords_b => 14,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_iq,
address_a => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_aa,
data_a => ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_ia
);
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_reset0 <= areset;
ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_iq(1 downto 0);
--expRPostExc_uid90_fpCosPiTest(MUX,89)@35
expRPostExc_uid90_fpCosPiTest_s <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_mem_q;
expRPostExc_uid90_fpCosPiTest: PROCESS (expRPostExc_uid90_fpCosPiTest_s, en, reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2_q, cstBias_uid22_fpCosPiTest_q, cstAllZwE_uid28_fpCosPiTest_q, cstAllOWE_uid6_fpCosPiTest_q)
BEGIN
CASE expRPostExc_uid90_fpCosPiTest_s IS
WHEN "00" => expRPostExc_uid90_fpCosPiTest_q <= reg_expRComp_uid78_fpCosPiTest_0_to_expRPostExc_uid90_fpCosPiTest_2_q;
WHEN "01" => expRPostExc_uid90_fpCosPiTest_q <= cstBias_uid22_fpCosPiTest_q;
WHEN "10" => expRPostExc_uid90_fpCosPiTest_q <= cstAllZwE_uid28_fpCosPiTest_q;
WHEN "11" => expRPostExc_uid90_fpCosPiTest_q <= cstAllOWE_uid6_fpCosPiTest_q;
WHEN OTHERS => expRPostExc_uid90_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--cstNaNwF_uid30_fpCosPiTest(CONSTANT,29)
cstNaNwF_uid30_fpCosPiTest_q <= "00000000000000000000001";
--fracRComp_uid77_fpCosPiTest(BITSELECT,76)@34
fracRComp_uid77_fpCosPiTest_in <= expRCompFracRComp_uid76_fpCosPiTest_q(23 downto 0);
fracRComp_uid77_fpCosPiTest_b <= fracRComp_uid77_fpCosPiTest_in(23 downto 1);
--reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2(REG,415)@34
reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2_q <= fracRComp_uid77_fpCosPiTest_b;
END IF;
END IF;
END PROCESS;
--ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor(LOGICAL,975)
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_a <= ld_reg_r_uid212_lzcZ_uid57_fpCosPiTest_0_to_expHardCase_uid61_fpCosPiTest_1_q_to_expHardCase_uid61_fpCosPiTest_b_notEnable_q;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_b <= ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena_q;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_q <= not (ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_a or ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_b);
--ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena(REG,976)
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_nor_q = "1") THEN
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena_q <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd(LOGICAL,977)
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_a <= ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_sticky_ena_q;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_b <= en;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_q <= ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_a and ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_b;
--reg_excRNaN_uid82_fpCosPiTest_0_to_join_uid84_fpCosPiTest_1(REG,383)@17
reg_excRNaN_uid82_fpCosPiTest_0_to_join_uid84_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excRNaN_uid82_fpCosPiTest_0_to_join_uid84_fpCosPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excRNaN_uid82_fpCosPiTest_0_to_join_uid84_fpCosPiTest_1_q <= ld_excRNaN_uid82_fpCosPiTest_q_to_join_uid86_fpCosPiTest_a_q;
END IF;
END IF;
END PROCESS;
--reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3(REG,382)@14
reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q <= cosXIsOneXRR_uid37_fpCosPiTest_n;
END IF;
END IF;
END PROCESS;
--ld_reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q_to_excZOrCosOne_uid83_fpCosPiTest_c(DELAY,483)@15
ld_reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q_to_excZOrCosOne_uid83_fpCosPiTest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q, xout => ld_reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q_to_excZOrCosOne_uid83_fpCosPiTest_c_q, ena => en(0), clk => clk, aclr => areset );
--reg_cosXIsOne_uid36_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_2(REG,381)@17
reg_cosXIsOne_uid36_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cosXIsOne_uid36_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cosXIsOne_uid36_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_2_q <= ld_cosXIsOne_uid36_fpCosPiTest_n_to_expSelBits_uid87_fpCosPiTest_b_q;
END IF;
END IF;
END PROCESS;
--reg_yHalfCosNotOne_uid81_fpCosPiTest_0_to_excZOrCosOne_uid83_fpCosPiTest_1(REG,380)@17
reg_yHalfCosNotOne_uid81_fpCosPiTest_0_to_excZOrCosOne_uid83_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yHalfCosNotOne_uid81_fpCosPiTest_0_to_excZOrCosOne_uid83_fpCosPiTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yHalfCosNotOne_uid81_fpCosPiTest_0_to_excZOrCosOne_uid83_fpCosPiTest_1_q <= yHalfCosNotOne_uid81_fpCosPiTest_q;
END IF;
END IF;
END PROCESS;
--excZOrCosOne_uid83_fpCosPiTest(LOGICAL,82)@18
excZOrCosOne_uid83_fpCosPiTest_a <= reg_yHalfCosNotOne_uid81_fpCosPiTest_0_to_excZOrCosOne_uid83_fpCosPiTest_1_q;
excZOrCosOne_uid83_fpCosPiTest_b <= reg_cosXIsOne_uid36_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_2_q;
excZOrCosOne_uid83_fpCosPiTest_c <= ld_reg_cosXIsOneXRR_uid37_fpCosPiTest_2_to_excZOrCosOne_uid83_fpCosPiTest_3_q_to_excZOrCosOne_uid83_fpCosPiTest_c_q;
excZOrCosOne_uid83_fpCosPiTest_q <= excZOrCosOne_uid83_fpCosPiTest_a or excZOrCosOne_uid83_fpCosPiTest_b or excZOrCosOne_uid83_fpCosPiTest_c;
--join_uid84_fpCosPiTest(BITJOIN,83)@18
join_uid84_fpCosPiTest_q <= reg_excRNaN_uid82_fpCosPiTest_0_to_join_uid84_fpCosPiTest_1_q & excZOrCosOne_uid83_fpCosPiTest_q;
--ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_inputreg(DELAY,965)
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_inputreg : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => join_uid84_fpCosPiTest_q, xout => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem(DUALMEM,966)
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_ia <= ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_inputreg_q;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_aa <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdreg_q;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_ab <= ld_expSelector_uid88_fpCosPiTest_q_to_expRPostExc_uid90_fpCosPiTest_b_replace_rdmux_q;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 2,
widthad_a => 4,
numwords_a => 14,
width_b => 2,
widthad_b => 4,
numwords_b => 14,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_iq,
address_a => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_aa,
data_a => ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_ia
);
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_reset0 <= areset;
ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_q <= ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_iq(1 downto 0);
--reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1(REG,414)@34
reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_q <= ld_join_uid84_fpCosPiTest_q_to_reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--fracRPostExc_uid85_fpCosPiTest(MUX,84)@35
fracRPostExc_uid85_fpCosPiTest_s <= reg_join_uid84_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_1_q;
fracRPostExc_uid85_fpCosPiTest: PROCESS (fracRPostExc_uid85_fpCosPiTest_s, en, reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2_q, cstAllZWF_uid7_fpCosPiTest_q, cstNaNwF_uid30_fpCosPiTest_q, cstNaNwF_uid30_fpCosPiTest_q)
BEGIN
CASE fracRPostExc_uid85_fpCosPiTest_s IS
WHEN "00" => fracRPostExc_uid85_fpCosPiTest_q <= reg_fracRComp_uid77_fpCosPiTest_0_to_fracRPostExc_uid85_fpCosPiTest_2_q;
WHEN "01" => fracRPostExc_uid85_fpCosPiTest_q <= cstAllZWF_uid7_fpCosPiTest_q;
WHEN "10" => fracRPostExc_uid85_fpCosPiTest_q <= cstNaNwF_uid30_fpCosPiTest_q;
WHEN "11" => fracRPostExc_uid85_fpCosPiTest_q <= cstNaNwF_uid30_fpCosPiTest_q;
WHEN OTHERS => fracRPostExc_uid85_fpCosPiTest_q <= (others => '0');
END CASE;
END PROCESS;
--cosXR_uid105_fpCosPiTest(BITJOIN,104)@35
cosXR_uid105_fpCosPiTest_q <= ld_signRNotNaNOrInf_uid104_fpCosPiTest_q_to_cosXR_uid105_fpCosPiTest_c_q & expRPostExc_uid90_fpCosPiTest_q & fracRPostExc_uid85_fpCosPiTest_q;
--xOut(GPOUT,4)@35
q <= cosXR_uid105_fpCosPiTest_q;
end normal;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/FPSinCosXDPS4f375_safe_path.vhd
|
10
|
427
|
-- safe_path for FPSinCosXDPS4f375 given rtl dir is . (quartus)
LIBRARY ieee;
USE ieee.std_logic_1164.all;
PACKAGE FPSinCosXDPS4f375_safe_path is
FUNCTION safe_path( path: string ) RETURN string;
END FPSinCosXDPS4f375_safe_path;
PACKAGE body FPSinCosXDPS4f375_safe_path IS
FUNCTION safe_path( path: string )
RETURN string IS
BEGIN
return string'("./") & path;
END FUNCTION safe_path;
END FPSinCosXDPS4f375_safe_path;
|
mit
|
LorhanSohaky/UFSCar
|
2017/lab_cd/aula9/circuitoAdicional/simulation/modelsim/rtl_work/circuito@adicional/_primary.vhd
|
1
|
339
|
library verilog;
use verilog.vl_types.all;
entity circuitoAdicional is
port(
KEY : in vl_logic_vector(0 downto 0);
LEDG : out vl_logic_vector(0 downto 0);
LEDR : out vl_logic_vector(0 downto 0);
CLK : in vl_logic
);
end circuitoAdicional;
|
mit
|
LorhanSohaky/UFSCar
|
2018/lab-arq1/aula_03-26/Lorhan740951/work/tcounter/_primary.vhd
|
2
|
76
|
library verilog;
use verilog.vl_types.all;
entity tcounter is
end tcounter;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_lsft78.vhd
|
10
|
3733
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_LSFT78.VHD ***
--*** ***
--*** Function: 78 bit Left Shift ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_lsft78 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (78 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (78 DOWNTO 1)
);
END fp_lsft78;
ARCHITECTURE rtl of fp_lsft78 IS
signal levzip, levone, levtwo : STD_LOGIC_VECTOR (78 DOWNTO 1);
signal levthr, levfor, levfiv : STD_LOGIC_VECTOR (78 DOWNTO 1);
signal levsix : STD_LOGIC_VECTOR (78 DOWNTO 1);
BEGIN
levzip <= inbus;
levone(1) <= levzip(1) AND NOT(shift(1));
gaa: FOR k IN 2 TO 78 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(1))) OR (levzip(k-1) AND shift(1));
END GENERATE;
levtwo(1) <= levone(1) AND NOT(shift(2));
levtwo(2) <= levone(2) AND NOT(shift(2));
gba: FOR k IN 3 TO 78 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(2))) OR (levone(k-2) AND shift(2));
END GENERATE;
gca: FOR k IN 1 TO 4 GENERATE
levthr(k) <= levtwo(k) AND NOT(shift(3));
END GENERATE;
gcb: FOR k IN 5 TO 78 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(3))) OR (levtwo(k-4) AND shift(3));
END GENERATE;
gda: FOR k IN 1 TO 8 GENERATE
levfor(k) <= levthr(k) AND NOT(shift(4));
END GENERATE;
gdb: FOR k IN 9 TO 78 GENERATE
levfor(k) <= (levthr(k) AND NOT(shift(4))) OR (levthr(k-8) AND shift(4));
END GENERATE;
gea: FOR k IN 1 TO 16 GENERATE
levfiv(k) <= levfor(k) AND NOT(shift(5));
END GENERATE;
geb: FOR k IN 17 TO 78 GENERATE
levfiv(k) <= (levfor(k) AND NOT(shift(5))) OR (levfor(k-16) AND shift(5));
END GENERATE;
gfa: FOR k IN 1 TO 32 GENERATE
levsix(k) <= levfiv(k) AND NOT(shift(6));
END GENERATE;
gfb: FOR k IN 33 TO 78 GENERATE
levsix(k) <= (levfiv(k) AND NOT(shift(6))) OR (levfiv(k-32) AND shift(6));
END GENERATE;
outbus <= levsix;
END;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/hcc_castdtoy.vhd
|
10
|
9123
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTDTOY.VHD ***
--*** ***
--*** Function: Cast IEEE754 Double to Internal ***
--*** Double ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 13/07/09 - if zip, then zero '1' in frac ***
--*** ***
--*** ***
--*** ***
--***************************************************
-- double <=> internal double
ENTITY hcc_castdtoy IS
GENERIC (
target : integer := 0; -- 1(internal), 0 (multiplier, divider)
roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1'
outputpipe : integer := 0; -- if zero, dont put final pipe for some modes
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_castdtoy;
ARCHITECTURE rtl OF hcc_castdtoy IS
type exponentfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (53+11*target DOWNTO 1);
signal aaff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal satnode, zipnode : STD_LOGIC;
signal satff, zipff : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal fracnode : STD_LOGIC_VECTOR (54+10*target DOWNTO 1);
signal ccff : STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
signal mantissanode : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal exponentff : exponentfftype;
signal satdelff, zipdelff : STD_LOGIC_VECTOR (2 DOWNTO 1);
component hcc_addpipeb
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_addpipes
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
-- ieee754: sign (64), 8 exponent (63:53), 52 mantissa (52:1)
-- x format: (signx5,!sign,mantissa XOR sign, sign(xx.xx)), exponent(13:1)
-- multiplier, divider : (SIGN)('1')(52:1), exponent(13:1)
-- (multiplier & divider use unsigned numbers, sign packed with input)
gza: IF (roundconvert = 1) GENERATE
gzb: FOR k IN 1 TO 53+11*target GENERATE
zerovec(k) <= '0';
END GENERATE;
END GENERATE;
pca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
aaff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
END IF;
END IF;
END PROCESS;
-- if exponent = 1023 => saturate, if 0 => 0
satnode <= aaff(63) AND aaff(62) AND aaff(61) AND aaff(60) AND
aaff(59) AND aaff(58) AND aaff(57) AND aaff(56) AND
aaff(55) AND aaff(54) AND aaff(53);
zipnode <= NOT(aaff(63) OR aaff(62) OR aaff(61) OR aaff(60) OR
aaff(59) OR aaff(58) OR aaff(57) OR aaff(56) OR
aaff(55) OR aaff(54) OR aaff(53));
gexpa: FOR k IN 1 TO 11 GENERATE
expnode(k) <= (aaff(k+52) OR satnode) AND NOT(zipnode);
END GENERATE;
expnode(12) <= satnode;
expnode(13) <= '0';
--**************************************
--*** direct to multipier or divider ***
--**************************************
gmda: IF (target = 0) GENERATE
-- already in "01"&mantissa format used by multiplier and divider
--fracnode <= aaff(64) & '1' & aaff(52 DOWNTO 1);
-- 13/07/09
fracnode(54) <= aaff(64);
fracnode(53) <= NOT(zipnode);
fracnode(52 DOWNTO 1) <= aaff(52 DOWNTO 1);
gmdb: IF (outputpipe = 0) GENERATE
cc <= fracnode & expnode;
ccsat <= satnode;
cczip <= zipnode;
END GENERATE;
gmdc: IF (outputpipe = 1) GENERATE
pmda: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 67 LOOP
ccff(k) <= '0';
END LOOP;
satff <= '0';
zipff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
ccff <= fracnode & expnode;
satff <= satnode;
zipff <= zipnode;
END IF;
END IF;
END PROCESS;
cc <= ccff;
ccsat <= satff;
cczip <= zipff;
END GENERATE;
END GENERATE;
--***********************
--*** internal format ***
--***********************
gxa: IF (target = 1) GENERATE
fracnode(64) <= aaff(64);
fracnode(63) <= aaff(64);
fracnode(62) <= aaff(64);
fracnode(61) <= aaff(64);
fracnode(60) <= aaff(64);
--fracnode(59) <= NOT(aaff(64)); -- '1' XOR sign
-- 13/07/09
fracnode(59) <= aaff(64) XOR NOT(zipnode);
gfa: FOR k IN 1 TO 52 GENERATE
fracnode(k+6)<= (aaff(k) XOR aaff(64));
END GENERATE;
gfb: FOR k IN 1 TO 6 GENERATE
fracnode(k)<= aaff(64); -- '0' XOR sign
END GENERATE;
--*** OUTPUT STAGE(S) ***
gsa: IF (roundconvert = 0 AND outputpipe = 0) GENERATE
cc <= fracnode & expnode;
ccsat <= satnode;
cczip <= zipnode;
END GENERATE;
gsb: IF (outputpipe = 1 AND
((roundconvert = 0) OR
(roundconvert = 1 AND doublespeed = 0))) GENERATE
gsc: IF (roundconvert = 0) GENERATE
mantissanode <= fracnode;
END GENERATE;
gsd: IF (roundconvert = 1) GENERATE
mantissanode <= fracnode + (zerovec(63 DOWNTO 1) & aaff(64));
END GENERATE;
prca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 77 LOOP
ccff(k) <= '0';
END LOOP;
satff <= '0';
zipff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
ccff <= mantissanode & expnode;
satff <= satnode;
zipff <= zipnode;
END IF;
END IF;
END PROCESS;
cc <= ccff;
ccsat <= satff;
cczip <= zipff;
END GENERATE;
gse: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
gsf: IF (synthesize = 0) GENERATE
addone: hcc_addpipeb
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>fracnode,bb=>zerovec(64 DOWNTO 1),carryin=>aaff(64),
cc=>mantissanode);
END GENERATE;
grb: IF (synthesize = 1) GENERATE
addtwo: hcc_addpipes
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>fracnode,bb=>zerovec(64 DOWNTO 1),carryin=>aaff(64),
cc=>mantissanode);
END GENERATE;
prcb: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 13 LOOP
exponentff(1)(k) <= '0';
exponentff(2)(k) <= '0';
END LOOP;
satdelff <= "00";
zipdelff <= "00";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
exponentff(1)(13 DOWNTO 1) <= expnode;
exponentff(2)(13 DOWNTO 1) <= exponentff(1)(13 DOWNTO 1);
satdelff(1) <= satnode;
satdelff(2) <= satdelff(1);
zipdelff(1) <= zipnode;
zipdelff(2) <= zipdelff(1);
END IF;
END IF;
END PROCESS;
cc <= mantissanode & exponentff(2)(13 DOWNTO 1);
ccsat <= satdelff(2);
cczip <= zipdelff(2);
END GENERATE;
END GENERATE;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_lnlut8.vhd
|
10
|
50006
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_LNLUT8.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 22/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_lnlut8 IS
PORT (
add : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
inv : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (8 DOWNTO 1)
);
END fp_lnlut8;
ARCHITECTURE rtl OF fp_lnlut8 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "00000000" =>
inv <= conv_std_logic_vector(1024,11);
logman <= conv_std_logic_vector(0,23);
logexp <= conv_std_logic_vector(0,8);
WHEN "00000001" =>
inv <= conv_std_logic_vector(2041,11);
logman <= conv_std_logic_vector(6316601,23);
logexp <= conv_std_logic_vector(118,8);
WHEN "00000010" =>
inv <= conv_std_logic_vector(2033,11);
logman <= conv_std_logic_vector(7397915,23);
logexp <= conv_std_logic_vector(119,8);
WHEN "00000011" =>
inv <= conv_std_logic_vector(2025,11);
logman <= conv_std_logic_vector(3738239,23);
logexp <= conv_std_logic_vector(120,8);
WHEN "00000100" =>
inv <= conv_std_logic_vector(2017,11);
logman <= conv_std_logic_vector(7988584,23);
logexp <= conv_std_logic_vector(120,8);
WHEN "00000101" =>
inv <= conv_std_logic_vector(2009,11);
logman <= conv_std_logic_vector(1933606,23);
logexp <= conv_std_logic_vector(121,8);
WHEN "00000110" =>
inv <= conv_std_logic_vector(2002,11);
logman <= conv_std_logic_vector(3807503,23);
logexp <= conv_std_logic_vector(121,8);
WHEN "00000111" =>
inv <= conv_std_logic_vector(1994,11);
logman <= conv_std_logic_vector(5957139,23);
logexp <= conv_std_logic_vector(121,8);
WHEN "00001000" =>
inv <= conv_std_logic_vector(1986,11);
logman <= conv_std_logic_vector(8115417,23);
logexp <= conv_std_logic_vector(121,8);
WHEN "00001001" =>
inv <= conv_std_logic_vector(1979,11);
logman <= conv_std_logic_vector(811223,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00001010" =>
inv <= conv_std_logic_vector(1972,11);
logman <= conv_std_logic_vector(1762400,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00001011" =>
inv <= conv_std_logic_vector(1964,11);
logman <= conv_std_logic_vector(2853602,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00001100" =>
inv <= conv_std_logic_vector(1957,11);
logman <= conv_std_logic_vector(3812057,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00001101" =>
inv <= conv_std_logic_vector(1950,11);
logman <= conv_std_logic_vector(4773946,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00001110" =>
inv <= conv_std_logic_vector(1942,11);
logman <= conv_std_logic_vector(5877485,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00001111" =>
inv <= conv_std_logic_vector(1935,11);
logman <= conv_std_logic_vector(6846817,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00010000" =>
inv <= conv_std_logic_vector(1928,11);
logman <= conv_std_logic_vector(7819662,23);
logexp <= conv_std_logic_vector(122,8);
WHEN "00010001" =>
inv <= conv_std_logic_vector(1921,11);
logman <= conv_std_logic_vector(203719,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00010010" =>
inv <= conv_std_logic_vector(1914,11);
logman <= conv_std_logic_vector(693693,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00010011" =>
inv <= conv_std_logic_vector(1907,11);
logman <= conv_std_logic_vector(1185462,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00010100" =>
inv <= conv_std_logic_vector(1900,11);
logman <= conv_std_logic_vector(1679040,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00010101" =>
inv <= conv_std_logic_vector(1893,11);
logman <= conv_std_logic_vector(2174439,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00010110" =>
inv <= conv_std_logic_vector(1886,11);
logman <= conv_std_logic_vector(2671674,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00010111" =>
inv <= conv_std_logic_vector(1880,11);
logman <= conv_std_logic_vector(3099346,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011000" =>
inv <= conv_std_logic_vector(1873,11);
logman <= conv_std_logic_vector(3600026,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011001" =>
inv <= conv_std_logic_vector(1866,11);
logman <= conv_std_logic_vector(4102580,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011010" =>
inv <= conv_std_logic_vector(1860,11);
logman <= conv_std_logic_vector(4534844,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011011" =>
inv <= conv_std_logic_vector(1853,11);
logman <= conv_std_logic_vector(5040917,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011100" =>
inv <= conv_std_logic_vector(1847,11);
logman <= conv_std_logic_vector(5476218,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011101" =>
inv <= conv_std_logic_vector(1840,11);
logman <= conv_std_logic_vector(5985860,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011110" =>
inv <= conv_std_logic_vector(1834,11);
logman <= conv_std_logic_vector(6424242,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00011111" =>
inv <= conv_std_logic_vector(1827,11);
logman <= conv_std_logic_vector(6937504,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00100000" =>
inv <= conv_std_logic_vector(1821,11);
logman <= conv_std_logic_vector(7379010,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00100001" =>
inv <= conv_std_logic_vector(1815,11);
logman <= conv_std_logic_vector(7821973,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00100010" =>
inv <= conv_std_logic_vector(1808,11);
logman <= conv_std_logic_vector(8340618,23);
logexp <= conv_std_logic_vector(123,8);
WHEN "00100011" =>
inv <= conv_std_logic_vector(1802,11);
logman <= conv_std_logic_vector(199082,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00100100" =>
inv <= conv_std_logic_vector(1796,11);
logman <= conv_std_logic_vector(422902,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00100101" =>
inv <= conv_std_logic_vector(1790,11);
logman <= conv_std_logic_vector(647472,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00100110" =>
inv <= conv_std_logic_vector(1784,11);
logman <= conv_std_logic_vector(872796,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00100111" =>
inv <= conv_std_logic_vector(1778,11);
logman <= conv_std_logic_vector(1098879,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101000" =>
inv <= conv_std_logic_vector(1772,11);
logman <= conv_std_logic_vector(1325726,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101001" =>
inv <= conv_std_logic_vector(1766,11);
logman <= conv_std_logic_vector(1553342,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101010" =>
inv <= conv_std_logic_vector(1760,11);
logman <= conv_std_logic_vector(1781734,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101011" =>
inv <= conv_std_logic_vector(1754,11);
logman <= conv_std_logic_vector(2010905,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101100" =>
inv <= conv_std_logic_vector(1748,11);
logman <= conv_std_logic_vector(2240861,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101101" =>
inv <= conv_std_logic_vector(1742,11);
logman <= conv_std_logic_vector(2471608,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101110" =>
inv <= conv_std_logic_vector(1737,11);
logman <= conv_std_logic_vector(2664505,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00101111" =>
inv <= conv_std_logic_vector(1731,11);
logman <= conv_std_logic_vector(2896716,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110000" =>
inv <= conv_std_logic_vector(1725,11);
logman <= conv_std_logic_vector(3129733,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110001" =>
inv <= conv_std_logic_vector(1719,11);
logman <= conv_std_logic_vector(3363562,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110010" =>
inv <= conv_std_logic_vector(1714,11);
logman <= conv_std_logic_vector(3559044,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110011" =>
inv <= conv_std_logic_vector(1708,11);
logman <= conv_std_logic_vector(3794376,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110100" =>
inv <= conv_std_logic_vector(1703,11);
logman <= conv_std_logic_vector(3991119,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110101" =>
inv <= conv_std_logic_vector(1697,11);
logman <= conv_std_logic_vector(4227974,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110110" =>
inv <= conv_std_logic_vector(1692,11);
logman <= conv_std_logic_vector(4425994,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00110111" =>
inv <= conv_std_logic_vector(1686,11);
logman <= conv_std_logic_vector(4664391,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111000" =>
inv <= conv_std_logic_vector(1681,11);
logman <= conv_std_logic_vector(4863705,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111001" =>
inv <= conv_std_logic_vector(1676,11);
logman <= conv_std_logic_vector(5063612,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111010" =>
inv <= conv_std_logic_vector(1670,11);
logman <= conv_std_logic_vector(5304290,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111011" =>
inv <= conv_std_logic_vector(1665,11);
logman <= conv_std_logic_vector(5505516,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111100" =>
inv <= conv_std_logic_vector(1660,11);
logman <= conv_std_logic_vector(5707347,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111101" =>
inv <= conv_std_logic_vector(1654,11);
logman <= conv_std_logic_vector(5950349,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111110" =>
inv <= conv_std_logic_vector(1649,11);
logman <= conv_std_logic_vector(6153525,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "00111111" =>
inv <= conv_std_logic_vector(1644,11);
logman <= conv_std_logic_vector(6357317,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000000" =>
inv <= conv_std_logic_vector(1639,11);
logman <= conv_std_logic_vector(6561731,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000001" =>
inv <= conv_std_logic_vector(1634,11);
logman <= conv_std_logic_vector(6766769,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000010" =>
inv <= conv_std_logic_vector(1629,11);
logman <= conv_std_logic_vector(6972435,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000011" =>
inv <= conv_std_logic_vector(1624,11);
logman <= conv_std_logic_vector(7178734,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000100" =>
inv <= conv_std_logic_vector(1619,11);
logman <= conv_std_logic_vector(7385668,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000101" =>
inv <= conv_std_logic_vector(1614,11);
logman <= conv_std_logic_vector(7593243,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000110" =>
inv <= conv_std_logic_vector(1609,11);
logman <= conv_std_logic_vector(7801462,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01000111" =>
inv <= conv_std_logic_vector(1604,11);
logman <= conv_std_logic_vector(8010329,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01001000" =>
inv <= conv_std_logic_vector(1599,11);
logman <= conv_std_logic_vector(8219848,23);
logexp <= conv_std_logic_vector(124,8);
WHEN "01001001" =>
inv <= conv_std_logic_vector(1594,11);
logman <= conv_std_logic_vector(20707,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01001010" =>
inv <= conv_std_logic_vector(1589,11);
logman <= conv_std_logic_vector(126125,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01001011" =>
inv <= conv_std_logic_vector(1584,11);
logman <= conv_std_logic_vector(231875,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01001100" =>
inv <= conv_std_logic_vector(1580,11);
logman <= conv_std_logic_vector(316716,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01001101" =>
inv <= conv_std_logic_vector(1575,11);
logman <= conv_std_logic_vector(423069,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01001110" =>
inv <= conv_std_logic_vector(1570,11);
logman <= conv_std_logic_vector(529760,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01001111" =>
inv <= conv_std_logic_vector(1566,11);
logman <= conv_std_logic_vector(615358,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010000" =>
inv <= conv_std_logic_vector(1561,11);
logman <= conv_std_logic_vector(722664,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010001" =>
inv <= conv_std_logic_vector(1556,11);
logman <= conv_std_logic_vector(830314,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010010" =>
inv <= conv_std_logic_vector(1552,11);
logman <= conv_std_logic_vector(916683,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010011" =>
inv <= conv_std_logic_vector(1547,11);
logman <= conv_std_logic_vector(1024958,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010100" =>
inv <= conv_std_logic_vector(1543,11);
logman <= conv_std_logic_vector(1111831,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010101" =>
inv <= conv_std_logic_vector(1538,11);
logman <= conv_std_logic_vector(1220738,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010110" =>
inv <= conv_std_logic_vector(1534,11);
logman <= conv_std_logic_vector(1308120,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01010111" =>
inv <= conv_std_logic_vector(1529,11);
logman <= conv_std_logic_vector(1417667,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011000" =>
inv <= conv_std_logic_vector(1525,11);
logman <= conv_std_logic_vector(1505564,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011001" =>
inv <= conv_std_logic_vector(1520,11);
logman <= conv_std_logic_vector(1615759,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011010" =>
inv <= conv_std_logic_vector(1516,11);
logman <= conv_std_logic_vector(1704177,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011011" =>
inv <= conv_std_logic_vector(1511,11);
logman <= conv_std_logic_vector(1815027,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011100" =>
inv <= conv_std_logic_vector(1507,11);
logman <= conv_std_logic_vector(1903972,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011101" =>
inv <= conv_std_logic_vector(1503,11);
logman <= conv_std_logic_vector(1993153,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011110" =>
inv <= conv_std_logic_vector(1498,11);
logman <= conv_std_logic_vector(2104964,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01011111" =>
inv <= conv_std_logic_vector(1494,11);
logman <= conv_std_logic_vector(2194682,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100000" =>
inv <= conv_std_logic_vector(1490,11);
logman <= conv_std_logic_vector(2284640,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100001" =>
inv <= conv_std_logic_vector(1486,11);
logman <= conv_std_logic_vector(2374840,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100010" =>
inv <= conv_std_logic_vector(1482,11);
logman <= conv_std_logic_vector(2465284,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100011" =>
inv <= conv_std_logic_vector(1477,11);
logman <= conv_std_logic_vector(2578682,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100100" =>
inv <= conv_std_logic_vector(1473,11);
logman <= conv_std_logic_vector(2669677,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100101" =>
inv <= conv_std_logic_vector(1469,11);
logman <= conv_std_logic_vector(2760919,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100110" =>
inv <= conv_std_logic_vector(1465,11);
logman <= conv_std_logic_vector(2852411,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01100111" =>
inv <= conv_std_logic_vector(1461,11);
logman <= conv_std_logic_vector(2944152,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101000" =>
inv <= conv_std_logic_vector(1457,11);
logman <= conv_std_logic_vector(3036145,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101001" =>
inv <= conv_std_logic_vector(1453,11);
logman <= conv_std_logic_vector(3128391,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101010" =>
inv <= conv_std_logic_vector(1449,11);
logman <= conv_std_logic_vector(3220891,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101011" =>
inv <= conv_std_logic_vector(1445,11);
logman <= conv_std_logic_vector(3313647,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101100" =>
inv <= conv_std_logic_vector(1441,11);
logman <= conv_std_logic_vector(3406660,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101101" =>
inv <= conv_std_logic_vector(1437,11);
logman <= conv_std_logic_vector(3499932,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101110" =>
inv <= conv_std_logic_vector(1433,11);
logman <= conv_std_logic_vector(3593464,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01101111" =>
inv <= conv_std_logic_vector(1429,11);
logman <= conv_std_logic_vector(3687257,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110000" =>
inv <= conv_std_logic_vector(1425,11);
logman <= conv_std_logic_vector(3781312,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110001" =>
inv <= conv_std_logic_vector(1421,11);
logman <= conv_std_logic_vector(3875633,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110010" =>
inv <= conv_std_logic_vector(1417,11);
logman <= conv_std_logic_vector(3970219,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110011" =>
inv <= conv_std_logic_vector(1414,11);
logman <= conv_std_logic_vector(4041334,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110100" =>
inv <= conv_std_logic_vector(1410,11);
logman <= conv_std_logic_vector(4136389,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110101" =>
inv <= conv_std_logic_vector(1406,11);
logman <= conv_std_logic_vector(4231714,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110110" =>
inv <= conv_std_logic_vector(1402,11);
logman <= conv_std_logic_vector(4327311,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01110111" =>
inv <= conv_std_logic_vector(1399,11);
logman <= conv_std_logic_vector(4399188,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111000" =>
inv <= conv_std_logic_vector(1395,11);
logman <= conv_std_logic_vector(4495263,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111001" =>
inv <= conv_std_logic_vector(1391,11);
logman <= conv_std_logic_vector(4591615,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111010" =>
inv <= conv_std_logic_vector(1388,11);
logman <= conv_std_logic_vector(4664061,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111011" =>
inv <= conv_std_logic_vector(1384,11);
logman <= conv_std_logic_vector(4760899,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111100" =>
inv <= conv_std_logic_vector(1380,11);
logman <= conv_std_logic_vector(4858018,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111101" =>
inv <= conv_std_logic_vector(1377,11);
logman <= conv_std_logic_vector(4931041,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111110" =>
inv <= conv_std_logic_vector(1373,11);
logman <= conv_std_logic_vector(5028654,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "01111111" =>
inv <= conv_std_logic_vector(1369,11);
logman <= conv_std_logic_vector(5126552,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000000" =>
inv <= conv_std_logic_vector(1366,11);
logman <= conv_std_logic_vector(5200163,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000001" =>
inv <= conv_std_logic_vector(1362,11);
logman <= conv_std_logic_vector(5298564,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000010" =>
inv <= conv_std_logic_vector(1359,11);
logman <= conv_std_logic_vector(5372554,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000011" =>
inv <= conv_std_logic_vector(1355,11);
logman <= conv_std_logic_vector(5471461,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000100" =>
inv <= conv_std_logic_vector(1352,11);
logman <= conv_std_logic_vector(5545834,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000101" =>
inv <= conv_std_logic_vector(1348,11);
logman <= conv_std_logic_vector(5645255,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000110" =>
inv <= conv_std_logic_vector(1345,11);
logman <= conv_std_logic_vector(5720014,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10000111" =>
inv <= conv_std_logic_vector(1341,11);
logman <= conv_std_logic_vector(5819953,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001000" =>
inv <= conv_std_logic_vector(1338,11);
logman <= conv_std_logic_vector(5895103,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001001" =>
inv <= conv_std_logic_vector(1335,11);
logman <= conv_std_logic_vector(5970421,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001010" =>
inv <= conv_std_logic_vector(1331,11);
logman <= conv_std_logic_vector(6071110,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001011" =>
inv <= conv_std_logic_vector(1328,11);
logman <= conv_std_logic_vector(6146825,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001100" =>
inv <= conv_std_logic_vector(1324,11);
logman <= conv_std_logic_vector(6248045,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001101" =>
inv <= conv_std_logic_vector(1321,11);
logman <= conv_std_logic_vector(6324161,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001110" =>
inv <= conv_std_logic_vector(1318,11);
logman <= conv_std_logic_vector(6400450,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10001111" =>
inv <= conv_std_logic_vector(1315,11);
logman <= conv_std_logic_vector(6476913,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010000" =>
inv <= conv_std_logic_vector(1311,11);
logman <= conv_std_logic_vector(6579135,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010001" =>
inv <= conv_std_logic_vector(1308,11);
logman <= conv_std_logic_vector(6656007,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010010" =>
inv <= conv_std_logic_vector(1305,11);
logman <= conv_std_logic_vector(6733055,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010011" =>
inv <= conv_std_logic_vector(1301,11);
logman <= conv_std_logic_vector(6836061,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010100" =>
inv <= conv_std_logic_vector(1298,11);
logman <= conv_std_logic_vector(6913525,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010101" =>
inv <= conv_std_logic_vector(1295,11);
logman <= conv_std_logic_vector(6991167,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010110" =>
inv <= conv_std_logic_vector(1292,11);
logman <= conv_std_logic_vector(7068989,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10010111" =>
inv <= conv_std_logic_vector(1289,11);
logman <= conv_std_logic_vector(7146993,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011000" =>
inv <= conv_std_logic_vector(1286,11);
logman <= conv_std_logic_vector(7225178,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011001" =>
inv <= conv_std_logic_vector(1282,11);
logman <= conv_std_logic_vector(7329709,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011010" =>
inv <= conv_std_logic_vector(1279,11);
logman <= conv_std_logic_vector(7408321,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011011" =>
inv <= conv_std_logic_vector(1276,11);
logman <= conv_std_logic_vector(7487119,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011100" =>
inv <= conv_std_logic_vector(1273,11);
logman <= conv_std_logic_vector(7566101,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011101" =>
inv <= conv_std_logic_vector(1270,11);
logman <= conv_std_logic_vector(7645270,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011110" =>
inv <= conv_std_logic_vector(1267,11);
logman <= conv_std_logic_vector(7724626,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10011111" =>
inv <= conv_std_logic_vector(1264,11);
logman <= conv_std_logic_vector(7804171,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100000" =>
inv <= conv_std_logic_vector(1261,11);
logman <= conv_std_logic_vector(7883904,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100001" =>
inv <= conv_std_logic_vector(1258,11);
logman <= conv_std_logic_vector(7963827,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100010" =>
inv <= conv_std_logic_vector(1255,11);
logman <= conv_std_logic_vector(8043941,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100011" =>
inv <= conv_std_logic_vector(1252,11);
logman <= conv_std_logic_vector(8124247,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100100" =>
inv <= conv_std_logic_vector(1249,11);
logman <= conv_std_logic_vector(8204746,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100101" =>
inv <= conv_std_logic_vector(1246,11);
logman <= conv_std_logic_vector(8285438,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100110" =>
inv <= conv_std_logic_vector(1243,11);
logman <= conv_std_logic_vector(8366324,23);
logexp <= conv_std_logic_vector(125,8);
WHEN "10100111" =>
inv <= conv_std_logic_vector(1240,11);
logman <= conv_std_logic_vector(29399,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101000" =>
inv <= conv_std_logic_vector(1237,11);
logman <= conv_std_logic_vector(70038,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101001" =>
inv <= conv_std_logic_vector(1234,11);
logman <= conv_std_logic_vector(110776,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101010" =>
inv <= conv_std_logic_vector(1231,11);
logman <= conv_std_logic_vector(151613,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101011" =>
inv <= conv_std_logic_vector(1228,11);
logman <= conv_std_logic_vector(192550,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101100" =>
inv <= conv_std_logic_vector(1225,11);
logman <= conv_std_logic_vector(233587,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101101" =>
inv <= conv_std_logic_vector(1223,11);
logman <= conv_std_logic_vector(261001,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101110" =>
inv <= conv_std_logic_vector(1220,11);
logman <= conv_std_logic_vector(302205,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10101111" =>
inv <= conv_std_logic_vector(1217,11);
logman <= conv_std_logic_vector(343512,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110000" =>
inv <= conv_std_logic_vector(1214,11);
logman <= conv_std_logic_vector(384920,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110001" =>
inv <= conv_std_logic_vector(1211,11);
logman <= conv_std_logic_vector(426431,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110010" =>
inv <= conv_std_logic_vector(1209,11);
logman <= conv_std_logic_vector(454162,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110011" =>
inv <= conv_std_logic_vector(1206,11);
logman <= conv_std_logic_vector(495844,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110100" =>
inv <= conv_std_logic_vector(1203,11);
logman <= conv_std_logic_vector(537630,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110101" =>
inv <= conv_std_logic_vector(1200,11);
logman <= conv_std_logic_vector(579521,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110110" =>
inv <= conv_std_logic_vector(1198,11);
logman <= conv_std_logic_vector(607506,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10110111" =>
inv <= conv_std_logic_vector(1195,11);
logman <= conv_std_logic_vector(649572,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111000" =>
inv <= conv_std_logic_vector(1192,11);
logman <= conv_std_logic_vector(691744,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111001" =>
inv <= conv_std_logic_vector(1189,11);
logman <= conv_std_logic_vector(734021,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111010" =>
inv <= conv_std_logic_vector(1187,11);
logman <= conv_std_logic_vector(762266,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111011" =>
inv <= conv_std_logic_vector(1184,11);
logman <= conv_std_logic_vector(804722,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111100" =>
inv <= conv_std_logic_vector(1181,11);
logman <= conv_std_logic_vector(847286,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111101" =>
inv <= conv_std_logic_vector(1179,11);
logman <= conv_std_logic_vector(875722,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111110" =>
inv <= conv_std_logic_vector(1176,11);
logman <= conv_std_logic_vector(918466,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "10111111" =>
inv <= conv_std_logic_vector(1173,11);
logman <= conv_std_logic_vector(961320,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000000" =>
inv <= conv_std_logic_vector(1171,11);
logman <= conv_std_logic_vector(989950,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000001" =>
inv <= conv_std_logic_vector(1168,11);
logman <= conv_std_logic_vector(1032987,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000010" =>
inv <= conv_std_logic_vector(1166,11);
logman <= conv_std_logic_vector(1061740,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000011" =>
inv <= conv_std_logic_vector(1163,11);
logman <= conv_std_logic_vector(1104961,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000100" =>
inv <= conv_std_logic_vector(1160,11);
logman <= conv_std_logic_vector(1148295,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000101" =>
inv <= conv_std_logic_vector(1158,11);
logman <= conv_std_logic_vector(1177246,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000110" =>
inv <= conv_std_logic_vector(1155,11);
logman <= conv_std_logic_vector(1220767,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11000111" =>
inv <= conv_std_logic_vector(1153,11);
logman <= conv_std_logic_vector(1249843,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001000" =>
inv <= conv_std_logic_vector(1150,11);
logman <= conv_std_logic_vector(1293553,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001001" =>
inv <= conv_std_logic_vector(1148,11);
logman <= conv_std_logic_vector(1322756,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001010" =>
inv <= conv_std_logic_vector(1145,11);
logman <= conv_std_logic_vector(1366656,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001011" =>
inv <= conv_std_logic_vector(1143,11);
logman <= conv_std_logic_vector(1395987,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001100" =>
inv <= conv_std_logic_vector(1140,11);
logman <= conv_std_logic_vector(1440080,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001101" =>
inv <= conv_std_logic_vector(1138,11);
logman <= conv_std_logic_vector(1469539,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001110" =>
inv <= conv_std_logic_vector(1135,11);
logman <= conv_std_logic_vector(1513826,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11001111" =>
inv <= conv_std_logic_vector(1133,11);
logman <= conv_std_logic_vector(1543415,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010000" =>
inv <= conv_std_logic_vector(1130,11);
logman <= conv_std_logic_vector(1587898,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010001" =>
inv <= conv_std_logic_vector(1128,11);
logman <= conv_std_logic_vector(1617618,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010010" =>
inv <= conv_std_logic_vector(1126,11);
logman <= conv_std_logic_vector(1647391,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010011" =>
inv <= conv_std_logic_vector(1123,11);
logman <= conv_std_logic_vector(1692151,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010100" =>
inv <= conv_std_logic_vector(1121,11);
logman <= conv_std_logic_vector(1722056,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010101" =>
inv <= conv_std_logic_vector(1118,11);
logman <= conv_std_logic_vector(1767016,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010110" =>
inv <= conv_std_logic_vector(1116,11);
logman <= conv_std_logic_vector(1797055,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11010111" =>
inv <= conv_std_logic_vector(1114,11);
logman <= conv_std_logic_vector(1827149,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011000" =>
inv <= conv_std_logic_vector(1111,11);
logman <= conv_std_logic_vector(1872391,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011001" =>
inv <= conv_std_logic_vector(1109,11);
logman <= conv_std_logic_vector(1902620,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011010" =>
inv <= conv_std_logic_vector(1107,11);
logman <= conv_std_logic_vector(1932904,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011011" =>
inv <= conv_std_logic_vector(1104,11);
logman <= conv_std_logic_vector(1978432,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011100" =>
inv <= conv_std_logic_vector(1102,11);
logman <= conv_std_logic_vector(2008853,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011101" =>
inv <= conv_std_logic_vector(1100,11);
logman <= conv_std_logic_vector(2039330,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011110" =>
inv <= conv_std_logic_vector(1097,11);
logman <= conv_std_logic_vector(2085148,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11011111" =>
inv <= conv_std_logic_vector(1095,11);
logman <= conv_std_logic_vector(2115764,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100000" =>
inv <= conv_std_logic_vector(1093,11);
logman <= conv_std_logic_vector(2146435,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100001" =>
inv <= conv_std_logic_vector(1090,11);
logman <= conv_std_logic_vector(2192547,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100010" =>
inv <= conv_std_logic_vector(1088,11);
logman <= conv_std_logic_vector(2223360,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100011" =>
inv <= conv_std_logic_vector(1086,11);
logman <= conv_std_logic_vector(2254228,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100100" =>
inv <= conv_std_logic_vector(1084,11);
logman <= conv_std_logic_vector(2285154,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100101" =>
inv <= conv_std_logic_vector(1082,11);
logman <= conv_std_logic_vector(2316137,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100110" =>
inv <= conv_std_logic_vector(1079,11);
logman <= conv_std_logic_vector(2362719,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11100111" =>
inv <= conv_std_logic_vector(1077,11);
logman <= conv_std_logic_vector(2393845,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101000" =>
inv <= conv_std_logic_vector(1075,11);
logman <= conv_std_logic_vector(2425030,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101001" =>
inv <= conv_std_logic_vector(1073,11);
logman <= conv_std_logic_vector(2456272,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101010" =>
inv <= conv_std_logic_vector(1070,11);
logman <= conv_std_logic_vector(2503245,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101011" =>
inv <= conv_std_logic_vector(1068,11);
logman <= conv_std_logic_vector(2534634,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101100" =>
inv <= conv_std_logic_vector(1066,11);
logman <= conv_std_logic_vector(2566082,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101101" =>
inv <= conv_std_logic_vector(1064,11);
logman <= conv_std_logic_vector(2597588,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101110" =>
inv <= conv_std_logic_vector(1062,11);
logman <= conv_std_logic_vector(2629154,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11101111" =>
inv <= conv_std_logic_vector(1060,11);
logman <= conv_std_logic_vector(2660779,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110000" =>
inv <= conv_std_logic_vector(1058,11);
logman <= conv_std_logic_vector(2692464,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110001" =>
inv <= conv_std_logic_vector(1055,11);
logman <= conv_std_logic_vector(2740104,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110010" =>
inv <= conv_std_logic_vector(1053,11);
logman <= conv_std_logic_vector(2771940,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110011" =>
inv <= conv_std_logic_vector(1051,11);
logman <= conv_std_logic_vector(2803835,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110100" =>
inv <= conv_std_logic_vector(1049,11);
logman <= conv_std_logic_vector(2835792,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110101" =>
inv <= conv_std_logic_vector(1047,11);
logman <= conv_std_logic_vector(2867810,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110110" =>
inv <= conv_std_logic_vector(1045,11);
logman <= conv_std_logic_vector(2899888,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11110111" =>
inv <= conv_std_logic_vector(1043,11);
logman <= conv_std_logic_vector(2932029,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111000" =>
inv <= conv_std_logic_vector(1041,11);
logman <= conv_std_logic_vector(2964231,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111001" =>
inv <= conv_std_logic_vector(1039,11);
logman <= conv_std_logic_vector(2996495,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111010" =>
inv <= conv_std_logic_vector(1037,11);
logman <= conv_std_logic_vector(3028821,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111011" =>
inv <= conv_std_logic_vector(1035,11);
logman <= conv_std_logic_vector(3061209,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111100" =>
inv <= conv_std_logic_vector(1033,11);
logman <= conv_std_logic_vector(3093660,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111101" =>
inv <= conv_std_logic_vector(1031,11);
logman <= conv_std_logic_vector(3126174,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111110" =>
inv <= conv_std_logic_vector(1029,11);
logman <= conv_std_logic_vector(3158751,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "11111111" =>
inv <= conv_std_logic_vector(1027,11);
logman <= conv_std_logic_vector(3191392,23);
logexp <= conv_std_logic_vector(126,8);
WHEN others =>
inv <= conv_std_logic_vector(0,11);
logman <= conv_std_logic_vector(0,23);
logexp <= conv_std_logic_vector(0,8);
END CASE;
END PROCESS;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_atan.vhd
|
10
|
17028
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_ATAN.VHD ***
--*** ***
--*** Function: Single Precision Floating Point ***
--*** ArcTangent ***
--*** ***
--*** 23/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** NOTES ***
--***************************************************
-- slight improvement when "roundbit" is used i.e. round up from
-- X.4999 - exact number of bits to be used needs to be tweaked
ENTITY fp_atan IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (23 DOWNTO 1);
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (8 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (23 DOWNTO 1)
);
END fp_atan;
ARCHITECTURE rtl OF fp_atan IS
constant coredepth : positive := 12;
constant b_precision : positive := 10;
type exponentinfftype IS ARRAY (coredepth-2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1);
type exponenttopfftype IS ARRAY (coredepth-3 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1);
type mantissabpfftype IS ARRAY (2*coredepth+10 DOWNTO 1) OF STD_LOGIC_VECTOR (23 DOWNTO 1); -- SPR: 380600
type termfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (36 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal pi_over_two : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal inputnumber : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal delinputnumber : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal topquotient : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal topquotientnumber : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal exponentoffset : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal exponentinff : exponentinfftype;
signal idselectff : STD_LOGIC_VECTOR (2*coredepth+10 DOWNTO 1); -- SPR: 380600
signal pathselectff : STD_LOGIC_VECTOR (2*coredepth+9 DOWNTO 1);
signal exponenttopff : exponenttopfftype;
signal forward_shiftff, inverse_shiftff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal a_shiftff : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal a_fixedpointff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal a_fixedpointbus : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal a_mantissanode : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal pathcheck : STD_LOGIC_VECTOR (9 DOWNTO 1);
signal a_fixedpoint : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal b_fixedpoint : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal c_fixedpoint : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal ab_fixedpoint : STD_LOGIC_VECTOR (37 DOWNTO 1);
signal ab_plusone : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal numerator, denominator : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal addterm : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal b_address : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal lutterm : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal dellutterm : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (2*coredepth+11 DOWNTO 1);
signal mantissabpff : mantissabpfftype; -- SPR: 380600
signal atantermff : termfftype;
signal large_atanff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal small_mantissa, small_mantissaff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal large_mantissa, large_mantissaff : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal mux_mantissa : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal small_count, small_countff : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal small_overflowbus, large_overflowbus : STD_LOGIC_VECTOR (24 DOWNTO 1);
signal small_overflowff, large_overflowff : STD_LOGIC;
signal mux_overflow : STD_LOGIC;
signal roundbit : STD_LOGIC;
signal mantissa_roundff : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal mantissa_bypass : STD_LOGIC_VECTOR (23 DOWNTO 1);
signal exponent_outff : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal small_exponent_adjust, large_exponent_adjust : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal exponent_adjust, exponent_adjustff : STD_LOGIC_VECTOR (8 DOWNTO 1);
-- SPR: 380600
signal expinzero : STD_LOGIC_VECTOR (8 DOWNTO 1);
signal expzero : STD_LOGIC;
component fp_inv_core
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
divisor : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
quotient : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_rsft36
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_del
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_fxmul
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36;
pipes : positive := 1;
accuracy : integer := 0; -- 0 = pruned multiplier, 1 = normal multiplier
device : integer := 0; -- 0 = "Stratix II", 1 = "Stratix III" (also 4)
synthesize : integer := 0
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_atanlut
PORT (
add : IN STD_LOGIC_VECTOR (10 DOWNTO 1);
data : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
component fp_clz36
PORT (
mantissa : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
leading : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
component fp_lsft36 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (36 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (36 DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 36 GENERATE
zerovec(k) <= '0';
END GENERATE;
pi_over_two <= x"C90FDAA22"; -- 1.57...
--*** Invert Input ***
inputnumber <= '1' & mantissain & "000000000000";
-- will give output between 0.5 and 0.99999...
-- will always need to be normalized
invcore: fp_inv_core
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
divisor=>inputnumber,
quotient=>topquotient);
exponentoffset <= conv_std_logic_vector (127,10);
pinx: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO coredepth-2 LOOP
FOR j IN 1 TO 8 LOOP
exponentinff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO 2*coredepth+9 LOOP
pathselectff(k) <= '0';
END LOOP;
-- SPR: 380600
FOR k IN 1 TO 2*coredepth+10 LOOP
idselectff(k) <= '0';
END LOOP;
FOR k IN 1 TO coredepth-3 LOOP
FOR j IN 1 TO 10 LOOP
exponenttopff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO 10 LOOP
forward_shiftff(k) <= '0';
inverse_shiftff(k) <= '0';
a_shiftff(k) <= '0';
END LOOP;
FOR k IN 1 TO 36 LOOP
a_fixedpointff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
exponentinff(1)(8 DOWNTO 1) <= exponentin;
FOR k IN 2 TO coredepth-2 LOOP
exponentinff(k)(8 DOWNTO 1) <= exponentinff(k-1)(8 DOWNTO 1);
END LOOP;
pathselectff(1) <= pathcheck(9);
FOR k IN 2 TO 2*coredepth+9 LOOP
pathselectff(k) <= pathselectff(k-1);
END LOOP;
-- SPR: 380600
idselectff(1) <= expzero;
FOR k IN 2 TO 2*coredepth+10 LOOP
idselectff(k) <= idselectff(k-1);
END LOOP;
-- exponent for inverse, used when exponent > 126
exponenttopff(1)(10 DOWNTO 1) <= exponentoffset - ("00" & exponentinff(1)(8 DOWNTO 1));
exponenttopff(2)(10 DOWNTO 1) <= exponenttopff(1)(10 DOWNTO 1) + exponentoffset;
exponenttopff(3)(10 DOWNTO 1) <= exponenttopff(2)(10 DOWNTO 1) - 1;
-- inverse always less than 1, decrement exponent
FOR k IN 4 TO coredepth-3 LOOP
exponenttopff(k)(10 DOWNTO 1) <= exponenttopff(k-1)(10 DOWNTO 1);
END LOOP;
forward_shiftff <= "0001111111" - ("00" & exponentinff(coredepth-2)(8 DOWNTO 1));
inverse_shiftff <= "0001111111" - exponenttopff(coredepth-3)(10 DOWNTO 1);
FOR k IN 1 TO 6 LOOP
a_shiftff(k) <= (forward_shiftff(k) AND NOT(pathselectff(coredepth-2))) OR
(inverse_shiftff(k) AND pathselectff(coredepth-2));
END LOOP;
a_fixedpointff <= a_fixedpointbus;
END IF;
END IF;
END PROCESS;
-- if <=126 (<= 0.999999), use atan(x) path, else use (pi/2-atan(1/x)) path
pathcheck <= "001111110" - ('0' & exponentinff(1)(8 DOWNTO 1));
cdma: fp_del
GENERIC MAP (width=>36,pipes=>coredepth) -- 12 for inv_core
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>inputnumber,
cc=>delinputnumber);
topquotientnumber <= topquotient(35 DOWNTO 1) & '0';
gma: FOR k IN 1 TO 36 GENERATE
a_mantissanode(k) <= (delinputnumber(k) AND NOT(pathselectff(coredepth-1))) OR
(topquotientnumber(k) AND pathselectff(coredepth-1));
END GENERATE;
casr: fp_rsft36
PORT MAP (inbus=>a_mantissanode,shift=>a_shiftff(6 DOWNTO 1),
outbus=>a_fixedpointbus);
a_fixedpoint <= a_fixedpointff;
b_fixedpoint <= a_fixedpointff(36 DOWNTO 37-b_precision) & zerovec(36-b_precision DOWNTO 1);
c_fixedpoint <= a_fixedpointff(36-b_precision DOWNTO 1) & zerovec(b_precision DOWNTO 1);
cmone: fp_fxmul
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>37,
pipes=>3)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>a_fixedpoint,databb=>b_fixedpoint,
result=>ab_fixedpoint);
ab_plusone <= '1' & ab_fixedpoint(35 DOWNTO 1); -- ab_fixedpoint always 1/4 true value
invtwo: fp_inv_core
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
divisor=>ab_plusone,
quotient=>denominator);
cdc: fp_del
GENERIC MAP (width=>36,pipes=>coredepth+3) -- inv_core and 3 for 36*36 mult
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>c_fixedpoint,
cc=>numerator);
cmtwo: fp_fxmul
GENERIC MAP (widthaa=>36,widthbb=>36,widthcc=>36,
pipes=>3)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>numerator,databb=>denominator,
result=>addterm);
b_address <= a_fixedpoint(36 DOWNTO 37-b_precision);
clut: fp_atanlut
PORT MAP (add=>b_address,
data=>lutterm);
cdlut: fp_del
GENERIC MAP (width=>36,pipes=>18) -- 12 for inv_core and 3 for 36*36 mult
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>lutterm,
cc=>dellutterm);
pimo: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 2*coredepth+11 LOOP
signff(k) <= '0';
END LOOP;
-- SPR: 380600
FOR k IN 1 TO 2*coredepth+10 LOOP
FOR j IN 1 TO 23 LOOP
mantissabpff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO 36 LOOP
atantermff(1)(k) <= '0';
atantermff(2)(k) <= '0';
large_atanff(k) <= '0';
small_mantissaff(k) <= '0';
large_mantissaff(k) <= '0';
END LOOP;
FOR k IN 1 TO 6 LOOP
small_countff(k) <= '0';
END LOOP;
small_overflowff <= '0';
large_overflowff <= '0';
FOR k IN 1 TO 23 LOOP
mantissa_roundff(k) <= '0';
END LOOP;
FOR k IN 1 TO 8 LOOP
exponent_outff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
signff(1) <= signin;
mantissabpff(1)(23 DOWNTO 1) <= mantissain(23 DOWNTO 1);
FOR k IN 2 TO 2*coredepth+11 LOOP
signff(k) <= signff(k-1);
END LOOP;
-- SPR: 380600
FOR k IN 2 TO 2*coredepth+10 LOOP
mantissabpff(k)(23 DOWNTO 1) <= mantissabpff(k-1)(23 DOWNTO 1);
END LOOP;
atantermff(1)(36 DOWNTO 1) <= dellutterm + (zerovec(9 DOWNTO 1) & addterm(36 DOWNTO 10));
atantermff(2)(36 DOWNTO 1) <= atantermff(1)(36 DOWNTO 1);
-- always in the range 0.78 to pi/2
large_atanff(36 DOWNTO 1) <= pi_over_two - atantermff(1)(36 DOWNTO 1);
small_countff <= small_count;
large_mantissaff <= large_mantissa;
small_mantissaff <= small_mantissa;
small_overflowff <= small_overflowbus(24);
large_overflowff <= large_overflowbus(24);
exponent_adjustff <= exponent_adjust;
--mantissa_roundff <= mux_mantissa(35 DOWNTO 13) + mux_mantissa(12);
mantissa_roundff <= mux_mantissa(35 DOWNTO 13) + roundbit;
exponent_outff <= "01111111" - exponent_adjustff + mux_overflow;
END IF;
END IF;
END PROCESS;
roundbit <= mux_mantissa(12) OR
(mux_mantissa(11) AND mux_mantissa(10) AND mux_mantissa(9) AND mux_mantissa(8) AND
mux_mantissa(7) AND mux_mantissa(6) AND mux_mantissa(5) AND mux_mantissa(4) AND
mux_mantissa(3) AND mux_mantissa(2));
ccsat: fp_clz36
PORT MAP (mantissa=>atantermff(1)(36 DOWNTO 1),
leading=>small_count);
cssat: fp_lsft36
PORT MAP (inbus=>atantermff(2)(36 DOWNTO 1),shift=>small_countff,
outbus=>small_mantissa);
small_overflowbus(1) <= small_mantissa(12);
gova: FOR k IN 2 TO 24 GENERATE
small_overflowbus(k) <= small_overflowbus(k-1) AND small_mantissa(k+11);
END GENERATE;
glma: FOR k IN 1 TO 35 GENERATE
large_mantissa(k+1) <= (large_atanff(k) AND NOT(large_atanff(36))) OR
(large_atanff(k+1) AND large_atanff(36));
END GENERATE;
large_mantissa(1) <= '0';
large_overflowbus(1) <= large_mantissa(12);
govb: FOR k IN 2 TO 24 GENERATE
large_overflowbus(k) <= large_overflowbus(k-1) AND large_mantissa(k+11);
END GENERATE;
gmma: FOR k IN 1 TO 36 GENERATE
mux_mantissa(k) <= (small_mantissaff(k) AND NOT(pathselectff(2*coredepth+9))) OR
(large_mantissaff(k) AND pathselectff(2*coredepth+9));
END GENERATE;
mux_overflow <= (small_overflowff AND NOT(pathselectff(2*coredepth+9))) OR
(large_overflowff AND pathselectff(2*coredepth+9));
large_exponent_adjust <= "0000000" & NOT(large_atanff(36));
small_exponent_adjust <= "00" & small_countff;
gxa: FOR k IN 1 TO 8 GENERATE
exponent_adjust(k) <= (small_exponent_adjust(k) AND NOT(pathselectff(2*coredepth+8))) OR
(large_exponent_adjust(k) AND pathselectff(2*coredepth+8));
END GENERATE;
-- SPR: 380600
bypass: FOR k IN 1 TO 23 GENERATE
mantissa_bypass(k) <= (mantissa_roundff(k) AND NOT(idselectff(2*coredepth+10))) OR
(mantissabpff(2*coredepth+10)(k) AND idselectff(2*coredepth+10));
END GENERATE;
-- SPR: 380600
expinzero(1) <= exponentinff(1)(1);
gxza: FOR k IN 2 TO 8 GENERATE
expinzero(k) <= expinzero(k-1) OR exponentinff(1)(k);
END GENERATE;
expzero <= NOT(expinzero(8)); -- '0' when zero
--*** OUTPUTS ***
signout <= signff(2*coredepth+11);
exponentout <= (others => '0') when idselectff(2*coredepth+10) = '1' else exponent_outff; -- SPR: 380600
mantissaout <= mantissa_bypass;
end rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_cordic_m1.vhd
|
10
|
13050
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_M1.VHD ***
--*** ***
--*** Function: SIN and COS CORDIC with early ***
--*** Termination Algorithm (Multiplier) ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** 1. estimates lower iterations of cordic ***
--*** using Z value and multiplier ***
--*** 2. multiplier at level (depth-4) for best ***
--*** results try depth = width/2+4 ***
--***************************************************
ENTITY fp_cordic_m1 IS
GENERIC (
width : positive := 36;
depth : positive := 20;
indexpoint : positive := 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
radians : IN STD_LOGIC_VECTOR (width DOWNTO 1); --'0'&[width-1:1]
indexbit : IN STD_LOGIC;
sincosbit : IN STD_LOGIC; -- 0 = cos, 1 = sin
sincos : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_m1;
ARCHITECTURE rtl of fp_cordic_m1 IS
constant cordic_depth : positive := depth - 4;
type datapathtype IS ARRAY (cordic_depth DOWNTO 1) OF STD_LOGIC_VECTOR (width DOWNTO 1);
type atantype IS ARRAY (cordic_depth DOWNTO 1) OF STD_LOGIC_VECTOR (width DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (36 DOWNTO 1);
signal indexpointnum, startindex : STD_LOGIC_VECTOR (4 DOWNTO 1);
signal indexbitff : STD_LOGIC_VECTOR (cordic_depth+3 DOWNTO 1);
signal sincosbitff : STD_LOGIC_VECTOR (cordic_depth+3 DOWNTO 1);
signal x_start_node : STD_LOGIC_VECTOR (width DOWNTO 1);
signal radians_load_node : STD_LOGIC_VECTOR (width DOWNTO 1);
signal x_pipeff : datapathtype;
signal y_pipeff : datapathtype;
signal z_pipeff : datapathtype;
signal x_prenode, x_prenodeone, x_prenodetwo : datapathtype;
signal x_subnode, x_pipenode : datapathtype;
signal y_prenode, y_prenodeone, y_prenodetwo : datapathtype;
signal y_subnode, y_pipenode : datapathtype;
signal z_subnode, z_pipenode : datapathtype;
signal atannode : atantype;
signal multiplier_input : STD_LOGIC_VECTOR (width DOWNTO 1);
signal multipliernode : STD_LOGIC_VECTOR (2*width DOWNTO 1);
signal sincosff : STD_LOGIC_VECTOR (width DOWNTO 1);
signal delay_input : STD_LOGIC_VECTOR (width DOWNTO 1);
signal delay_pipe : STD_LOGIC_VECTOR (width DOWNTO 1);
signal pre_estimate : STD_LOGIC_VECTOR (width DOWNTO 1);
signal estimate : STD_LOGIC_VECTOR (width DOWNTO 1);
signal post_estimate : STD_LOGIC_VECTOR (width DOWNTO 1);
component fp_cordic_start1
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_cordic_atan1
GENERIC (start : positive := 32;
width : positive := 32;
indexpoint : positive := 1);
PORT (
indexbit : IN STD_LOGIC;
arctan : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_sgn_mul3s
GENERIC (
widthaa : positive := 18;
widthbb : positive := 18;
widthcc : positive := 36
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
dataaa : IN STD_LOGIC_VECTOR (widthaa DOWNTO 1);
databb : IN STD_LOGIC_VECTOR (widthbb DOWNTO 1);
result : OUT STD_LOGIC_VECTOR (widthcc DOWNTO 1)
);
end component;
component fp_del
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
-- maximum width supported = 36 (width of start table)
-- depth <= width
-- maximum indexpoint = 10 (atan_table width - 10 > maximum width)
gprma: IF (width > 36) GENERATE
assert false report "maximum width is 36" severity error;
END GENERATE;
gprmb: IF (depth > width) GENERATE
assert false report "depth cannot exceed (width-6)" severity error;
END GENERATE;
gprmc: IF (indexpoint > 10) GENERATE
assert false report "maximum indexpoint is 10" severity error;
END GENERATE;
-- max radians = 1.57 = 01100100....
-- max atan(2^-0)= 0.785 = 00110010.....
-- x start (0.607) = 0010011011....
indexpointnum <= conv_std_logic_vector (indexpoint,4);
gipa: FOR k IN 1 TO 4 GENERATE
startindex(k) <= indexpointnum(k) AND indexbit;
END GENERATE;
cxs: fp_cordic_start1
GENERIC MAP (width=>width)
PORT MAP (index=>startindex,value=>x_start_node);
gra: FOR k IN 1 TO indexpoint GENERATE
radians_load_node(k) <= (radians(k) AND NOT(indexbit));
END GENERATE;
grb: FOR k IN indexpoint+1 TO width GENERATE
radians_load_node(k) <= (radians(k) AND NOT(indexbit)) OR
(radians(k-indexpoint) AND indexbit);
END GENERATE;
zerovec <= x"000000000";
ppa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO cordic_depth+3 LOOP
indexbitff(k) <= '0';
END LOOP;
FOR k IN 1 TO cordic_depth+3 LOOP
sincosbitff(k) <= '0';
END LOOP;
FOR k IN 1 TO cordic_depth LOOP
FOR j IN 1 TO width LOOP
x_pipeff(k)(j) <= '0';
y_pipeff(k)(j) <= '0';
z_pipeff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF(rising_edge(sysclk)) THEN
IF (enable = '1') THEN
indexbitff(1) <= indexbit;
FOR k IN 2 TO cordic_depth+3 LOOP
indexbitff(k) <= indexbitff(k-1);
END LOOP;
sincosbitff(1) <= sincosbit;
FOR k IN 2 TO cordic_depth+3 LOOP
sincosbitff(k) <= sincosbitff(k-1);
END LOOP;
x_pipeff(1)(width DOWNTO 1) <= x_start_node;
y_pipeff(1)(width DOWNTO 1) <= conv_std_logic_vector(0,width);
z_pipeff(1)(width DOWNTO 1) <= radians_load_node;
-- z(1) always positive
x_pipeff(2)(width DOWNTO 1) <= x_pipeff(1)(width DOWNTO 1); -- subtraction value always 0 here anyway
y_pipeff(2)(width DOWNTO 1) <= y_pipeff(1)(width DOWNTO 1) + y_subnode(2)(width DOWNTO 1);
z_pipeff(2)(width DOWNTO 1) <= z_pipeff(1)(width DOWNTO 1) - atannode(1)(width DOWNTO 1);
FOR k IN 3 TO cordic_depth LOOP
x_pipeff(k)(width DOWNTO 1) <= x_pipenode(k)(width DOWNTO 1);
y_pipeff(k)(width DOWNTO 1) <= y_pipenode(k)(width DOWNTO 1);
z_pipeff(k)(width DOWNTO 1) <= z_pipenode(k)(width DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
gya: FOR k IN 1 TO width-indexpoint GENERATE
y_subnode(2)(k) <= (x_pipeff(1)(k) AND NOT indexbitff(1)) OR (x_pipeff(1)(k+indexpoint) AND indexbitff(1));
END GENERATE;
gyb: FOR k IN (width-(indexpoint-1)) TO width GENERATE
y_subnode(2)(k) <= (x_pipeff(1)(k) AND NOT indexbitff(1));
END GENERATE;
gpa: FOR k IN 3 TO cordic_depth GENERATE
gpb: FOR j IN width+3-k TO width GENERATE
x_prenodeone(k)(j) <= NOT(y_pipeff(k-1)(width));
y_prenodeone(k)(j) <= x_pipeff(k-1)(width);
END GENERATE;
gpc: FOR j IN width+3-indexpoint-k TO width GENERATE
x_prenodetwo(k)(j) <= NOT(y_pipeff(k-1)(width));
y_prenodetwo(k)(j) <= x_pipeff(k-1)(width);
END GENERATE;
gpd: FOR j IN 1 TO width+2-k GENERATE
x_prenodeone(k)(j) <= NOT(y_pipeff(k-1)(j+k-2));
y_prenodeone(k)(j) <= x_pipeff(k-1)(j+k-2);
END GENERATE;
gpe: FOR j IN 1 TO width+2-indexpoint-k GENERATE
x_prenodetwo(k)(j) <= NOT(y_pipeff(k-1)(j+k-2+indexpoint));
y_prenodetwo(k)(j) <= x_pipeff(k-1)(j+k-2+indexpoint);
END GENERATE;
gpf: FOR j IN 1 TO width GENERATE
x_prenode(k)(j) <= (x_prenodeone(k)(j) AND NOT(indexbitff(k-1))) OR
(x_prenodetwo(k)(j) AND indexbitff(k-1));
y_prenode(k)(j) <= (y_prenodeone(k)(j) AND NOT(indexbitff(k-1))) OR
(y_prenodetwo(k)(j) AND indexbitff(k-1));
END GENERATE;
gpg: FOR j IN 1 TO width GENERATE
x_subnode(k)(j) <= x_prenode(k)(j) XOR z_pipeff(k-1)(width);
y_subnode(k)(j) <= y_prenode(k)(j) XOR z_pipeff(k-1)(width);
z_subnode(k)(j) <= NOT(atannode(k-1)(j)) XOR z_pipeff(k-1)(width);
END GENERATE;
x_pipenode(k)(width DOWNTO 1) <= x_pipeff(k-1)(width DOWNTO 1) +
x_subnode(k)(width DOWNTO 1) + z_pipeff(k-1)(width);
y_pipenode(k)(width DOWNTO 1) <= y_pipeff(k-1)(width DOWNTO 1) +
y_subnode(k)(width DOWNTO 1) + z_pipeff(k-1)(width);
z_pipenode(k)(width DOWNTO 1) <= z_pipeff(k-1)(width DOWNTO 1) +
z_subnode(k)(width DOWNTO 1) + z_pipeff(k-1)(width);
END GENERATE;
gata: FOR k IN 1 TO cordic_depth GENERATE
cata: fp_cordic_atan1
GENERIC MAP (start=>k,width=>width,indexpoint=>indexpoint)
PORT MAP (indexbit=>indexbitff(k),arctan=>atannode(k)(width DOWNTO 1));
END GENERATE;
gma: FOR k IN 1 TO width GENERATE
multiplier_input(k) <= (x_pipeff(cordic_depth)(k) AND sincosbitff(cordic_depth)) OR
(y_pipeff(cordic_depth)(k) AND NOT(sincosbitff(cordic_depth)));
delay_input(k) <= (x_pipeff(cordic_depth)(k) AND NOT(sincosbitff(cordic_depth))) OR
(y_pipeff(cordic_depth)(k) AND sincosbitff(cordic_depth));
END GENERATE;
cmx: fp_sgn_mul3s
GENERIC MAP (widthaa=>width,widthbb=>width,widthcc=>2*width)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
dataaa=>multiplier_input,
databb=>z_pipeff(cordic_depth)(width DOWNTO 1),
result=>multipliernode);
pma: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO width LOOP
sincosff(k) <= '0';
END LOOP;
ELSIF(rising_edge(sysclk)) THEN
IF (enable = '1') THEN
sincosff <= delay_pipe + post_estimate + NOT(sincosbitff(cordic_depth+3));
END IF;
END IF;
END PROCESS;
pre_estimate <= multipliernode(2*width-2 DOWNTO width-1);
gea: FOR k IN 1 TO width-indexpoint GENERATE
estimate(k) <= (pre_estimate(k) AND NOT(indexbitff(cordic_depth+3))) OR
(pre_estimate(k+indexpoint) AND indexbitff(cordic_depth+3));
END GENERATE;
geb: FOR k IN width-indexpoint+1 TO width GENERATE
estimate(k) <= (pre_estimate(k) AND NOT(indexbitff(cordic_depth+3))) OR
(pre_estimate(width) AND indexbitff(cordic_depth+3));
END GENERATE;
-- add estimate for sin, subtract for cos
gec: FOR k IN 1 TO width GENERATE
post_estimate(k) <= estimate(k) XOR NOT(sincosbitff(cordic_depth+3));
END GENERATE;
cda: fp_del
GENERIC MAP (width=>width,pipes=>3)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>delay_input,
cc=>delay_pipe);
sincos <= sincosff;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_ln1p_double_s5.vhd
|
10
|
825320
|
-----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_ln1p_double_s5
-- VHDL created on Tue Apr 9 11:21:08 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_ln1p_double_s5 is
port (
a : in std_logic_vector(63 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(63 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_ln1p_double_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllZWF_uid8_fpLogE1pxTest_q : std_logic_vector (51 downto 0);
signal cstBias_uid9_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal cstBiasMO_uid10_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal cstBiasPWFP1_uid13_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal cstBiasMWFP1_uid14_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal cstAllOWE_uid15_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal cstAllZWE_uid17_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal padConst_uid36_fpLogE1pxTest_q : std_logic_vector (52 downto 0);
signal maskIncrementTable_uid52_fpLogE1pxTest_q : std_logic_vector(52 downto 0);
signal eUpdateOPOFracX_uid55_fpLogE1pxTest_a : std_logic_vector(11 downto 0);
signal eUpdateOPOFracX_uid55_fpLogE1pxTest_b : std_logic_vector(11 downto 0);
signal eUpdateOPOFracX_uid55_fpLogE1pxTest_o : std_logic_vector (11 downto 0);
signal eUpdateOPOFracX_uid55_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal oPlusOFracXNorm_uid61_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal oPlusOFracXNorm_uid61_fpLogE1pxTest_q : std_logic_vector (52 downto 0);
signal branEnc_uid77_fpLogE1pxTest_q : std_logic_vector(1 downto 0);
signal expB_uid79_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal expB_uid79_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal branch3OrC_uid94_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal branch3OrC_uid94_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal branch3OrC_uid94_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal branch3OrC_uid94_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal o2_uid97_fpLogE1pxTest_q : std_logic_vector (1 downto 0);
signal z2_uid100_fpLogE1pxTest_q : std_logic_vector (1 downto 0);
signal wideZero_uid104_fpLogE1pxTest_q : std_logic_vector (66 downto 0);
signal addTermOne_uid105_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal addTermOne_uid105_fpLogE1pxTest_q : std_logic_vector (66 downto 0);
signal finalSumOneComp_uid112_fpLogE1pxTest_a : std_logic_vector(118 downto 0);
signal finalSumOneComp_uid112_fpLogE1pxTest_b : std_logic_vector(118 downto 0);
signal finalSumOneComp_uid112_fpLogE1pxTest_q_i : std_logic_vector(118 downto 0);
signal finalSumOneComp_uid112_fpLogE1pxTest_q : std_logic_vector(118 downto 0);
signal cstMSBFinalSumPBias_uid116_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal expRExt_uid121_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal expRExt_uid121_fpLogE1pxTest_q : std_logic_vector (12 downto 0);
signal excRInf0_uid134_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal excRInf0_uid134_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal excRInf0_uid134_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal excRInf0_uid134_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal posInf_uid136_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal posInf_uid136_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal posInf_uid136_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal posInf_uid136_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal negInf_uid138_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal negInf_uid138_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal negInf_uid138_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal negInf_uid138_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal excRNaN0_uid139_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal excRNaN0_uid139_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal excRNaN0_uid139_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal excRNaN0_uid139_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal excREnc_uid144_fpLogE1pxTest_q : std_logic_vector(1 downto 0);
signal oneFracRPostExc2_uid145_fpLogE1pxTest_q : std_logic_vector (51 downto 0);
signal rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (15 downto 0);
signal rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (31 downto 0);
signal rightShiftStage0Idx3Pad48_uid163_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (47 downto 0);
signal rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (3 downto 0);
signal rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (7 downto 0);
signal rightShiftStage1Idx3Pad12_uid174_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal rightShiftStage2Idx3Pad3_uid185_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (2 downto 0);
signal mO_uid193_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_a : std_logic_vector(7 downto 0);
signal vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector(7 downto 0);
signal vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_a : std_logic_vector(1 downto 0);
signal vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector(1 downto 0);
signal vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal p1_uid264_constMult_q : std_logic_vector(68 downto 0);
signal rndBit_uid314_natLogPolyEval_q : std_logic_vector (2 downto 0);
signal zs_uid319_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (63 downto 0);
signal mO_uid322_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (7 downto 0);
signal vCount_uid341_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(7 downto 0);
signal vCount_uid341_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(7 downto 0);
signal vCount_uid341_countZ_uid114_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid341_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vCount_uid353_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(1 downto 0);
signal vCount_uid353_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(1 downto 0);
signal vCount_uid353_countZ_uid114_fpLogE1pxTest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid353_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx3Pad96_uid369_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (95 downto 0);
signal leftShiftStage1Idx3Pad24_uid380_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (23 downto 0);
signal leftShiftStage2Idx3Pad6_uid391_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (5 downto 0);
signal prodXY_uid402_pT1_uid295_natLogPolyEval_a : std_logic_vector (16 downto 0);
signal prodXY_uid402_pT1_uid295_natLogPolyEval_b : std_logic_vector (16 downto 0);
signal prodXY_uid402_pT1_uid295_natLogPolyEval_s1 : std_logic_vector (33 downto 0);
signal prodXY_uid402_pT1_uid295_natLogPolyEval_pr : SIGNED (34 downto 0);
signal prodXY_uid402_pT1_uid295_natLogPolyEval_q : std_logic_vector (33 downto 0);
signal topProd_uid407_pT2_uid301_natLogPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid407_pT2_uid301_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid407_pT2_uid301_natLogPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid407_pT2_uid301_natLogPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid407_pT2_uid301_natLogPolyEval_q : std_logic_vector (53 downto 0);
signal sm0_uid410_pT2_uid301_natLogPolyEval_a : std_logic_vector (2 downto 0);
signal sm0_uid410_pT2_uid301_natLogPolyEval_b : std_logic_vector (3 downto 0);
signal sm0_uid410_pT2_uid301_natLogPolyEval_s1 : std_logic_vector (6 downto 0);
signal sm0_uid410_pT2_uid301_natLogPolyEval_pr : UNSIGNED (6 downto 0);
attribute multstyle : string;
attribute multstyle of sm0_uid410_pT2_uid301_natLogPolyEval_pr: signal is "logic";
signal sm0_uid410_pT2_uid301_natLogPolyEval_q : std_logic_vector (6 downto 0);
signal sm1_uid413_pT2_uid301_natLogPolyEval_a : std_logic_vector (5 downto 0);
signal sm1_uid413_pT2_uid301_natLogPolyEval_b : std_logic_vector (0 downto 0);
signal sm1_uid413_pT2_uid301_natLogPolyEval_s1 : std_logic_vector (6 downto 0);
signal sm1_uid413_pT2_uid301_natLogPolyEval_pr : SIGNED (7 downto 0);
attribute multstyle of sm1_uid413_pT2_uid301_natLogPolyEval_pr: signal is "logic";
signal sm1_uid413_pT2_uid301_natLogPolyEval_q : std_logic_vector (6 downto 0);
signal topProd_uid420_pT3_uid307_natLogPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid420_pT3_uid307_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid420_pT3_uid307_natLogPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid420_pT3_uid307_natLogPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid420_pT3_uid307_natLogPolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid437_pT4_uid313_natLogPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid437_pT4_uid313_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid437_pT4_uid313_natLogPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid437_pT4_uid313_natLogPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid437_pT4_uid313_natLogPolyEval_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b0_a : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b0_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b0_pr : UNSIGNED (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b0_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b0_a : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b0_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b0_pr : SIGNED (54 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b0_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b1_a : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b1_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b1_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b1_pr : UNSIGNED (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b1_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b1_a : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b1_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b1_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b1_pr : SIGNED (54 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b1_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b2_a : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b2_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b2_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b2_pr : SIGNED (54 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a0_b2_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b2_a : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b2_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b2_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b2_pr : SIGNED (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a1_b2_q : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_0_a : std_logic_vector(84 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_0_b : std_logic_vector(84 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_0_o : std_logic_vector (84 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_0_q : std_logic_vector (83 downto 0);
signal memoryC0_uid269_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid269_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid269_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid269_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid269_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid269_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid270_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid270_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid270_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid270_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid270_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid270_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid271_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid271_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid271_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid271_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid271_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid271_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid272_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid272_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid272_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid272_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid272_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid272_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid273_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid273_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid273_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid273_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid273_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid273_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid274_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid274_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid274_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid274_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid274_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid274_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid276_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid276_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid276_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid276_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid276_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid276_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid277_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid277_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid277_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid277_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid277_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid277_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid278_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid278_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid278_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid278_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid278_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid278_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid279_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid279_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid279_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid279_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid279_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid279_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid280_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid280_natLogTabGen_lutmem_ia : std_logic_vector (7 downto 0);
signal memoryC1_uid280_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid280_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid280_natLogTabGen_lutmem_iq : std_logic_vector (7 downto 0);
signal memoryC1_uid280_natLogTabGen_lutmem_q : std_logic_vector (7 downto 0);
signal memoryC2_uid282_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid282_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC2_uid282_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid282_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid282_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC2_uid282_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC2_uid283_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid283_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC2_uid283_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid283_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid283_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC2_uid283_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC2_uid284_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid284_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC2_uid284_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid284_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid284_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC2_uid284_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC2_uid285_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid285_natLogTabGen_lutmem_ia : std_logic_vector (7 downto 0);
signal memoryC2_uid285_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid285_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid285_natLogTabGen_lutmem_iq : std_logic_vector (7 downto 0);
signal memoryC2_uid285_natLogTabGen_lutmem_q : std_logic_vector (7 downto 0);
signal memoryC3_uid287_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC3_uid287_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC3_uid287_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC3_uid287_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC3_uid287_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC3_uid287_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC3_uid288_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC3_uid288_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC3_uid288_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC3_uid288_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC3_uid288_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC3_uid288_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC3_uid289_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC3_uid289_natLogTabGen_lutmem_ia : std_logic_vector (7 downto 0);
signal memoryC3_uid289_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC3_uid289_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC3_uid289_natLogTabGen_lutmem_iq : std_logic_vector (7 downto 0);
signal memoryC3_uid289_natLogTabGen_lutmem_q : std_logic_vector (7 downto 0);
signal memoryC4_uid291_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC4_uid291_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC4_uid291_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC4_uid291_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC4_uid291_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC4_uid291_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC4_uid292_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC4_uid292_natLogTabGen_lutmem_ia : std_logic_vector (6 downto 0);
signal memoryC4_uid292_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC4_uid292_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC4_uid292_natLogTabGen_lutmem_iq : std_logic_vector (6 downto 0);
signal memoryC4_uid292_natLogTabGen_lutmem_q : std_logic_vector (6 downto 0);
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a_type is array(0 to 1) of UNSIGNED(17 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a_type;
attribute preserve : boolean;
attribute preserve of multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a : signal is true;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c_type is array(0 to 1) of SIGNED(17 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c_type;
attribute preserve of multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c : signal is true;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l_type is array(0 to 1) of SIGNED(18 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l_type;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p_type is array(0 to 1) of SIGNED(36 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p_type;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_w_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_w : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_w_type;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_x_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_x : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_x_type;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_y_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_y : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_y_type;
type multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s : multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s_type;
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s0 : std_logic_vector(36 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_q : std_logic_vector (36 downto 0);
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a_type is array(0 to 1) of UNSIGNED(26 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a_type;
attribute preserve of multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a : signal is true;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c_type;
attribute preserve of multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c : signal is true;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l_type;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p_type;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w_type;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x_type;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y_type;
type multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s : multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s_type;
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_q : std_logic_vector (54 downto 0);
signal reg_exc_N_uid26_fpLogE1pxTest_0_to_excRNaN_uid140_fpLogE1pxTest_1_q : std_logic_vector (0 downto 0);
signal reg_expXIsZero_uid19_fpLogE1pxTest_0_to_concExc_uid143_fpLogE1pxTest_0_q : std_logic_vector (0 downto 0);
signal reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q : std_logic_vector (3 downto 0);
signal reg_addrMask_uid51_fpLogE1pxTest_0_to_maskIncrementTable_uid52_fpLogE1pxTest_0_q : std_logic_vector (5 downto 0);
signal reg_oFracX_uid32_fpLogE1pxTest_0_to_oPlusOFracX_uid53_fpLogE1pxTest_0_q : std_logic_vector (52 downto 0);
signal reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1_q : std_logic_vector (0 downto 0);
signal reg_join_uid58_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_2_q : std_logic_vector (52 downto 0);
signal reg_oPlusOFracXNormHigh_uid59_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_3_q : std_logic_vector (52 downto 0);
signal reg_rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2_q : std_logic_vector (105 downto 0);
signal reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2_q : std_logic_vector (105 downto 0);
signal reg_pad_o_uid12_uid40_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_0_q : std_logic_vector (105 downto 0);
signal reg_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_1_q : std_logic_vector (105 downto 0);
signal reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1_q : std_logic_vector (31 downto 0);
signal reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3_q : std_logic_vector (31 downto 0);
signal reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1_q : std_logic_vector (15 downto 0);
signal reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3_q : std_logic_vector (15 downto 0);
signal reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2_q : std_logic_vector (1 downto 0);
signal reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3_q : std_logic_vector (1 downto 0);
signal reg_vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_2_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q : std_logic_vector (0 downto 0);
signal reg_leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q : std_logic_vector (104 downto 0);
signal reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3_q : std_logic_vector (104 downto 0);
signal reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4_q : std_logic_vector (104 downto 0);
signal reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5_q : std_logic_vector (104 downto 0);
signal reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q : std_logic_vector (104 downto 0);
signal reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2_q : std_logic_vector (52 downto 0);
signal reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q : std_logic_vector (52 downto 0);
signal reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5_q : std_logic_vector (52 downto 0);
signal reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1_q : std_logic_vector (0 downto 0);
signal reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q : std_logic_vector (10 downto 0);
signal reg_memoryC0_uid269_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid270_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid271_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_2_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid272_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_3_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid273_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_4_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid274_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_5_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid276_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid277_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid278_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_2_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid279_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_3_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid280_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_4_q : std_logic_vector (7 downto 0);
signal reg_memoryC2_uid282_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC2_uid283_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC2_uid284_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_2_q : std_logic_vector (9 downto 0);
signal reg_memoryC2_uid285_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_3_q : std_logic_vector (7 downto 0);
signal reg_memoryC4_uid291_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC4_uid292_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_1_q : std_logic_vector (6 downto 0);
signal reg_yT1_uid294_natLogPolyEval_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_0_q : std_logic_vector (16 downto 0);
signal reg_os_uid293_natLogTabGen_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_1_q : std_logic_vector (16 downto 0);
signal reg_memoryC3_uid287_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC3_uid288_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC3_uid289_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_2_q : std_logic_vector (7 downto 0);
signal reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid406_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_sSM0H_uid408_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_0_q : std_logic_vector (2 downto 0);
signal reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_q : std_logic_vector (3 downto 0);
signal reg_sSM1H_uid411_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_0_q : std_logic_vector (5 downto 0);
signal reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q : std_logic_vector (0 downto 0);
signal reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0_q : std_logic_vector (39 downto 0);
signal reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1_q : std_logic_vector (29 downto 0);
signal reg_xTop18Bits_uid421_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_4_q : std_logic_vector (17 downto 0);
signal reg_pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_6_q : std_logic_vector (17 downto 0);
signal reg_pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_7_q : std_logic_vector (16 downto 0);
signal reg_yTop18Bits_uid424_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_9_q : std_logic_vector (17 downto 0);
signal reg_xTop27Bits_uid418_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_0_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid419_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0_q : std_logic_vector (49 downto 0);
signal reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1_q : std_logic_vector (40 downto 0);
signal reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4_q : std_logic_vector (26 downto 0);
signal reg_pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_6_q : std_logic_vector (26 downto 0);
signal reg_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_7_q : std_logic_vector (25 downto 0);
signal reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_9_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0_q : std_logic_vector (62 downto 0);
signal reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1_q : std_logic_vector (51 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_align_0_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_0_0_q : std_logic_vector (53 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0_q : std_logic_vector (108 downto 0);
signal reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_q : std_logic_vector (10 downto 0);
signal reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_q : std_logic_vector (10 downto 0);
signal reg_expBran3Pre_uid46_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_5_q : std_logic_vector (10 downto 0);
signal reg_xv1_uid263_constMult_0_to_p1_uid264_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_sR_uid267_constMult_0_to_addTermOne_uid105_fpLogE1pxTest_2_q : std_logic_vector (66 downto 0);
signal reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1_q : std_logic_vector (58 downto 0);
signal reg_lowRangeB_uid106_fpLogE1pxTest_0_to_finalSum_uid106_uid109_fpLogE1pxTest_0_q : std_logic_vector (50 downto 0);
signal reg_rVStage_uid320_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid321_countZ_uid114_fpLogE1pxTest_1_q : std_logic_vector (63 downto 0);
signal reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1_q : std_logic_vector (31 downto 0);
signal reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3_q : std_logic_vector (31 downto 0);
signal reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1_q : std_logic_vector (15 downto 0);
signal reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3_q : std_logic_vector (15 downto 0);
signal reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2_q : std_logic_vector (1 downto 0);
signal reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3_q : std_logic_vector (1 downto 0);
signal reg_vCount_uid347_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_2_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q : std_logic_vector (0 downto 0);
signal reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5_q : std_logic_vector (119 downto 0);
signal reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2_q : std_logic_vector (119 downto 0);
signal reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q : std_logic_vector (5 downto 0);
signal reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0_q : std_logic_vector (12 downto 0);
signal reg_expFracConc_uid123_fpLogE1pxTest_0_to_expFracPostRnd_uid124_fpLogE1pxTest_0_q : std_logic_vector (65 downto 0);
signal reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q : std_logic_vector (0 downto 0);
signal reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2_q : std_logic_vector (51 downto 0);
signal reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2_q : std_logic_vector (10 downto 0);
signal ld_xIn_a_to_frac_uid22_fpLogE1pxTest_a_q : std_logic_vector (63 downto 0);
signal ld_expXIsMax_uid21_fpLogE1pxTest_q_to_exc_I_uid24_fpLogE1pxTest_a_q : std_logic_vector (0 downto 0);
signal ld_expXIsZero_uid19_fpLogE1pxTest_q_to_InvExpXIsZero_uid29_fpLogE1pxTest_a_q : std_logic_vector (0 downto 0);
signal ld_branEnc_uid77_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_b_q : std_logic_vector (1 downto 0);
signal ld_branch4_uid75_fpLogE1pxTest_q_to_c_uid87_fpLogE1pxTest_a_q : std_logic_vector (0 downto 0);
signal ld_expXIsMo_uid86_fpLogE1pxTest_c_to_c_uid87_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_branch3OrC_uid94_fpLogE1pxTest_q_to_addTermOne_uid105_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_FullSumAB118_uid110_fpLogE1pxTest_b_to_finalSumAbs_uid113_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_branch3OrC_uid94_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_expRExt0_uid117_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_c_q : std_logic_vector (12 downto 0);
signal ld_xM1_uid131_fpLogE1pxTest_q_to_excRInf0_uid134_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_branch11_uid64_fpLogE1pxTest_q_to_posInf_uid136_fpLogE1pxTest_a_q : std_logic_vector (0 downto 0);
signal ld_signX_uid7_fpLogE1pxTest_b_to_negInf_uid138_fpLogE1pxTest_a_q : std_logic_vector (0 downto 0);
signal ld_xLTM1_uid133_fpLogE1pxTest_c_to_excRNaN0_uid139_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_signX_uid7_fpLogE1pxTest_b_to_signRFull_uid142_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_a_q : std_logic_vector (101 downto 0);
signal ld_RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_a_q : std_logic_vector (97 downto 0);
signal ld_RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_a_q : std_logic_vector (93 downto 0);
signal ld_RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_a_q : std_logic_vector (104 downto 0);
signal ld_RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_a_q : std_logic_vector (103 downto 0);
signal ld_RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_a_q : std_logic_vector (102 downto 0);
signal ld_reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_b_q : std_logic_vector (1 downto 0);
signal ld_vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_e_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_f_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q : std_logic_vector (103 downto 0);
signal ld_LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q : std_logic_vector (102 downto 0);
signal ld_LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q : std_logic_vector (101 downto 0);
signal ld_reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q : std_logic_vector (1 downto 0);
signal ld_reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q_to_p0_uid265_constMult_a_q : std_logic_vector (5 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_cStage_uid324_countZ_uid114_fpLogE1pxTest_b_q : std_logic_vector (55 downto 0);
signal ld_rVStage_uid320_countZ_uid114_fpLogE1pxTest_b_to_vStagei_uid326_countZ_uid114_fpLogE1pxTest_c_q : std_logic_vector (63 downto 0);
signal ld_vCount_uid341_countZ_uid114_fpLogE1pxTest_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_g_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_b_q : std_logic_vector (117 downto 0);
signal ld_LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_b_q : std_logic_vector (115 downto 0);
signal ld_LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_b_q : std_logic_vector (113 downto 0);
signal ld_reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_b_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q_to_sm1_uid413_pT2_uid301_natLogPolyEval_b_q : std_logic_vector (0 downto 0);
signal ld_yBottomBits_uid438_pT4_uid313_natLogPolyEval_b_to_spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_a_q : std_logic_vector (22 downto 0);
signal ld_postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_1_a_q : std_logic_vector (55 downto 0);
signal ld_postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_2_a_q : std_logic_vector (54 downto 0);
signal ld_postPEMul_uid103_fpLogE1pxTest_a1_b2_q_to_postPEMul_uid103_fpLogE1pxTest_align_3_a_q : std_logic_vector (53 downto 0);
signal ld_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b_to_reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_a_q : std_logic_vector (1 downto 0);
signal ld_sSM0W_uid409_pT2_uid301_natLogPolyEval_b_to_reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_a_q : std_logic_vector (3 downto 0);
signal ld_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b_to_reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_a_q : std_logic_vector (26 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_a_q : std_logic_vector (10 downto 0);
signal ld_vCount_uid335_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_a_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid329_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_a_q : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_inputreg_q : std_logic_vector (106 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (106 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (106 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (106 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_inputreg_q : std_logic_vector (3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_eq : std_logic;
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_inputreg_q : std_logic_vector (11 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_reset0 : std_logic;
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_ia : std_logic_vector (11 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_aa : std_logic_vector (1 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_ab : std_logic_vector (1 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_iq : std_logic_vector (11 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_q : std_logic_vector (11 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_q : std_logic_vector(1 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i : unsigned(1 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_eq : std_logic;
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg_q : std_logic_vector (1 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_mem_top_q : std_logic_vector (2 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena_q : signal is true;
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg_q : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_eq : std_logic;
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_inputreg_q : std_logic_vector (52 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_reset0 : std_logic;
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_ia : std_logic_vector (52 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_iq : std_logic_vector (52 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_q : std_logic_vector (52 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena_q : signal is true;
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_inputreg_q : std_logic_vector (52 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_reset0 : std_logic;
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_ia : std_logic_vector (52 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_iq : std_logic_vector (52 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_q : std_logic_vector (52 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena_q : signal is true;
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_inputreg_q : std_logic_vector (11 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (11 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (11 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (11 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_eq : std_logic;
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_inputreg_q : std_logic_vector (52 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (52 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (52 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (52 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_eq : std_logic;
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_inputreg_q : std_logic_vector (51 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (51 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (51 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (51 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_inputreg_q : std_logic_vector (5 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (5 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (5 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (5 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_inputreg_q : std_logic_vector (6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_eq : std_logic;
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_mem_top_q : std_logic_vector (6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_eq : std_logic;
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_mem_top_q : std_logic_vector (6 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_reset0 : std_logic;
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_ia : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_iq : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_q : std_logic_vector (51 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_inputreg_q : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_reset0 : std_logic;
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_ia : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_iq : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_q : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_eq : std_logic;
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_mem_top_q : std_logic_vector (6 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena_q : signal is true;
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_inputreg_q : std_logic_vector (2 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_reset0 : std_logic;
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_ia : std_logic_vector (2 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_iq : std_logic_vector (2 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_q : std_logic_vector (2 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_eq : std_logic;
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_mem_top_q : std_logic_vector (6 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena_q : signal is true;
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_reset0 : std_logic;
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_eq : std_logic;
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_mem_top_q : std_logic_vector (6 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena_q : signal is true;
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_inputreg_q : std_logic_vector (27 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_ia : std_logic_vector (27 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_iq : std_logic_vector (27 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_q : std_logic_vector (27 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena_q : signal is true;
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_inputreg_q : std_logic_vector (37 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_ia : std_logic_vector (37 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_iq : std_logic_vector (37 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_q : std_logic_vector (37 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_inputreg_q : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_ia : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_iq : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_q : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena_q : signal is true;
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_inputreg_q : std_logic_vector (47 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_ia : std_logic_vector (47 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_iq : std_logic_vector (47 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_q : std_logic_vector (47 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_mem_top_q : std_logic_vector (4 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_inputreg_q : std_logic_vector (59 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_ia : std_logic_vector (59 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_iq : std_logic_vector (59 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_q : std_logic_vector (59 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_inputreg_q : std_logic_vector (87 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (87 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (87 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (87 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (55 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (55 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (55 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_inputreg_q : std_logic_vector (23 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0 : std_logic;
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia : std_logic_vector (23 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq : std_logic_vector (23 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_q : std_logic_vector (23 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q : signal is true;
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_inputreg_q : std_logic_vector (119 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_reset0 : std_logic;
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_ia : std_logic_vector (119 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_iq : std_logic_vector (119 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_q : std_logic_vector (119 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena_q : signal is true;
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_ia : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_iq : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_q : std_logic_vector (42 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena_q : signal is true;
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_inputreg_q : std_logic_vector (15 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_ia : std_logic_vector (15 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_iq : std_logic_vector (15 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_q : std_logic_vector (15 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_mem_top_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_inputreg_q : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_reset0 : std_logic;
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_ia : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_iq : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_q : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena_q : signal is true;
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_reset0 : std_logic;
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_ia : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_iq : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_q : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena_q : signal is true;
signal pad_o_uid12_uid40_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal fracXz_uid82_fpLogE1pxTest_q : std_logic_vector (52 downto 0);
signal pad_sm0_uid410_uid414_pT2_uid301_natLogPolyEval_q : std_logic_vector (26 downto 0);
signal pad_sm1_uid413_uid415_pT2_uid301_natLogPolyEval_q : std_logic_vector (26 downto 0);
signal spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_q : std_logic_vector (23 downto 0);
signal pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_q : std_logic_vector (25 downto 0);
signal pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_q : std_logic_vector (26 downto 0);
signal rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal InvExpXIsZero_uid29_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid29_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal expFracPostRnd_uid124_fpLogE1pxTest_a : std_logic_vector(66 downto 0);
signal expFracPostRnd_uid124_fpLogE1pxTest_b : std_logic_vector(66 downto 0);
signal expFracPostRnd_uid124_fpLogE1pxTest_o : std_logic_vector (66 downto 0);
signal expFracPostRnd_uid124_fpLogE1pxTest_q : std_logic_vector (66 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_a : std_logic_vector(56 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_b : std_logic_vector(56 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_o : std_logic_vector (56 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q : std_logic_vector (55 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_a : std_logic_vector(54 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_b : std_logic_vector(54 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_o : std_logic_vector (54 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q : std_logic_vector (54 downto 0);
signal mO_uid130_fpLogE1pxTest_q : std_logic_vector (63 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_a : std_logic_vector(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_q : std_logic_vector (1 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (3 downto 0);
signal expX_uid6_fpLogE1pxTest_in : std_logic_vector (62 downto 0);
signal expX_uid6_fpLogE1pxTest_b : std_logic_vector (10 downto 0);
signal signX_uid7_fpLogE1pxTest_in : std_logic_vector (63 downto 0);
signal signX_uid7_fpLogE1pxTest_b : std_logic_vector (0 downto 0);
signal xM1_uid131_fpLogE1pxTest_a : std_logic_vector(63 downto 0);
signal xM1_uid131_fpLogE1pxTest_b : std_logic_vector(63 downto 0);
signal xM1_uid131_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal xLTM1_uid133_fpLogE1pxTest_a : std_logic_vector(66 downto 0);
signal xLTM1_uid133_fpLogE1pxTest_b : std_logic_vector(66 downto 0);
signal xLTM1_uid133_fpLogE1pxTest_o : std_logic_vector (66 downto 0);
signal xLTM1_uid133_fpLogE1pxTest_cin : std_logic_vector (0 downto 0);
signal xLTM1_uid133_fpLogE1pxTest_c : std_logic_vector (0 downto 0);
signal expXIsZero_uid19_fpLogE1pxTest_a : std_logic_vector(10 downto 0);
signal expXIsZero_uid19_fpLogE1pxTest_b : std_logic_vector(10 downto 0);
signal expXIsZero_uid19_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid21_fpLogE1pxTest_a : std_logic_vector(10 downto 0);
signal expXIsMax_uid21_fpLogE1pxTest_b : std_logic_vector(10 downto 0);
signal expXIsMax_uid21_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal shifterAddrExt_uid34_fpLogE1pxTest_a : std_logic_vector(11 downto 0);
signal shifterAddrExt_uid34_fpLogE1pxTest_b : std_logic_vector(11 downto 0);
signal shifterAddrExt_uid34_fpLogE1pxTest_o : std_logic_vector (11 downto 0);
signal shifterAddrExt_uid34_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal oMfracXRSExt_uid40_fpLogE1pxTest_a : std_logic_vector(106 downto 0);
signal oMfracXRSExt_uid40_fpLogE1pxTest_b : std_logic_vector(106 downto 0);
signal oMfracXRSExt_uid40_fpLogE1pxTest_o : std_logic_vector (106 downto 0);
signal oMfracXRSExt_uid40_fpLogE1pxTest_q : std_logic_vector (106 downto 0);
signal addrMaskExt_uid50_fpLogE1pxTest_a : std_logic_vector(11 downto 0);
signal addrMaskExt_uid50_fpLogE1pxTest_b : std_logic_vector(11 downto 0);
signal addrMaskExt_uid50_fpLogE1pxTest_o : std_logic_vector (11 downto 0);
signal addrMaskExt_uid50_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal oPlusOFracX_uid53_fpLogE1pxTest_a : std_logic_vector(53 downto 0);
signal oPlusOFracX_uid53_fpLogE1pxTest_b : std_logic_vector(53 downto 0);
signal oPlusOFracX_uid53_fpLogE1pxTest_o : std_logic_vector (53 downto 0);
signal oPlusOFracX_uid53_fpLogE1pxTest_q : std_logic_vector (53 downto 0);
signal resIsX_uid62_fpLogE1pxTest_a : std_logic_vector(13 downto 0);
signal resIsX_uid62_fpLogE1pxTest_b : std_logic_vector(13 downto 0);
signal resIsX_uid62_fpLogE1pxTest_o : std_logic_vector (13 downto 0);
signal resIsX_uid62_fpLogE1pxTest_cin : std_logic_vector (0 downto 0);
signal resIsX_uid62_fpLogE1pxTest_c : std_logic_vector (0 downto 0);
signal branch12_uid63_fpLogE1pxTest_a : std_logic_vector(13 downto 0);
signal branch12_uid63_fpLogE1pxTest_b : std_logic_vector(13 downto 0);
signal branch12_uid63_fpLogE1pxTest_o : std_logic_vector (13 downto 0);
signal branch12_uid63_fpLogE1pxTest_cin : std_logic_vector (0 downto 0);
signal branch12_uid63_fpLogE1pxTest_c : std_logic_vector (0 downto 0);
signal branch12_uid63_fpLogE1pxTest_n : std_logic_vector (0 downto 0);
signal branch22_uid66_fpLogE1pxTest_a : std_logic_vector(13 downto 0);
signal branch22_uid66_fpLogE1pxTest_b : std_logic_vector(13 downto 0);
signal branch22_uid66_fpLogE1pxTest_o : std_logic_vector (13 downto 0);
signal branch22_uid66_fpLogE1pxTest_cin : std_logic_vector (0 downto 0);
signal branch22_uid66_fpLogE1pxTest_c : std_logic_vector (0 downto 0);
signal branch22_uid66_fpLogE1pxTest_n : std_logic_vector (0 downto 0);
signal fracB_uid83_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal fracB_uid83_fpLogE1pxTest_q : std_logic_vector (52 downto 0);
signal e_uid84_fpLogE1pxTest_a : std_logic_vector(12 downto 0);
signal e_uid84_fpLogE1pxTest_b : std_logic_vector(12 downto 0);
signal e_uid84_fpLogE1pxTest_o : std_logic_vector (12 downto 0);
signal e_uid84_fpLogE1pxTest_q : std_logic_vector (12 downto 0);
signal expXIsMo_uid86_fpLogE1pxTest_a : std_logic_vector(13 downto 0);
signal expXIsMo_uid86_fpLogE1pxTest_b : std_logic_vector(13 downto 0);
signal expXIsMo_uid86_fpLogE1pxTest_o : std_logic_vector (13 downto 0);
signal expXIsMo_uid86_fpLogE1pxTest_cin : std_logic_vector (0 downto 0);
signal expXIsMo_uid86_fpLogE1pxTest_c : std_logic_vector (0 downto 0);
signal c_uid87_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal c_uid87_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal c_uid87_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal sumAHighB_uid108_fpLogE1pxTest_a : std_logic_vector(67 downto 0);
signal sumAHighB_uid108_fpLogE1pxTest_b : std_logic_vector(67 downto 0);
signal sumAHighB_uid108_fpLogE1pxTest_o : std_logic_vector (67 downto 0);
signal sumAHighB_uid108_fpLogE1pxTest_q : std_logic_vector (67 downto 0);
signal finalSumAbs_uid113_fpLogE1pxTest_a : std_logic_vector(119 downto 0);
signal finalSumAbs_uid113_fpLogE1pxTest_b : std_logic_vector(119 downto 0);
signal finalSumAbs_uid113_fpLogE1pxTest_o : std_logic_vector (119 downto 0);
signal finalSumAbs_uid113_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal branch4ExpCorrection_uid118_fpLogE1pxTest_a : std_logic_vector(6 downto 0);
signal branch4ExpCorrection_uid118_fpLogE1pxTest_b : std_logic_vector(6 downto 0);
signal branch4ExpCorrection_uid118_fpLogE1pxTest_o : std_logic_vector (6 downto 0);
signal branch4ExpCorrection_uid118_fpLogE1pxTest_q : std_logic_vector (6 downto 0);
signal expRExt1_uid119_fpLogE1pxTest_a : std_logic_vector(13 downto 0);
signal expRExt1_uid119_fpLogE1pxTest_b : std_logic_vector(13 downto 0);
signal expRExt1_uid119_fpLogE1pxTest_o : std_logic_vector (13 downto 0);
signal expRExt1_uid119_fpLogE1pxTest_q : std_logic_vector (13 downto 0);
signal fracR_uid126_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal fracR_uid126_fpLogE1pxTest_q : std_logic_vector (51 downto 0);
signal expR_uid128_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal expR_uid128_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal excRInf0_uid137_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal excRInf0_uid137_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal excRInf0_uid137_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal excRNaN_uid140_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid140_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid140_fpLogE1pxTest_c : std_logic_vector(0 downto 0);
signal excRNaN_uid140_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal fracRPostExc_uid148_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid148_fpLogE1pxTest_q : std_logic_vector (51 downto 0);
signal expRPostExc_uid152_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid152_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_a : std_logic_vector(31 downto 0);
signal vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector(31 downto 0);
signal vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (31 downto 0);
signal vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_a : std_logic_vector(15 downto 0);
signal vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector(15 downto 0);
signal vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (15 downto 0);
signal vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal p0_uid265_constMult_q : std_logic_vector(62 downto 0);
signal lev1_a0_uid266_constMult_a : std_logic_vector(70 downto 0);
signal lev1_a0_uid266_constMult_b : std_logic_vector(70 downto 0);
signal lev1_a0_uid266_constMult_o : std_logic_vector (70 downto 0);
signal lev1_a0_uid266_constMult_q : std_logic_vector (69 downto 0);
signal ts2_uid304_natLogPolyEval_a : std_logic_vector(40 downto 0);
signal ts2_uid304_natLogPolyEval_b : std_logic_vector(40 downto 0);
signal ts2_uid304_natLogPolyEval_o : std_logic_vector (40 downto 0);
signal ts2_uid304_natLogPolyEval_q : std_logic_vector (40 downto 0);
signal ts3_uid310_natLogPolyEval_a : std_logic_vector(50 downto 0);
signal ts3_uid310_natLogPolyEval_b : std_logic_vector(50 downto 0);
signal ts3_uid310_natLogPolyEval_o : std_logic_vector (50 downto 0);
signal ts3_uid310_natLogPolyEval_q : std_logic_vector (50 downto 0);
signal ts4_uid316_natLogPolyEval_a : std_logic_vector(63 downto 0);
signal ts4_uid316_natLogPolyEval_b : std_logic_vector(63 downto 0);
signal ts4_uid316_natLogPolyEval_o : std_logic_vector (63 downto 0);
signal ts4_uid316_natLogPolyEval_q : std_logic_vector (63 downto 0);
signal vCount_uid321_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(63 downto 0);
signal vCount_uid321_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(63 downto 0);
signal vCount_uid321_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vCount_uid329_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(31 downto 0);
signal vCount_uid329_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(31 downto 0);
signal vCount_uid329_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid332_countZ_uid114_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid332_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (31 downto 0);
signal vCount_uid335_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(15 downto 0);
signal vCount_uid335_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(15 downto 0);
signal vCount_uid335_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid338_countZ_uid114_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid338_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (15 downto 0);
signal vStagei_uid344_countZ_uid114_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid344_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (7 downto 0);
signal vStagei_uid356_countZ_uid114_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid356_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal add0_uid414_pT2_uid301_natLogPolyEval_a : std_logic_vector (54 downto 0);
signal add0_uid414_pT2_uid301_natLogPolyEval_b : std_logic_vector (54 downto 0);
signal add0_uid414_pT2_uid301_natLogPolyEval_c : std_logic_vector (54 downto 0);
signal add0_uid414_pT2_uid301_natLogPolyEval_o : std_logic_vector (54 downto 0);
signal add0_uid414_pT2_uid301_natLogPolyEval_q : std_logic_vector (54 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_q : std_logic_vector(0 downto 0);
signal sEz_uid98_fpLogE1pxTest_q : std_logic_vector (53 downto 0);
signal cIncludingRoundingBit_uid303_natLogPolyEval_q : std_logic_vector (39 downto 0);
signal cIncludingRoundingBit_uid309_natLogPolyEval_q : std_logic_vector (49 downto 0);
signal sEz_uid101_fpLogE1pxTest_q : std_logic_vector (53 downto 0);
signal rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal cIncludingRoundingBit_uid315_natLogPolyEval_q : std_logic_vector (62 downto 0);
signal leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal cStage_uid324_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (63 downto 0);
signal leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_in : std_logic_vector (33 downto 0);
signal prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_b : std_logic_vector (18 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_0_q_int : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_0_q : std_logic_vector (53 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_in : std_logic_vector (36 downto 0);
signal multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_b : std_logic_vector (32 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_b : std_logic_vector (51 downto 0);
signal concExc_uid143_fpLogE1pxTest_q : std_logic_vector (2 downto 0);
signal rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal os_uid275_natLogTabGen_q : std_logic_vector (59 downto 0);
signal os_uid281_natLogTabGen_q : std_logic_vector (47 downto 0);
signal os_uid286_natLogTabGen_q : std_logic_vector (37 downto 0);
signal os_uid293_natLogTabGen_q : std_logic_vector (16 downto 0);
signal os_uid290_natLogTabGen_q : std_logic_vector (27 downto 0);
signal finalSum_uid106_uid109_fpLogE1pxTest_q : std_logic_vector (118 downto 0);
signal leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal frac_uid22_fpLogE1pxTest_in : std_logic_vector (51 downto 0);
signal frac_uid22_fpLogE1pxTest_b : std_logic_vector (51 downto 0);
signal vStagei_uid326_countZ_uid114_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid326_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (63 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_1_q_int : std_logic_vector (82 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_1_q : std_logic_vector (82 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_2_q_int : std_logic_vector (108 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_2_q : std_logic_vector (108 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_3_q_int : std_logic_vector (134 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_align_3_q : std_logic_vector (134 downto 0);
signal redLO_uid47_fpLogE1pxTest_in : std_logic_vector (104 downto 0);
signal redLO_uid47_fpLogE1pxTest_b : std_logic_vector (104 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_a : std_logic_vector(2 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_b : std_logic_vector(2 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_q : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal zPPolyEval_uid91_fpLogE1pxTest_in : std_logic_vector (42 downto 0);
signal zPPolyEval_uid91_fpLogE1pxTest_b : std_logic_vector (42 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_a : std_logic_vector(6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_b : std_logic_vector(6 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_a : std_logic_vector(6 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_b : std_logic_vector(6 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_a : std_logic_vector(6 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_b : std_logic_vector(6 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_a : std_logic_vector(6 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_b : std_logic_vector(6 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_q : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_a : std_logic_vector(6 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_b : std_logic_vector(6 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_q : std_logic_vector(0 downto 0);
signal RLn_uid153_fpLogE1pxTest_q : std_logic_vector (63 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_a : std_logic_vector(6 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_b : std_logic_vector(6 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_q : std_logic_vector(0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal yT3_uid306_natLogPolyEval_in : std_logic_vector (42 downto 0);
signal yT3_uid306_natLogPolyEval_b : std_logic_vector (37 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_a : std_logic_vector(4 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_b : std_logic_vector(4 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_q : std_logic_vector(0 downto 0);
signal xTop27Bits_uid435_pT4_uid313_natLogPolyEval_in : std_logic_vector (42 downto 0);
signal xTop27Bits_uid435_pT4_uid313_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_a : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_b : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_q : std_logic_vector(0 downto 0);
signal fracR0_uid125_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal fracR0_uid125_fpLogE1pxTest_b : std_logic_vector (51 downto 0);
signal expR_uid127_fpLogE1pxTest_in : std_logic_vector (63 downto 0);
signal expR_uid127_fpLogE1pxTest_b : std_logic_vector (10 downto 0);
signal branch11_uid64_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal branch11_uid64_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal shifterAddr_uid35_fpLogE1pxTest_in : std_logic_vector (5 downto 0);
signal shifterAddr_uid35_fpLogE1pxTest_b : std_logic_vector (5 downto 0);
signal oMfracXRSLZCIn_uid43_fpLogE1pxTest_in : std_logic_vector (104 downto 0);
signal oMfracXRSLZCIn_uid43_fpLogE1pxTest_b : std_logic_vector (52 downto 0);
signal addrMask_uid51_fpLogE1pxTest_in : std_logic_vector (5 downto 0);
signal addrMask_uid51_fpLogE1pxTest_b : std_logic_vector (5 downto 0);
signal msbUoPlusOFracX_uid54_fpLogE1pxTest_in : std_logic_vector (53 downto 0);
signal msbUoPlusOFracX_uid54_fpLogE1pxTest_b : std_logic_vector (0 downto 0);
signal oPlusOFracXNormLow_uid57_fpLogE1pxTest_in : std_logic_vector (51 downto 0);
signal oPlusOFracXNormLow_uid57_fpLogE1pxTest_b : std_logic_vector (51 downto 0);
signal oPlusOFracXNormHigh_uid59_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal oPlusOFracXNormHigh_uid59_fpLogE1pxTest_b : std_logic_vector (52 downto 0);
signal InvResIsX_uid72_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal InvResIsX_uid72_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal branch2_uid69_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal branch2_uid69_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal branch2_uid69_fpLogE1pxTest_c : std_logic_vector(0 downto 0);
signal branch2_uid69_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal branch1_uid65_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal branch1_uid65_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal branch1_uid65_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal branch3_uid73_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal branch3_uid73_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal branch3_uid73_fpLogE1pxTest_c : std_logic_vector(0 downto 0);
signal branch3_uid73_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal branch4_uid75_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal branch4_uid75_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal branch4_uid75_fpLogE1pxTest_c : std_logic_vector(0 downto 0);
signal branch4_uid75_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal zAddrLow_uid89_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal zAddrLow_uid89_fpLogE1pxTest_b : std_logic_vector (9 downto 0);
signal fracBRed_uid99_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal fracBRed_uid99_fpLogE1pxTest_b : std_logic_vector (51 downto 0);
signal xv0_uid262_constMult_in : std_logic_vector (5 downto 0);
signal xv0_uid262_constMult_b : std_logic_vector (5 downto 0);
signal xv1_uid263_constMult_in : std_logic_vector (11 downto 0);
signal xv1_uid263_constMult_b : std_logic_vector (5 downto 0);
signal rVStage_uid320_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (119 downto 0);
signal rVStage_uid320_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (63 downto 0);
signal vStage_uid323_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (55 downto 0);
signal vStage_uid323_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (55 downto 0);
signal X87dto0_uid364_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (87 downto 0);
signal X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (87 downto 0);
signal X23dto0_uid370_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (23 downto 0);
signal X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (23 downto 0);
signal expRExt1Red_uid120_fpLogE1pxTest_in : std_logic_vector (12 downto 0);
signal expRExt1Red_uid120_fpLogE1pxTest_b : std_logic_vector (12 downto 0);
signal InvExcRNaN_uid141_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal InvExcRNaN_uid141_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (15 downto 0);
signal vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (15 downto 0);
signal vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (7 downto 0);
signal vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (7 downto 0);
signal vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (3 downto 0);
signal vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (3 downto 0);
signal vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (103 downto 0);
signal LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (103 downto 0);
signal LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (102 downto 0);
signal LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (102 downto 0);
signal LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (101 downto 0);
signal LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (101 downto 0);
signal sR_uid267_constMult_in : std_logic_vector (68 downto 0);
signal sR_uid267_constMult_b : std_logic_vector (66 downto 0);
signal s2_uid305_natLogPolyEval_in : std_logic_vector (40 downto 0);
signal s2_uid305_natLogPolyEval_b : std_logic_vector (39 downto 0);
signal s3_uid311_natLogPolyEval_in : std_logic_vector (50 downto 0);
signal s3_uid311_natLogPolyEval_b : std_logic_vector (49 downto 0);
signal s4_uid317_natLogPolyEval_in : std_logic_vector (63 downto 0);
signal s4_uid317_natLogPolyEval_b : std_logic_vector (62 downto 0);
signal rVStage_uid334_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (31 downto 0);
signal rVStage_uid334_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (15 downto 0);
signal vStage_uid336_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (15 downto 0);
signal vStage_uid336_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (15 downto 0);
signal rVStage_uid340_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (15 downto 0);
signal rVStage_uid340_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (7 downto 0);
signal vStage_uid342_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (7 downto 0);
signal vStage_uid342_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (7 downto 0);
signal rVStage_uid346_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (7 downto 0);
signal rVStage_uid346_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (3 downto 0);
signal vStage_uid348_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (3 downto 0);
signal vStage_uid348_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (3 downto 0);
signal rVStage_uid358_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (1 downto 0);
signal rVStage_uid358_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (117 downto 0);
signal LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (117 downto 0);
signal LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (115 downto 0);
signal LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (115 downto 0);
signal LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (113 downto 0);
signal LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (113 downto 0);
signal R_uid417_pT2_uid301_natLogPolyEval_in : std_logic_vector (53 downto 0);
signal R_uid417_pT2_uid301_natLogPolyEval_b : std_logic_vector (29 downto 0);
signal sEz_uid102_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal sEz_uid102_fpLogE1pxTest_q : std_logic_vector (53 downto 0);
signal lowRangeB_uid296_natLogPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid296_natLogPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid297_natLogPolyEval_in : std_logic_vector (18 downto 0);
signal highBBits_uid297_natLogPolyEval_b : std_logic_vector (17 downto 0);
signal lowRangeB_uid430_pT3_uid307_natLogPolyEval_in : std_logic_vector (3 downto 0);
signal lowRangeB_uid430_pT3_uid307_natLogPolyEval_b : std_logic_vector (3 downto 0);
signal highBBits_uid431_pT3_uid307_natLogPolyEval_in : std_logic_vector (32 downto 0);
signal highBBits_uid431_pT3_uid307_natLogPolyEval_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid445_pT4_uid313_natLogPolyEval_in : std_logic_vector (22 downto 0);
signal lowRangeB_uid445_pT4_uid313_natLogPolyEval_b : std_logic_vector (22 downto 0);
signal highBBits_uid446_pT4_uid313_natLogPolyEval_in : std_logic_vector (51 downto 0);
signal highBBits_uid446_pT4_uid313_natLogPolyEval_b : std_logic_vector (28 downto 0);
signal RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (104 downto 0);
signal RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (103 downto 0);
signal RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (102 downto 0);
signal fracXRS_uid39_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal fracXRS_uid39_fpLogE1pxTest_b : std_logic_vector (53 downto 0);
signal fracXBranch4_uid49_fpLogE1pxTest_in : std_logic_vector (104 downto 0);
signal fracXBranch4_uid49_fpLogE1pxTest_b : std_logic_vector (53 downto 0);
signal FullSumAB118_uid110_fpLogE1pxTest_in : std_logic_vector (118 downto 0);
signal FullSumAB118_uid110_fpLogE1pxTest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (118 downto 0);
signal LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (118 downto 0);
signal fracXIsZero_uid23_fpLogE1pxTest_a : std_logic_vector(51 downto 0);
signal fracXIsZero_uid23_fpLogE1pxTest_b : std_logic_vector(51 downto 0);
signal fracXIsZero_uid23_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal oFracX_uid32_fpLogE1pxTest_q : std_logic_vector (52 downto 0);
signal rVStage_uid328_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (63 downto 0);
signal rVStage_uid328_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (31 downto 0);
signal vStage_uid330_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (31 downto 0);
signal vStage_uid330_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (31 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_1_a : std_logic_vector(135 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_1_b : std_logic_vector(135 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_1_o : std_logic_vector (135 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_0_1_q : std_logic_vector (135 downto 0);
signal X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (88 downto 0);
signal X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (88 downto 0);
signal X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (72 downto 0);
signal X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (72 downto 0);
signal X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (56 downto 0);
signal X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (56 downto 0);
signal yT1_uid294_natLogPolyEval_in : std_logic_vector (42 downto 0);
signal yT1_uid294_natLogPolyEval_b : std_logic_vector (16 downto 0);
signal yT2_uid300_natLogPolyEval_in : std_logic_vector (42 downto 0);
signal yT2_uid300_natLogPolyEval_b : std_logic_vector (27 downto 0);
signal xBottomBits_uid439_pT4_uid313_natLogPolyEval_in : std_logic_vector (15 downto 0);
signal xBottomBits_uid439_pT4_uid313_natLogPolyEval_b : std_logic_vector (15 downto 0);
signal xTop27Bits_uid418_pT3_uid307_natLogPolyEval_in : std_logic_vector (37 downto 0);
signal xTop27Bits_uid418_pT3_uid307_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal xTop18Bits_uid421_pT3_uid307_natLogPolyEval_in : std_logic_vector (37 downto 0);
signal xTop18Bits_uid421_pT3_uid307_natLogPolyEval_b : std_logic_vector (17 downto 0);
signal xBottomBits_uid423_pT3_uid307_natLogPolyEval_in : std_logic_vector (10 downto 0);
signal xBottomBits_uid423_pT3_uid307_natLogPolyEval_b : std_logic_vector (10 downto 0);
signal rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (5 downto 0);
signal rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (3 downto 0);
signal rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (1 downto 0);
signal rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (31 downto 0);
signal vStage_uid194_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (20 downto 0);
signal vStage_uid194_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (20 downto 0);
signal join_uid58_fpLogE1pxTest_q : std_logic_vector (52 downto 0);
signal concBranch_uid76_fpLogE1pxTest_q : std_logic_vector (3 downto 0);
signal addr_uid90_fpLogE1pxTest_q : std_logic_vector (10 downto 0);
signal signRFull_uid142_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal signRFull_uid142_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal signRFull_uid142_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_a : std_logic_vector(3 downto 0);
signal vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector(3 downto 0);
signal vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (3 downto 0);
signal vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal yTop27Bits_uid419_pT3_uid307_natLogPolyEval_in : std_logic_vector (39 downto 0);
signal yTop27Bits_uid419_pT3_uid307_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid422_pT3_uid307_natLogPolyEval_in : std_logic_vector (12 downto 0);
signal yBottomBits_uid422_pT3_uid307_natLogPolyEval_b : std_logic_vector (12 downto 0);
signal yTop18Bits_uid424_pT3_uid307_natLogPolyEval_in : std_logic_vector (39 downto 0);
signal yTop18Bits_uid424_pT3_uid307_natLogPolyEval_b : std_logic_vector (17 downto 0);
signal yTop27Bits_uid436_pT4_uid313_natLogPolyEval_in : std_logic_vector (49 downto 0);
signal yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid438_pT4_uid313_natLogPolyEval_in : std_logic_vector (22 downto 0);
signal yBottomBits_uid438_pT4_uid313_natLogPolyEval_b : std_logic_vector (22 downto 0);
signal peOR_uid93_fpLogE1pxTest_in : std_logic_vector (61 downto 0);
signal peOR_uid93_fpLogE1pxTest_b : std_logic_vector (55 downto 0);
signal vCount_uid347_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(3 downto 0);
signal vCount_uid347_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(3 downto 0);
signal vCount_uid347_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal vStagei_uid350_countZ_uid114_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal vStagei_uid350_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (3 downto 0);
signal vCount_uid359_countZ_uid114_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal vCount_uid359_countZ_uid114_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal vCount_uid359_countZ_uid114_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a_0_in : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a_0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a_1_in : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_a_1_b : std_logic_vector (26 downto 0);
signal sumAHighB_uid298_natLogPolyEval_a : std_logic_vector(28 downto 0);
signal sumAHighB_uid298_natLogPolyEval_b : std_logic_vector(28 downto 0);
signal sumAHighB_uid298_natLogPolyEval_o : std_logic_vector (28 downto 0);
signal sumAHighB_uid298_natLogPolyEval_q : std_logic_vector (28 downto 0);
signal sumAHighB_uid432_pT3_uid307_natLogPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid432_pT3_uid307_natLogPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid432_pT3_uid307_natLogPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid432_pT3_uid307_natLogPolyEval_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid447_pT4_uid313_natLogPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid447_pT4_uid313_natLogPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid447_pT4_uid313_natLogPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid447_pT4_uid313_natLogPolyEval_q : std_logic_vector (54 downto 0);
signal fracXRSRange_uid81_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal fracXRSRange_uid81_fpLogE1pxTest_b : std_logic_vector (52 downto 0);
signal fracXBranch4Red_uid80_fpLogE1pxTest_in : std_logic_vector (52 downto 0);
signal fracXBranch4Red_uid80_fpLogE1pxTest_b : std_logic_vector (52 downto 0);
signal leftShiftStage3Idx1_uid398_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal exc_I_uid24_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid24_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid24_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid25_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid25_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal rightPaddedIn_uid37_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_1_0_a : std_logic_vector(136 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_1_0_b : std_logic_vector(136 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_1_0_o : std_logic_vector (136 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_result_add_1_0_q : std_logic_vector (136 downto 0);
signal leftShiftStage0Idx1_uid230_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage0Idx2_uid233_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage0Idx3_uid236_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal xTop27Bits_uid405_pT2_uid301_natLogPolyEval_in : std_logic_vector (27 downto 0);
signal xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal sSM0W_uid409_pT2_uid301_natLogPolyEval_in : std_logic_vector (27 downto 0);
signal sSM0W_uid409_pT2_uid301_natLogPolyEval_b : std_logic_vector (3 downto 0);
signal sSM1W_uid412_pT2_uid301_natLogPolyEval_in : std_logic_vector (0 downto 0);
signal sSM1W_uid412_pT2_uid301_natLogPolyEval_b : std_logic_vector (0 downto 0);
signal pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_q : std_logic_vector (16 downto 0);
signal cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (31 downto 0);
signal rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_in : std_logic_vector (1 downto 0);
signal vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal r_uid225_leadingZeros_uid44_fpLogE1pxTest_q : std_logic_vector (5 downto 0);
signal spad_yBottomBits_uid422_uid425_pT3_uid307_natLogPolyEval_q : std_logic_vector (13 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_b_0_in : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_b_0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_b_1_in : std_logic_vector (53 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_b_1_b : std_logic_vector (26 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_b_2_in : std_logic_vector (80 downto 0);
signal postPEMul_uid103_fpLogE1pxTest_b_2_b : std_logic_vector (26 downto 0);
signal rVStage_uid352_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (3 downto 0);
signal rVStage_uid352_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal vStage_uid354_countZ_uid114_fpLogE1pxTest_in : std_logic_vector (1 downto 0);
signal vStage_uid354_countZ_uid114_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal r_uid360_countZ_uid114_fpLogE1pxTest_q : std_logic_vector (6 downto 0);
signal s1_uid296_uid299_natLogPolyEval_q : std_logic_vector (29 downto 0);
signal add0_uid430_uid433_pT3_uid307_natLogPolyEval_q : std_logic_vector (58 downto 0);
signal add0_uid445_uid448_pT4_uid313_natLogPolyEval_q : std_logic_vector (77 downto 0);
signal leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_s : std_logic_vector (0 downto 0);
signal leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal InvExc_I_uid28_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid28_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal exc_N_uid26_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid26_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid26_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (89 downto 0);
signal X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (73 downto 0);
signal X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (57 downto 0);
signal lowRangeB_uid106_fpLogE1pxTest_in : std_logic_vector (50 downto 0);
signal lowRangeB_uid106_fpLogE1pxTest_b : std_logic_vector (50 downto 0);
signal highBBits_uid107_fpLogE1pxTest_in : std_logic_vector (109 downto 0);
signal highBBits_uid107_fpLogE1pxTest_b : std_logic_vector (58 downto 0);
signal expBran3PreExt_uid45_fpLogE1pxTest_a : std_logic_vector(11 downto 0);
signal expBran3PreExt_uid45_fpLogE1pxTest_b : std_logic_vector(11 downto 0);
signal expBran3PreExt_uid45_fpLogE1pxTest_o : std_logic_vector (11 downto 0);
signal expBran3PreExt_uid45_fpLogE1pxTest_q : std_logic_vector (11 downto 0);
signal leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (5 downto 0);
signal leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (3 downto 0);
signal leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (1 downto 0);
signal leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_q : std_logic_vector (17 downto 0);
signal expRExt0_uid117_fpLogE1pxTest_a : std_logic_vector(12 downto 0);
signal expRExt0_uid117_fpLogE1pxTest_b : std_logic_vector(12 downto 0);
signal expRExt0_uid117_fpLogE1pxTest_o : std_logic_vector (12 downto 0);
signal expRExt0_uid117_fpLogE1pxTest_q : std_logic_vector (12 downto 0);
signal leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (6 downto 0);
signal leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (0 downto 0);
signal yTop27Bits_uid406_pT2_uid301_natLogPolyEval_in : std_logic_vector (29 downto 0);
signal yTop27Bits_uid406_pT2_uid301_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal sSM0H_uid408_pT2_uid301_natLogPolyEval_in : std_logic_vector (2 downto 0);
signal sSM0H_uid408_pT2_uid301_natLogPolyEval_b : std_logic_vector (2 downto 0);
signal sSM1H_uid411_pT2_uid301_natLogPolyEval_in : std_logic_vector (29 downto 0);
signal sSM1H_uid411_pT2_uid301_natLogPolyEval_b : std_logic_vector (5 downto 0);
signal R_uid434_pT3_uid307_natLogPolyEval_in : std_logic_vector (57 downto 0);
signal R_uid434_pT3_uid307_natLogPolyEval_b : std_logic_vector (40 downto 0);
signal R_uid449_pT4_uid313_natLogPolyEval_in : std_logic_vector (76 downto 0);
signal R_uid449_pT4_uid313_natLogPolyEval_b : std_logic_vector (51 downto 0);
signal fracR_uid122_fpLogE1pxTest_in : std_logic_vector (118 downto 0);
signal fracR_uid122_fpLogE1pxTest_b : std_logic_vector (52 downto 0);
signal InvExc_N_uid27_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid27_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal rightShiftStage0Idx1_uid158_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal rightShiftStage0Idx2_uid161_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal rightShiftStage0Idx3_uid164_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal expBran3Pre_uid46_fpLogE1pxTest_in : std_logic_vector (10 downto 0);
signal expBran3Pre_uid46_fpLogE1pxTest_b : std_logic_vector (10 downto 0);
signal leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal expFracConc_uid123_fpLogE1pxTest_q : std_logic_vector (65 downto 0);
signal exc_R_uid30_fpLogE1pxTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpLogE1pxTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpLogE1pxTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid30_fpLogE1pxTest_q : std_logic_vector(0 downto 0);
signal rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q : std_logic_vector (105 downto 0);
signal LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (100 downto 0);
signal LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (100 downto 0);
signal LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (96 downto 0);
signal LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (96 downto 0);
signal LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest_in : std_logic_vector (92 downto 0);
signal LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest_b : std_logic_vector (92 downto 0);
signal LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (111 downto 0);
signal LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (111 downto 0);
signal LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (103 downto 0);
signal LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (103 downto 0);
signal LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest_in : std_logic_vector (95 downto 0);
signal LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest_b : std_logic_vector (95 downto 0);
signal RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (101 downto 0);
signal RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (97 downto 0);
signal RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_in : std_logic_vector (105 downto 0);
signal RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b : std_logic_vector (93 downto 0);
signal leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_q : std_logic_vector (104 downto 0);
signal leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
signal leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_q : std_logic_vector (119 downto 0);
begin
--VCC(CONSTANT,1)
VCC_q <= "1";
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable(LOGICAL,1343)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_a <= en;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q <= not ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_a;
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor(LOGICAL,1572)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_b <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_q <= not (ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_a or ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_b);
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_mem_top(CONSTANT,1568)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_mem_top_q <= "0101111";
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp(LOGICAL,1569)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_a <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_mem_top_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_b <= STD_LOGIC_VECTOR("0" & ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_q);
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_q <= "1" when ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_a = ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_b else "0";
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmpReg(REG,1570)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmpReg_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena(REG,1573)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_nor_q = "1") THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd(LOGICAL,1574)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_a <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_sticky_ena_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_b <= en;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_a and ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_b;
--signX_uid7_fpLogE1pxTest(BITSELECT,6)@0
signX_uid7_fpLogE1pxTest_in <= a;
signX_uid7_fpLogE1pxTest_b <= signX_uid7_fpLogE1pxTest_in(63 downto 63);
--ld_signX_uid7_fpLogE1pxTest_b_to_signRFull_uid142_fpLogE1pxTest_b(DELAY,800)@0
ld_signX_uid7_fpLogE1pxTest_b_to_signRFull_uid142_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => signX_uid7_fpLogE1pxTest_b, xout => ld_signX_uid7_fpLogE1pxTest_b_to_signRFull_uid142_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--cstAllZWF_uid8_fpLogE1pxTest(CONSTANT,7)
cstAllZWF_uid8_fpLogE1pxTest_q <= "0000000000000000000000000000000000000000000000000000";
--ld_xIn_a_to_frac_uid22_fpLogE1pxTest_a(DELAY,658)@0
ld_xIn_a_to_frac_uid22_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => a, xout => ld_xIn_a_to_frac_uid22_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--frac_uid22_fpLogE1pxTest(BITSELECT,21)@1
frac_uid22_fpLogE1pxTest_in <= ld_xIn_a_to_frac_uid22_fpLogE1pxTest_a_q(51 downto 0);
frac_uid22_fpLogE1pxTest_b <= frac_uid22_fpLogE1pxTest_in(51 downto 0);
--fracXIsZero_uid23_fpLogE1pxTest(LOGICAL,22)@1
fracXIsZero_uid23_fpLogE1pxTest_a <= frac_uid22_fpLogE1pxTest_b;
fracXIsZero_uid23_fpLogE1pxTest_b <= cstAllZWF_uid8_fpLogE1pxTest_q;
fracXIsZero_uid23_fpLogE1pxTest_q <= "1" when fracXIsZero_uid23_fpLogE1pxTest_a = fracXIsZero_uid23_fpLogE1pxTest_b else "0";
--cstAllOWE_uid15_fpLogE1pxTest(CONSTANT,14)
cstAllOWE_uid15_fpLogE1pxTest_q <= "11111111111";
--expX_uid6_fpLogE1pxTest(BITSELECT,5)@0
expX_uid6_fpLogE1pxTest_in <= a(62 downto 0);
expX_uid6_fpLogE1pxTest_b <= expX_uid6_fpLogE1pxTest_in(62 downto 52);
--expXIsMax_uid21_fpLogE1pxTest(LOGICAL,20)@0
expXIsMax_uid21_fpLogE1pxTest_a <= expX_uid6_fpLogE1pxTest_b;
expXIsMax_uid21_fpLogE1pxTest_b <= cstAllOWE_uid15_fpLogE1pxTest_q;
expXIsMax_uid21_fpLogE1pxTest_q <= "1" when expXIsMax_uid21_fpLogE1pxTest_a = expXIsMax_uid21_fpLogE1pxTest_b else "0";
--ld_expXIsMax_uid21_fpLogE1pxTest_q_to_exc_I_uid24_fpLogE1pxTest_a(DELAY,660)@0
ld_expXIsMax_uid21_fpLogE1pxTest_q_to_exc_I_uid24_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expXIsMax_uid21_fpLogE1pxTest_q, xout => ld_expXIsMax_uid21_fpLogE1pxTest_q_to_exc_I_uid24_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--exc_I_uid24_fpLogE1pxTest(LOGICAL,23)@1
exc_I_uid24_fpLogE1pxTest_a <= ld_expXIsMax_uid21_fpLogE1pxTest_q_to_exc_I_uid24_fpLogE1pxTest_a_q;
exc_I_uid24_fpLogE1pxTest_b <= fracXIsZero_uid23_fpLogE1pxTest_q;
exc_I_uid24_fpLogE1pxTest_q <= exc_I_uid24_fpLogE1pxTest_a and exc_I_uid24_fpLogE1pxTest_b;
--ld_signX_uid7_fpLogE1pxTest_b_to_negInf_uid138_fpLogE1pxTest_a(DELAY,791)@0
ld_signX_uid7_fpLogE1pxTest_b_to_negInf_uid138_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signX_uid7_fpLogE1pxTest_b, xout => ld_signX_uid7_fpLogE1pxTest_b_to_negInf_uid138_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--negInf_uid138_fpLogE1pxTest(LOGICAL,137)@1
negInf_uid138_fpLogE1pxTest_a <= ld_signX_uid7_fpLogE1pxTest_b_to_negInf_uid138_fpLogE1pxTest_a_q;
negInf_uid138_fpLogE1pxTest_b <= exc_I_uid24_fpLogE1pxTest_q;
negInf_uid138_fpLogE1pxTest_q_i <= negInf_uid138_fpLogE1pxTest_a and negInf_uid138_fpLogE1pxTest_b;
negInf_uid138_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => negInf_uid138_fpLogE1pxTest_q, xin => negInf_uid138_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--GND(CONSTANT,0)
GND_q <= "0";
--cstBias_uid9_fpLogE1pxTest(CONSTANT,8)
cstBias_uid9_fpLogE1pxTest_q <= "01111111111";
--mO_uid130_fpLogE1pxTest(BITJOIN,129)@0
mO_uid130_fpLogE1pxTest_q <= VCC_q & cstBias_uid9_fpLogE1pxTest_q & cstAllZWF_uid8_fpLogE1pxTest_q;
--xLTM1_uid133_fpLogE1pxTest(COMPARE,132)@0
xLTM1_uid133_fpLogE1pxTest_cin <= GND_q;
xLTM1_uid133_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("00" & mO_uid130_fpLogE1pxTest_q) & '0';
xLTM1_uid133_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00" & a) & xLTM1_uid133_fpLogE1pxTest_cin(0);
xLTM1_uid133_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(xLTM1_uid133_fpLogE1pxTest_a) - UNSIGNED(xLTM1_uid133_fpLogE1pxTest_b));
xLTM1_uid133_fpLogE1pxTest_c(0) <= xLTM1_uid133_fpLogE1pxTest_o(66);
--ld_xLTM1_uid133_fpLogE1pxTest_c_to_excRNaN0_uid139_fpLogE1pxTest_b(DELAY,794)@0
ld_xLTM1_uid133_fpLogE1pxTest_c_to_excRNaN0_uid139_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => xLTM1_uid133_fpLogE1pxTest_c, xout => ld_xLTM1_uid133_fpLogE1pxTest_c_to_excRNaN0_uid139_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--InvFracXIsZero_uid25_fpLogE1pxTest(LOGICAL,24)@1
InvFracXIsZero_uid25_fpLogE1pxTest_a <= fracXIsZero_uid23_fpLogE1pxTest_q;
InvFracXIsZero_uid25_fpLogE1pxTest_q <= not InvFracXIsZero_uid25_fpLogE1pxTest_a;
--exc_N_uid26_fpLogE1pxTest(LOGICAL,25)@1
exc_N_uid26_fpLogE1pxTest_a <= ld_expXIsMax_uid21_fpLogE1pxTest_q_to_exc_I_uid24_fpLogE1pxTest_a_q;
exc_N_uid26_fpLogE1pxTest_b <= InvFracXIsZero_uid25_fpLogE1pxTest_q;
exc_N_uid26_fpLogE1pxTest_q <= exc_N_uid26_fpLogE1pxTest_a and exc_N_uid26_fpLogE1pxTest_b;
--InvExc_N_uid27_fpLogE1pxTest(LOGICAL,26)@1
InvExc_N_uid27_fpLogE1pxTest_a <= exc_N_uid26_fpLogE1pxTest_q;
InvExc_N_uid27_fpLogE1pxTest_q <= not InvExc_N_uid27_fpLogE1pxTest_a;
--InvExc_I_uid28_fpLogE1pxTest(LOGICAL,27)@1
InvExc_I_uid28_fpLogE1pxTest_a <= exc_I_uid24_fpLogE1pxTest_q;
InvExc_I_uid28_fpLogE1pxTest_q <= not InvExc_I_uid28_fpLogE1pxTest_a;
--cstAllZWE_uid17_fpLogE1pxTest(CONSTANT,16)
cstAllZWE_uid17_fpLogE1pxTest_q <= "00000000000";
--expXIsZero_uid19_fpLogE1pxTest(LOGICAL,18)@0
expXIsZero_uid19_fpLogE1pxTest_a <= expX_uid6_fpLogE1pxTest_b;
expXIsZero_uid19_fpLogE1pxTest_b <= cstAllZWE_uid17_fpLogE1pxTest_q;
expXIsZero_uid19_fpLogE1pxTest_q <= "1" when expXIsZero_uid19_fpLogE1pxTest_a = expXIsZero_uid19_fpLogE1pxTest_b else "0";
--ld_expXIsZero_uid19_fpLogE1pxTest_q_to_InvExpXIsZero_uid29_fpLogE1pxTest_a(DELAY,667)@0
ld_expXIsZero_uid19_fpLogE1pxTest_q_to_InvExpXIsZero_uid29_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expXIsZero_uid19_fpLogE1pxTest_q, xout => ld_expXIsZero_uid19_fpLogE1pxTest_q_to_InvExpXIsZero_uid29_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--InvExpXIsZero_uid29_fpLogE1pxTest(LOGICAL,28)@1
InvExpXIsZero_uid29_fpLogE1pxTest_a <= ld_expXIsZero_uid19_fpLogE1pxTest_q_to_InvExpXIsZero_uid29_fpLogE1pxTest_a_q;
InvExpXIsZero_uid29_fpLogE1pxTest_q <= not InvExpXIsZero_uid29_fpLogE1pxTest_a;
--exc_R_uid30_fpLogE1pxTest(LOGICAL,29)@1
exc_R_uid30_fpLogE1pxTest_a <= InvExpXIsZero_uid29_fpLogE1pxTest_q;
exc_R_uid30_fpLogE1pxTest_b <= InvExc_I_uid28_fpLogE1pxTest_q;
exc_R_uid30_fpLogE1pxTest_c <= InvExc_N_uid27_fpLogE1pxTest_q;
exc_R_uid30_fpLogE1pxTest_q <= exc_R_uid30_fpLogE1pxTest_a and exc_R_uid30_fpLogE1pxTest_b and exc_R_uid30_fpLogE1pxTest_c;
--excRNaN0_uid139_fpLogE1pxTest(LOGICAL,138)@1
excRNaN0_uid139_fpLogE1pxTest_a <= exc_R_uid30_fpLogE1pxTest_q;
excRNaN0_uid139_fpLogE1pxTest_b <= ld_xLTM1_uid133_fpLogE1pxTest_c_to_excRNaN0_uid139_fpLogE1pxTest_b_q;
excRNaN0_uid139_fpLogE1pxTest_q_i <= excRNaN0_uid139_fpLogE1pxTest_a and excRNaN0_uid139_fpLogE1pxTest_b;
excRNaN0_uid139_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => excRNaN0_uid139_fpLogE1pxTest_q, xin => excRNaN0_uid139_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--reg_exc_N_uid26_fpLogE1pxTest_0_to_excRNaN_uid140_fpLogE1pxTest_1(REG,491)@1
reg_exc_N_uid26_fpLogE1pxTest_0_to_excRNaN_uid140_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_exc_N_uid26_fpLogE1pxTest_0_to_excRNaN_uid140_fpLogE1pxTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_exc_N_uid26_fpLogE1pxTest_0_to_excRNaN_uid140_fpLogE1pxTest_1_q <= exc_N_uid26_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--excRNaN_uid140_fpLogE1pxTest(LOGICAL,139)@2
excRNaN_uid140_fpLogE1pxTest_a <= reg_exc_N_uid26_fpLogE1pxTest_0_to_excRNaN_uid140_fpLogE1pxTest_1_q;
excRNaN_uid140_fpLogE1pxTest_b <= excRNaN0_uid139_fpLogE1pxTest_q;
excRNaN_uid140_fpLogE1pxTest_c <= negInf_uid138_fpLogE1pxTest_q;
excRNaN_uid140_fpLogE1pxTest_q <= excRNaN_uid140_fpLogE1pxTest_a or excRNaN_uid140_fpLogE1pxTest_b or excRNaN_uid140_fpLogE1pxTest_c;
--InvExcRNaN_uid141_fpLogE1pxTest(LOGICAL,140)@2
InvExcRNaN_uid141_fpLogE1pxTest_a <= excRNaN_uid140_fpLogE1pxTest_q;
InvExcRNaN_uid141_fpLogE1pxTest_q <= not InvExcRNaN_uid141_fpLogE1pxTest_a;
--signRFull_uid142_fpLogE1pxTest(LOGICAL,141)@2
signRFull_uid142_fpLogE1pxTest_a <= InvExcRNaN_uid141_fpLogE1pxTest_q;
signRFull_uid142_fpLogE1pxTest_b <= ld_signX_uid7_fpLogE1pxTest_b_to_signRFull_uid142_fpLogE1pxTest_b_q;
signRFull_uid142_fpLogE1pxTest_q <= signRFull_uid142_fpLogE1pxTest_a and signRFull_uid142_fpLogE1pxTest_b;
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_inputreg(DELAY,1562)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => signRFull_uid142_fpLogE1pxTest_q, xout => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt(COUNTER,1564)
-- every=1, low=0, high=47, step=1, init=1
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i = 46 THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_eq <= '1';
ELSE
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_eq <= '0';
END IF;
IF (ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_eq = '1') THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i - 47;
ELSE
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_i,6));
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg(REG,1565)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--xIn(GPIN,3)@0
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux(MUX,1566)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_s <= en;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux: PROCESS (ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_s, ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg_q, ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_q)
BEGIN
CASE ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_s IS
WHEN "0" => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg_q;
WHEN "1" => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem(DUALMEM,1563)
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_ia <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_inputreg_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_aa <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdreg_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_ab <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_rdmux_q;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 48,
width_b => 1,
widthad_b => 6,
numwords_b => 48,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_iq,
address_a => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_aa,
data_a => ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_ia
);
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_reset0 <= areset;
ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_iq(0 downto 0);
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor(LOGICAL,1546)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_b <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_q <= not (ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_a or ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_b);
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_mem_top(CONSTANT,1542)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_mem_top_q <= "0110001";
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp(LOGICAL,1543)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_a <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_mem_top_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_q);
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_q <= "1" when ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_a = ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_b else "0";
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmpReg(REG,1544)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmpReg_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena(REG,1547)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_nor_q = "1") THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd(LOGICAL,1548)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_a <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_sticky_ena_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_b <= en;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_a and ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_b;
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_inputreg(DELAY,1536)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 11, depth => 1 )
PORT MAP ( xin => expX_uid6_fpLogE1pxTest_b, xout => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt(COUNTER,1538)
-- every=1, low=0, high=49, step=1, init=1
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i = 48 THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_eq = '1') THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i - 49;
ELSE
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_i,6));
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg(REG,1539)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux(MUX,1540)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_s <= en;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux: PROCESS (ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_s, ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg_q, ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_q)
BEGIN
CASE ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_s IS
WHEN "0" => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg_q;
WHEN "1" => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem(DUALMEM,1537)
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_ia <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_inputreg_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_aa <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdreg_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_ab <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_rdmux_q;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 11,
widthad_a => 6,
numwords_a => 50,
width_b => 11,
widthad_b => 6,
numwords_b => 50,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_iq,
address_a => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_aa,
data_a => ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_ia
);
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_reset0 <= areset;
ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_iq(10 downto 0);
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor(LOGICAL,1509)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_b <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_q <= not (ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_a or ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_b);
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_mem_top(CONSTANT,1505)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_mem_top_q <= "0100011";
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp(LOGICAL,1506)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_a <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_mem_top_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_q);
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_q <= "1" when ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_a = ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_b else "0";
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmpReg(REG,1507)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmpReg_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena(REG,1510)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_nor_q = "1") THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd(LOGICAL,1511)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_a <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_sticky_ena_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_b <= en;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_a and ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_b;
--cstBiasMO_uid10_fpLogE1pxTest(CONSTANT,9)
cstBiasMO_uid10_fpLogE1pxTest_q <= "01111111110";
--expXIsMo_uid86_fpLogE1pxTest(COMPARE,85)@0
expXIsMo_uid86_fpLogE1pxTest_cin <= GND_q;
expXIsMo_uid86_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpLogE1pxTest_b) & '0';
expXIsMo_uid86_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00" & cstBiasMO_uid10_fpLogE1pxTest_q) & expXIsMo_uid86_fpLogE1pxTest_cin(0);
expXIsMo_uid86_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expXIsMo_uid86_fpLogE1pxTest_a) - UNSIGNED(expXIsMo_uid86_fpLogE1pxTest_b));
expXIsMo_uid86_fpLogE1pxTest_c(0) <= expXIsMo_uid86_fpLogE1pxTest_o(13);
--ld_expXIsMo_uid86_fpLogE1pxTest_c_to_c_uid87_fpLogE1pxTest_b(DELAY,733)@0
ld_expXIsMo_uid86_fpLogE1pxTest_c_to_c_uid87_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 10 )
PORT MAP ( xin => expXIsMo_uid86_fpLogE1pxTest_c, xout => ld_expXIsMo_uid86_fpLogE1pxTest_c_to_c_uid87_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--cstBiasMWFP1_uid14_fpLogE1pxTest(CONSTANT,13)
cstBiasMWFP1_uid14_fpLogE1pxTest_q <= "01111001010";
--resIsX_uid62_fpLogE1pxTest(COMPARE,61)@0
resIsX_uid62_fpLogE1pxTest_cin <= GND_q;
resIsX_uid62_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpLogE1pxTest_b) & '0';
resIsX_uid62_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00" & cstBiasMWFP1_uid14_fpLogE1pxTest_q) & resIsX_uid62_fpLogE1pxTest_cin(0);
resIsX_uid62_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(resIsX_uid62_fpLogE1pxTest_a) - UNSIGNED(resIsX_uid62_fpLogE1pxTest_b));
resIsX_uid62_fpLogE1pxTest_c(0) <= resIsX_uid62_fpLogE1pxTest_o(13);
--InvResIsX_uid72_fpLogE1pxTest(LOGICAL,71)@0
InvResIsX_uid72_fpLogE1pxTest_a <= resIsX_uid62_fpLogE1pxTest_c;
InvResIsX_uid72_fpLogE1pxTest_q <= not InvResIsX_uid72_fpLogE1pxTest_a;
--branch22_uid66_fpLogE1pxTest(COMPARE,65)@0
branch22_uid66_fpLogE1pxTest_cin <= GND_q;
branch22_uid66_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpLogE1pxTest_b) & '0';
branch22_uid66_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00" & cstBias_uid9_fpLogE1pxTest_q) & branch22_uid66_fpLogE1pxTest_cin(0);
branch22_uid66_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(branch22_uid66_fpLogE1pxTest_a) - UNSIGNED(branch22_uid66_fpLogE1pxTest_b));
branch22_uid66_fpLogE1pxTest_c(0) <= branch22_uid66_fpLogE1pxTest_o(13);
branch22_uid66_fpLogE1pxTest_n(0) <= not branch22_uid66_fpLogE1pxTest_o(13);
--branch4_uid75_fpLogE1pxTest(LOGICAL,74)@0
branch4_uid75_fpLogE1pxTest_a <= branch22_uid66_fpLogE1pxTest_c;
branch4_uid75_fpLogE1pxTest_b <= InvResIsX_uid72_fpLogE1pxTest_q;
branch4_uid75_fpLogE1pxTest_c <= signX_uid7_fpLogE1pxTest_b;
branch4_uid75_fpLogE1pxTest_q <= branch4_uid75_fpLogE1pxTest_a and branch4_uid75_fpLogE1pxTest_b and branch4_uid75_fpLogE1pxTest_c;
--ld_branch4_uid75_fpLogE1pxTest_q_to_c_uid87_fpLogE1pxTest_a(DELAY,732)@0
ld_branch4_uid75_fpLogE1pxTest_q_to_c_uid87_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 10 )
PORT MAP ( xin => branch4_uid75_fpLogE1pxTest_q, xout => ld_branch4_uid75_fpLogE1pxTest_q_to_c_uid87_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--c_uid87_fpLogE1pxTest(LOGICAL,86)@10
c_uid87_fpLogE1pxTest_a <= ld_branch4_uid75_fpLogE1pxTest_q_to_c_uid87_fpLogE1pxTest_a_q;
c_uid87_fpLogE1pxTest_b <= ld_expXIsMo_uid86_fpLogE1pxTest_c_to_c_uid87_fpLogE1pxTest_b_q;
c_uid87_fpLogE1pxTest_q <= c_uid87_fpLogE1pxTest_a and c_uid87_fpLogE1pxTest_b;
--reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1(REG,529)@10
reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1_q <= c_uid87_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor(LOGICAL,1496)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_b <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_q <= not (ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_a or ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_b);
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_mem_top(CONSTANT,1492)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_mem_top_q <= "0111";
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp(LOGICAL,1493)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_a <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_mem_top_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_q);
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_q <= "1" when ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_a = ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_b else "0";
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmpReg(REG,1494)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmpReg_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena(REG,1497)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_nor_q = "1") THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd(LOGICAL,1498)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_a <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_sticky_ena_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_b <= en;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_a and ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_b;
--shifterAddrExt_uid34_fpLogE1pxTest(SUB,33)@0
shifterAddrExt_uid34_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & cstBias_uid9_fpLogE1pxTest_q);
shifterAddrExt_uid34_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("0" & expX_uid6_fpLogE1pxTest_b);
shifterAddrExt_uid34_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shifterAddrExt_uid34_fpLogE1pxTest_a) - UNSIGNED(shifterAddrExt_uid34_fpLogE1pxTest_b));
shifterAddrExt_uid34_fpLogE1pxTest_q <= shifterAddrExt_uid34_fpLogE1pxTest_o(11 downto 0);
--shifterAddr_uid35_fpLogE1pxTest(BITSELECT,34)@0
shifterAddr_uid35_fpLogE1pxTest_in <= shifterAddrExt_uid34_fpLogE1pxTest_q(5 downto 0);
shifterAddr_uid35_fpLogE1pxTest_b <= shifterAddr_uid35_fpLogE1pxTest_in(5 downto 0);
--reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0(REG,645)@0
reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q <= shifterAddr_uid35_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_inputreg(DELAY,1486)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q, xout => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt(COUNTER,1488)
-- every=1, low=0, high=7, step=1, init=1
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_i <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_i,3));
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg(REG,1489)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux(MUX,1490)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_s <= en;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux: PROCESS (ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_s, ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg_q, ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_s IS
WHEN "0" => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg_q;
WHEN "1" => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem(DUALMEM,1487)
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_ia <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_inputreg_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_aa <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdreg_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_ab <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_rdmux_q;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 6,
widthad_a => 3,
numwords_a => 8,
width_b => 6,
widthad_b => 3,
numwords_b => 8,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_ia
);
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_q <= ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_iq(5 downto 0);
--branch4ExpCorrection_uid118_fpLogE1pxTest(SUB,117)@11
branch4ExpCorrection_uid118_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & ld_reg_shifterAddr_uid35_fpLogE1pxTest_0_to_branch4ExpCorrection_uid118_fpLogE1pxTest_0_q_to_branch4ExpCorrection_uid118_fpLogE1pxTest_a_replace_mem_q);
branch4ExpCorrection_uid118_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("000000" & reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1_q);
branch4ExpCorrection_uid118_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(branch4ExpCorrection_uid118_fpLogE1pxTest_a) - UNSIGNED(branch4ExpCorrection_uid118_fpLogE1pxTest_b));
branch4ExpCorrection_uid118_fpLogE1pxTest_q <= branch4ExpCorrection_uid118_fpLogE1pxTest_o(6 downto 0);
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_inputreg(DELAY,1499)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => branch4ExpCorrection_uid118_fpLogE1pxTest_q, xout => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt(COUNTER,1501)
-- every=1, low=0, high=35, step=1, init=1
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i = 34 THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_eq = '1') THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i - 35;
ELSE
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_i,6));
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg(REG,1502)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux(MUX,1503)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_s <= en;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux: PROCESS (ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_s, ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg_q, ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_q)
BEGIN
CASE ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_s IS
WHEN "0" => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg_q;
WHEN "1" => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem(DUALMEM,1500)
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_ia <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_inputreg_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_aa <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdreg_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_ab <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_rdmux_q;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 6,
numwords_a => 36,
width_b => 7,
widthad_b => 6,
numwords_b => 36,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_ia
);
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_q <= ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_iq(6 downto 0);
--zs_uid319_countZ_uid114_fpLogE1pxTest(CONSTANT,318)
zs_uid319_countZ_uid114_fpLogE1pxTest_q <= "0000000000000000000000000000000000000000000000000000000000000000";
--ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor(LOGICAL,1433)
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_b <= ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena_q;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_q <= not (ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_a or ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_b);
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg(REG,1342)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena(REG,1434)
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_nor_q = "1") THEN
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd(LOGICAL,1435)
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_a <= ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_sticky_ena_q;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_b <= en;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_q <= ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_a and ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_b;
--X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,234)@8
X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= redLO_uid47_fpLogE1pxTest_b(56 downto 0);
X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest_in(56 downto 0);
--rightShiftStage0Idx3Pad48_uid163_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,162)
rightShiftStage0Idx3Pad48_uid163_fracXRSExt_uid36_fpLogE1pxTest_q <= "000000000000000000000000000000000000000000000000";
--leftShiftStage0Idx3_uid236_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,235)@8
leftShiftStage0Idx3_uid236_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= X56dto0_uid235_fracXBranch4Ext_uid48_fpLogE1pxTest_b & rightShiftStage0Idx3Pad48_uid163_fracXRSExt_uid36_fpLogE1pxTest_q;
--X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,231)@8
X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= redLO_uid47_fpLogE1pxTest_b(72 downto 0);
X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest_in(72 downto 0);
--rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,159)
rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q <= "00000000000000000000000000000000";
--leftShiftStage0Idx2_uid233_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,232)@8
leftShiftStage0Idx2_uid233_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= X72dto0_uid232_fracXBranch4Ext_uid48_fpLogE1pxTest_b & rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q;
--X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,228)@8
X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= redLO_uid47_fpLogE1pxTest_b(88 downto 0);
X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest_in(88 downto 0);
--rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,156)
rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q <= "0000000000000000";
--leftShiftStage0Idx1_uid230_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,229)@8
leftShiftStage0Idx1_uid230_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= X88dto0_uid229_fracXBranch4Ext_uid48_fpLogE1pxTest_b & rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q;
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor(LOGICAL,1344)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_b <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena_q;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_q <= not (ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_a or ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_b);
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena(REG,1345)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_nor_q = "1") THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd(LOGICAL,1346)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_sticky_ena_q;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_b <= en;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_a and ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_b;
--X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,161)@1
X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest_in <= rightPaddedIn_uid37_fpLogE1pxTest_q;
X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest_b <= X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 48);
--rightShiftStage0Idx3_uid164_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,163)@1
rightShiftStage0Idx3_uid164_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage0Idx3Pad48_uid163_fracXRSExt_uid36_fpLogE1pxTest_q & X105dto48_uid162_fracXRSExt_uid36_fpLogE1pxTest_b;
--X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,158)@1
X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest_in <= rightPaddedIn_uid37_fpLogE1pxTest_q;
X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest_b <= X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 32);
--rightShiftStage0Idx2_uid161_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,160)@1
rightShiftStage0Idx2_uid161_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q & X105dto32_uid159_fracXRSExt_uid36_fpLogE1pxTest_b;
--X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,155)@1
X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest_in <= rightPaddedIn_uid37_fpLogE1pxTest_q;
X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest_b <= X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 16);
--rightShiftStage0Idx1_uid158_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,157)@1
rightShiftStage0Idx1_uid158_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q & X105dto16_uid156_fracXRSExt_uid36_fpLogE1pxTest_b;
--oFracX_uid32_fpLogE1pxTest(BITJOIN,31)@1
oFracX_uid32_fpLogE1pxTest_q <= VCC_q & frac_uid22_fpLogE1pxTest_b;
--padConst_uid36_fpLogE1pxTest(CONSTANT,35)
padConst_uid36_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000000000";
--rightPaddedIn_uid37_fpLogE1pxTest(BITJOIN,36)@1
rightPaddedIn_uid37_fpLogE1pxTest_q <= oFracX_uid32_fpLogE1pxTest_q & padConst_uid36_fpLogE1pxTest_q;
--rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,164)@0
rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_in <= shifterAddr_uid35_fpLogE1pxTest_b;
rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_b <= rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_in(5 downto 4);
--reg_rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_1(REG,499)@0
reg_rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_1_q <= rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest(MUX,165)@1
rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_s <= reg_rightShiftStageSel5Dto4_uid165_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_1_q;
rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest: PROCESS (rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_s, en, rightPaddedIn_uid37_fpLogE1pxTest_q, rightShiftStage0Idx1_uid158_fracXRSExt_uid36_fpLogE1pxTest_q, rightShiftStage0Idx2_uid161_fracXRSExt_uid36_fpLogE1pxTest_q, rightShiftStage0Idx3_uid164_fracXRSExt_uid36_fpLogE1pxTest_q)
BEGIN
CASE rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_s IS
WHEN "00" => rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q <= rightPaddedIn_uid37_fpLogE1pxTest_q;
WHEN "01" => rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage0Idx1_uid158_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN "10" => rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage0Idx2_uid161_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN "11" => rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage0Idx3_uid164_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN OTHERS => rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,172)@1
RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_in <= rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q;
RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b <= RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 12);
--ld_RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_a(DELAY,829)@1
ld_RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 94, depth => 1 )
PORT MAP ( xin => RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,174)@2
rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage1Idx3Pad12_uid174_fracXRSExt_uid36_fpLogE1pxTest_q & ld_RightShiftStage0105dto12_uid173_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_a_q;
--rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,170)
rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q <= "00000000";
--RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,169)@1
RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_in <= rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q;
RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b <= RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 8);
--ld_RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_a(DELAY,827)@1
ld_RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 98, depth => 1 )
PORT MAP ( xin => RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,171)@2
rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q & ld_RightShiftStage0105dto8_uid170_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_a_q;
--rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,167)
rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q <= "0000";
--RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,166)@1
RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_in <= rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q;
RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b <= RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 4);
--ld_RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_a(DELAY,825)@1
ld_RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 102, depth => 1 )
PORT MAP ( xin => RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,168)@2
rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q & ld_RightShiftStage0105dto4_uid167_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_a_q;
--reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2(REG,501)@1
reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2_q <= rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,175)@0
rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_in <= shifterAddr_uid35_fpLogE1pxTest_b(3 downto 0);
rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b <= rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_in(3 downto 2);
--ld_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b_to_reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_a(DELAY,1183)@0
ld_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b_to_reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_a : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b_to_reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1(REG,500)@1
reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_q <= ld_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_b_to_reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest(MUX,176)@2
rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_s <= reg_rightShiftStageSel3Dto2_uid176_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_1_q;
rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest: PROCESS (rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_s, en, reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2_q, rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_q, rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_q, rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_q)
BEGIN
CASE rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_s IS
WHEN "00" => rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q <= reg_rightShiftStage0_uid166_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_2_q;
WHEN "01" => rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage1Idx1_uid169_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN "10" => rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage1Idx2_uid172_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN "11" => rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage1Idx3_uid175_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN OTHERS => rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,183)@2
RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_in <= rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q;
RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b <= RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 3);
--ld_RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_a(DELAY,841)@2
ld_RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 103, depth => 1 )
PORT MAP ( xin => RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,185)@3
rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage2Idx3Pad3_uid185_fracXRSExt_uid36_fpLogE1pxTest_q & ld_RightShiftStage1105dto3_uid184_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_a_q;
--z2_uid100_fpLogE1pxTest(CONSTANT,99)
z2_uid100_fpLogE1pxTest_q <= "00";
--RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,180)@2
RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_in <= rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q;
RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b <= RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 2);
--ld_RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_a(DELAY,839)@2
ld_RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 104, depth => 1 )
PORT MAP ( xin => RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,182)@3
rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_q <= z2_uid100_fpLogE1pxTest_q & ld_RightShiftStage1105dto2_uid181_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_a_q;
--RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,177)@2
RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_in <= rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q;
RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b <= RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_in(105 downto 1);
--ld_RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_a(DELAY,837)@2
ld_RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 105, depth => 1 )
PORT MAP ( xin => RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b, xout => ld_RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest(BITJOIN,179)@3
rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_q <= GND_q & ld_RightShiftStage1105dto1_uid178_fracXRSExt_uid36_fpLogE1pxTest_b_to_rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_a_q;
--reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2(REG,503)@2
reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2_q <= rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest(BITSELECT,186)@0
rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_in <= shifterAddr_uid35_fpLogE1pxTest_b(1 downto 0);
rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_b <= rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_in(1 downto 0);
--reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1(REG,502)@0
reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q <= rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_b(DELAY,843)@1
ld_reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 2 )
PORT MAP ( xin => reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q, xout => ld_reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest(MUX,187)@3
rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_s <= ld_reg_rightShiftStageSel1Dto0_uid187_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_1_q_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_b_q;
rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest: PROCESS (rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_s, en, reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2_q, rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_q, rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_q, rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_q)
BEGIN
CASE rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_s IS
WHEN "00" => rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q <= reg_rightShiftStage1_uid177_fracXRSExt_uid36_fpLogE1pxTest_0_to_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_2_q;
WHEN "01" => rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage2Idx1_uid180_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN "10" => rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage2Idx2_uid183_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN "11" => rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q <= rightShiftStage2Idx3_uid186_fracXRSExt_uid36_fpLogE1pxTest_q;
WHEN OTHERS => rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--reg_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_1(REG,505)@3
reg_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_1_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_1_q <= rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--pad_o_uid12_uid40_fpLogE1pxTest(BITJOIN,39)@3
pad_o_uid12_uid40_fpLogE1pxTest_q <= VCC_q & STD_LOGIC_VECTOR((104 downto 1 => GND_q(0)) & GND_q);
--reg_pad_o_uid12_uid40_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_0(REG,504)@3
reg_pad_o_uid12_uid40_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_o_uid12_uid40_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_0_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_o_uid12_uid40_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_0_q <= pad_o_uid12_uid40_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--oMfracXRSExt_uid40_fpLogE1pxTest(SUB,40)@4
oMfracXRSExt_uid40_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & reg_pad_o_uid12_uid40_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_0_q);
oMfracXRSExt_uid40_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("0" & reg_rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_0_to_oMfracXRSExt_uid40_fpLogE1pxTest_1_q);
oMfracXRSExt_uid40_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oMfracXRSExt_uid40_fpLogE1pxTest_a) - UNSIGNED(oMfracXRSExt_uid40_fpLogE1pxTest_b));
oMfracXRSExt_uid40_fpLogE1pxTest_q <= oMfracXRSExt_uid40_fpLogE1pxTest_o(106 downto 0);
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_inputreg(DELAY,1336)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 107, depth => 1 )
PORT MAP ( xin => oMfracXRSExt_uid40_fpLogE1pxTest_q, xout => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem(DUALMEM,1337)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_ia <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_inputreg_q;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 107,
widthad_a => 1,
numwords_a => 2,
width_b => 107,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_ia
);
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_iq(106 downto 0);
--redLO_uid47_fpLogE1pxTest(BITSELECT,46)@8
redLO_uid47_fpLogE1pxTest_in <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_mem_q(104 downto 0);
redLO_uid47_fpLogE1pxTest_b <= redLO_uid47_fpLogE1pxTest_in(104 downto 0);
--oMfracXRSLZCIn_uid43_fpLogE1pxTest(BITSELECT,42)@4
oMfracXRSLZCIn_uid43_fpLogE1pxTest_in <= oMfracXRSExt_uid40_fpLogE1pxTest_q(104 downto 0);
oMfracXRSLZCIn_uid43_fpLogE1pxTest_b <= oMfracXRSLZCIn_uid43_fpLogE1pxTest_in(104 downto 52);
--rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,190)@4
rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_in <= oMfracXRSLZCIn_uid43_fpLogE1pxTest_b;
rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_b <= rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_in(52 downto 21);
--reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1(REG,506)@4
reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1_q <= rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid192_leadingZeros_uid44_fpLogE1pxTest(LOGICAL,191)@5
vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_a <= reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1_q;
vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_b <= rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_q <= "1" when vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_a = vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_b else "0";
--reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5(REG,518)@5
reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q <= vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_f(DELAY,886)@6
ld_reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_f : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q, xout => ld_reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_f_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid194_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,193)@4
vStage_uid194_leadingZeros_uid44_fpLogE1pxTest_in <= oMfracXRSLZCIn_uid43_fpLogE1pxTest_b(20 downto 0);
vStage_uid194_leadingZeros_uid44_fpLogE1pxTest_b <= vStage_uid194_leadingZeros_uid44_fpLogE1pxTest_in(20 downto 0);
--mO_uid193_leadingZeros_uid44_fpLogE1pxTest(CONSTANT,192)
mO_uid193_leadingZeros_uid44_fpLogE1pxTest_q <= "11111111111";
--cStage_uid195_leadingZeros_uid44_fpLogE1pxTest(BITJOIN,194)@4
cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_q <= vStage_uid194_leadingZeros_uid44_fpLogE1pxTest_b & mO_uid193_leadingZeros_uid44_fpLogE1pxTest_q;
--reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3(REG,508)@4
reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3_q <= cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest(MUX,196)@5
vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_s <= vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_q;
vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest: PROCESS (vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_s, en, reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1_q, reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_q <= reg_rVStage_uid191_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_1_q;
WHEN "1" => vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_q <= reg_cStage_uid195_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,198)@5
rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_q;
rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_b <= rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_in(31 downto 16);
--reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1(REG,509)@5
reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1_q <= rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid200_leadingZeros_uid44_fpLogE1pxTest(LOGICAL,199)@6
vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_a <= reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1_q;
vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_b <= rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_q <= "1" when vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_a = vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_b else "0";
--reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4(REG,517)@6
reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q <= vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_e(DELAY,885)@7
ld_reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_e : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q, xout => ld_reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_e_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid201_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,200)@5
vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid197_leadingZeros_uid44_fpLogE1pxTest_q(15 downto 0);
vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_b <= vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_in(15 downto 0);
--reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3(REG,511)@5
reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3_q <= vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest(MUX,202)@6
vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_s <= vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_q;
vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest: PROCESS (vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_s, en, reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1_q, reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_q <= reg_rVStage_uid199_leadingZeros_uid44_fpLogE1pxTest_0_to_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_1_q;
WHEN "1" => vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_q <= reg_vStage_uid201_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,204)@6
rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_q;
rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_b <= rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_in(15 downto 8);
--vCount_uid206_leadingZeros_uid44_fpLogE1pxTest(LOGICAL,205)@6
vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_a <= rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_b;
vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_b <= rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_i <= "1" when vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_a = vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_b else "0";
vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q, xin => vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_d(DELAY,884)@7
ld_vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q, xout => ld_vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_d_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid207_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,206)@6
vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid203_leadingZeros_uid44_fpLogE1pxTest_q(7 downto 0);
vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_b <= vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_in(7 downto 0);
--reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3(REG,513)@6
reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3_q <= vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2(REG,512)@6
reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2_q <= rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest(MUX,208)@7
vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_s <= vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q;
vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest: PROCESS (vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_s, en, reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2_q, reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_q <= reg_rVStage_uid205_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_2_q;
WHEN "1" => vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_q <= reg_vStage_uid207_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,210)@7
rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_q;
rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_b <= rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_in(7 downto 4);
--vCount_uid212_leadingZeros_uid44_fpLogE1pxTest(LOGICAL,211)@7
vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_a <= rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_b;
vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_b <= rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_q <= "1" when vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_a = vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_b else "0";
--reg_vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_2(REG,516)@7
reg_vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_2_q <= vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--vStage_uid213_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,212)@7
vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid209_leadingZeros_uid44_fpLogE1pxTest_q(3 downto 0);
vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_b <= vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_in(3 downto 0);
--vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest(MUX,214)@7
vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_s <= vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_q;
vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest: PROCESS (vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_s, en, rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_b, vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_b)
BEGIN
CASE vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_q <= rVStage_uid211_leadingZeros_uid44_fpLogE1pxTest_b;
WHEN "1" => vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_q <= vStage_uid213_leadingZeros_uid44_fpLogE1pxTest_b;
WHEN OTHERS => vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,216)@7
rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_q;
rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_b <= rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_in(3 downto 2);
--vCount_uid218_leadingZeros_uid44_fpLogE1pxTest(LOGICAL,217)@7
vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_a <= rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_b;
vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_b <= z2_uid100_fpLogE1pxTest_q;
vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q_i <= "1" when vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_a = vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_b else "0";
vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q, xin => vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--vStage_uid219_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,218)@7
vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid215_leadingZeros_uid44_fpLogE1pxTest_q(1 downto 0);
vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_b <= vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_in(1 downto 0);
--reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3(REG,515)@7
reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3_q <= vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2(REG,514)@7
reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2_q <= rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest(MUX,220)@8
vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_s <= vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q;
vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest: PROCESS (vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_s, en, reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2_q, reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_q <= reg_rVStage_uid217_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_2_q;
WHEN "1" => vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_q <= reg_vStage_uid219_leadingZeros_uid44_fpLogE1pxTest_0_to_vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest(BITSELECT,222)@8
rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest_in <= vStagei_uid221_leadingZeros_uid44_fpLogE1pxTest_q;
rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest_b <= rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest_in(1 downto 1);
--vCount_uid224_leadingZeros_uid44_fpLogE1pxTest(LOGICAL,223)@8
vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_a <= rVStage_uid223_leadingZeros_uid44_fpLogE1pxTest_b;
vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_b <= GND_q;
vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_q <= "1" when vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_a = vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_b else "0";
--r_uid225_leadingZeros_uid44_fpLogE1pxTest(BITJOIN,224)@8
r_uid225_leadingZeros_uid44_fpLogE1pxTest_q <= ld_reg_vCount_uid192_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_5_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_f_q & ld_reg_vCount_uid200_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_4_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_e_q & ld_vCount_uid206_leadingZeros_uid44_fpLogE1pxTest_q_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_d_q & reg_vCount_uid212_leadingZeros_uid44_fpLogE1pxTest_0_to_r_uid225_leadingZeros_uid44_fpLogE1pxTest_2_q & vCount_uid218_leadingZeros_uid44_fpLogE1pxTest_q & vCount_uid224_leadingZeros_uid44_fpLogE1pxTest_q;
--leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,236)@8
leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= r_uid225_leadingZeros_uid44_fpLogE1pxTest_q;
leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest_in(5 downto 4);
--leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest(MUX,237)@8
leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_s <= leftShiftStageSel5Dto4_uid237_fracXBranch4Ext_uid48_fpLogE1pxTest_b;
leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest: PROCESS (leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_s, en, redLO_uid47_fpLogE1pxTest_b, leftShiftStage0Idx1_uid230_fracXBranch4Ext_uid48_fpLogE1pxTest_q, leftShiftStage0Idx2_uid233_fracXBranch4Ext_uid48_fpLogE1pxTest_q, leftShiftStage0Idx3_uid236_fracXBranch4Ext_uid48_fpLogE1pxTest_q)
BEGIN
CASE leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_s IS
WHEN "00" => leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= redLO_uid47_fpLogE1pxTest_b;
WHEN "01" => leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= leftShiftStage0Idx1_uid230_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
WHEN "10" => leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= leftShiftStage0Idx2_uid233_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
WHEN "11" => leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= leftShiftStage0Idx3_uid236_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
WHEN OTHERS => leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,245)@8
LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q(92 downto 0);
LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest_in(92 downto 0);
--rightShiftStage1Idx3Pad12_uid174_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,173)
rightShiftStage1Idx3Pad12_uid174_fracXRSExt_uid36_fpLogE1pxTest_q <= "000000000000";
--leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,246)@8
leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= LeftShiftStage092dto0_uid246_fracXBranch4Ext_uid48_fpLogE1pxTest_b & rightShiftStage1Idx3Pad12_uid174_fracXRSExt_uid36_fpLogE1pxTest_q;
--reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5(REG,523)@8
reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5_q <= leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,242)@8
LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q(96 downto 0);
LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest_in(96 downto 0);
--leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,243)@8
leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= LeftShiftStage096dto0_uid243_fracXBranch4Ext_uid48_fpLogE1pxTest_b & rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q;
--reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4(REG,522)@8
reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4_q <= leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,239)@8
LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q(100 downto 0);
LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest_in(100 downto 0);
--leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,240)@8
leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= LeftShiftStage0100dto0_uid240_fracXBranch4Ext_uid48_fpLogE1pxTest_b & rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q;
--reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3(REG,521)@8
reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3_q <= leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2(REG,520)@8
reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q <= leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,247)@8
leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= r_uid225_leadingZeros_uid44_fpLogE1pxTest_q(3 downto 0);
leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_in(3 downto 2);
--reg_leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_1(REG,519)@8
reg_leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q <= leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest(MUX,248)@9
leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_s <= reg_leftShiftStageSel3Dto2_uid248_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q;
leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest: PROCESS (leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_s, en, reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q, reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3_q, reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4_q, reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5_q)
BEGIN
CASE leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_s IS
WHEN "00" => leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= reg_leftShiftStage0_uid238_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q;
WHEN "01" => leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= reg_leftShiftStage1Idx1_uid241_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_3_q;
WHEN "10" => leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= reg_leftShiftStage1Idx2_uid244_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_4_q;
WHEN "11" => leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= reg_leftShiftStage1Idx3_uid247_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_5_q;
WHEN OTHERS => leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,256)@9
LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q(101 downto 0);
LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_in(101 downto 0);
--ld_LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_b(DELAY,916)@9
ld_LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 102, depth => 1 )
PORT MAP ( xin => LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b, xout => ld_LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage2Idx3Pad3_uid185_fracXRSExt_uid36_fpLogE1pxTest(CONSTANT,184)
rightShiftStage2Idx3Pad3_uid185_fracXRSExt_uid36_fpLogE1pxTest_q <= "000";
--leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,257)@10
leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= ld_LeftShiftStage1101dto0_uid257_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q & rightShiftStage2Idx3Pad3_uid185_fracXRSExt_uid36_fpLogE1pxTest_q;
--LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,253)@9
LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q(102 downto 0);
LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_in(102 downto 0);
--ld_LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_b(DELAY,914)@9
ld_LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 103, depth => 1 )
PORT MAP ( xin => LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b, xout => ld_LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,254)@10
leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= ld_LeftShiftStage1102dto0_uid254_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q & z2_uid100_fpLogE1pxTest_q;
--LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,250)@9
LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q(103 downto 0);
LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_in(103 downto 0);
--ld_LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_b(DELAY,912)@9
ld_LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 104, depth => 1 )
PORT MAP ( xin => LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b, xout => ld_LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest(BITJOIN,251)@10
leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= ld_LeftShiftStage1103dto0_uid251_fracXBranch4Ext_uid48_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q & GND_q;
--reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2(REG,525)@9
reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q <= leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest(BITSELECT,258)@8
leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_in <= r_uid225_leadingZeros_uid44_fpLogE1pxTest_q(1 downto 0);
leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_b <= leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_in(1 downto 0);
--reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1(REG,524)@8
reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q <= leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_b(DELAY,918)@9
ld_reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q, xout => ld_reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest(MUX,259)@10
leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_s <= ld_reg_leftShiftStageSel1Dto0_uid259_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_1_q_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_b_q;
leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest: PROCESS (leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_s, en, reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q, leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_q, leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_q, leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_q)
BEGIN
CASE leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_s IS
WHEN "00" => leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= reg_leftShiftStage1_uid249_fracXBranch4Ext_uid48_fpLogE1pxTest_0_to_leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_2_q;
WHEN "01" => leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= leftShiftStage2Idx1_uid252_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
WHEN "10" => leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= leftShiftStage2Idx2_uid255_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
WHEN "11" => leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= leftShiftStage2Idx3_uid258_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
WHEN OTHERS => leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracXBranch4_uid49_fpLogE1pxTest(BITSELECT,48)@10
fracXBranch4_uid49_fpLogE1pxTest_in <= leftShiftStage2_uid260_fracXBranch4Ext_uid48_fpLogE1pxTest_q;
fracXBranch4_uid49_fpLogE1pxTest_b <= fracXBranch4_uid49_fpLogE1pxTest_in(104 downto 51);
--fracXBranch4Red_uid80_fpLogE1pxTest(BITSELECT,79)@10
fracXBranch4Red_uid80_fpLogE1pxTest_in <= fracXBranch4_uid49_fpLogE1pxTest_b(52 downto 0);
fracXBranch4Red_uid80_fpLogE1pxTest_b <= fracXBranch4Red_uid80_fpLogE1pxTest_in(52 downto 0);
--reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5(REG,528)@10
reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5_q <= fracXBranch4Red_uid80_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor(LOGICAL,1409)
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_b <= ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena_q;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_q <= not (ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_a or ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_b);
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_mem_top(CONSTANT,1353)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_mem_top_q <= "0100";
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp(LOGICAL,1354)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_a <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_mem_top_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q);
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_q <= "1" when ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_a = ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_b else "0";
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg(REG,1355)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena(REG,1410)
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_nor_q = "1") THEN
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd(LOGICAL,1411)
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_a <= ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_sticky_ena_q;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_b <= en;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_q <= ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_a and ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_b;
--fracXRS_uid39_fpLogE1pxTest(BITSELECT,38)@3
fracXRS_uid39_fpLogE1pxTest_in <= rightShiftStage2_uid188_fracXRSExt_uid36_fpLogE1pxTest_q;
fracXRS_uid39_fpLogE1pxTest_b <= fracXRS_uid39_fpLogE1pxTest_in(105 downto 52);
--fracXRSRange_uid81_fpLogE1pxTest(BITSELECT,80)@3
fracXRSRange_uid81_fpLogE1pxTest_in <= fracXRS_uid39_fpLogE1pxTest_b(52 downto 0);
fracXRSRange_uid81_fpLogE1pxTest_b <= fracXRSRange_uid81_fpLogE1pxTest_in(52 downto 0);
--reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4(REG,527)@3
reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q <= fracXRSRange_uid81_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_inputreg(DELAY,1399)
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_inputreg : dspba_delay
GENERIC MAP ( width => 53, depth => 1 )
PORT MAP ( xin => reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q, xout => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt(COUNTER,1349)
-- every=1, low=0, high=4, step=1, init=1
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i = 3 THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_eq = '1') THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i - 4;
ELSE
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_i,3));
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg(REG,1350)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux(MUX,1351)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_s <= en;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux: PROCESS (ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_s, ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q, ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_s IS
WHEN "0" => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q;
WHEN "1" => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem(DUALMEM,1400)
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_ia <= ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_inputreg_q;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_aa <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_ab <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 53,
widthad_a => 3,
numwords_a => 5,
width_b => 53,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_iq,
address_a => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_aa,
data_a => ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_ia
);
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_reset0 <= areset;
ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_q <= ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_iq(52 downto 0);
--ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor(LOGICAL,1396)
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_b <= ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena_q;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_q <= not (ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_a or ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_b);
--ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena(REG,1397)
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_nor_q = "1") THEN
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd(LOGICAL,1398)
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_a <= ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_sticky_ena_q;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_b <= en;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_q <= ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_a and ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_b;
--addrMaskExt_uid50_fpLogE1pxTest(SUB,49)@0
addrMaskExt_uid50_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & expX_uid6_fpLogE1pxTest_b);
addrMaskExt_uid50_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("0" & cstBias_uid9_fpLogE1pxTest_q);
addrMaskExt_uid50_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(addrMaskExt_uid50_fpLogE1pxTest_a) - UNSIGNED(addrMaskExt_uid50_fpLogE1pxTest_b));
addrMaskExt_uid50_fpLogE1pxTest_q <= addrMaskExt_uid50_fpLogE1pxTest_o(11 downto 0);
--addrMask_uid51_fpLogE1pxTest(BITSELECT,50)@0
addrMask_uid51_fpLogE1pxTest_in <= addrMaskExt_uid50_fpLogE1pxTest_q(5 downto 0);
addrMask_uid51_fpLogE1pxTest_b <= addrMask_uid51_fpLogE1pxTest_in(5 downto 0);
--reg_addrMask_uid51_fpLogE1pxTest_0_to_maskIncrementTable_uid52_fpLogE1pxTest_0(REG,494)@0
reg_addrMask_uid51_fpLogE1pxTest_0_to_maskIncrementTable_uid52_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrMask_uid51_fpLogE1pxTest_0_to_maskIncrementTable_uid52_fpLogE1pxTest_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrMask_uid51_fpLogE1pxTest_0_to_maskIncrementTable_uid52_fpLogE1pxTest_0_q <= addrMask_uid51_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--maskIncrementTable_uid52_fpLogE1pxTest(LOOKUP,51)@1
maskIncrementTable_uid52_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
maskIncrementTable_uid52_fpLogE1pxTest_q <= "10000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_addrMask_uid51_fpLogE1pxTest_0_to_maskIncrementTable_uid52_fpLogE1pxTest_0_q) IS
WHEN "000000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "10000000000000000000000000000000000000000000000000000";
WHEN "000001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "01000000000000000000000000000000000000000000000000000";
WHEN "000010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00100000000000000000000000000000000000000000000000000";
WHEN "000011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00010000000000000000000000000000000000000000000000000";
WHEN "000100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00001000000000000000000000000000000000000000000000000";
WHEN "000101" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000100000000000000000000000000000000000000000000000";
WHEN "000110" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000010000000000000000000000000000000000000000000000";
WHEN "000111" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000001000000000000000000000000000000000000000000000";
WHEN "001000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000100000000000000000000000000000000000000000000";
WHEN "001001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000010000000000000000000000000000000000000000000";
WHEN "001010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000001000000000000000000000000000000000000000000";
WHEN "001011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000100000000000000000000000000000000000000000";
WHEN "001100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000010000000000000000000000000000000000000000";
WHEN "001101" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000001000000000000000000000000000000000000000";
WHEN "001110" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000100000000000000000000000000000000000000";
WHEN "001111" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000010000000000000000000000000000000000000";
WHEN "010000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000001000000000000000000000000000000000000";
WHEN "010001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000100000000000000000000000000000000000";
WHEN "010010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000010000000000000000000000000000000000";
WHEN "010011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000001000000000000000000000000000000000";
WHEN "010100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000100000000000000000000000000000000";
WHEN "010101" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000010000000000000000000000000000000";
WHEN "010110" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000001000000000000000000000000000000";
WHEN "010111" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000100000000000000000000000000000";
WHEN "011000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000010000000000000000000000000000";
WHEN "011001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000001000000000000000000000000000";
WHEN "011010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000100000000000000000000000000";
WHEN "011011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000010000000000000000000000000";
WHEN "011100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000001000000000000000000000000";
WHEN "011101" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000100000000000000000000000";
WHEN "011110" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000010000000000000000000000";
WHEN "011111" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000001000000000000000000000";
WHEN "100000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000100000000000000000000";
WHEN "100001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000010000000000000000000";
WHEN "100010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000001000000000000000000";
WHEN "100011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000100000000000000000";
WHEN "100100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000010000000000000000";
WHEN "100101" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000001000000000000000";
WHEN "100110" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000100000000000000";
WHEN "100111" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000010000000000000";
WHEN "101000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000001000000000000";
WHEN "101001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000100000000000";
WHEN "101010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000010000000000";
WHEN "101011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000001000000000";
WHEN "101100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000100000000";
WHEN "101101" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000010000000";
WHEN "101110" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000001000000";
WHEN "101111" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000100000";
WHEN "110000" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000010000";
WHEN "110001" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000001000";
WHEN "110010" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000000100";
WHEN "110011" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000000010";
WHEN "110100" => maskIncrementTable_uid52_fpLogE1pxTest_q <= "00000000000000000000000000000000000000000000000000001";
WHEN OTHERS =>
maskIncrementTable_uid52_fpLogE1pxTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--reg_oFracX_uid32_fpLogE1pxTest_0_to_oPlusOFracX_uid53_fpLogE1pxTest_0(REG,495)@1
reg_oFracX_uid32_fpLogE1pxTest_0_to_oPlusOFracX_uid53_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracX_uid32_fpLogE1pxTest_0_to_oPlusOFracX_uid53_fpLogE1pxTest_0_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracX_uid32_fpLogE1pxTest_0_to_oPlusOFracX_uid53_fpLogE1pxTest_0_q <= oFracX_uid32_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--oPlusOFracX_uid53_fpLogE1pxTest(ADD,52)@2
oPlusOFracX_uid53_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & reg_oFracX_uid32_fpLogE1pxTest_0_to_oPlusOFracX_uid53_fpLogE1pxTest_0_q);
oPlusOFracX_uid53_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("0" & maskIncrementTable_uid52_fpLogE1pxTest_q);
oPlusOFracX_uid53_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(oPlusOFracX_uid53_fpLogE1pxTest_a) + UNSIGNED(oPlusOFracX_uid53_fpLogE1pxTest_b));
oPlusOFracX_uid53_fpLogE1pxTest_q <= oPlusOFracX_uid53_fpLogE1pxTest_o(53 downto 0);
--oPlusOFracXNormHigh_uid59_fpLogE1pxTest(BITSELECT,58)@2
oPlusOFracXNormHigh_uid59_fpLogE1pxTest_in <= oPlusOFracX_uid53_fpLogE1pxTest_q(52 downto 0);
oPlusOFracXNormHigh_uid59_fpLogE1pxTest_b <= oPlusOFracXNormHigh_uid59_fpLogE1pxTest_in(52 downto 0);
--reg_oPlusOFracXNormHigh_uid59_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_3(REG,498)@2
reg_oPlusOFracXNormHigh_uid59_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oPlusOFracXNormHigh_uid59_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_3_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oPlusOFracXNormHigh_uid59_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_3_q <= oPlusOFracXNormHigh_uid59_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--oPlusOFracXNormLow_uid57_fpLogE1pxTest(BITSELECT,56)@2
oPlusOFracXNormLow_uid57_fpLogE1pxTest_in <= oPlusOFracX_uid53_fpLogE1pxTest_q(51 downto 0);
oPlusOFracXNormLow_uid57_fpLogE1pxTest_b <= oPlusOFracXNormLow_uid57_fpLogE1pxTest_in(51 downto 0);
--join_uid58_fpLogE1pxTest(BITJOIN,57)@2
join_uid58_fpLogE1pxTest_q <= oPlusOFracXNormLow_uid57_fpLogE1pxTest_b & GND_q;
--reg_join_uid58_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_2(REG,497)@2
reg_join_uid58_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_join_uid58_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_2_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_join_uid58_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_2_q <= join_uid58_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--msbUoPlusOFracX_uid54_fpLogE1pxTest(BITSELECT,53)@2
msbUoPlusOFracX_uid54_fpLogE1pxTest_in <= oPlusOFracX_uid53_fpLogE1pxTest_q;
msbUoPlusOFracX_uid54_fpLogE1pxTest_b <= msbUoPlusOFracX_uid54_fpLogE1pxTest_in(53 downto 53);
--reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1(REG,496)@2
reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1_q <= msbUoPlusOFracX_uid54_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--oPlusOFracXNorm_uid61_fpLogE1pxTest(MUX,60)@3
oPlusOFracXNorm_uid61_fpLogE1pxTest_s <= reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1_q;
oPlusOFracXNorm_uid61_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oPlusOFracXNorm_uid61_fpLogE1pxTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE oPlusOFracXNorm_uid61_fpLogE1pxTest_s IS
WHEN "0" => oPlusOFracXNorm_uid61_fpLogE1pxTest_q <= reg_join_uid58_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_2_q;
WHEN "1" => oPlusOFracXNorm_uid61_fpLogE1pxTest_q <= reg_oPlusOFracXNormHigh_uid59_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_3_q;
WHEN OTHERS => oPlusOFracXNorm_uid61_fpLogE1pxTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_inputreg(DELAY,1386)
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 53, depth => 1 )
PORT MAP ( xin => oPlusOFracXNorm_uid61_fpLogE1pxTest_q, xout => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem(DUALMEM,1387)
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_ia <= ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_inputreg_q;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_aa <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_ab <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 53,
widthad_a => 3,
numwords_a => 5,
width_b => 53,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_iq,
address_a => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_aa,
data_a => ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_ia
);
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_reset0 <= areset;
ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_q <= ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_iq(52 downto 0);
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor(LOGICAL,1383)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_b <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_q <= not (ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_a or ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_b);
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_mem_top(CONSTANT,1379)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_mem_top_q <= "0110";
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp(LOGICAL,1380)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_a <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_mem_top_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q);
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_q <= "1" when ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_a = ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_b else "0";
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg(REG,1381)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena(REG,1384)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_nor_q = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd(LOGICAL,1385)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_a <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_sticky_ena_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_b <= en;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_a and ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_b;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg(DELAY,1373)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 52, depth => 1 )
PORT MAP ( xin => frac_uid22_fpLogE1pxTest_b, xout => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt(COUNTER,1375)
-- every=1, low=0, high=6, step=1, init=1
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i = 5 THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_eq = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i - 6;
ELSE
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_i,3));
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg(REG,1376)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux(MUX,1377)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_s <= en;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux: PROCESS (ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_s, ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q, ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_q)
BEGIN
CASE ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_s IS
WHEN "0" => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q;
WHEN "1" => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem(DUALMEM,1374)
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_ia <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_aa <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_ab <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 52,
widthad_a => 3,
numwords_a => 7,
width_b => 52,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_ia
);
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_iq(51 downto 0);
--fracXz_uid82_fpLogE1pxTest(BITJOIN,81)@10
fracXz_uid82_fpLogE1pxTest_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_mem_q & GND_q;
--reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2(REG,526)@10
reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2_q <= "00000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2_q <= fracXz_uid82_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor(LOGICAL,1357)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_b <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_q <= not (ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_a or ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_b);
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena(REG,1358)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_nor_q = "1") THEN
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd(LOGICAL,1359)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_a <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_sticky_ena_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_b <= en;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_a and ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_b;
--branch11_uid64_fpLogE1pxTest(LOGICAL,63)@0
branch11_uid64_fpLogE1pxTest_a <= signX_uid7_fpLogE1pxTest_b;
branch11_uid64_fpLogE1pxTest_q <= not branch11_uid64_fpLogE1pxTest_a;
--branch3_uid73_fpLogE1pxTest(LOGICAL,72)@0
branch3_uid73_fpLogE1pxTest_a <= branch22_uid66_fpLogE1pxTest_c;
branch3_uid73_fpLogE1pxTest_b <= InvResIsX_uid72_fpLogE1pxTest_q;
branch3_uid73_fpLogE1pxTest_c <= branch11_uid64_fpLogE1pxTest_q;
branch3_uid73_fpLogE1pxTest_q <= branch3_uid73_fpLogE1pxTest_a and branch3_uid73_fpLogE1pxTest_b and branch3_uid73_fpLogE1pxTest_c;
--cstBiasPWFP1_uid13_fpLogE1pxTest(CONSTANT,12)
cstBiasPWFP1_uid13_fpLogE1pxTest_q <= "10000110100";
--branch12_uid63_fpLogE1pxTest(COMPARE,62)@0
branch12_uid63_fpLogE1pxTest_cin <= GND_q;
branch12_uid63_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("00" & expX_uid6_fpLogE1pxTest_b) & '0';
branch12_uid63_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00" & cstBiasPWFP1_uid13_fpLogE1pxTest_q) & branch12_uid63_fpLogE1pxTest_cin(0);
branch12_uid63_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(branch12_uid63_fpLogE1pxTest_a) - UNSIGNED(branch12_uid63_fpLogE1pxTest_b));
branch12_uid63_fpLogE1pxTest_c(0) <= branch12_uid63_fpLogE1pxTest_o(13);
branch12_uid63_fpLogE1pxTest_n(0) <= not branch12_uid63_fpLogE1pxTest_o(13);
--branch2_uid69_fpLogE1pxTest(LOGICAL,68)@0
branch2_uid69_fpLogE1pxTest_a <= branch11_uid64_fpLogE1pxTest_q;
branch2_uid69_fpLogE1pxTest_b <= branch12_uid63_fpLogE1pxTest_c;
branch2_uid69_fpLogE1pxTest_c <= branch22_uid66_fpLogE1pxTest_n;
branch2_uid69_fpLogE1pxTest_q <= branch2_uid69_fpLogE1pxTest_a and branch2_uid69_fpLogE1pxTest_b and branch2_uid69_fpLogE1pxTest_c;
--branch1_uid65_fpLogE1pxTest(LOGICAL,64)@0
branch1_uid65_fpLogE1pxTest_a <= branch11_uid64_fpLogE1pxTest_q;
branch1_uid65_fpLogE1pxTest_b <= branch12_uid63_fpLogE1pxTest_n;
branch1_uid65_fpLogE1pxTest_q <= branch1_uid65_fpLogE1pxTest_a and branch1_uid65_fpLogE1pxTest_b;
--concBranch_uid76_fpLogE1pxTest(BITJOIN,75)@0
concBranch_uid76_fpLogE1pxTest_q <= branch4_uid75_fpLogE1pxTest_q & branch3_uid73_fpLogE1pxTest_q & branch2_uid69_fpLogE1pxTest_q & branch1_uid65_fpLogE1pxTest_q;
--reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0(REG,493)@0
reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q <= concBranch_uid76_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_inputreg(DELAY,1347)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 4, depth => 1 )
PORT MAP ( xin => reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q, xout => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem(DUALMEM,1348)
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_ia <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_inputreg_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_aa <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdreg_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_ab <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_rdmux_q;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 4,
widthad_a => 3,
numwords_a => 5,
width_b => 4,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_ia
);
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_q <= ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_iq(3 downto 0);
--branEnc_uid77_fpLogE1pxTest(LOOKUP,76)@8
branEnc_uid77_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
branEnc_uid77_fpLogE1pxTest_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_concBranch_uid76_fpLogE1pxTest_0_to_branEnc_uid77_fpLogE1pxTest_0_q_to_branEnc_uid77_fpLogE1pxTest_a_replace_mem_q) IS
WHEN "0000" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "0001" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "0010" => branEnc_uid77_fpLogE1pxTest_q <= "01";
WHEN "0011" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "0100" => branEnc_uid77_fpLogE1pxTest_q <= "10";
WHEN "0101" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "0110" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "0111" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1000" => branEnc_uid77_fpLogE1pxTest_q <= "11";
WHEN "1001" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1010" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1011" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1100" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1101" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1110" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN "1111" => branEnc_uid77_fpLogE1pxTest_q <= "00";
WHEN OTHERS =>
branEnc_uid77_fpLogE1pxTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_branEnc_uid77_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_b(DELAY,725)@9
ld_branEnc_uid77_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 2 )
PORT MAP ( xin => branEnc_uid77_fpLogE1pxTest_q, xout => ld_branEnc_uid77_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--fracB_uid83_fpLogE1pxTest(MUX,82)@11
fracB_uid83_fpLogE1pxTest_s <= ld_branEnc_uid77_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_b_q;
fracB_uid83_fpLogE1pxTest: PROCESS (fracB_uid83_fpLogE1pxTest_s, en, reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2_q, ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_q, ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_q, reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5_q)
BEGIN
CASE fracB_uid83_fpLogE1pxTest_s IS
WHEN "00" => fracB_uid83_fpLogE1pxTest_q <= reg_fracXz_uid82_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_2_q;
WHEN "01" => fracB_uid83_fpLogE1pxTest_q <= ld_oPlusOFracXNorm_uid61_fpLogE1pxTest_q_to_fracB_uid83_fpLogE1pxTest_d_replace_mem_q;
WHEN "10" => fracB_uid83_fpLogE1pxTest_q <= ld_reg_fracXRSRange_uid81_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_4_q_to_fracB_uid83_fpLogE1pxTest_e_replace_mem_q;
WHEN "11" => fracB_uid83_fpLogE1pxTest_q <= reg_fracXBranch4Red_uid80_fpLogE1pxTest_0_to_fracB_uid83_fpLogE1pxTest_5_q;
WHEN OTHERS => fracB_uid83_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_inputreg(DELAY,1425)
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 53, depth => 1 )
PORT MAP ( xin => fracB_uid83_fpLogE1pxTest_q, xout => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt(COUNTER,1338)
-- every=1, low=0, high=1, step=1, init=1
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_i <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_i,1));
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg(REG,1339)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux(MUX,1340)
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_s <= en;
ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux: PROCESS (ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_s, ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q, ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_q)
BEGIN
CASE ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_s IS
WHEN "0" => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
WHEN "1" => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem(DUALMEM,1426)
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_ia <= ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_inputreg_q;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 53,
widthad_a => 1,
numwords_a => 2,
width_b => 53,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_ia
);
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_q <= ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_iq(52 downto 0);
--zPPolyEval_uid91_fpLogE1pxTest(BITSELECT,90)@15
zPPolyEval_uid91_fpLogE1pxTest_in <= ld_fracB_uid83_fpLogE1pxTest_q_to_zPPolyEval_uid91_fpLogE1pxTest_a_replace_mem_q(42 downto 0);
zPPolyEval_uid91_fpLogE1pxTest_b <= zPPolyEval_uid91_fpLogE1pxTest_in(42 downto 0);
--yT2_uid300_natLogPolyEval(BITSELECT,299)@15
yT2_uid300_natLogPolyEval_in <= zPPolyEval_uid91_fpLogE1pxTest_b;
yT2_uid300_natLogPolyEval_b <= yT2_uid300_natLogPolyEval_in(42 downto 15);
--sSM1W_uid412_pT2_uid301_natLogPolyEval(BITSELECT,411)@15
sSM1W_uid412_pT2_uid301_natLogPolyEval_in <= yT2_uid300_natLogPolyEval_b(0 downto 0);
sSM1W_uid412_pT2_uid301_natLogPolyEval_b <= sSM1W_uid412_pT2_uid301_natLogPolyEval_in(0 downto 0);
--reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1(REG,577)@15
reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q <= sSM1W_uid412_pT2_uid301_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q_to_sm1_uid413_pT2_uid301_natLogPolyEval_b(DELAY,1072)@16
ld_reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q_to_sm1_uid413_pT2_uid301_natLogPolyEval_b : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q, xout => ld_reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q_to_sm1_uid413_pT2_uid301_natLogPolyEval_b_q, ena => en(0), clk => clk, aclr => areset );
--zAddrLow_uid89_fpLogE1pxTest(BITSELECT,88)@11
zAddrLow_uid89_fpLogE1pxTest_in <= fracB_uid83_fpLogE1pxTest_q;
zAddrLow_uid89_fpLogE1pxTest_b <= zAddrLow_uid89_fpLogE1pxTest_in(52 downto 43);
--addr_uid90_fpLogE1pxTest(BITJOIN,89)@11
addr_uid90_fpLogE1pxTest_q <= reg_c_uid87_fpLogE1pxTest_0_to_addr_uid90_fpLogE1pxTest_1_q & zAddrLow_uid89_fpLogE1pxTest_b;
--reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0(REG,530)@11
reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q <= addr_uid90_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--memoryC4_uid292_natLogTabGen_lutmem(DUALMEM,488)@12
memoryC4_uid292_natLogTabGen_lutmem_ia <= (others => '0');
memoryC4_uid292_natLogTabGen_lutmem_aa <= (others => '0');
memoryC4_uid292_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC4_uid292_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 11,
numwords_a => 2048,
width_b => 7,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC4_uid292_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC4_uid292_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC4_uid292_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC4_uid292_natLogTabGen_lutmem_iq,
address_a => memoryC4_uid292_natLogTabGen_lutmem_aa,
data_a => memoryC4_uid292_natLogTabGen_lutmem_ia
);
memoryC4_uid292_natLogTabGen_lutmem_reset0 <= areset;
memoryC4_uid292_natLogTabGen_lutmem_q <= memoryC4_uid292_natLogTabGen_lutmem_iq(6 downto 0);
--reg_memoryC4_uid292_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_1(REG,563)@14
reg_memoryC4_uid292_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC4_uid292_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_1_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC4_uid292_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_1_q <= memoryC4_uid292_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC4_uid291_natLogTabGen_lutmem(DUALMEM,487)@12
memoryC4_uid291_natLogTabGen_lutmem_ia <= (others => '0');
memoryC4_uid291_natLogTabGen_lutmem_aa <= (others => '0');
memoryC4_uid291_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC4_uid291_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC4_uid291_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC4_uid291_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC4_uid291_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC4_uid291_natLogTabGen_lutmem_iq,
address_a => memoryC4_uid291_natLogTabGen_lutmem_aa,
data_a => memoryC4_uid291_natLogTabGen_lutmem_ia
);
memoryC4_uid291_natLogTabGen_lutmem_reset0 <= areset;
memoryC4_uid291_natLogTabGen_lutmem_q <= memoryC4_uid291_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC4_uid291_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_0(REG,562)@14
reg_memoryC4_uid291_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC4_uid291_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC4_uid291_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_0_q <= memoryC4_uid291_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid293_natLogTabGen(BITJOIN,292)@15
os_uid293_natLogTabGen_q <= reg_memoryC4_uid292_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_1_q & reg_memoryC4_uid291_natLogTabGen_lutmem_0_to_os_uid293_natLogTabGen_0_q;
--reg_os_uid293_natLogTabGen_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_1(REG,565)@15
reg_os_uid293_natLogTabGen_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_os_uid293_natLogTabGen_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_1_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_os_uid293_natLogTabGen_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_1_q <= os_uid293_natLogTabGen_q;
END IF;
END IF;
END PROCESS;
--yT1_uid294_natLogPolyEval(BITSELECT,293)@15
yT1_uid294_natLogPolyEval_in <= zPPolyEval_uid91_fpLogE1pxTest_b;
yT1_uid294_natLogPolyEval_b <= yT1_uid294_natLogPolyEval_in(42 downto 26);
--reg_yT1_uid294_natLogPolyEval_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_0(REG,564)@15
reg_yT1_uid294_natLogPolyEval_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid294_natLogPolyEval_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_0_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid294_natLogPolyEval_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_0_q <= yT1_uid294_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid402_pT1_uid295_natLogPolyEval(MULT,401)@16
prodXY_uid402_pT1_uid295_natLogPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid402_pT1_uid295_natLogPolyEval_a),18)) * SIGNED(prodXY_uid402_pT1_uid295_natLogPolyEval_b);
prodXY_uid402_pT1_uid295_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid402_pT1_uid295_natLogPolyEval_a <= (others => '0');
prodXY_uid402_pT1_uid295_natLogPolyEval_b <= (others => '0');
prodXY_uid402_pT1_uid295_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid402_pT1_uid295_natLogPolyEval_a <= reg_yT1_uid294_natLogPolyEval_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_0_q;
prodXY_uid402_pT1_uid295_natLogPolyEval_b <= reg_os_uid293_natLogTabGen_0_to_prodXY_uid402_pT1_uid295_natLogPolyEval_1_q;
prodXY_uid402_pT1_uid295_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid402_pT1_uid295_natLogPolyEval_pr,34));
END IF;
END IF;
END PROCESS;
prodXY_uid402_pT1_uid295_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid402_pT1_uid295_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid402_pT1_uid295_natLogPolyEval_q <= prodXY_uid402_pT1_uid295_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval(BITSELECT,402)@19
prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_in <= prodXY_uid402_pT1_uid295_natLogPolyEval_q;
prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_b <= prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_in(33 downto 15);
--highBBits_uid297_natLogPolyEval(BITSELECT,296)@19
highBBits_uid297_natLogPolyEval_in <= prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_b;
highBBits_uid297_natLogPolyEval_b <= highBBits_uid297_natLogPolyEval_in(18 downto 1);
--ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor(LOGICAL,1583)
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_b <= ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena_q;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_q <= not (ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_a or ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_b);
--ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena(REG,1584)
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_nor_q = "1") THEN
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd(LOGICAL,1585)
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_a <= ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_sticky_ena_q;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_b <= en;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_q <= ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_a and ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_b;
--memoryC3_uid289_natLogTabGen_lutmem(DUALMEM,486)@12
memoryC3_uid289_natLogTabGen_lutmem_ia <= (others => '0');
memoryC3_uid289_natLogTabGen_lutmem_aa <= (others => '0');
memoryC3_uid289_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC3_uid289_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 11,
numwords_a => 2048,
width_b => 8,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC3_uid289_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid289_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid289_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid289_natLogTabGen_lutmem_iq,
address_a => memoryC3_uid289_natLogTabGen_lutmem_aa,
data_a => memoryC3_uid289_natLogTabGen_lutmem_ia
);
memoryC3_uid289_natLogTabGen_lutmem_reset0 <= areset;
memoryC3_uid289_natLogTabGen_lutmem_q <= memoryC3_uid289_natLogTabGen_lutmem_iq(7 downto 0);
--reg_memoryC3_uid289_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_2(REG,571)@14
reg_memoryC3_uid289_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid289_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid289_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_2_q <= memoryC3_uid289_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC3_uid288_natLogTabGen_lutmem(DUALMEM,485)@12
memoryC3_uid288_natLogTabGen_lutmem_ia <= (others => '0');
memoryC3_uid288_natLogTabGen_lutmem_aa <= (others => '0');
memoryC3_uid288_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC3_uid288_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC3_uid288_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid288_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid288_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid288_natLogTabGen_lutmem_iq,
address_a => memoryC3_uid288_natLogTabGen_lutmem_aa,
data_a => memoryC3_uid288_natLogTabGen_lutmem_ia
);
memoryC3_uid288_natLogTabGen_lutmem_reset0 <= areset;
memoryC3_uid288_natLogTabGen_lutmem_q <= memoryC3_uid288_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC3_uid288_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_1(REG,570)@14
reg_memoryC3_uid288_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid288_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid288_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_1_q <= memoryC3_uid288_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC3_uid287_natLogTabGen_lutmem(DUALMEM,484)@12
memoryC3_uid287_natLogTabGen_lutmem_ia <= (others => '0');
memoryC3_uid287_natLogTabGen_lutmem_aa <= (others => '0');
memoryC3_uid287_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC3_uid287_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC3_uid287_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid287_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid287_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid287_natLogTabGen_lutmem_iq,
address_a => memoryC3_uid287_natLogTabGen_lutmem_aa,
data_a => memoryC3_uid287_natLogTabGen_lutmem_ia
);
memoryC3_uid287_natLogTabGen_lutmem_reset0 <= areset;
memoryC3_uid287_natLogTabGen_lutmem_q <= memoryC3_uid287_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC3_uid287_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_0(REG,569)@14
reg_memoryC3_uid287_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid287_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid287_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_0_q <= memoryC3_uid287_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid290_natLogTabGen(BITJOIN,289)@15
os_uid290_natLogTabGen_q <= reg_memoryC3_uid289_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_2_q & reg_memoryC3_uid288_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_1_q & reg_memoryC3_uid287_natLogTabGen_lutmem_0_to_os_uid290_natLogTabGen_0_q;
--ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_inputreg(DELAY,1575)
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 28, depth => 1 )
PORT MAP ( xin => os_uid290_natLogTabGen_q, xout => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem(DUALMEM,1576)
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_ia <= ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_inputreg_q;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 28,
widthad_a => 1,
numwords_a => 2,
width_b => 28,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_iq,
address_a => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_aa,
data_a => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_ia
);
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_reset0 <= areset;
ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_q <= ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_iq(27 downto 0);
--sumAHighB_uid298_natLogPolyEval(ADD,297)@19
sumAHighB_uid298_natLogPolyEval_a <= STD_LOGIC_VECTOR((28 downto 28 => ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_q(27)) & ld_os_uid290_natLogTabGen_q_to_sumAHighB_uid298_natLogPolyEval_a_replace_mem_q);
sumAHighB_uid298_natLogPolyEval_b <= STD_LOGIC_VECTOR((28 downto 18 => highBBits_uid297_natLogPolyEval_b(17)) & highBBits_uid297_natLogPolyEval_b);
sumAHighB_uid298_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid298_natLogPolyEval_a) + SIGNED(sumAHighB_uid298_natLogPolyEval_b));
sumAHighB_uid298_natLogPolyEval_q <= sumAHighB_uid298_natLogPolyEval_o(28 downto 0);
--lowRangeB_uid296_natLogPolyEval(BITSELECT,295)@19
lowRangeB_uid296_natLogPolyEval_in <= prodXYTruncFR_uid403_pT1_uid295_natLogPolyEval_b(0 downto 0);
lowRangeB_uid296_natLogPolyEval_b <= lowRangeB_uid296_natLogPolyEval_in(0 downto 0);
--s1_uid296_uid299_natLogPolyEval(BITJOIN,298)@19
s1_uid296_uid299_natLogPolyEval_q <= sumAHighB_uid298_natLogPolyEval_q & lowRangeB_uid296_natLogPolyEval_b;
--sSM1H_uid411_pT2_uid301_natLogPolyEval(BITSELECT,410)@19
sSM1H_uid411_pT2_uid301_natLogPolyEval_in <= s1_uid296_uid299_natLogPolyEval_q;
sSM1H_uid411_pT2_uid301_natLogPolyEval_b <= sSM1H_uid411_pT2_uid301_natLogPolyEval_in(29 downto 24);
--reg_sSM1H_uid411_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_0(REG,576)@19
reg_sSM1H_uid411_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM1H_uid411_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM1H_uid411_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_0_q <= sSM1H_uid411_pT2_uid301_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--sm1_uid413_pT2_uid301_natLogPolyEval(MULT,412)@20
sm1_uid413_pT2_uid301_natLogPolyEval_pr <= SIGNED(sm1_uid413_pT2_uid301_natLogPolyEval_a) * signed(resize(UNSIGNED(sm1_uid413_pT2_uid301_natLogPolyEval_b),2));
sm1_uid413_pT2_uid301_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm1_uid413_pT2_uid301_natLogPolyEval_a <= (others => '0');
sm1_uid413_pT2_uid301_natLogPolyEval_b <= (others => '0');
sm1_uid413_pT2_uid301_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm1_uid413_pT2_uid301_natLogPolyEval_a <= reg_sSM1H_uid411_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_0_q;
sm1_uid413_pT2_uid301_natLogPolyEval_b <= ld_reg_sSM1W_uid412_pT2_uid301_natLogPolyEval_0_to_sm1_uid413_pT2_uid301_natLogPolyEval_1_q_to_sm1_uid413_pT2_uid301_natLogPolyEval_b_q;
sm1_uid413_pT2_uid301_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(sm1_uid413_pT2_uid301_natLogPolyEval_pr,7));
END IF;
END IF;
END PROCESS;
sm1_uid413_pT2_uid301_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm1_uid413_pT2_uid301_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm1_uid413_pT2_uid301_natLogPolyEval_q <= sm1_uid413_pT2_uid301_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--pad_sm1_uid413_uid415_pT2_uid301_natLogPolyEval(BITJOIN,414)@23
pad_sm1_uid413_uid415_pT2_uid301_natLogPolyEval_q <= sm1_uid413_pT2_uid301_natLogPolyEval_q & STD_LOGIC_VECTOR((19 downto 1 => GND_q(0)) & GND_q);
--sSM0W_uid409_pT2_uid301_natLogPolyEval(BITSELECT,408)@15
sSM0W_uid409_pT2_uid301_natLogPolyEval_in <= yT2_uid300_natLogPolyEval_b;
sSM0W_uid409_pT2_uid301_natLogPolyEval_b <= sSM0W_uid409_pT2_uid301_natLogPolyEval_in(27 downto 24);
--ld_sSM0W_uid409_pT2_uid301_natLogPolyEval_b_to_reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_a(DELAY,1258)@15
ld_sSM0W_uid409_pT2_uid301_natLogPolyEval_b_to_reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_a : dspba_delay
GENERIC MAP ( width => 4, depth => 4 )
PORT MAP ( xin => sSM0W_uid409_pT2_uid301_natLogPolyEval_b, xout => ld_sSM0W_uid409_pT2_uid301_natLogPolyEval_b_to_reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1(REG,575)@19
reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_q <= ld_sSM0W_uid409_pT2_uid301_natLogPolyEval_b_to_reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_a_q;
END IF;
END IF;
END PROCESS;
--sSM0H_uid408_pT2_uid301_natLogPolyEval(BITSELECT,407)@19
sSM0H_uid408_pT2_uid301_natLogPolyEval_in <= s1_uid296_uid299_natLogPolyEval_q(2 downto 0);
sSM0H_uid408_pT2_uid301_natLogPolyEval_b <= sSM0H_uid408_pT2_uid301_natLogPolyEval_in(2 downto 0);
--reg_sSM0H_uid408_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_0(REG,574)@19
reg_sSM0H_uid408_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM0H_uid408_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM0H_uid408_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_0_q <= sSM0H_uid408_pT2_uid301_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--sm0_uid410_pT2_uid301_natLogPolyEval(MULT,409)@20
sm0_uid410_pT2_uid301_natLogPolyEval_pr <= UNSIGNED(sm0_uid410_pT2_uid301_natLogPolyEval_a) * UNSIGNED(sm0_uid410_pT2_uid301_natLogPolyEval_b);
sm0_uid410_pT2_uid301_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm0_uid410_pT2_uid301_natLogPolyEval_a <= (others => '0');
sm0_uid410_pT2_uid301_natLogPolyEval_b <= (others => '0');
sm0_uid410_pT2_uid301_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm0_uid410_pT2_uid301_natLogPolyEval_a <= reg_sSM0H_uid408_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_0_q;
sm0_uid410_pT2_uid301_natLogPolyEval_b <= reg_sSM0W_uid409_pT2_uid301_natLogPolyEval_0_to_sm0_uid410_pT2_uid301_natLogPolyEval_1_q;
sm0_uid410_pT2_uid301_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(sm0_uid410_pT2_uid301_natLogPolyEval_pr);
END IF;
END IF;
END PROCESS;
sm0_uid410_pT2_uid301_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm0_uid410_pT2_uid301_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm0_uid410_pT2_uid301_natLogPolyEval_q <= sm0_uid410_pT2_uid301_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--pad_sm0_uid410_uid414_pT2_uid301_natLogPolyEval(BITJOIN,413)@23
pad_sm0_uid410_uid414_pT2_uid301_natLogPolyEval_q <= sm0_uid410_pT2_uid301_natLogPolyEval_q & STD_LOGIC_VECTOR((19 downto 1 => GND_q(0)) & GND_q);
--yTop27Bits_uid406_pT2_uid301_natLogPolyEval(BITSELECT,405)@19
yTop27Bits_uid406_pT2_uid301_natLogPolyEval_in <= s1_uid296_uid299_natLogPolyEval_q;
yTop27Bits_uid406_pT2_uid301_natLogPolyEval_b <= yTop27Bits_uid406_pT2_uid301_natLogPolyEval_in(29 downto 3);
--reg_yTop27Bits_uid406_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_1(REG,573)@19
reg_yTop27Bits_uid406_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid406_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid406_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_1_q <= yTop27Bits_uid406_pT2_uid301_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor(LOGICAL,1716)
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_b <= ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena_q;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_q <= not (ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_a or ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_b);
--ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena(REG,1717)
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_nor_q = "1") THEN
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd(LOGICAL,1718)
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_a <= ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_sticky_ena_q;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_b <= en;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_q <= ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_a and ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_b;
--xTop27Bits_uid405_pT2_uid301_natLogPolyEval(BITSELECT,404)@15
xTop27Bits_uid405_pT2_uid301_natLogPolyEval_in <= yT2_uid300_natLogPolyEval_b;
xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b <= xTop27Bits_uid405_pT2_uid301_natLogPolyEval_in(27 downto 1);
--ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_inputreg(DELAY,1708)
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b, xout => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem(DUALMEM,1709)
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_ia <= ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_inputreg_q;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 27,
widthad_a => 1,
numwords_a => 2,
width_b => 27,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_iq,
address_a => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_aa,
data_a => ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_ia
);
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_reset0 <= areset;
ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_q <= ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_iq(26 downto 0);
--reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0(REG,572)@19
reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_q <= ld_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_b_to_reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--topProd_uid407_pT2_uid301_natLogPolyEval(MULT,406)@20
topProd_uid407_pT2_uid301_natLogPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid407_pT2_uid301_natLogPolyEval_a),28)) * SIGNED(topProd_uid407_pT2_uid301_natLogPolyEval_b);
topProd_uid407_pT2_uid301_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid407_pT2_uid301_natLogPolyEval_a <= (others => '0');
topProd_uid407_pT2_uid301_natLogPolyEval_b <= (others => '0');
topProd_uid407_pT2_uid301_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid407_pT2_uid301_natLogPolyEval_a <= reg_xTop27Bits_uid405_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_0_q;
topProd_uid407_pT2_uid301_natLogPolyEval_b <= reg_yTop27Bits_uid406_pT2_uid301_natLogPolyEval_0_to_topProd_uid407_pT2_uid301_natLogPolyEval_1_q;
topProd_uid407_pT2_uid301_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid407_pT2_uid301_natLogPolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid407_pT2_uid301_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid407_pT2_uid301_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid407_pT2_uid301_natLogPolyEval_q <= topProd_uid407_pT2_uid301_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--add0_uid414_pT2_uid301_natLogPolyEval(ADDSUB3,415)@23
add0_uid414_pT2_uid301_natLogPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid407_pT2_uid301_natLogPolyEval_q(53)) & topProd_uid407_pT2_uid301_natLogPolyEval_q);
add0_uid414_pT2_uid301_natLogPolyEval_b <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000" & pad_sm0_uid410_uid414_pT2_uid301_natLogPolyEval_q);
add0_uid414_pT2_uid301_natLogPolyEval_c <= STD_LOGIC_VECTOR((54 downto 27 => pad_sm1_uid413_uid415_pT2_uid301_natLogPolyEval_q(26)) & pad_sm1_uid413_uid415_pT2_uid301_natLogPolyEval_q);
add0_uid414_pT2_uid301_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(add0_uid414_pT2_uid301_natLogPolyEval_a) + SIGNED(add0_uid414_pT2_uid301_natLogPolyEval_b) + SIGNED(add0_uid414_pT2_uid301_natLogPolyEval_c));
add0_uid414_pT2_uid301_natLogPolyEval_q <= add0_uid414_pT2_uid301_natLogPolyEval_o(54 downto 0);
--R_uid417_pT2_uid301_natLogPolyEval(BITSELECT,416)@23
R_uid417_pT2_uid301_natLogPolyEval_in <= add0_uid414_pT2_uid301_natLogPolyEval_q(53 downto 0);
R_uid417_pT2_uid301_natLogPolyEval_b <= R_uid417_pT2_uid301_natLogPolyEval_in(53 downto 24);
--reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1(REG,579)@23
reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1_q <= "000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1_q <= R_uid417_pT2_uid301_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor(LOGICAL,1596)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_b <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_q <= not (ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_a or ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_b);
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_mem_top(CONSTANT,1592)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_mem_top_q <= "0101";
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp(LOGICAL,1593)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_a <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_mem_top_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q);
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_q <= "1" when ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_a = ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_b else "0";
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg(REG,1594)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena(REG,1597)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_nor_q = "1") THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd(LOGICAL,1598)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_a <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_sticky_ena_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_b <= en;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_a and ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_b;
--memoryC2_uid285_natLogTabGen_lutmem(DUALMEM,483)@12
memoryC2_uid285_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid285_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid285_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC2_uid285_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 11,
numwords_a => 2048,
width_b => 8,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC2_uid285_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid285_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid285_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid285_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid285_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid285_natLogTabGen_lutmem_ia
);
memoryC2_uid285_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid285_natLogTabGen_lutmem_q <= memoryC2_uid285_natLogTabGen_lutmem_iq(7 downto 0);
--reg_memoryC2_uid285_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_3(REG,559)@14
reg_memoryC2_uid285_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid285_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid285_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_3_q <= memoryC2_uid285_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid284_natLogTabGen_lutmem(DUALMEM,482)@12
memoryC2_uid284_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid284_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid284_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC2_uid284_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC2_uid284_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid284_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid284_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid284_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid284_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid284_natLogTabGen_lutmem_ia
);
memoryC2_uid284_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid284_natLogTabGen_lutmem_q <= memoryC2_uid284_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC2_uid284_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_2(REG,558)@14
reg_memoryC2_uid284_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid284_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_2_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid284_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_2_q <= memoryC2_uid284_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid283_natLogTabGen_lutmem(DUALMEM,481)@12
memoryC2_uid283_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid283_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid283_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC2_uid283_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC2_uid283_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid283_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid283_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid283_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid283_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid283_natLogTabGen_lutmem_ia
);
memoryC2_uid283_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid283_natLogTabGen_lutmem_q <= memoryC2_uid283_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC2_uid283_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_1(REG,557)@14
reg_memoryC2_uid283_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid283_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid283_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_1_q <= memoryC2_uid283_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid282_natLogTabGen_lutmem(DUALMEM,480)@12
memoryC2_uid282_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid282_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid282_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC2_uid282_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC2_uid282_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid282_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid282_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid282_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid282_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid282_natLogTabGen_lutmem_ia
);
memoryC2_uid282_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid282_natLogTabGen_lutmem_q <= memoryC2_uid282_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC2_uid282_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_0(REG,556)@14
reg_memoryC2_uid282_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid282_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid282_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_0_q <= memoryC2_uid282_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid286_natLogTabGen(BITJOIN,285)@15
os_uid286_natLogTabGen_q <= reg_memoryC2_uid285_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_3_q & reg_memoryC2_uid284_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_2_q & reg_memoryC2_uid283_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_1_q & reg_memoryC2_uid282_natLogTabGen_lutmem_0_to_os_uid286_natLogTabGen_0_q;
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_inputreg(DELAY,1586)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 38, depth => 1 )
PORT MAP ( xin => os_uid286_natLogTabGen_q, xout => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt(COUNTER,1588)
-- every=1, low=0, high=5, step=1, init=1
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i = 4 THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i - 5;
ELSE
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_i,3));
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg(REG,1589)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux(MUX,1590)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_s <= en;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux: PROCESS (ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_s, ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q, ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem(DUALMEM,1587)
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_ia <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_inputreg_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_aa <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_ab <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 38,
widthad_a => 3,
numwords_a => 6,
width_b => 38,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_iq,
address_a => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_aa,
data_a => ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_ia
);
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_iq(37 downto 0);
--o2_uid97_fpLogE1pxTest(CONSTANT,96)
o2_uid97_fpLogE1pxTest_q <= "01";
--cIncludingRoundingBit_uid303_natLogPolyEval(BITJOIN,302)@23
cIncludingRoundingBit_uid303_natLogPolyEval_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_mem_q & o2_uid97_fpLogE1pxTest_q;
--reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0(REG,578)@23
reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0_q <= cIncludingRoundingBit_uid303_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ts2_uid304_natLogPolyEval(ADD,303)@24
ts2_uid304_natLogPolyEval_a <= STD_LOGIC_VECTOR((40 downto 40 => reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0_q(39)) & reg_cIncludingRoundingBit_uid303_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_0_q);
ts2_uid304_natLogPolyEval_b <= STD_LOGIC_VECTOR((40 downto 30 => reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1_q(29)) & reg_R_uid417_pT2_uid301_natLogPolyEval_0_to_ts2_uid304_natLogPolyEval_1_q);
ts2_uid304_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts2_uid304_natLogPolyEval_a) + SIGNED(ts2_uid304_natLogPolyEval_b));
ts2_uid304_natLogPolyEval_q <= ts2_uid304_natLogPolyEval_o(40 downto 0);
--s2_uid305_natLogPolyEval(BITSELECT,304)@24
s2_uid305_natLogPolyEval_in <= ts2_uid304_natLogPolyEval_q;
s2_uid305_natLogPolyEval_b <= s2_uid305_natLogPolyEval_in(40 downto 1);
--yTop18Bits_uid424_pT3_uid307_natLogPolyEval(BITSELECT,423)@24
yTop18Bits_uid424_pT3_uid307_natLogPolyEval_in <= s2_uid305_natLogPolyEval_b;
yTop18Bits_uid424_pT3_uid307_natLogPolyEval_b <= yTop18Bits_uid424_pT3_uid307_natLogPolyEval_in(39 downto 22);
--reg_yTop18Bits_uid424_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_9(REG,583)@24
reg_yTop18Bits_uid424_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop18Bits_uid424_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_9_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop18Bits_uid424_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_9_q <= yTop18Bits_uid424_pT3_uid307_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor(LOGICAL,1609)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_b <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_q <= not (ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_a or ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_b);
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena(REG,1610)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd(LOGICAL,1611)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_b <= en;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_a and ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_inputreg(DELAY,1599)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 43, depth => 1 )
PORT MAP ( xin => zPPolyEval_uid91_fpLogE1pxTest_b, xout => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem(DUALMEM,1600)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_ia <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_inputreg_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_aa <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdreg_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_ab <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_replace_rdmux_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 43,
widthad_a => 3,
numwords_a => 7,
width_b => 43,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_iq(42 downto 0);
--yT3_uid306_natLogPolyEval(BITSELECT,305)@24
yT3_uid306_natLogPolyEval_in <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_replace_mem_q;
yT3_uid306_natLogPolyEval_b <= yT3_uid306_natLogPolyEval_in(42 downto 5);
--xBottomBits_uid423_pT3_uid307_natLogPolyEval(BITSELECT,422)@24
xBottomBits_uid423_pT3_uid307_natLogPolyEval_in <= yT3_uid306_natLogPolyEval_b(10 downto 0);
xBottomBits_uid423_pT3_uid307_natLogPolyEval_b <= xBottomBits_uid423_pT3_uid307_natLogPolyEval_in(10 downto 0);
--pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval(BITJOIN,425)@24
pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_q <= xBottomBits_uid423_pT3_uid307_natLogPolyEval_b & STD_LOGIC_VECTOR((5 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_7(REG,582)@24
reg_pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_7_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_7_q <= pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid422_pT3_uid307_natLogPolyEval(BITSELECT,421)@24
yBottomBits_uid422_pT3_uid307_natLogPolyEval_in <= s2_uid305_natLogPolyEval_b(12 downto 0);
yBottomBits_uid422_pT3_uid307_natLogPolyEval_b <= yBottomBits_uid422_pT3_uid307_natLogPolyEval_in(12 downto 0);
--spad_yBottomBits_uid422_uid425_pT3_uid307_natLogPolyEval(BITJOIN,424)@24
spad_yBottomBits_uid422_uid425_pT3_uid307_natLogPolyEval_q <= GND_q & yBottomBits_uid422_pT3_uid307_natLogPolyEval_b;
--pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval(BITJOIN,426)@24
pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_q <= spad_yBottomBits_uid422_uid425_pT3_uid307_natLogPolyEval_q & STD_LOGIC_VECTOR((3 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_6(REG,581)@24
reg_pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_6_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_6_q <= pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--xTop18Bits_uid421_pT3_uid307_natLogPolyEval(BITSELECT,420)@24
xTop18Bits_uid421_pT3_uid307_natLogPolyEval_in <= yT3_uid306_natLogPolyEval_b;
xTop18Bits_uid421_pT3_uid307_natLogPolyEval_b <= xTop18Bits_uid421_pT3_uid307_natLogPolyEval_in(37 downto 20);
--reg_xTop18Bits_uid421_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_4(REG,580)@24
reg_xTop18Bits_uid421_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop18Bits_uid421_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_4_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop18Bits_uid421_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_4_q <= xTop18Bits_uid421_pT3_uid307_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma(CHAINMULTADD,489)@25
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a(0),19));
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a(1),19));
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p(0) <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l(0) * multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c(0);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p(1) <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_l(1) * multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c(1);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_w(0) <= RESIZE(multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p(0),38) + RESIZE(multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_p(1),38);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_x(0) <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_w(0);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_y(0) <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_x(0);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop18Bits_uid421_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_4_q),18);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid423_uid426_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_7_q),18);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid422_uid427_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_6_q),18);
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop18Bits_uid424_pT3_uid307_natLogPolyEval_0_to_multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_9_q),18);
IF (en = "1") THEN
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s(0) <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_y(0);
END IF;
END IF;
END PROCESS;
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 37, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_s(0)(36 downto 0)), xout => multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval(BITSELECT,428)@28
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_in <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_cma_q;
multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_b <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_in(36 downto 4);
--highBBits_uid431_pT3_uid307_natLogPolyEval(BITSELECT,430)@28
highBBits_uid431_pT3_uid307_natLogPolyEval_in <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_b;
highBBits_uid431_pT3_uid307_natLogPolyEval_b <= highBBits_uid431_pT3_uid307_natLogPolyEval_in(32 downto 4);
--yTop27Bits_uid419_pT3_uid307_natLogPolyEval(BITSELECT,418)@24
yTop27Bits_uid419_pT3_uid307_natLogPolyEval_in <= s2_uid305_natLogPolyEval_b;
yTop27Bits_uid419_pT3_uid307_natLogPolyEval_b <= yTop27Bits_uid419_pT3_uid307_natLogPolyEval_in(39 downto 13);
--reg_yTop27Bits_uid419_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_1(REG,585)@24
reg_yTop27Bits_uid419_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid419_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid419_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_1_q <= yTop27Bits_uid419_pT3_uid307_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--xTop27Bits_uid418_pT3_uid307_natLogPolyEval(BITSELECT,417)@24
xTop27Bits_uid418_pT3_uid307_natLogPolyEval_in <= yT3_uid306_natLogPolyEval_b;
xTop27Bits_uid418_pT3_uid307_natLogPolyEval_b <= xTop27Bits_uid418_pT3_uid307_natLogPolyEval_in(37 downto 11);
--reg_xTop27Bits_uid418_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_0(REG,584)@24
reg_xTop27Bits_uid418_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid418_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid418_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_0_q <= xTop27Bits_uid418_pT3_uid307_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--topProd_uid420_pT3_uid307_natLogPolyEval(MULT,419)@25
topProd_uid420_pT3_uid307_natLogPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid420_pT3_uid307_natLogPolyEval_a),28)) * SIGNED(topProd_uid420_pT3_uid307_natLogPolyEval_b);
topProd_uid420_pT3_uid307_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid420_pT3_uid307_natLogPolyEval_a <= (others => '0');
topProd_uid420_pT3_uid307_natLogPolyEval_b <= (others => '0');
topProd_uid420_pT3_uid307_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid420_pT3_uid307_natLogPolyEval_a <= reg_xTop27Bits_uid418_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_0_q;
topProd_uid420_pT3_uid307_natLogPolyEval_b <= reg_yTop27Bits_uid419_pT3_uid307_natLogPolyEval_0_to_topProd_uid420_pT3_uid307_natLogPolyEval_1_q;
topProd_uid420_pT3_uid307_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid420_pT3_uid307_natLogPolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid420_pT3_uid307_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid420_pT3_uid307_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid420_pT3_uid307_natLogPolyEval_q <= topProd_uid420_pT3_uid307_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid432_pT3_uid307_natLogPolyEval(ADD,431)@28
sumAHighB_uid432_pT3_uid307_natLogPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid420_pT3_uid307_natLogPolyEval_q(53)) & topProd_uid420_pT3_uid307_natLogPolyEval_q);
sumAHighB_uid432_pT3_uid307_natLogPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid431_pT3_uid307_natLogPolyEval_b(28)) & highBBits_uid431_pT3_uid307_natLogPolyEval_b);
sumAHighB_uid432_pT3_uid307_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid432_pT3_uid307_natLogPolyEval_a) + SIGNED(sumAHighB_uid432_pT3_uid307_natLogPolyEval_b));
sumAHighB_uid432_pT3_uid307_natLogPolyEval_q <= sumAHighB_uid432_pT3_uid307_natLogPolyEval_o(54 downto 0);
--lowRangeB_uid430_pT3_uid307_natLogPolyEval(BITSELECT,429)@28
lowRangeB_uid430_pT3_uid307_natLogPolyEval_in <= multSumOfTwo18_uid425_pT3_uid307_natLogPolyEval_b(3 downto 0);
lowRangeB_uid430_pT3_uid307_natLogPolyEval_b <= lowRangeB_uid430_pT3_uid307_natLogPolyEval_in(3 downto 0);
--add0_uid430_uid433_pT3_uid307_natLogPolyEval(BITJOIN,432)@28
add0_uid430_uid433_pT3_uid307_natLogPolyEval_q <= sumAHighB_uid432_pT3_uid307_natLogPolyEval_q & lowRangeB_uid430_pT3_uid307_natLogPolyEval_b;
--R_uid434_pT3_uid307_natLogPolyEval(BITSELECT,433)@28
R_uid434_pT3_uid307_natLogPolyEval_in <= add0_uid430_uid433_pT3_uid307_natLogPolyEval_q(57 downto 0);
R_uid434_pT3_uid307_natLogPolyEval_b <= R_uid434_pT3_uid307_natLogPolyEval_in(57 downto 17);
--reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1(REG,587)@28
reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1_q <= "00000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1_q <= R_uid434_pT3_uid307_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor(LOGICAL,1622)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_b <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_q <= not (ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_a or ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_b);
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_mem_top(CONSTANT,1618)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_mem_top_q <= "01010";
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp(LOGICAL,1619)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_a <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_mem_top_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_q);
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_q <= "1" when ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_a = ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_b else "0";
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmpReg(REG,1620)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmpReg_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena(REG,1623)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_nor_q = "1") THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd(LOGICAL,1624)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_a <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_sticky_ena_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_b <= en;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_a and ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_b;
--memoryC1_uid280_natLogTabGen_lutmem(DUALMEM,479)@12
memoryC1_uid280_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid280_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid280_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC1_uid280_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 11,
numwords_a => 2048,
width_b => 8,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC1_uid280_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid280_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid280_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid280_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid280_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid280_natLogTabGen_lutmem_ia
);
memoryC1_uid280_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid280_natLogTabGen_lutmem_q <= memoryC1_uid280_natLogTabGen_lutmem_iq(7 downto 0);
--reg_memoryC1_uid280_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_4(REG,551)@14
reg_memoryC1_uid280_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid280_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_4_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid280_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_4_q <= memoryC1_uid280_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid279_natLogTabGen_lutmem(DUALMEM,478)@12
memoryC1_uid279_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid279_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid279_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC1_uid279_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC1_uid279_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid279_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid279_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid279_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid279_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid279_natLogTabGen_lutmem_ia
);
memoryC1_uid279_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid279_natLogTabGen_lutmem_q <= memoryC1_uid279_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid279_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_3(REG,550)@14
reg_memoryC1_uid279_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid279_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_3_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid279_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_3_q <= memoryC1_uid279_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid278_natLogTabGen_lutmem(DUALMEM,477)@12
memoryC1_uid278_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid278_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid278_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC1_uid278_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC1_uid278_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid278_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid278_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid278_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid278_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid278_natLogTabGen_lutmem_ia
);
memoryC1_uid278_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid278_natLogTabGen_lutmem_q <= memoryC1_uid278_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid278_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_2(REG,549)@14
reg_memoryC1_uid278_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid278_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_2_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid278_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_2_q <= memoryC1_uid278_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid277_natLogTabGen_lutmem(DUALMEM,476)@12
memoryC1_uid277_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid277_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid277_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC1_uid277_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC1_uid277_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid277_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid277_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid277_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid277_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid277_natLogTabGen_lutmem_ia
);
memoryC1_uid277_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid277_natLogTabGen_lutmem_q <= memoryC1_uid277_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid277_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_1(REG,548)@14
reg_memoryC1_uid277_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid277_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid277_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_1_q <= memoryC1_uid277_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid276_natLogTabGen_lutmem(DUALMEM,475)@12
memoryC1_uid276_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid276_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid276_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC1_uid276_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC1_uid276_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid276_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid276_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid276_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid276_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid276_natLogTabGen_lutmem_ia
);
memoryC1_uid276_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid276_natLogTabGen_lutmem_q <= memoryC1_uid276_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid276_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_0(REG,547)@14
reg_memoryC1_uid276_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid276_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid276_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_0_q <= memoryC1_uid276_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid281_natLogTabGen(BITJOIN,280)@15
os_uid281_natLogTabGen_q <= reg_memoryC1_uid280_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_4_q & reg_memoryC1_uid279_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_3_q & reg_memoryC1_uid278_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_2_q & reg_memoryC1_uid277_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_1_q & reg_memoryC1_uid276_natLogTabGen_lutmem_0_to_os_uid281_natLogTabGen_0_q;
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_inputreg(DELAY,1612)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 48, depth => 1 )
PORT MAP ( xin => os_uid281_natLogTabGen_q, xout => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt(COUNTER,1614)
-- every=1, low=0, high=10, step=1, init=1
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i = 9 THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i - 10;
ELSE
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_i,4));
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg(REG,1615)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux(MUX,1616)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_s <= en;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux: PROCESS (ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_s, ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg_q, ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem(DUALMEM,1613)
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_ia <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_inputreg_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_aa <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdreg_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_ab <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_rdmux_q;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 48,
widthad_a => 4,
numwords_a => 11,
width_b => 48,
widthad_b => 4,
numwords_b => 11,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_iq,
address_a => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_aa,
data_a => ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_ia
);
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_iq(47 downto 0);
--cIncludingRoundingBit_uid309_natLogPolyEval(BITJOIN,308)@28
cIncludingRoundingBit_uid309_natLogPolyEval_q <= ld_os_uid281_natLogTabGen_q_to_cIncludingRoundingBit_uid309_natLogPolyEval_b_replace_mem_q & o2_uid97_fpLogE1pxTest_q;
--reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0(REG,586)@28
reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0_q <= "00000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0_q <= cIncludingRoundingBit_uid309_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ts3_uid310_natLogPolyEval(ADD,309)@29
ts3_uid310_natLogPolyEval_a <= STD_LOGIC_VECTOR((50 downto 50 => reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0_q(49)) & reg_cIncludingRoundingBit_uid309_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_0_q);
ts3_uid310_natLogPolyEval_b <= STD_LOGIC_VECTOR((50 downto 41 => reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1_q(40)) & reg_R_uid434_pT3_uid307_natLogPolyEval_0_to_ts3_uid310_natLogPolyEval_1_q);
ts3_uid310_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts3_uid310_natLogPolyEval_a) + SIGNED(ts3_uid310_natLogPolyEval_b));
ts3_uid310_natLogPolyEval_q <= ts3_uid310_natLogPolyEval_o(50 downto 0);
--s3_uid311_natLogPolyEval(BITSELECT,310)@29
s3_uid311_natLogPolyEval_in <= ts3_uid310_natLogPolyEval_q;
s3_uid311_natLogPolyEval_b <= s3_uid311_natLogPolyEval_in(50 downto 1);
--yTop27Bits_uid436_pT4_uid313_natLogPolyEval(BITSELECT,435)@29
yTop27Bits_uid436_pT4_uid313_natLogPolyEval_in <= s3_uid311_natLogPolyEval_b;
yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b <= yTop27Bits_uid436_pT4_uid313_natLogPolyEval_in(49 downto 23);
--reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_9(REG,591)@29
reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_9_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_9_q <= yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor(LOGICAL,1705)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_b <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_q <= not (ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_a or ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_b);
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_mem_top(CONSTANT,1701)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_mem_top_q <= "01011";
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp(LOGICAL,1702)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_a <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_mem_top_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_q);
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_q <= "1" when ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_a = ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_b else "0";
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmpReg(REG,1703)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmpReg_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena(REG,1706)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_nor_q = "1") THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd(LOGICAL,1707)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_a <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_sticky_ena_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_b <= en;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_a and ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_b;
--xBottomBits_uid439_pT4_uid313_natLogPolyEval(BITSELECT,438)@15
xBottomBits_uid439_pT4_uid313_natLogPolyEval_in <= zPPolyEval_uid91_fpLogE1pxTest_b(15 downto 0);
xBottomBits_uid439_pT4_uid313_natLogPolyEval_b <= xBottomBits_uid439_pT4_uid313_natLogPolyEval_in(15 downto 0);
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_inputreg(DELAY,1695)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 16, depth => 1 )
PORT MAP ( xin => xBottomBits_uid439_pT4_uid313_natLogPolyEval_b, xout => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt(COUNTER,1697)
-- every=1, low=0, high=11, step=1, init=1
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i = 10 THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i - 11;
ELSE
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_i,4));
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg(REG,1698)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux(MUX,1699)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_s <= en;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux: PROCESS (ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_s, ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg_q, ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem(DUALMEM,1696)
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_ia <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_inputreg_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_aa <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdreg_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_ab <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_rdmux_q;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 16,
widthad_a => 4,
numwords_a => 12,
width_b => 16,
widthad_b => 4,
numwords_b => 12,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_iq,
address_a => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_aa,
data_a => ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_ia
);
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_iq(15 downto 0);
--pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval(BITJOIN,440)@29
pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_q <= ld_xBottomBits_uid439_pT4_uid313_natLogPolyEval_b_to_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_b_replace_mem_q & STD_LOGIC_VECTOR((9 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_7(REG,590)@29
reg_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_7_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_7_q <= pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid438_pT4_uid313_natLogPolyEval(BITSELECT,437)@29
yBottomBits_uid438_pT4_uid313_natLogPolyEval_in <= s3_uid311_natLogPolyEval_b(22 downto 0);
yBottomBits_uid438_pT4_uid313_natLogPolyEval_b <= yBottomBits_uid438_pT4_uid313_natLogPolyEval_in(22 downto 0);
--ld_yBottomBits_uid438_pT4_uid313_natLogPolyEval_b_to_spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_a(DELAY,1104)@29
ld_yBottomBits_uid438_pT4_uid313_natLogPolyEval_b_to_spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_a : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => yBottomBits_uid438_pT4_uid313_natLogPolyEval_b, xout => ld_yBottomBits_uid438_pT4_uid313_natLogPolyEval_b_to_spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_a_q, ena => en(0), clk => clk, aclr => areset );
--spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval(BITJOIN,439)@30
spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_q <= GND_q & ld_yBottomBits_uid438_pT4_uid313_natLogPolyEval_b_to_spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_a_q;
--pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval(BITJOIN,441)@30
pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_q <= spad_yBottomBits_uid438_uid440_pT4_uid313_natLogPolyEval_q & STD_LOGIC_VECTOR((2 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_6(REG,589)@30
reg_pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_6_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_6_q <= pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor(LOGICAL,1692)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_b <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_q <= not (ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_a or ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_b);
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_mem_top(CONSTANT,1688)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_mem_top_q <= "01100";
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp(LOGICAL,1689)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_a <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_mem_top_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_q);
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_q <= "1" when ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_a = ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_b else "0";
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmpReg(REG,1690)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmpReg_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena(REG,1693)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd(LOGICAL,1694)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_b <= en;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_a and ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt(COUNTER,1684)
-- every=1, low=0, high=12, step=1, init=1
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i = 11 THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i - 12;
ELSE
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_i,4));
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg(REG,1685)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux(MUX,1686)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_s <= en;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux: PROCESS (ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_s, ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg_q, ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem(DUALMEM,1683)
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_ia <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_yT3_uid306_natLogPolyEval_a_inputreg_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_aa <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdreg_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_ab <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_rdmux_q;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 43,
widthad_a => 4,
numwords_a => 13,
width_b => 43,
widthad_b => 4,
numwords_b => 13,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_iq(42 downto 0);
--xTop27Bits_uid435_pT4_uid313_natLogPolyEval(BITSELECT,434)@30
xTop27Bits_uid435_pT4_uid313_natLogPolyEval_in <= ld_zPPolyEval_uid91_fpLogE1pxTest_b_to_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_a_replace_mem_q;
xTop27Bits_uid435_pT4_uid313_natLogPolyEval_b <= xTop27Bits_uid435_pT4_uid313_natLogPolyEval_in(42 downto 16);
--reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4(REG,588)@30
reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4_q <= xTop27Bits_uid435_pT4_uid313_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma(CHAINMULTADD,490)@31
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a(0),28));
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a(1),28));
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p(0) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l(0) * multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c(0);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p(1) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_l(1) * multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c(1);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w(0) <= RESIZE(multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p(0),56);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w(1) <= RESIZE(multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_p(1),56);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x(0) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w(0);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x(1) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_w(1);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y(0) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s(1) + multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x(0);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y(1) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_x(1);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4_q),27);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid439_uid441_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_7_q),27);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid438_uid442_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_6_q),27);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_9_q),27);
IF (en = "1") THEN
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s(0) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y(0);
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s(1) <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_y(1);
END IF;
END IF;
END PROCESS;
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 55, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_s(0)(54 downto 0)), xout => multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval(BITSELECT,443)@34
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_in <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_q;
multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_b <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_in(54 downto 3);
--highBBits_uid446_pT4_uid313_natLogPolyEval(BITSELECT,445)@34
highBBits_uid446_pT4_uid313_natLogPolyEval_in <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_b;
highBBits_uid446_pT4_uid313_natLogPolyEval_b <= highBBits_uid446_pT4_uid313_natLogPolyEval_in(51 downto 23);
--ld_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b_to_reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_a(DELAY,1276)@29
ld_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b_to_reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_a : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b, xout => ld_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b_to_reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1(REG,593)@30
reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_q <= ld_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_b_to_reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_a_q;
END IF;
END IF;
END PROCESS;
--topProd_uid437_pT4_uid313_natLogPolyEval(MULT,436)@31
topProd_uid437_pT4_uid313_natLogPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid437_pT4_uid313_natLogPolyEval_a),28)) * SIGNED(topProd_uid437_pT4_uid313_natLogPolyEval_b);
topProd_uid437_pT4_uid313_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid437_pT4_uid313_natLogPolyEval_a <= (others => '0');
topProd_uid437_pT4_uid313_natLogPolyEval_b <= (others => '0');
topProd_uid437_pT4_uid313_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid437_pT4_uid313_natLogPolyEval_a <= reg_xTop27Bits_uid435_pT4_uid313_natLogPolyEval_0_to_multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_cma_4_q;
topProd_uid437_pT4_uid313_natLogPolyEval_b <= reg_yTop27Bits_uid436_pT4_uid313_natLogPolyEval_0_to_topProd_uid437_pT4_uid313_natLogPolyEval_1_q;
topProd_uid437_pT4_uid313_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid437_pT4_uid313_natLogPolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid437_pT4_uid313_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid437_pT4_uid313_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid437_pT4_uid313_natLogPolyEval_q <= topProd_uid437_pT4_uid313_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid447_pT4_uid313_natLogPolyEval(ADD,446)@34
sumAHighB_uid447_pT4_uid313_natLogPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid437_pT4_uid313_natLogPolyEval_q(53)) & topProd_uid437_pT4_uid313_natLogPolyEval_q);
sumAHighB_uid447_pT4_uid313_natLogPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid446_pT4_uid313_natLogPolyEval_b(28)) & highBBits_uid446_pT4_uid313_natLogPolyEval_b);
sumAHighB_uid447_pT4_uid313_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid447_pT4_uid313_natLogPolyEval_a) + SIGNED(sumAHighB_uid447_pT4_uid313_natLogPolyEval_b));
sumAHighB_uid447_pT4_uid313_natLogPolyEval_q <= sumAHighB_uid447_pT4_uid313_natLogPolyEval_o(54 downto 0);
--lowRangeB_uid445_pT4_uid313_natLogPolyEval(BITSELECT,444)@34
lowRangeB_uid445_pT4_uid313_natLogPolyEval_in <= multSumOfTwo27_uid440_pT4_uid313_natLogPolyEval_b(22 downto 0);
lowRangeB_uid445_pT4_uid313_natLogPolyEval_b <= lowRangeB_uid445_pT4_uid313_natLogPolyEval_in(22 downto 0);
--add0_uid445_uid448_pT4_uid313_natLogPolyEval(BITJOIN,447)@34
add0_uid445_uid448_pT4_uid313_natLogPolyEval_q <= sumAHighB_uid447_pT4_uid313_natLogPolyEval_q & lowRangeB_uid445_pT4_uid313_natLogPolyEval_b;
--R_uid449_pT4_uid313_natLogPolyEval(BITSELECT,448)@34
R_uid449_pT4_uid313_natLogPolyEval_in <= add0_uid445_uid448_pT4_uid313_natLogPolyEval_q(76 downto 0);
R_uid449_pT4_uid313_natLogPolyEval_b <= R_uid449_pT4_uid313_natLogPolyEval_in(76 downto 25);
--reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1(REG,595)@34
reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1_q <= R_uid449_pT4_uid313_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor(LOGICAL,1635)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_b <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_q <= not (ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_a or ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_b);
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_mem_top(CONSTANT,1631)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_mem_top_q <= "010000";
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp(LOGICAL,1632)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_a <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_mem_top_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_q);
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_q <= "1" when ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_a = ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_b else "0";
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmpReg(REG,1633)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmpReg_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena(REG,1636)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_nor_q = "1") THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd(LOGICAL,1637)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_a <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_sticky_ena_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_b <= en;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_a and ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_b;
--memoryC0_uid274_natLogTabGen_lutmem(DUALMEM,474)@12
memoryC0_uid274_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid274_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid274_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC0_uid274_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC0_uid274_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid274_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid274_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid274_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid274_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid274_natLogTabGen_lutmem_ia
);
memoryC0_uid274_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid274_natLogTabGen_lutmem_q <= memoryC0_uid274_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid274_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_5(REG,541)@14
reg_memoryC0_uid274_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid274_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_5_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid274_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_5_q <= memoryC0_uid274_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid273_natLogTabGen_lutmem(DUALMEM,473)@12
memoryC0_uid273_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid273_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid273_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC0_uid273_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC0_uid273_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid273_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid273_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid273_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid273_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid273_natLogTabGen_lutmem_ia
);
memoryC0_uid273_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid273_natLogTabGen_lutmem_q <= memoryC0_uid273_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid273_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_4(REG,540)@14
reg_memoryC0_uid273_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid273_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_4_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid273_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_4_q <= memoryC0_uid273_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid272_natLogTabGen_lutmem(DUALMEM,472)@12
memoryC0_uid272_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid272_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid272_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC0_uid272_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC0_uid272_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid272_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid272_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid272_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid272_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid272_natLogTabGen_lutmem_ia
);
memoryC0_uid272_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid272_natLogTabGen_lutmem_q <= memoryC0_uid272_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid272_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_3(REG,539)@14
reg_memoryC0_uid272_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid272_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_3_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid272_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_3_q <= memoryC0_uid272_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid271_natLogTabGen_lutmem(DUALMEM,471)@12
memoryC0_uid271_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid271_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid271_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC0_uid271_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC0_uid271_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid271_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid271_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid271_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid271_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid271_natLogTabGen_lutmem_ia
);
memoryC0_uid271_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid271_natLogTabGen_lutmem_q <= memoryC0_uid271_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid271_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_2(REG,538)@14
reg_memoryC0_uid271_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid271_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_2_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid271_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_2_q <= memoryC0_uid271_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid270_natLogTabGen_lutmem(DUALMEM,470)@12
memoryC0_uid270_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid270_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid270_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC0_uid270_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC0_uid270_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid270_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid270_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid270_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid270_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid270_natLogTabGen_lutmem_ia
);
memoryC0_uid270_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid270_natLogTabGen_lutmem_q <= memoryC0_uid270_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid270_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_1(REG,537)@14
reg_memoryC0_uid270_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid270_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid270_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_1_q <= memoryC0_uid270_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid269_natLogTabGen_lutmem(DUALMEM,469)@12
memoryC0_uid269_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid269_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid269_natLogTabGen_lutmem_ab <= reg_addr_uid90_fpLogE1pxTest_0_to_memoryC0_uid269_natLogTabGen_lutmem_0_q;
memoryC0_uid269_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln1p_double_s5_memoryC0_uid269_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid269_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid269_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid269_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid269_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid269_natLogTabGen_lutmem_ia
);
memoryC0_uid269_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid269_natLogTabGen_lutmem_q <= memoryC0_uid269_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid269_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_0(REG,536)@14
reg_memoryC0_uid269_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid269_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid269_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_0_q <= memoryC0_uid269_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid275_natLogTabGen(BITJOIN,274)@15
os_uid275_natLogTabGen_q <= reg_memoryC0_uid274_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_5_q & reg_memoryC0_uid273_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_4_q & reg_memoryC0_uid272_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_3_q & reg_memoryC0_uid271_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_2_q & reg_memoryC0_uid270_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_1_q & reg_memoryC0_uid269_natLogTabGen_lutmem_0_to_os_uid275_natLogTabGen_0_q;
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_inputreg(DELAY,1625)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 60, depth => 1 )
PORT MAP ( xin => os_uid275_natLogTabGen_q, xout => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt(COUNTER,1627)
-- every=1, low=0, high=16, step=1, init=1
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i = 15 THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i - 16;
ELSE
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_i,5));
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg(REG,1628)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux(MUX,1629)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_s <= en;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux: PROCESS (ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_s, ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg_q, ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem(DUALMEM,1626)
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_ia <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_inputreg_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_aa <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdreg_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_ab <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_rdmux_q;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 60,
widthad_a => 5,
numwords_a => 17,
width_b => 60,
widthad_b => 5,
numwords_b => 17,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_iq,
address_a => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_aa,
data_a => ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_ia
);
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_iq(59 downto 0);
--rndBit_uid314_natLogPolyEval(CONSTANT,313)
rndBit_uid314_natLogPolyEval_q <= "001";
--cIncludingRoundingBit_uid315_natLogPolyEval(BITJOIN,314)@34
cIncludingRoundingBit_uid315_natLogPolyEval_q <= ld_os_uid275_natLogTabGen_q_to_cIncludingRoundingBit_uid315_natLogPolyEval_b_replace_mem_q & rndBit_uid314_natLogPolyEval_q;
--reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0(REG,594)@34
reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0_q <= "000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0_q <= cIncludingRoundingBit_uid315_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ts4_uid316_natLogPolyEval(ADD,315)@35
ts4_uid316_natLogPolyEval_a <= STD_LOGIC_VECTOR((63 downto 63 => reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0_q(62)) & reg_cIncludingRoundingBit_uid315_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_0_q);
ts4_uid316_natLogPolyEval_b <= STD_LOGIC_VECTOR((63 downto 52 => reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1_q(51)) & reg_R_uid449_pT4_uid313_natLogPolyEval_0_to_ts4_uid316_natLogPolyEval_1_q);
ts4_uid316_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts4_uid316_natLogPolyEval_a) + SIGNED(ts4_uid316_natLogPolyEval_b));
ts4_uid316_natLogPolyEval_q <= ts4_uid316_natLogPolyEval_o(63 downto 0);
--s4_uid317_natLogPolyEval(BITSELECT,316)@35
s4_uid317_natLogPolyEval_in <= ts4_uid316_natLogPolyEval_q;
s4_uid317_natLogPolyEval_b <= s4_uid317_natLogPolyEval_in(63 downto 1);
--peOR_uid93_fpLogE1pxTest(BITSELECT,92)@35
peOR_uid93_fpLogE1pxTest_in <= s4_uid317_natLogPolyEval_b(61 downto 0);
peOR_uid93_fpLogE1pxTest_b <= peOR_uid93_fpLogE1pxTest_in(61 downto 6);
--postPEMul_uid103_fpLogE1pxTest_b_2(BITSELECT,453)@35
postPEMul_uid103_fpLogE1pxTest_b_2_in <= STD_LOGIC_VECTOR((80 downto 56 => peOR_uid93_fpLogE1pxTest_b(55)) & peOR_uid93_fpLogE1pxTest_b);
postPEMul_uid103_fpLogE1pxTest_b_2_b <= postPEMul_uid103_fpLogE1pxTest_b_2_in(80 downto 54);
--reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1(REG,606)@35
reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1_q <= postPEMul_uid103_fpLogE1pxTest_b_2_b;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor(LOGICAL,1470)
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_b <= ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_q <= not (ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_a or ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_b);
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_mem_top(CONSTANT,1442)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_mem_top_q <= "011111";
--ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp(LOGICAL,1467)
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_a <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_mem_top_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q);
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_q <= "1" when ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_a = ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_b else "0";
--ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmpReg(REG,1468)
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmpReg_q <= ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena(REG,1471)
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_nor_q = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena_q <= ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd(LOGICAL,1472)
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_a <= ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_sticky_ena_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_b <= en;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_q <= ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_a and ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_b;
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg(REG,1439)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt(COUNTER,1438)
-- every=1, low=0, high=31, step=1, init=1
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_i <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_i,5));
--ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem(DUALMEM,1463)
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_ia <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_aa <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_ab <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 52,
widthad_a => 5,
numwords_a => 32,
width_b => 52,
widthad_b => 5,
numwords_b => 32,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => en(0),
wren_a => en(0),
clock0 => clk,
aclr1 => ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_ia
);
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_q <= ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_iq(51 downto 0);
--sEz_uid98_fpLogE1pxTest(BITJOIN,97)@35
sEz_uid98_fpLogE1pxTest_q <= o2_uid97_fpLogE1pxTest_q & ld_frac_uid22_fpLogE1pxTest_b_to_sEz_uid98_fpLogE1pxTest_a_replace_mem_q;
--ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor(LOGICAL,1483)
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_b <= ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena_q;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_q <= not (ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_a or ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_b);
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_mem_top(CONSTANT,1455)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_mem_top_q <= "010101";
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp(LOGICAL,1456)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_a <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_mem_top_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q);
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_q <= "1" when ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_a = ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_b else "0";
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg(REG,1457)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena(REG,1484)
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_nor_q = "1") THEN
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd(LOGICAL,1485)
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_a <= ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_sticky_ena_q;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_b <= en;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_q <= ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_a and ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_b;
--fracBRed_uid99_fpLogE1pxTest(BITSELECT,98)@11
fracBRed_uid99_fpLogE1pxTest_in <= fracB_uid83_fpLogE1pxTest_q;
fracBRed_uid99_fpLogE1pxTest_b <= fracBRed_uid99_fpLogE1pxTest_in(52 downto 1);
--ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_inputreg(DELAY,1473)
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 52, depth => 1 )
PORT MAP ( xin => fracBRed_uid99_fpLogE1pxTest_b, xout => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt(COUNTER,1451)
-- every=1, low=0, high=21, step=1, init=1
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i = 20 THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_eq = '1') THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i - 21;
ELSE
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_i,5));
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg(REG,1452)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux(MUX,1453)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_s <= en;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux: PROCESS (ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_s, ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q, ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_q)
BEGIN
CASE ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_s IS
WHEN "0" => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q;
WHEN "1" => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem(DUALMEM,1474)
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_ia <= ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_inputreg_q;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_aa <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_ab <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 52,
widthad_a => 5,
numwords_a => 22,
width_b => 52,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_ia
);
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_q <= ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_iq(51 downto 0);
--sEz_uid101_fpLogE1pxTest(BITJOIN,100)@35
sEz_uid101_fpLogE1pxTest_q <= z2_uid100_fpLogE1pxTest_q & ld_fracBRed_uid99_fpLogE1pxTest_b_to_sEz_uid101_fpLogE1pxTest_a_replace_mem_q;
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor(LOGICAL,1459)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_b <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_q <= not (ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_a or ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_b);
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena(REG,1460)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_nor_q = "1") THEN
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd(LOGICAL,1461)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_a <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_sticky_ena_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_b <= en;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_a and ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_b;
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_inputreg(DELAY,1449)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => c_uid87_fpLogE1pxTest_q, xout => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem(DUALMEM,1450)
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_ia <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_inputreg_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_aa <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdreg_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_ab <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_rdmux_q;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_ia
);
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_q <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_iq(0 downto 0);
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor(LOGICAL,1446)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_b <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_q <= not (ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_a or ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_b);
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp(LOGICAL,1443)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_a <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_mem_top_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_q);
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_q <= "1" when ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_a = ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_b else "0";
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmpReg(REG,1444)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmpReg_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena(REG,1447)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_nor_q = "1") THEN
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd(LOGICAL,1448)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_a <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_sticky_ena_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_b <= en;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_a and ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_b;
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_inputreg(DELAY,1436)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => branch3_uid73_fpLogE1pxTest_q, xout => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux(MUX,1440)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_s <= en;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux: PROCESS (ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_s, ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q, ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q)
BEGIN
CASE ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_s IS
WHEN "0" => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q;
WHEN "1" => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem(DUALMEM,1437)
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_ia <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_inputreg_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_aa <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdreg_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_ab <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_rdmux_q;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 32,
width_b => 1,
widthad_b => 5,
numwords_b => 32,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_ia
);
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_q <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_iq(0 downto 0);
--branch3OrC_uid94_fpLogE1pxTest(LOGICAL,93)@34
branch3OrC_uid94_fpLogE1pxTest_a <= ld_branch3_uid73_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_a_replace_mem_q;
branch3OrC_uid94_fpLogE1pxTest_b <= ld_c_uid87_fpLogE1pxTest_q_to_branch3OrC_uid94_fpLogE1pxTest_b_replace_mem_q;
branch3OrC_uid94_fpLogE1pxTest_q_i <= branch3OrC_uid94_fpLogE1pxTest_a or branch3OrC_uid94_fpLogE1pxTest_b;
branch3OrC_uid94_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => branch3OrC_uid94_fpLogE1pxTest_q, xin => branch3OrC_uid94_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--sEz_uid102_fpLogE1pxTest(MUX,101)@35
sEz_uid102_fpLogE1pxTest_s <= branch3OrC_uid94_fpLogE1pxTest_q;
sEz_uid102_fpLogE1pxTest: PROCESS (sEz_uid102_fpLogE1pxTest_s, en, sEz_uid101_fpLogE1pxTest_q, sEz_uid98_fpLogE1pxTest_q)
BEGIN
CASE sEz_uid102_fpLogE1pxTest_s IS
WHEN "0" => sEz_uid102_fpLogE1pxTest_q <= sEz_uid101_fpLogE1pxTest_q;
WHEN "1" => sEz_uid102_fpLogE1pxTest_q <= sEz_uid98_fpLogE1pxTest_q;
WHEN OTHERS => sEz_uid102_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_a_1(BITSELECT,450)@35
postPEMul_uid103_fpLogE1pxTest_a_1_in <= sEz_uid102_fpLogE1pxTest_q;
postPEMul_uid103_fpLogE1pxTest_a_1_b <= postPEMul_uid103_fpLogE1pxTest_a_1_in(53 downto 27);
--reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0(REG,598)@35
reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0_q <= postPEMul_uid103_fpLogE1pxTest_a_1_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_a1_b2(MULT,459)@36
postPEMul_uid103_fpLogE1pxTest_a1_b2_pr <= SIGNED(postPEMul_uid103_fpLogE1pxTest_a1_b2_a) * SIGNED(postPEMul_uid103_fpLogE1pxTest_a1_b2_b);
postPEMul_uid103_fpLogE1pxTest_a1_b2_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a1_b2_a <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a1_b2_b <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a1_b2_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a1_b2_a <= reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0_q;
postPEMul_uid103_fpLogE1pxTest_a1_b2_b <= reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1_q;
postPEMul_uid103_fpLogE1pxTest_a1_b2_s1 <= STD_LOGIC_VECTOR(postPEMul_uid103_fpLogE1pxTest_a1_b2_pr);
END IF;
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_a1_b2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a1_b2_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a1_b2_q <= postPEMul_uid103_fpLogE1pxTest_a1_b2_s1;
END IF;
END IF;
END PROCESS;
--ld_postPEMul_uid103_fpLogE1pxTest_a1_b2_q_to_postPEMul_uid103_fpLogE1pxTest_align_3_a(DELAY,1139)@39
ld_postPEMul_uid103_fpLogE1pxTest_a1_b2_q_to_postPEMul_uid103_fpLogE1pxTest_align_3_a : dspba_delay
GENERIC MAP ( width => 54, depth => 2 )
PORT MAP ( xin => postPEMul_uid103_fpLogE1pxTest_a1_b2_q, xout => ld_postPEMul_uid103_fpLogE1pxTest_a1_b2_q_to_postPEMul_uid103_fpLogE1pxTest_align_3_a_q, ena => en(0), clk => clk, aclr => areset );
--postPEMul_uid103_fpLogE1pxTest_align_3(BITSHIFT,465)@41
postPEMul_uid103_fpLogE1pxTest_align_3_q_int <= ld_postPEMul_uid103_fpLogE1pxTest_a1_b2_q_to_postPEMul_uid103_fpLogE1pxTest_align_3_a_q & "000000000000000000000000000000000000000000000000000000000000000000000000000000000";
postPEMul_uid103_fpLogE1pxTest_align_3_q <= postPEMul_uid103_fpLogE1pxTest_align_3_q_int(134 downto 0);
--postPEMul_uid103_fpLogE1pxTest_a_0(BITSELECT,449)@35
postPEMul_uid103_fpLogE1pxTest_a_0_in <= sEz_uid102_fpLogE1pxTest_q(26 downto 0);
postPEMul_uid103_fpLogE1pxTest_a_0_b <= postPEMul_uid103_fpLogE1pxTest_a_0_in(26 downto 0);
--reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0(REG,596)@35
reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0_q <= postPEMul_uid103_fpLogE1pxTest_a_0_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_a0_b2(MULT,458)@36
postPEMul_uid103_fpLogE1pxTest_a0_b2_pr <= signed(resize(UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a0_b2_a),28)) * SIGNED(postPEMul_uid103_fpLogE1pxTest_a0_b2_b);
postPEMul_uid103_fpLogE1pxTest_a0_b2_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a0_b2_a <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a0_b2_b <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a0_b2_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a0_b2_a <= reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0_q;
postPEMul_uid103_fpLogE1pxTest_a0_b2_b <= reg_postPEMul_uid103_fpLogE1pxTest_b_2_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b2_1_q;
postPEMul_uid103_fpLogE1pxTest_a0_b2_s1 <= STD_LOGIC_VECTOR(resize(postPEMul_uid103_fpLogE1pxTest_a0_b2_pr,54));
END IF;
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_a0_b2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a0_b2_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a0_b2_q <= postPEMul_uid103_fpLogE1pxTest_a0_b2_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_b_1(BITSELECT,452)@35
postPEMul_uid103_fpLogE1pxTest_b_1_in <= peOR_uid93_fpLogE1pxTest_b(53 downto 0);
postPEMul_uid103_fpLogE1pxTest_b_1_b <= postPEMul_uid103_fpLogE1pxTest_b_1_in(53 downto 27);
--reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1(REG,601)@35
reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1_q <= postPEMul_uid103_fpLogE1pxTest_b_1_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_a1_b1(MULT,457)@36
postPEMul_uid103_fpLogE1pxTest_a1_b1_pr <= SIGNED(postPEMul_uid103_fpLogE1pxTest_a1_b1_a) * signed(resize(UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a1_b1_b),28));
postPEMul_uid103_fpLogE1pxTest_a1_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a1_b1_a <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a1_b1_b <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a1_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a1_b1_a <= reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0_q;
postPEMul_uid103_fpLogE1pxTest_a1_b1_b <= reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1_q;
postPEMul_uid103_fpLogE1pxTest_a1_b1_s1 <= STD_LOGIC_VECTOR(resize(postPEMul_uid103_fpLogE1pxTest_a1_b1_pr,54));
END IF;
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_a1_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a1_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a1_b1_q <= postPEMul_uid103_fpLogE1pxTest_a1_b1_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0(ADD,461)@39
postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_a <= STD_LOGIC_VECTOR((54 downto 54 => postPEMul_uid103_fpLogE1pxTest_a1_b1_q(53)) & postPEMul_uid103_fpLogE1pxTest_a1_b1_q);
postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_b <= STD_LOGIC_VECTOR((54 downto 54 => postPEMul_uid103_fpLogE1pxTest_a0_b2_q(53)) & postPEMul_uid103_fpLogE1pxTest_a0_b2_q);
postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_a) + SIGNED(postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_b));
postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q <= postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_o(54 downto 0);
--ld_postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_2_a(DELAY,1138)@39
ld_postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_2_a : dspba_delay
GENERIC MAP ( width => 55, depth => 1 )
PORT MAP ( xin => postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q, xout => ld_postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_2_a_q, ena => en(0), clk => clk, aclr => areset );
--postPEMul_uid103_fpLogE1pxTest_align_2(BITSHIFT,464)@40
postPEMul_uid103_fpLogE1pxTest_align_2_q_int <= ld_postPEMul_uid103_fpLogE1pxTest_addcol_2_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_2_a_q & "000000000000000000000000000000000000000000000000000000";
postPEMul_uid103_fpLogE1pxTest_align_2_q <= postPEMul_uid103_fpLogE1pxTest_align_2_q_int(108 downto 0);
--reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0(REG,609)@40
reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0_q <= postPEMul_uid103_fpLogE1pxTest_align_2_q;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_result_add_0_1(ADD,467)@41
postPEMul_uid103_fpLogE1pxTest_result_add_0_1_a <= STD_LOGIC_VECTOR((135 downto 109 => reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0_q(108)) & reg_postPEMul_uid103_fpLogE1pxTest_align_2_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_1_0_q);
postPEMul_uid103_fpLogE1pxTest_result_add_0_1_b <= STD_LOGIC_VECTOR((135 downto 135 => postPEMul_uid103_fpLogE1pxTest_align_3_q(134)) & postPEMul_uid103_fpLogE1pxTest_align_3_q);
postPEMul_uid103_fpLogE1pxTest_result_add_0_1_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid103_fpLogE1pxTest_result_add_0_1_a) + SIGNED(postPEMul_uid103_fpLogE1pxTest_result_add_0_1_b));
postPEMul_uid103_fpLogE1pxTest_result_add_0_1_q <= postPEMul_uid103_fpLogE1pxTest_result_add_0_1_o(135 downto 0);
--postPEMul_uid103_fpLogE1pxTest_a0_b1(MULT,456)@36
postPEMul_uid103_fpLogE1pxTest_a0_b1_pr <= UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a0_b1_a) * UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a0_b1_b);
postPEMul_uid103_fpLogE1pxTest_a0_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a0_b1_a <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a0_b1_b <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a0_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a0_b1_a <= reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0_q;
postPEMul_uid103_fpLogE1pxTest_a0_b1_b <= reg_postPEMul_uid103_fpLogE1pxTest_b_1_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b1_1_q;
postPEMul_uid103_fpLogE1pxTest_a0_b1_s1 <= STD_LOGIC_VECTOR(postPEMul_uid103_fpLogE1pxTest_a0_b1_pr);
END IF;
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_a0_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a0_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a0_b1_q <= postPEMul_uid103_fpLogE1pxTest_a0_b1_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_b_0(BITSELECT,451)@35
postPEMul_uid103_fpLogE1pxTest_b_0_in <= peOR_uid93_fpLogE1pxTest_b(26 downto 0);
postPEMul_uid103_fpLogE1pxTest_b_0_b <= postPEMul_uid103_fpLogE1pxTest_b_0_in(26 downto 0);
--reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1(REG,597)@35
reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1_q <= postPEMul_uid103_fpLogE1pxTest_b_0_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_a1_b0(MULT,455)@36
postPEMul_uid103_fpLogE1pxTest_a1_b0_pr <= SIGNED(postPEMul_uid103_fpLogE1pxTest_a1_b0_a) * signed(resize(UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a1_b0_b),28));
postPEMul_uid103_fpLogE1pxTest_a1_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a1_b0_a <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a1_b0_b <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a1_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a1_b0_a <= reg_postPEMul_uid103_fpLogE1pxTest_a_1_0_to_postPEMul_uid103_fpLogE1pxTest_a1_b0_0_q;
postPEMul_uid103_fpLogE1pxTest_a1_b0_b <= reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1_q;
postPEMul_uid103_fpLogE1pxTest_a1_b0_s1 <= STD_LOGIC_VECTOR(resize(postPEMul_uid103_fpLogE1pxTest_a1_b0_pr,54));
END IF;
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_a1_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a1_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a1_b0_q <= postPEMul_uid103_fpLogE1pxTest_a1_b0_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0(ADD,460)@39
postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_a <= STD_LOGIC_VECTOR((56 downto 54 => postPEMul_uid103_fpLogE1pxTest_a1_b0_q(53)) & postPEMul_uid103_fpLogE1pxTest_a1_b0_q);
postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_b <= STD_LOGIC_VECTOR('0' & "00" & postPEMul_uid103_fpLogE1pxTest_a0_b1_q);
postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_a) + SIGNED(postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_b));
postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q <= postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_o(55 downto 0);
--ld_postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_1_a(DELAY,1137)@39
ld_postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_1_a : dspba_delay
GENERIC MAP ( width => 56, depth => 1 )
PORT MAP ( xin => postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q, xout => ld_postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_1_a_q, ena => en(0), clk => clk, aclr => areset );
--postPEMul_uid103_fpLogE1pxTest_align_1(BITSHIFT,463)@40
postPEMul_uid103_fpLogE1pxTest_align_1_q_int <= ld_postPEMul_uid103_fpLogE1pxTest_addcol_1_add_0_0_q_to_postPEMul_uid103_fpLogE1pxTest_align_1_a_q & "000000000000000000000000000";
postPEMul_uid103_fpLogE1pxTest_align_1_q <= postPEMul_uid103_fpLogE1pxTest_align_1_q_int(82 downto 0);
--postPEMul_uid103_fpLogE1pxTest_a0_b0(MULT,454)@36
postPEMul_uid103_fpLogE1pxTest_a0_b0_pr <= UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a0_b0_a) * UNSIGNED(postPEMul_uid103_fpLogE1pxTest_a0_b0_b);
postPEMul_uid103_fpLogE1pxTest_a0_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a0_b0_a <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a0_b0_b <= (others => '0');
postPEMul_uid103_fpLogE1pxTest_a0_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a0_b0_a <= reg_postPEMul_uid103_fpLogE1pxTest_a_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_0_q;
postPEMul_uid103_fpLogE1pxTest_a0_b0_b <= reg_postPEMul_uid103_fpLogE1pxTest_b_0_0_to_postPEMul_uid103_fpLogE1pxTest_a0_b0_1_q;
postPEMul_uid103_fpLogE1pxTest_a0_b0_s1 <= STD_LOGIC_VECTOR(postPEMul_uid103_fpLogE1pxTest_a0_b0_pr);
END IF;
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_a0_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_a0_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid103_fpLogE1pxTest_a0_b0_q <= postPEMul_uid103_fpLogE1pxTest_a0_b0_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_align_0(BITSHIFT,462)@39
postPEMul_uid103_fpLogE1pxTest_align_0_q_int <= postPEMul_uid103_fpLogE1pxTest_a0_b0_q;
postPEMul_uid103_fpLogE1pxTest_align_0_q <= postPEMul_uid103_fpLogE1pxTest_align_0_q_int(53 downto 0);
--reg_postPEMul_uid103_fpLogE1pxTest_align_0_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_0_0(REG,602)@39
reg_postPEMul_uid103_fpLogE1pxTest_align_0_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid103_fpLogE1pxTest_align_0_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_0_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid103_fpLogE1pxTest_align_0_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_0_0_q <= postPEMul_uid103_fpLogE1pxTest_align_0_q;
END IF;
END IF;
END PROCESS;
--postPEMul_uid103_fpLogE1pxTest_result_add_0_0(ADD,466)@40
postPEMul_uid103_fpLogE1pxTest_result_add_0_0_a <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000000" & reg_postPEMul_uid103_fpLogE1pxTest_align_0_0_to_postPEMul_uid103_fpLogE1pxTest_result_add_0_0_0_q);
postPEMul_uid103_fpLogE1pxTest_result_add_0_0_b <= STD_LOGIC_VECTOR((84 downto 83 => postPEMul_uid103_fpLogE1pxTest_align_1_q(82)) & postPEMul_uid103_fpLogE1pxTest_align_1_q);
postPEMul_uid103_fpLogE1pxTest_result_add_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid103_fpLogE1pxTest_result_add_0_0_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
postPEMul_uid103_fpLogE1pxTest_result_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid103_fpLogE1pxTest_result_add_0_0_a) + SIGNED(postPEMul_uid103_fpLogE1pxTest_result_add_0_0_b));
END IF;
END PROCESS;
postPEMul_uid103_fpLogE1pxTest_result_add_0_0_q <= postPEMul_uid103_fpLogE1pxTest_result_add_0_0_o(83 downto 0);
--postPEMul_uid103_fpLogE1pxTest_result_add_1_0(ADD,468)@41
postPEMul_uid103_fpLogE1pxTest_result_add_1_0_a <= STD_LOGIC_VECTOR((136 downto 84 => postPEMul_uid103_fpLogE1pxTest_result_add_0_0_q(83)) & postPEMul_uid103_fpLogE1pxTest_result_add_0_0_q);
postPEMul_uid103_fpLogE1pxTest_result_add_1_0_b <= STD_LOGIC_VECTOR((136 downto 136 => postPEMul_uid103_fpLogE1pxTest_result_add_0_1_q(135)) & postPEMul_uid103_fpLogE1pxTest_result_add_0_1_q);
postPEMul_uid103_fpLogE1pxTest_result_add_1_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid103_fpLogE1pxTest_result_add_1_0_a) + SIGNED(postPEMul_uid103_fpLogE1pxTest_result_add_1_0_b));
postPEMul_uid103_fpLogE1pxTest_result_add_1_0_q <= postPEMul_uid103_fpLogE1pxTest_result_add_1_0_o(136 downto 0);
--highBBits_uid107_fpLogE1pxTest(BITSELECT,106)@41
highBBits_uid107_fpLogE1pxTest_in <= postPEMul_uid103_fpLogE1pxTest_result_add_1_0_q(109 downto 0);
highBBits_uid107_fpLogE1pxTest_b <= highBBits_uid107_fpLogE1pxTest_in(109 downto 51);
--reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1(REG,617)@41
reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1_q <= "00000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1_q <= highBBits_uid107_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--wideZero_uid104_fpLogE1pxTest(CONSTANT,103)
wideZero_uid104_fpLogE1pxTest_q <= "0000000000000000000000000000000000000000000000000000000000000000000";
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor(LOGICAL,1422)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_b <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_q <= not (ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_a or ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_b);
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_mem_top(CONSTANT,1418)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_mem_top_q <= "011001";
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp(LOGICAL,1419)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_a <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_mem_top_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_q);
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_q <= "1" when ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_a = ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_b else "0";
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmpReg(REG,1420)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmpReg_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena(REG,1423)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_nor_q = "1") THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd(LOGICAL,1424)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_a <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_sticky_ena_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_b <= en;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_a and ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_b;
--expBran3PreExt_uid45_fpLogE1pxTest(SUB,44)@8
expBran3PreExt_uid45_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & cstBiasMO_uid10_fpLogE1pxTest_q);
expBran3PreExt_uid45_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("000000" & r_uid225_leadingZeros_uid44_fpLogE1pxTest_q);
expBran3PreExt_uid45_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expBran3PreExt_uid45_fpLogE1pxTest_a) - UNSIGNED(expBran3PreExt_uid45_fpLogE1pxTest_b));
expBran3PreExt_uid45_fpLogE1pxTest_q <= expBran3PreExt_uid45_fpLogE1pxTest_o(11 downto 0);
--expBran3Pre_uid46_fpLogE1pxTest(BITSELECT,45)@8
expBran3Pre_uid46_fpLogE1pxTest_in <= expBran3PreExt_uid45_fpLogE1pxTest_q(10 downto 0);
expBran3Pre_uid46_fpLogE1pxTest_b <= expBran3Pre_uid46_fpLogE1pxTest_in(10 downto 0);
--reg_expBran3Pre_uid46_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_5(REG,613)@8
reg_expBran3Pre_uid46_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expBran3Pre_uid46_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_5_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expBran3Pre_uid46_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_5_q <= expBran3Pre_uid46_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor(LOGICAL,1370)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_b <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_q <= not (ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_a or ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_b);
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_mem_top(CONSTANT,1366)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_mem_top_q <= "010";
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp(LOGICAL,1367)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_a <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_mem_top_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_q);
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_q <= "1" when ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_a = ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_b else "0";
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmpReg(REG,1368)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmpReg_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena(REG,1371)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_nor_q = "1") THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd(LOGICAL,1372)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_a <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_sticky_ena_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_b <= en;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_a and ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_b;
--ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_a(DELAY,1293)@0
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_a : dspba_delay
GENERIC MAP ( width => 11, depth => 2 )
PORT MAP ( xin => expX_uid6_fpLogE1pxTest_b, xout => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0(REG,610)@2
reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_q <= ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_a_q;
END IF;
END IF;
END PROCESS;
--eUpdateOPOFracX_uid55_fpLogE1pxTest(ADD,54)@3
eUpdateOPOFracX_uid55_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & reg_expX_uid6_fpLogE1pxTest_0_to_eUpdateOPOFracX_uid55_fpLogE1pxTest_0_q);
eUpdateOPOFracX_uid55_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00000000000" & reg_msbUoPlusOFracX_uid54_fpLogE1pxTest_0_to_oPlusOFracXNorm_uid61_fpLogE1pxTest_1_q);
eUpdateOPOFracX_uid55_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
eUpdateOPOFracX_uid55_fpLogE1pxTest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
eUpdateOPOFracX_uid55_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(eUpdateOPOFracX_uid55_fpLogE1pxTest_a) + UNSIGNED(eUpdateOPOFracX_uid55_fpLogE1pxTest_b));
END IF;
END IF;
END PROCESS;
eUpdateOPOFracX_uid55_fpLogE1pxTest_q <= eUpdateOPOFracX_uid55_fpLogE1pxTest_o(11 downto 0);
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_inputreg(DELAY,1360)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 12, depth => 1 )
PORT MAP ( xin => eUpdateOPOFracX_uid55_fpLogE1pxTest_q, xout => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt(COUNTER,1362)
-- every=1, low=0, high=2, step=1, init=1
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i <= TO_UNSIGNED(1,2);
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i = 1 THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_eq <= '1';
ELSE
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_eq <= '0';
END IF;
IF (ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_eq = '1') THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i - 2;
ELSE
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_i,2));
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg(REG,1363)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux(MUX,1364)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_s <= en;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux: PROCESS (ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_s, ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg_q, ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_q)
BEGIN
CASE ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_s IS
WHEN "0" => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg_q;
WHEN "1" => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdcnt_q;
WHEN OTHERS => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem(DUALMEM,1361)
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_ia <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_inputreg_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_aa <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdreg_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_ab <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_rdmux_q;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 12,
widthad_a => 2,
numwords_a => 3,
width_b => 12,
widthad_b => 2,
numwords_b => 3,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_iq,
address_a => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_aa,
data_a => ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_ia
);
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_reset0 <= areset;
ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_iq(11 downto 0);
--ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor(LOGICAL,1729)
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_b <= ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena_q;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_q <= not (ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_a or ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_b);
--ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena(REG,1730)
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_nor_q = "1") THEN
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena_q <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd(LOGICAL,1731)
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_a <= ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_sticky_ena_q;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_b <= en;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_q <= ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_a and ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_b;
--ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem(DUALMEM,1720)
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_ia <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_inputreg_q;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_aa <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdreg_q;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_ab <= ld_os_uid286_natLogTabGen_q_to_cIncludingRoundingBit_uid303_natLogPolyEval_b_replace_rdmux_q;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 11,
widthad_a => 3,
numwords_a => 6,
width_b => 11,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_iq,
address_a => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_aa,
data_a => ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_ia
);
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_reset0 <= areset;
ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_q <= ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_iq(10 downto 0);
--reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2(REG,612)@8
reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_q <= ld_expX_uid6_fpLogE1pxTest_b_to_reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--expB_uid79_fpLogE1pxTest(MUX,78)@9
expB_uid79_fpLogE1pxTest_s <= branEnc_uid77_fpLogE1pxTest_q;
expB_uid79_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expB_uid79_fpLogE1pxTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expB_uid79_fpLogE1pxTest_s IS
WHEN "00" => expB_uid79_fpLogE1pxTest_q <= STD_LOGIC_VECTOR("0" & reg_expX_uid6_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_2_q);
WHEN "01" => expB_uid79_fpLogE1pxTest_q <= ld_eUpdateOPOFracX_uid55_fpLogE1pxTest_q_to_expB_uid79_fpLogE1pxTest_d_replace_mem_q;
WHEN "10" => expB_uid79_fpLogE1pxTest_q <= STD_LOGIC_VECTOR("0" & cstBias_uid9_fpLogE1pxTest_q);
WHEN "11" => expB_uid79_fpLogE1pxTest_q <= STD_LOGIC_VECTOR("0" & reg_expBran3Pre_uid46_fpLogE1pxTest_0_to_expB_uid79_fpLogE1pxTest_5_q);
WHEN OTHERS => expB_uid79_fpLogE1pxTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_inputreg(DELAY,1412)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 12, depth => 1 )
PORT MAP ( xin => expB_uid79_fpLogE1pxTest_q, xout => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt(COUNTER,1414)
-- every=1, low=0, high=25, step=1, init=1
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i = 24 THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_eq = '1') THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i - 25;
ELSE
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_i,5));
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg(REG,1415)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux(MUX,1416)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_s <= en;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux: PROCESS (ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_s, ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg_q, ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_q)
BEGIN
CASE ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_s IS
WHEN "0" => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg_q;
WHEN "1" => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem(DUALMEM,1413)
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_ia <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_inputreg_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_aa <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdreg_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_ab <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_rdmux_q;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 12,
widthad_a => 5,
numwords_a => 26,
width_b => 12,
widthad_b => 5,
numwords_b => 26,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_ia
);
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_q <= ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_iq(11 downto 0);
--e_uid84_fpLogE1pxTest(SUB,83)@38
e_uid84_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & ld_expB_uid79_fpLogE1pxTest_q_to_e_uid84_fpLogE1pxTest_a_replace_mem_q);
e_uid84_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("00" & cstBias_uid9_fpLogE1pxTest_q);
e_uid84_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(e_uid84_fpLogE1pxTest_a) - UNSIGNED(e_uid84_fpLogE1pxTest_b));
e_uid84_fpLogE1pxTest_q <= e_uid84_fpLogE1pxTest_o(12 downto 0);
--xv0_uid262_constMult(BITSELECT,261)@38
xv0_uid262_constMult_in <= e_uid84_fpLogE1pxTest_q(5 downto 0);
xv0_uid262_constMult_b <= xv0_uid262_constMult_in(5 downto 0);
--reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0(REG,615)@38
reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q <= xv0_uid262_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q_to_p0_uid265_constMult_a(DELAY,926)@39
ld_reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q_to_p0_uid265_constMult_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q, xout => ld_reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q_to_p0_uid265_constMult_a_q, ena => en(0), clk => clk, aclr => areset );
--p0_uid265_constMult(LOOKUP,264)@40
p0_uid265_constMult: PROCESS (ld_reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q_to_p0_uid265_constMult_a_q)
BEGIN
-- Begin reserved scope level
CASE (ld_reg_xv0_uid262_constMult_0_to_p0_uid265_constMult_0_q_to_p0_uid265_constMult_a_q) IS
WHEN "000000" => p0_uid265_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p0_uid265_constMult_q <= "000000101100010111001000010111111101111101000111001111011110000";
WHEN "000010" => p0_uid265_constMult_q <= "000001011000101110010000101111111011111010001110011110111100000";
WHEN "000011" => p0_uid265_constMult_q <= "000010000101000101011001000111111001110111010101101110011010000";
WHEN "000100" => p0_uid265_constMult_q <= "000010110001011100100001011111110111110100011100111101111000000";
WHEN "000101" => p0_uid265_constMult_q <= "000011011101110011101001110111110101110001100100001101010110000";
WHEN "000110" => p0_uid265_constMult_q <= "000100001010001010110010001111110011101110101011011100110100000";
WHEN "000111" => p0_uid265_constMult_q <= "000100110110100001111010100111110001101011110010101100010010000";
WHEN "001000" => p0_uid265_constMult_q <= "000101100010111001000010111111101111101000111001111011110000000";
WHEN "001001" => p0_uid265_constMult_q <= "000110001111010000001011010111101101100110000001001011001110000";
WHEN "001010" => p0_uid265_constMult_q <= "000110111011100111010011101111101011100011001000011010101100000";
WHEN "001011" => p0_uid265_constMult_q <= "000111100111111110011100000111101001100000001111101010001010000";
WHEN "001100" => p0_uid265_constMult_q <= "001000010100010101100100011111100111011101010110111001101000000";
WHEN "001101" => p0_uid265_constMult_q <= "001001000000101100101100110111100101011010011110001001000110000";
WHEN "001110" => p0_uid265_constMult_q <= "001001101101000011110101001111100011010111100101011000100100000";
WHEN "001111" => p0_uid265_constMult_q <= "001010011001011010111101100111100001010100101100101000000010000";
WHEN "010000" => p0_uid265_constMult_q <= "001011000101110010000101111111011111010001110011110111100000000";
WHEN "010001" => p0_uid265_constMult_q <= "001011110010001001001110010111011101001110111011000110111110000";
WHEN "010010" => p0_uid265_constMult_q <= "001100011110100000010110101111011011001100000010010110011100000";
WHEN "010011" => p0_uid265_constMult_q <= "001101001010110111011111000111011001001001001001100101111010000";
WHEN "010100" => p0_uid265_constMult_q <= "001101110111001110100111011111010111000110010000110101011000000";
WHEN "010101" => p0_uid265_constMult_q <= "001110100011100101101111110111010101000011011000000100110110000";
WHEN "010110" => p0_uid265_constMult_q <= "001111001111111100111000001111010011000000011111010100010100000";
WHEN "010111" => p0_uid265_constMult_q <= "001111111100010100000000100111010000111101100110100011110010000";
WHEN "011000" => p0_uid265_constMult_q <= "010000101000101011001000111111001110111010101101110011010000000";
WHEN "011001" => p0_uid265_constMult_q <= "010001010101000010010001010111001100110111110101000010101110000";
WHEN "011010" => p0_uid265_constMult_q <= "010010000001011001011001101111001010110100111100010010001100000";
WHEN "011011" => p0_uid265_constMult_q <= "010010101101110000100010000111001000110010000011100001101010000";
WHEN "011100" => p0_uid265_constMult_q <= "010011011010000111101010011111000110101111001010110001001000000";
WHEN "011101" => p0_uid265_constMult_q <= "010100000110011110110010110111000100101100010010000000100110000";
WHEN "011110" => p0_uid265_constMult_q <= "010100110010110101111011001111000010101001011001010000000100000";
WHEN "011111" => p0_uid265_constMult_q <= "010101011111001101000011100111000000100110100000011111100010000";
WHEN "100000" => p0_uid265_constMult_q <= "010110001011100100001011111110111110100011100111101111000000000";
WHEN "100001" => p0_uid265_constMult_q <= "010110110111111011010100010110111100100000101110111110011110000";
WHEN "100010" => p0_uid265_constMult_q <= "010111100100010010011100101110111010011101110110001101111100000";
WHEN "100011" => p0_uid265_constMult_q <= "011000010000101001100101000110111000011010111101011101011010000";
WHEN "100100" => p0_uid265_constMult_q <= "011000111101000000101101011110110110011000000100101100111000000";
WHEN "100101" => p0_uid265_constMult_q <= "011001101001010111110101110110110100010101001011111100010110000";
WHEN "100110" => p0_uid265_constMult_q <= "011010010101101110111110001110110010010010010011001011110100000";
WHEN "100111" => p0_uid265_constMult_q <= "011011000010000110000110100110110000001111011010011011010010000";
WHEN "101000" => p0_uid265_constMult_q <= "011011101110011101001110111110101110001100100001101010110000000";
WHEN "101001" => p0_uid265_constMult_q <= "011100011010110100010111010110101100001001101000111010001110000";
WHEN "101010" => p0_uid265_constMult_q <= "011101000111001011011111101110101010000110110000001001101100000";
WHEN "101011" => p0_uid265_constMult_q <= "011101110011100010101000000110101000000011110111011001001010000";
WHEN "101100" => p0_uid265_constMult_q <= "011110011111111001110000011110100110000000111110101000101000000";
WHEN "101101" => p0_uid265_constMult_q <= "011111001100010000111000110110100011111110000101111000000110000";
WHEN "101110" => p0_uid265_constMult_q <= "011111111000101000000001001110100001111011001101000111100100000";
WHEN "101111" => p0_uid265_constMult_q <= "100000100100111111001001100110011111111000010100010111000010000";
WHEN "110000" => p0_uid265_constMult_q <= "100001010001010110010001111110011101110101011011100110100000000";
WHEN "110001" => p0_uid265_constMult_q <= "100001111101101101011010010110011011110010100010110101111110000";
WHEN "110010" => p0_uid265_constMult_q <= "100010101010000100100010101110011001101111101010000101011100000";
WHEN "110011" => p0_uid265_constMult_q <= "100011010110011011101011000110010111101100110001010100111010000";
WHEN "110100" => p0_uid265_constMult_q <= "100100000010110010110011011110010101101001111000100100011000000";
WHEN "110101" => p0_uid265_constMult_q <= "100100101111001001111011110110010011100110111111110011110110000";
WHEN "110110" => p0_uid265_constMult_q <= "100101011011100001000100001110010001100100000111000011010100000";
WHEN "110111" => p0_uid265_constMult_q <= "100110000111111000001100100110001111100001001110010010110010000";
WHEN "111000" => p0_uid265_constMult_q <= "100110110100001111010100111110001101011110010101100010010000000";
WHEN "111001" => p0_uid265_constMult_q <= "100111100000100110011101010110001011011011011100110001101110000";
WHEN "111010" => p0_uid265_constMult_q <= "101000001100111101100101101110001001011000100100000001001100000";
WHEN "111011" => p0_uid265_constMult_q <= "101000111001010100101110000110000111010101101011010000101010000";
WHEN "111100" => p0_uid265_constMult_q <= "101001100101101011110110011110000101010010110010100000001000000";
WHEN "111101" => p0_uid265_constMult_q <= "101010010010000010111110110110000011001111111001101111100110000";
WHEN "111110" => p0_uid265_constMult_q <= "101010111110011010000111001110000001001101000000111111000100000";
WHEN "111111" => p0_uid265_constMult_q <= "101011101010110001001111100101111111001010001000001110100010000";
WHEN OTHERS =>
p0_uid265_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv1_uid263_constMult(BITSELECT,262)@38
xv1_uid263_constMult_in <= e_uid84_fpLogE1pxTest_q(11 downto 0);
xv1_uid263_constMult_b <= xv1_uid263_constMult_in(11 downto 6);
--reg_xv1_uid263_constMult_0_to_p1_uid264_constMult_0(REG,614)@38
reg_xv1_uid263_constMult_0_to_p1_uid264_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv1_uid263_constMult_0_to_p1_uid264_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv1_uid263_constMult_0_to_p1_uid264_constMult_0_q <= xv1_uid263_constMult_b;
END IF;
END IF;
END PROCESS;
--p1_uid264_constMult(LOOKUP,263)@39
p1_uid264_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p1_uid264_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_xv1_uid263_constMult_0_to_p1_uid264_constMult_0_q) IS
WHEN "000000" => p1_uid264_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p1_uid264_constMult_q <= "000000101100010111001000010111111101111101000111001111011110000000000";
WHEN "000010" => p1_uid264_constMult_q <= "000001011000101110010000101111111011111010001110011110111100000000000";
WHEN "000011" => p1_uid264_constMult_q <= "000010000101000101011001000111111001110111010101101110011010000000000";
WHEN "000100" => p1_uid264_constMult_q <= "000010110001011100100001011111110111110100011100111101111000000000000";
WHEN "000101" => p1_uid264_constMult_q <= "000011011101110011101001110111110101110001100100001101010110000000000";
WHEN "000110" => p1_uid264_constMult_q <= "000100001010001010110010001111110011101110101011011100110100000000000";
WHEN "000111" => p1_uid264_constMult_q <= "000100110110100001111010100111110001101011110010101100010010000000000";
WHEN "001000" => p1_uid264_constMult_q <= "000101100010111001000010111111101111101000111001111011110000000000000";
WHEN "001001" => p1_uid264_constMult_q <= "000110001111010000001011010111101101100110000001001011001110000000000";
WHEN "001010" => p1_uid264_constMult_q <= "000110111011100111010011101111101011100011001000011010101100000000000";
WHEN "001011" => p1_uid264_constMult_q <= "000111100111111110011100000111101001100000001111101010001010000000000";
WHEN "001100" => p1_uid264_constMult_q <= "001000010100010101100100011111100111011101010110111001101000000000000";
WHEN "001101" => p1_uid264_constMult_q <= "001001000000101100101100110111100101011010011110001001000110000000000";
WHEN "001110" => p1_uid264_constMult_q <= "001001101101000011110101001111100011010111100101011000100100000000000";
WHEN "001111" => p1_uid264_constMult_q <= "001010011001011010111101100111100001010100101100101000000010000000000";
WHEN "010000" => p1_uid264_constMult_q <= "001011000101110010000101111111011111010001110011110111100000000000000";
WHEN "010001" => p1_uid264_constMult_q <= "001011110010001001001110010111011101001110111011000110111110000000000";
WHEN "010010" => p1_uid264_constMult_q <= "001100011110100000010110101111011011001100000010010110011100000000000";
WHEN "010011" => p1_uid264_constMult_q <= "001101001010110111011111000111011001001001001001100101111010000000000";
WHEN "010100" => p1_uid264_constMult_q <= "001101110111001110100111011111010111000110010000110101011000000000000";
WHEN "010101" => p1_uid264_constMult_q <= "001110100011100101101111110111010101000011011000000100110110000000000";
WHEN "010110" => p1_uid264_constMult_q <= "001111001111111100111000001111010011000000011111010100010100000000000";
WHEN "010111" => p1_uid264_constMult_q <= "001111111100010100000000100111010000111101100110100011110010000000000";
WHEN "011000" => p1_uid264_constMult_q <= "010000101000101011001000111111001110111010101101110011010000000000000";
WHEN "011001" => p1_uid264_constMult_q <= "010001010101000010010001010111001100110111110101000010101110000000000";
WHEN "011010" => p1_uid264_constMult_q <= "010010000001011001011001101111001010110100111100010010001100000000000";
WHEN "011011" => p1_uid264_constMult_q <= "010010101101110000100010000111001000110010000011100001101010000000000";
WHEN "011100" => p1_uid264_constMult_q <= "010011011010000111101010011111000110101111001010110001001000000000000";
WHEN "011101" => p1_uid264_constMult_q <= "010100000110011110110010110111000100101100010010000000100110000000000";
WHEN "011110" => p1_uid264_constMult_q <= "010100110010110101111011001111000010101001011001010000000100000000000";
WHEN "011111" => p1_uid264_constMult_q <= "010101011111001101000011100111000000100110100000011111100010000000000";
WHEN "100000" => p1_uid264_constMult_q <= "101001110100011011110100000001000001011100011000010001000000000000000";
WHEN "100001" => p1_uid264_constMult_q <= "101010100000110010111100011000111111011001011111100000011110000000000";
WHEN "100010" => p1_uid264_constMult_q <= "101011001101001010000100110000111101010110100110101111111100000000000";
WHEN "100011" => p1_uid264_constMult_q <= "101011111001100001001101001000111011010011101101111111011010000000000";
WHEN "100100" => p1_uid264_constMult_q <= "101100100101111000010101100000111001010000110101001110111000000000000";
WHEN "100101" => p1_uid264_constMult_q <= "101101010010001111011101111000110111001101111100011110010110000000000";
WHEN "100110" => p1_uid264_constMult_q <= "101101111110100110100110010000110101001011000011101101110100000000000";
WHEN "100111" => p1_uid264_constMult_q <= "101110101010111101101110101000110011001000001010111101010010000000000";
WHEN "101000" => p1_uid264_constMult_q <= "101111010111010100110111000000110001000101010010001100110000000000000";
WHEN "101001" => p1_uid264_constMult_q <= "110000000011101011111111011000101111000010011001011100001110000000000";
WHEN "101010" => p1_uid264_constMult_q <= "110000110000000011000111110000101100111111100000101011101100000000000";
WHEN "101011" => p1_uid264_constMult_q <= "110001011100011010010000001000101010111100100111111011001010000000000";
WHEN "101100" => p1_uid264_constMult_q <= "110010001000110001011000100000101000111001101111001010101000000000000";
WHEN "101101" => p1_uid264_constMult_q <= "110010110101001000100000111000100110110110110110011010000110000000000";
WHEN "101110" => p1_uid264_constMult_q <= "110011100001011111101001010000100100110011111101101001100100000000000";
WHEN "101111" => p1_uid264_constMult_q <= "110100001101110110110001101000100010110001000100111001000010000000000";
WHEN "110000" => p1_uid264_constMult_q <= "110100111010001101111010000000100000101110001100001000100000000000000";
WHEN "110001" => p1_uid264_constMult_q <= "110101100110100101000010011000011110101011010011010111111110000000000";
WHEN "110010" => p1_uid264_constMult_q <= "110110010010111100001010110000011100101000011010100111011100000000000";
WHEN "110011" => p1_uid264_constMult_q <= "110110111111010011010011001000011010100101100001110110111010000000000";
WHEN "110100" => p1_uid264_constMult_q <= "110111101011101010011011100000011000100010101001000110011000000000000";
WHEN "110101" => p1_uid264_constMult_q <= "111000011000000001100011111000010110011111110000010101110110000000000";
WHEN "110110" => p1_uid264_constMult_q <= "111001000100011000101100010000010100011100110111100101010100000000000";
WHEN "110111" => p1_uid264_constMult_q <= "111001110000101111110100101000010010011001111110110100110010000000000";
WHEN "111000" => p1_uid264_constMult_q <= "111010011101000110111101000000010000010111000110000100010000000000000";
WHEN "111001" => p1_uid264_constMult_q <= "111011001001011110000101011000001110010100001101010011101110000000000";
WHEN "111010" => p1_uid264_constMult_q <= "111011110101110101001101110000001100010001010100100011001100000000000";
WHEN "111011" => p1_uid264_constMult_q <= "111100100010001100010110001000001010001110011011110010101010000000000";
WHEN "111100" => p1_uid264_constMult_q <= "111101001110100011011110100000001000001011100011000010001000000000000";
WHEN "111101" => p1_uid264_constMult_q <= "111101111010111010100110111000000110001000101010010001100110000000000";
WHEN "111110" => p1_uid264_constMult_q <= "111110100111010001101111010000000100000101110001100001000100000000000";
WHEN "111111" => p1_uid264_constMult_q <= "111111010011101000110111101000000010000010111000110000100010000000000";
WHEN OTHERS =>
p1_uid264_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a0_uid266_constMult(ADD,265)@40
lev1_a0_uid266_constMult_a <= STD_LOGIC_VECTOR((70 downto 69 => p1_uid264_constMult_q(68)) & p1_uid264_constMult_q);
lev1_a0_uid266_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000" & p0_uid265_constMult_q);
lev1_a0_uid266_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev1_a0_uid266_constMult_a) + SIGNED(lev1_a0_uid266_constMult_b));
lev1_a0_uid266_constMult_q <= lev1_a0_uid266_constMult_o(69 downto 0);
--sR_uid267_constMult(BITSELECT,266)@40
sR_uid267_constMult_in <= lev1_a0_uid266_constMult_q(68 downto 0);
sR_uid267_constMult_b <= sR_uid267_constMult_in(68 downto 2);
--reg_sR_uid267_constMult_0_to_addTermOne_uid105_fpLogE1pxTest_2(REG,616)@40
reg_sR_uid267_constMult_0_to_addTermOne_uid105_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sR_uid267_constMult_0_to_addTermOne_uid105_fpLogE1pxTest_2_q <= "0000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sR_uid267_constMult_0_to_addTermOne_uid105_fpLogE1pxTest_2_q <= sR_uid267_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_branch3OrC_uid94_fpLogE1pxTest_q_to_addTermOne_uid105_fpLogE1pxTest_b(DELAY,747)@35
ld_branch3OrC_uid94_fpLogE1pxTest_q_to_addTermOne_uid105_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 6 )
PORT MAP ( xin => branch3OrC_uid94_fpLogE1pxTest_q, xout => ld_branch3OrC_uid94_fpLogE1pxTest_q_to_addTermOne_uid105_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--addTermOne_uid105_fpLogE1pxTest(MUX,104)@41
addTermOne_uid105_fpLogE1pxTest_s <= ld_branch3OrC_uid94_fpLogE1pxTest_q_to_addTermOne_uid105_fpLogE1pxTest_b_q;
addTermOne_uid105_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
addTermOne_uid105_fpLogE1pxTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE addTermOne_uid105_fpLogE1pxTest_s IS
WHEN "0" => addTermOne_uid105_fpLogE1pxTest_q <= reg_sR_uid267_constMult_0_to_addTermOne_uid105_fpLogE1pxTest_2_q;
WHEN "1" => addTermOne_uid105_fpLogE1pxTest_q <= wideZero_uid104_fpLogE1pxTest_q;
WHEN OTHERS => addTermOne_uid105_fpLogE1pxTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid108_fpLogE1pxTest(ADD,107)@42
sumAHighB_uid108_fpLogE1pxTest_a <= STD_LOGIC_VECTOR((67 downto 67 => addTermOne_uid105_fpLogE1pxTest_q(66)) & addTermOne_uid105_fpLogE1pxTest_q);
sumAHighB_uid108_fpLogE1pxTest_b <= STD_LOGIC_VECTOR((67 downto 59 => reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1_q(58)) & reg_highBBits_uid107_fpLogE1pxTest_0_to_sumAHighB_uid108_fpLogE1pxTest_1_q);
sumAHighB_uid108_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid108_fpLogE1pxTest_a) + SIGNED(sumAHighB_uid108_fpLogE1pxTest_b));
sumAHighB_uid108_fpLogE1pxTest_q <= sumAHighB_uid108_fpLogE1pxTest_o(67 downto 0);
--lowRangeB_uid106_fpLogE1pxTest(BITSELECT,105)@41
lowRangeB_uid106_fpLogE1pxTest_in <= postPEMul_uid103_fpLogE1pxTest_result_add_1_0_q(50 downto 0);
lowRangeB_uid106_fpLogE1pxTest_b <= lowRangeB_uid106_fpLogE1pxTest_in(50 downto 0);
--reg_lowRangeB_uid106_fpLogE1pxTest_0_to_finalSum_uid106_uid109_fpLogE1pxTest_0(REG,618)@41
reg_lowRangeB_uid106_fpLogE1pxTest_0_to_finalSum_uid106_uid109_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_lowRangeB_uid106_fpLogE1pxTest_0_to_finalSum_uid106_uid109_fpLogE1pxTest_0_q <= "000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_lowRangeB_uid106_fpLogE1pxTest_0_to_finalSum_uid106_uid109_fpLogE1pxTest_0_q <= lowRangeB_uid106_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--finalSum_uid106_uid109_fpLogE1pxTest(BITJOIN,108)@42
finalSum_uid106_uid109_fpLogE1pxTest_q <= sumAHighB_uid108_fpLogE1pxTest_q & reg_lowRangeB_uid106_fpLogE1pxTest_0_to_finalSum_uid106_uid109_fpLogE1pxTest_0_q;
--FullSumAB118_uid110_fpLogE1pxTest(BITSELECT,109)@42
FullSumAB118_uid110_fpLogE1pxTest_in <= finalSum_uid106_uid109_fpLogE1pxTest_q;
FullSumAB118_uid110_fpLogE1pxTest_b <= FullSumAB118_uid110_fpLogE1pxTest_in(118 downto 118);
--ld_FullSumAB118_uid110_fpLogE1pxTest_b_to_finalSumAbs_uid113_fpLogE1pxTest_b(DELAY,759)@42
ld_FullSumAB118_uid110_fpLogE1pxTest_b_to_finalSumAbs_uid113_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => FullSumAB118_uid110_fpLogE1pxTest_b, xout => ld_FullSumAB118_uid110_fpLogE1pxTest_b_to_finalSumAbs_uid113_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--finalSumOneComp_uid112_fpLogE1pxTest(LOGICAL,111)@42
finalSumOneComp_uid112_fpLogE1pxTest_a <= finalSum_uid106_uid109_fpLogE1pxTest_q;
finalSumOneComp_uid112_fpLogE1pxTest_b <= STD_LOGIC_VECTOR((118 downto 1 => FullSumAB118_uid110_fpLogE1pxTest_b(0)) & FullSumAB118_uid110_fpLogE1pxTest_b);
finalSumOneComp_uid112_fpLogE1pxTest_q_i <= finalSumOneComp_uid112_fpLogE1pxTest_a xor finalSumOneComp_uid112_fpLogE1pxTest_b;
finalSumOneComp_uid112_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 119, depth => 1)
PORT MAP (xout => finalSumOneComp_uid112_fpLogE1pxTest_q, xin => finalSumOneComp_uid112_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--finalSumAbs_uid113_fpLogE1pxTest(ADD,112)@43
finalSumAbs_uid113_fpLogE1pxTest_a <= STD_LOGIC_VECTOR((119 downto 119 => finalSumOneComp_uid112_fpLogE1pxTest_q(118)) & finalSumOneComp_uid112_fpLogE1pxTest_q);
finalSumAbs_uid113_fpLogE1pxTest_b <= STD_LOGIC_VECTOR((119 downto 1 => ld_FullSumAB118_uid110_fpLogE1pxTest_b_to_finalSumAbs_uid113_fpLogE1pxTest_b_q(0)) & ld_FullSumAB118_uid110_fpLogE1pxTest_b_to_finalSumAbs_uid113_fpLogE1pxTest_b_q);
finalSumAbs_uid113_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(SIGNED(finalSumAbs_uid113_fpLogE1pxTest_a) + SIGNED(finalSumAbs_uid113_fpLogE1pxTest_b));
finalSumAbs_uid113_fpLogE1pxTest_q <= finalSumAbs_uid113_fpLogE1pxTest_o(119 downto 0);
--rVStage_uid320_countZ_uid114_fpLogE1pxTest(BITSELECT,319)@43
rVStage_uid320_countZ_uid114_fpLogE1pxTest_in <= finalSumAbs_uid113_fpLogE1pxTest_q;
rVStage_uid320_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid320_countZ_uid114_fpLogE1pxTest_in(119 downto 56);
--reg_rVStage_uid320_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid321_countZ_uid114_fpLogE1pxTest_1(REG,619)@43
reg_rVStage_uid320_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid321_countZ_uid114_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid320_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid321_countZ_uid114_fpLogE1pxTest_1_q <= "0000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid320_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid321_countZ_uid114_fpLogE1pxTest_1_q <= rVStage_uid320_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid321_countZ_uid114_fpLogE1pxTest(LOGICAL,320)@44
vCount_uid321_countZ_uid114_fpLogE1pxTest_a <= reg_rVStage_uid320_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid321_countZ_uid114_fpLogE1pxTest_1_q;
vCount_uid321_countZ_uid114_fpLogE1pxTest_b <= zs_uid319_countZ_uid114_fpLogE1pxTest_q;
vCount_uid321_countZ_uid114_fpLogE1pxTest_q <= "1" when vCount_uid321_countZ_uid114_fpLogE1pxTest_a = vCount_uid321_countZ_uid114_fpLogE1pxTest_b else "0";
--reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6(REG,633)@44
reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q <= vCount_uid321_countZ_uid114_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_g(DELAY,1016)@45
ld_reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_g : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q, xout => ld_reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_g_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid323_countZ_uid114_fpLogE1pxTest(BITSELECT,322)@43
vStage_uid323_countZ_uid114_fpLogE1pxTest_in <= finalSumAbs_uid113_fpLogE1pxTest_q(55 downto 0);
vStage_uid323_countZ_uid114_fpLogE1pxTest_b <= vStage_uid323_countZ_uid114_fpLogE1pxTest_in(55 downto 0);
--ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_cStage_uid324_countZ_uid114_fpLogE1pxTest_b(DELAY,974)@43
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_cStage_uid324_countZ_uid114_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 56, depth => 1 )
PORT MAP ( xin => vStage_uid323_countZ_uid114_fpLogE1pxTest_b, xout => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_cStage_uid324_countZ_uid114_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--mO_uid322_countZ_uid114_fpLogE1pxTest(CONSTANT,321)
mO_uid322_countZ_uid114_fpLogE1pxTest_q <= "11111111";
--cStage_uid324_countZ_uid114_fpLogE1pxTest(BITJOIN,323)@44
cStage_uid324_countZ_uid114_fpLogE1pxTest_q <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_cStage_uid324_countZ_uid114_fpLogE1pxTest_b_q & mO_uid322_countZ_uid114_fpLogE1pxTest_q;
--ld_rVStage_uid320_countZ_uid114_fpLogE1pxTest_b_to_vStagei_uid326_countZ_uid114_fpLogE1pxTest_c(DELAY,976)@43
ld_rVStage_uid320_countZ_uid114_fpLogE1pxTest_b_to_vStagei_uid326_countZ_uid114_fpLogE1pxTest_c : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => rVStage_uid320_countZ_uid114_fpLogE1pxTest_b, xout => ld_rVStage_uid320_countZ_uid114_fpLogE1pxTest_b_to_vStagei_uid326_countZ_uid114_fpLogE1pxTest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid326_countZ_uid114_fpLogE1pxTest(MUX,325)@44
vStagei_uid326_countZ_uid114_fpLogE1pxTest_s <= vCount_uid321_countZ_uid114_fpLogE1pxTest_q;
vStagei_uid326_countZ_uid114_fpLogE1pxTest: PROCESS (vStagei_uid326_countZ_uid114_fpLogE1pxTest_s, en, ld_rVStage_uid320_countZ_uid114_fpLogE1pxTest_b_to_vStagei_uid326_countZ_uid114_fpLogE1pxTest_c_q, cStage_uid324_countZ_uid114_fpLogE1pxTest_q)
BEGIN
CASE vStagei_uid326_countZ_uid114_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid326_countZ_uid114_fpLogE1pxTest_q <= ld_rVStage_uid320_countZ_uid114_fpLogE1pxTest_b_to_vStagei_uid326_countZ_uid114_fpLogE1pxTest_c_q;
WHEN "1" => vStagei_uid326_countZ_uid114_fpLogE1pxTest_q <= cStage_uid324_countZ_uid114_fpLogE1pxTest_q;
WHEN OTHERS => vStagei_uid326_countZ_uid114_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid328_countZ_uid114_fpLogE1pxTest(BITSELECT,327)@44
rVStage_uid328_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid326_countZ_uid114_fpLogE1pxTest_q;
rVStage_uid328_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid328_countZ_uid114_fpLogE1pxTest_in(63 downto 32);
--reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1(REG,620)@44
reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1_q <= rVStage_uid328_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid329_countZ_uid114_fpLogE1pxTest(LOGICAL,328)@45
vCount_uid329_countZ_uid114_fpLogE1pxTest_a <= reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1_q;
vCount_uid329_countZ_uid114_fpLogE1pxTest_b <= rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid329_countZ_uid114_fpLogE1pxTest_q <= "1" when vCount_uid329_countZ_uid114_fpLogE1pxTest_a = vCount_uid329_countZ_uid114_fpLogE1pxTest_b else "0";
--ld_vCount_uid329_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_a(DELAY,1315)@45
ld_vCount_uid329_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid329_countZ_uid114_fpLogE1pxTest_q, xout => ld_vCount_uid329_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5(REG,632)@47
reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_q <= ld_vCount_uid329_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_a_q;
END IF;
END IF;
END PROCESS;
--vStage_uid330_countZ_uid114_fpLogE1pxTest(BITSELECT,329)@44
vStage_uid330_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid326_countZ_uid114_fpLogE1pxTest_q(31 downto 0);
vStage_uid330_countZ_uid114_fpLogE1pxTest_b <= vStage_uid330_countZ_uid114_fpLogE1pxTest_in(31 downto 0);
--reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3(REG,622)@44
reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3_q <= vStage_uid330_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid332_countZ_uid114_fpLogE1pxTest(MUX,331)@45
vStagei_uid332_countZ_uid114_fpLogE1pxTest_s <= vCount_uid329_countZ_uid114_fpLogE1pxTest_q;
vStagei_uid332_countZ_uid114_fpLogE1pxTest: PROCESS (vStagei_uid332_countZ_uid114_fpLogE1pxTest_s, en, reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1_q, reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid332_countZ_uid114_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid332_countZ_uid114_fpLogE1pxTest_q <= reg_rVStage_uid328_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid329_countZ_uid114_fpLogE1pxTest_1_q;
WHEN "1" => vStagei_uid332_countZ_uid114_fpLogE1pxTest_q <= reg_vStage_uid330_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid332_countZ_uid114_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid332_countZ_uid114_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid334_countZ_uid114_fpLogE1pxTest(BITSELECT,333)@45
rVStage_uid334_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid332_countZ_uid114_fpLogE1pxTest_q;
rVStage_uid334_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid334_countZ_uid114_fpLogE1pxTest_in(31 downto 16);
--reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1(REG,623)@45
reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1_q <= rVStage_uid334_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid335_countZ_uid114_fpLogE1pxTest(LOGICAL,334)@46
vCount_uid335_countZ_uid114_fpLogE1pxTest_a <= reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1_q;
vCount_uid335_countZ_uid114_fpLogE1pxTest_b <= rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid335_countZ_uid114_fpLogE1pxTest_q <= "1" when vCount_uid335_countZ_uid114_fpLogE1pxTest_a = vCount_uid335_countZ_uid114_fpLogE1pxTest_b else "0";
--ld_vCount_uid335_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_a(DELAY,1314)@46
ld_vCount_uid335_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid335_countZ_uid114_fpLogE1pxTest_q, xout => ld_vCount_uid335_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4(REG,631)@47
reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_q <= ld_vCount_uid335_countZ_uid114_fpLogE1pxTest_q_to_reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_a_q;
END IF;
END IF;
END PROCESS;
--vStage_uid336_countZ_uid114_fpLogE1pxTest(BITSELECT,335)@45
vStage_uid336_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid332_countZ_uid114_fpLogE1pxTest_q(15 downto 0);
vStage_uid336_countZ_uid114_fpLogE1pxTest_b <= vStage_uid336_countZ_uid114_fpLogE1pxTest_in(15 downto 0);
--reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3(REG,625)@45
reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3_q <= vStage_uid336_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid338_countZ_uid114_fpLogE1pxTest(MUX,337)@46
vStagei_uid338_countZ_uid114_fpLogE1pxTest_s <= vCount_uid335_countZ_uid114_fpLogE1pxTest_q;
vStagei_uid338_countZ_uid114_fpLogE1pxTest: PROCESS (vStagei_uid338_countZ_uid114_fpLogE1pxTest_s, en, reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1_q, reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid338_countZ_uid114_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid338_countZ_uid114_fpLogE1pxTest_q <= reg_rVStage_uid334_countZ_uid114_fpLogE1pxTest_0_to_vCount_uid335_countZ_uid114_fpLogE1pxTest_1_q;
WHEN "1" => vStagei_uid338_countZ_uid114_fpLogE1pxTest_q <= reg_vStage_uid336_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid338_countZ_uid114_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid338_countZ_uid114_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid340_countZ_uid114_fpLogE1pxTest(BITSELECT,339)@46
rVStage_uid340_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid338_countZ_uid114_fpLogE1pxTest_q;
rVStage_uid340_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid340_countZ_uid114_fpLogE1pxTest_in(15 downto 8);
--vCount_uid341_countZ_uid114_fpLogE1pxTest(LOGICAL,340)@46
vCount_uid341_countZ_uid114_fpLogE1pxTest_a <= rVStage_uid340_countZ_uid114_fpLogE1pxTest_b;
vCount_uid341_countZ_uid114_fpLogE1pxTest_b <= rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid341_countZ_uid114_fpLogE1pxTest_q_i <= "1" when vCount_uid341_countZ_uid114_fpLogE1pxTest_a = vCount_uid341_countZ_uid114_fpLogE1pxTest_b else "0";
vCount_uid341_countZ_uid114_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid341_countZ_uid114_fpLogE1pxTest_q, xin => vCount_uid341_countZ_uid114_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid341_countZ_uid114_fpLogE1pxTest_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_d(DELAY,1013)@47
ld_vCount_uid341_countZ_uid114_fpLogE1pxTest_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid341_countZ_uid114_fpLogE1pxTest_q, xout => ld_vCount_uid341_countZ_uid114_fpLogE1pxTest_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_d_q, ena => en(0), clk => clk, aclr => areset );
--vStage_uid342_countZ_uid114_fpLogE1pxTest(BITSELECT,341)@46
vStage_uid342_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid338_countZ_uid114_fpLogE1pxTest_q(7 downto 0);
vStage_uid342_countZ_uid114_fpLogE1pxTest_b <= vStage_uid342_countZ_uid114_fpLogE1pxTest_in(7 downto 0);
--reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3(REG,627)@46
reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3_q <= vStage_uid342_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2(REG,626)@46
reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2_q <= rVStage_uid340_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid344_countZ_uid114_fpLogE1pxTest(MUX,343)@47
vStagei_uid344_countZ_uid114_fpLogE1pxTest_s <= vCount_uid341_countZ_uid114_fpLogE1pxTest_q;
vStagei_uid344_countZ_uid114_fpLogE1pxTest: PROCESS (vStagei_uid344_countZ_uid114_fpLogE1pxTest_s, en, reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2_q, reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid344_countZ_uid114_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid344_countZ_uid114_fpLogE1pxTest_q <= reg_rVStage_uid340_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_2_q;
WHEN "1" => vStagei_uid344_countZ_uid114_fpLogE1pxTest_q <= reg_vStage_uid342_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid344_countZ_uid114_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid344_countZ_uid114_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid346_countZ_uid114_fpLogE1pxTest(BITSELECT,345)@47
rVStage_uid346_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid344_countZ_uid114_fpLogE1pxTest_q;
rVStage_uid346_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid346_countZ_uid114_fpLogE1pxTest_in(7 downto 4);
--vCount_uid347_countZ_uid114_fpLogE1pxTest(LOGICAL,346)@47
vCount_uid347_countZ_uid114_fpLogE1pxTest_a <= rVStage_uid346_countZ_uid114_fpLogE1pxTest_b;
vCount_uid347_countZ_uid114_fpLogE1pxTest_b <= rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q;
vCount_uid347_countZ_uid114_fpLogE1pxTest_q <= "1" when vCount_uid347_countZ_uid114_fpLogE1pxTest_a = vCount_uid347_countZ_uid114_fpLogE1pxTest_b else "0";
--reg_vCount_uid347_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_2(REG,630)@47
reg_vCount_uid347_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid347_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid347_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_2_q <= vCount_uid347_countZ_uid114_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--vStage_uid348_countZ_uid114_fpLogE1pxTest(BITSELECT,347)@47
vStage_uid348_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid344_countZ_uid114_fpLogE1pxTest_q(3 downto 0);
vStage_uid348_countZ_uid114_fpLogE1pxTest_b <= vStage_uid348_countZ_uid114_fpLogE1pxTest_in(3 downto 0);
--vStagei_uid350_countZ_uid114_fpLogE1pxTest(MUX,349)@47
vStagei_uid350_countZ_uid114_fpLogE1pxTest_s <= vCount_uid347_countZ_uid114_fpLogE1pxTest_q;
vStagei_uid350_countZ_uid114_fpLogE1pxTest: PROCESS (vStagei_uid350_countZ_uid114_fpLogE1pxTest_s, en, rVStage_uid346_countZ_uid114_fpLogE1pxTest_b, vStage_uid348_countZ_uid114_fpLogE1pxTest_b)
BEGIN
CASE vStagei_uid350_countZ_uid114_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid350_countZ_uid114_fpLogE1pxTest_q <= rVStage_uid346_countZ_uid114_fpLogE1pxTest_b;
WHEN "1" => vStagei_uid350_countZ_uid114_fpLogE1pxTest_q <= vStage_uid348_countZ_uid114_fpLogE1pxTest_b;
WHEN OTHERS => vStagei_uid350_countZ_uid114_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid352_countZ_uid114_fpLogE1pxTest(BITSELECT,351)@47
rVStage_uid352_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid350_countZ_uid114_fpLogE1pxTest_q;
rVStage_uid352_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid352_countZ_uid114_fpLogE1pxTest_in(3 downto 2);
--vCount_uid353_countZ_uid114_fpLogE1pxTest(LOGICAL,352)@47
vCount_uid353_countZ_uid114_fpLogE1pxTest_a <= rVStage_uid352_countZ_uid114_fpLogE1pxTest_b;
vCount_uid353_countZ_uid114_fpLogE1pxTest_b <= z2_uid100_fpLogE1pxTest_q;
vCount_uid353_countZ_uid114_fpLogE1pxTest_q_i <= "1" when vCount_uid353_countZ_uid114_fpLogE1pxTest_a = vCount_uid353_countZ_uid114_fpLogE1pxTest_b else "0";
vCount_uid353_countZ_uid114_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid353_countZ_uid114_fpLogE1pxTest_q, xin => vCount_uid353_countZ_uid114_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--vStage_uid354_countZ_uid114_fpLogE1pxTest(BITSELECT,353)@47
vStage_uid354_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid350_countZ_uid114_fpLogE1pxTest_q(1 downto 0);
vStage_uid354_countZ_uid114_fpLogE1pxTest_b <= vStage_uid354_countZ_uid114_fpLogE1pxTest_in(1 downto 0);
--reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3(REG,629)@47
reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3_q <= vStage_uid354_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2(REG,628)@47
reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2_q <= rVStage_uid352_countZ_uid114_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid356_countZ_uid114_fpLogE1pxTest(MUX,355)@48
vStagei_uid356_countZ_uid114_fpLogE1pxTest_s <= vCount_uid353_countZ_uid114_fpLogE1pxTest_q;
vStagei_uid356_countZ_uid114_fpLogE1pxTest: PROCESS (vStagei_uid356_countZ_uid114_fpLogE1pxTest_s, en, reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2_q, reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3_q)
BEGIN
CASE vStagei_uid356_countZ_uid114_fpLogE1pxTest_s IS
WHEN "0" => vStagei_uid356_countZ_uid114_fpLogE1pxTest_q <= reg_rVStage_uid352_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_2_q;
WHEN "1" => vStagei_uid356_countZ_uid114_fpLogE1pxTest_q <= reg_vStage_uid354_countZ_uid114_fpLogE1pxTest_0_to_vStagei_uid356_countZ_uid114_fpLogE1pxTest_3_q;
WHEN OTHERS => vStagei_uid356_countZ_uid114_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid358_countZ_uid114_fpLogE1pxTest(BITSELECT,357)@48
rVStage_uid358_countZ_uid114_fpLogE1pxTest_in <= vStagei_uid356_countZ_uid114_fpLogE1pxTest_q;
rVStage_uid358_countZ_uid114_fpLogE1pxTest_b <= rVStage_uid358_countZ_uid114_fpLogE1pxTest_in(1 downto 1);
--vCount_uid359_countZ_uid114_fpLogE1pxTest(LOGICAL,358)@48
vCount_uid359_countZ_uid114_fpLogE1pxTest_a <= rVStage_uid358_countZ_uid114_fpLogE1pxTest_b;
vCount_uid359_countZ_uid114_fpLogE1pxTest_b <= GND_q;
vCount_uid359_countZ_uid114_fpLogE1pxTest_q <= "1" when vCount_uid359_countZ_uid114_fpLogE1pxTest_a = vCount_uid359_countZ_uid114_fpLogE1pxTest_b else "0";
--r_uid360_countZ_uid114_fpLogE1pxTest(BITJOIN,359)@48
r_uid360_countZ_uid114_fpLogE1pxTest_q <= ld_reg_vCount_uid321_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_6_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_g_q & reg_vCount_uid329_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_5_q & reg_vCount_uid335_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_4_q & ld_vCount_uid341_countZ_uid114_fpLogE1pxTest_q_to_r_uid360_countZ_uid114_fpLogE1pxTest_d_q & reg_vCount_uid347_countZ_uid114_fpLogE1pxTest_0_to_r_uid360_countZ_uid114_fpLogE1pxTest_2_q & vCount_uid353_countZ_uid114_fpLogE1pxTest_q & vCount_uid359_countZ_uid114_fpLogE1pxTest_q;
--cstMSBFinalSumPBias_uid116_fpLogE1pxTest(CONSTANT,115)
cstMSBFinalSumPBias_uid116_fpLogE1pxTest_q <= "010000001100";
--expRExt0_uid117_fpLogE1pxTest(SUB,116)@48
expRExt0_uid117_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & cstMSBFinalSumPBias_uid116_fpLogE1pxTest_q);
expRExt0_uid117_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("000000" & r_uid360_countZ_uid114_fpLogE1pxTest_q);
expRExt0_uid117_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRExt0_uid117_fpLogE1pxTest_a) - UNSIGNED(expRExt0_uid117_fpLogE1pxTest_b));
expRExt0_uid117_fpLogE1pxTest_q <= expRExt0_uid117_fpLogE1pxTest_o(12 downto 0);
--reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0(REG,647)@48
reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0_q <= "0000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0_q <= expRExt0_uid117_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--expRExt1_uid119_fpLogE1pxTest(SUB,118)@49
expRExt1_uid119_fpLogE1pxTest_a <= STD_LOGIC_VECTOR((13 downto 13 => reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0_q(12)) & reg_expRExt0_uid117_fpLogE1pxTest_0_to_expRExt1_uid119_fpLogE1pxTest_0_q);
expRExt1_uid119_fpLogE1pxTest_b <= STD_LOGIC_VECTOR((13 downto 7 => ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_q(6)) & ld_branch4ExpCorrection_uid118_fpLogE1pxTest_q_to_expRExt1_uid119_fpLogE1pxTest_b_replace_mem_q);
expRExt1_uid119_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(SIGNED(expRExt1_uid119_fpLogE1pxTest_a) - SIGNED(expRExt1_uid119_fpLogE1pxTest_b));
expRExt1_uid119_fpLogE1pxTest_q <= expRExt1_uid119_fpLogE1pxTest_o(13 downto 0);
--expRExt1Red_uid120_fpLogE1pxTest(BITSELECT,119)@49
expRExt1Red_uid120_fpLogE1pxTest_in <= expRExt1_uid119_fpLogE1pxTest_q(12 downto 0);
expRExt1Red_uid120_fpLogE1pxTest_b <= expRExt1Red_uid120_fpLogE1pxTest_in(12 downto 0);
--ld_expRExt0_uid117_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_c(DELAY,767)@48
ld_expRExt0_uid117_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_c : dspba_delay
GENERIC MAP ( width => 13, depth => 1 )
PORT MAP ( xin => expRExt0_uid117_fpLogE1pxTest_q, xout => ld_expRExt0_uid117_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_c_q, ena => en(0), clk => clk, aclr => areset );
--ld_branch3OrC_uid94_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_b(DELAY,766)@35
ld_branch3OrC_uid94_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 14 )
PORT MAP ( xin => branch3OrC_uid94_fpLogE1pxTest_q, xout => ld_branch3OrC_uid94_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expRExt_uid121_fpLogE1pxTest(MUX,120)@49
expRExt_uid121_fpLogE1pxTest_s <= ld_branch3OrC_uid94_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_b_q;
expRExt_uid121_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRExt_uid121_fpLogE1pxTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expRExt_uid121_fpLogE1pxTest_s IS
WHEN "0" => expRExt_uid121_fpLogE1pxTest_q <= ld_expRExt0_uid117_fpLogE1pxTest_q_to_expRExt_uid121_fpLogE1pxTest_c_q;
WHEN "1" => expRExt_uid121_fpLogE1pxTest_q <= expRExt1Red_uid120_fpLogE1pxTest_b;
WHEN OTHERS => expRExt_uid121_fpLogE1pxTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest(BITSELECT,396)@50
LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q(118 downto 0);
LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest_in(118 downto 0);
--leftShiftStage3Idx1_uid398_normVal_uid115_fpLogE1pxTest(BITJOIN,397)@50
leftShiftStage3Idx1_uid398_normVal_uid115_fpLogE1pxTest_q <= LeftShiftStage2118dto0_uid397_normVal_uid115_fpLogE1pxTest_b & GND_q;
--ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor(LOGICAL,1668)
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_b <= ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_q <= not (ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_a or ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_b);
--ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena(REG,1669)
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_nor_q = "1") THEN
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd(LOGICAL,1670)
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_a <= ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_b <= en;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_q <= ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_a and ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_b;
--X23dto0_uid370_normVal_uid115_fpLogE1pxTest(BITSELECT,369)@43
X23dto0_uid370_normVal_uid115_fpLogE1pxTest_in <= finalSumAbs_uid113_fpLogE1pxTest_q(23 downto 0);
X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b <= X23dto0_uid370_normVal_uid115_fpLogE1pxTest_in(23 downto 0);
--ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_inputreg(DELAY,1660)
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b, xout => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem(DUALMEM,1661)
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia <= ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_inputreg_q;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 24,
widthad_a => 1,
numwords_a => 2,
width_b => 24,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia
);
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_q <= ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq(23 downto 0);
--leftShiftStage0Idx3Pad96_uid369_normVal_uid115_fpLogE1pxTest(CONSTANT,368)
leftShiftStage0Idx3Pad96_uid369_normVal_uid115_fpLogE1pxTest_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
--leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest(BITJOIN,370)@47
leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_q <= ld_X23dto0_uid370_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_b_replace_mem_q & leftShiftStage0Idx3Pad96_uid369_normVal_uid115_fpLogE1pxTest_q;
--reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5(REG,637)@47
reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5_q <= leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor(LOGICAL,1657)
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_b <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_q <= not (ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_a or ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_b);
--ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena(REG,1658)
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_nor_q = "1") THEN
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd(LOGICAL,1659)
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_a <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_b <= en;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_q <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_a and ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_b;
--ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem(DUALMEM,1650)
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_cStage_uid324_countZ_uid114_fpLogE1pxTest_b_q;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 56,
widthad_a => 1,
numwords_a => 2,
width_b => 56,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia
);
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_q <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq(55 downto 0);
--leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest(BITJOIN,367)@47
leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_q <= ld_vStage_uid323_countZ_uid114_fpLogE1pxTest_b_to_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_b_replace_mem_q & zs_uid319_countZ_uid114_fpLogE1pxTest_q;
--reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4(REG,636)@47
reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4_q <= leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor(LOGICAL,1646)
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_b <= ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_q <= not (ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_a or ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_b);
--ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena(REG,1647)
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_nor_q = "1") THEN
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd(LOGICAL,1648)
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_a <= ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_sticky_ena_q;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_b <= en;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_q <= ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_a and ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_b;
--X87dto0_uid364_normVal_uid115_fpLogE1pxTest(BITSELECT,363)@43
X87dto0_uid364_normVal_uid115_fpLogE1pxTest_in <= finalSumAbs_uid113_fpLogE1pxTest_q(87 downto 0);
X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b <= X87dto0_uid364_normVal_uid115_fpLogE1pxTest_in(87 downto 0);
--ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_inputreg(DELAY,1638)
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 88, depth => 1 )
PORT MAP ( xin => X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b, xout => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem(DUALMEM,1639)
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia <= ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_inputreg_q;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 88,
widthad_a => 1,
numwords_a => 2,
width_b => 88,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_ia
);
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_q <= ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_iq(87 downto 0);
--leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest(BITJOIN,364)@47
leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_q <= ld_X87dto0_uid364_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_b_replace_mem_q & rightShiftStage0Idx2Pad32_uid160_fracXRSExt_uid36_fpLogE1pxTest_q;
--reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3(REG,635)@47
reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3_q <= leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor(LOGICAL,1679)
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_b <= ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena_q;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_q <= not (ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_a or ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_b);
--ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena(REG,1680)
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_nor_q = "1") THEN
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena_q <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd(LOGICAL,1681)
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_a <= ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_sticky_ena_q;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_b <= en;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_q <= ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_a and ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_b;
--reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2(REG,634)@43
reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q <= finalSumAbs_uid113_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_inputreg(DELAY,1671)
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 120, depth => 1 )
PORT MAP ( xin => reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q, xout => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem(DUALMEM,1672)
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_ia <= ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_inputreg_q;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_aa <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdreg_q;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_ab <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_replace_rdmux_q;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 120,
widthad_a => 1,
numwords_a => 2,
width_b => 120,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_iq,
address_a => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_aa,
data_a => ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_ia
);
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_reset0 <= areset;
ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_q <= ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_iq(119 downto 0);
--leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest(BITSELECT,371)@48
leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest_in <= r_uid360_countZ_uid114_fpLogE1pxTest_q;
leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest_b <= leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest_in(6 downto 5);
--leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest(MUX,372)@48
leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_s <= leftShiftStageSel6Dto5_uid372_normVal_uid115_fpLogE1pxTest_b;
leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest: PROCESS (leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_s, en, ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_q, reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3_q, reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4_q, reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5_q)
BEGIN
CASE leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_s IS
WHEN "00" => leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q <= ld_reg_finalSumAbs_uid113_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_2_q_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_c_replace_mem_q;
WHEN "01" => leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage0Idx1_uid365_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_3_q;
WHEN "10" => leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage0Idx2_uid368_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_4_q;
WHEN "11" => leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage0Idx3_uid371_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_5_q;
WHEN OTHERS => leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest(BITSELECT,380)@48
LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q(95 downto 0);
LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest_in(95 downto 0);
--leftShiftStage1Idx3Pad24_uid380_normVal_uid115_fpLogE1pxTest(CONSTANT,379)
leftShiftStage1Idx3Pad24_uid380_normVal_uid115_fpLogE1pxTest_q <= "000000000000000000000000";
--leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest(BITJOIN,381)@48
leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_q <= LeftShiftStage095dto0_uid381_normVal_uid115_fpLogE1pxTest_b & leftShiftStage1Idx3Pad24_uid380_normVal_uid115_fpLogE1pxTest_q;
--reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5(REG,642)@48
reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5_q <= leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest(BITSELECT,377)@48
LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q(103 downto 0);
LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest_in(103 downto 0);
--leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest(BITJOIN,378)@48
leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_q <= LeftShiftStage0103dto0_uid378_normVal_uid115_fpLogE1pxTest_b & rightShiftStage0Idx1Pad16_uid157_fracXRSExt_uid36_fpLogE1pxTest_q;
--reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4(REG,641)@48
reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4_q <= leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest(BITSELECT,374)@48
LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q(111 downto 0);
LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest_in(111 downto 0);
--leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest(BITJOIN,375)@48
leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_q <= LeftShiftStage0111dto0_uid375_normVal_uid115_fpLogE1pxTest_b & rightShiftStage1Idx2Pad8_uid171_fracXRSExt_uid36_fpLogE1pxTest_q;
--reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3(REG,640)@48
reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3_q <= leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2(REG,639)@48
reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2_q <= leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest(BITSELECT,382)@48
leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_in <= r_uid360_countZ_uid114_fpLogE1pxTest_q(4 downto 0);
leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_b <= leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_in(4 downto 3);
--reg_leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_1(REG,638)@48
reg_leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_1_q <= leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest(MUX,383)@49
leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_s <= reg_leftShiftStageSel4Dto3_uid383_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_1_q;
leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest: PROCESS (leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_s, en, reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2_q, reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3_q, reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4_q, reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5_q)
BEGIN
CASE leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_s IS
WHEN "00" => leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage0_uid373_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_2_q;
WHEN "01" => leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage1Idx1_uid376_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_3_q;
WHEN "10" => leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage1Idx2_uid379_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_4_q;
WHEN "11" => leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage1Idx3_uid382_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_5_q;
WHEN OTHERS => leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest(BITSELECT,391)@49
LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q(113 downto 0);
LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_in(113 downto 0);
--ld_LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_b(DELAY,1045)@49
ld_LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 114, depth => 1 )
PORT MAP ( xin => LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b, xout => ld_LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3Pad6_uid391_normVal_uid115_fpLogE1pxTest(CONSTANT,390)
leftShiftStage2Idx3Pad6_uid391_normVal_uid115_fpLogE1pxTest_q <= "000000";
--leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest(BITJOIN,392)@50
leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_q <= ld_LeftShiftStage1113dto0_uid392_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_b_q & leftShiftStage2Idx3Pad6_uid391_normVal_uid115_fpLogE1pxTest_q;
--LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest(BITSELECT,388)@49
LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q(115 downto 0);
LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_in(115 downto 0);
--ld_LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_b(DELAY,1043)@49
ld_LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 116, depth => 1 )
PORT MAP ( xin => LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b, xout => ld_LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest(BITJOIN,389)@50
leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_q <= ld_LeftShiftStage1115dto0_uid389_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_b_q & rightShiftStage1Idx1Pad4_uid168_fracXRSExt_uid36_fpLogE1pxTest_q;
--LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest(BITSELECT,385)@49
LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_in <= leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q(117 downto 0);
LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b <= LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_in(117 downto 0);
--ld_LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_b(DELAY,1041)@49
ld_LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 118, depth => 1 )
PORT MAP ( xin => LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b, xout => ld_LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest(BITJOIN,386)@50
leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_q <= ld_LeftShiftStage1117dto0_uid386_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_b_q & z2_uid100_fpLogE1pxTest_q;
--reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2(REG,644)@49
reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2_q <= leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest(BITSELECT,393)@48
leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_in <= r_uid360_countZ_uid114_fpLogE1pxTest_q(2 downto 0);
leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_b <= leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_in(2 downto 1);
--reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1(REG,643)@48
reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q <= leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_b(DELAY,1047)@49
ld_reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q, xout => ld_reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest(MUX,394)@50
leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_s <= ld_reg_leftShiftStageSel2Dto1_uid394_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_1_q_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_b_q;
leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest: PROCESS (leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_s, en, reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2_q, leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_q, leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_q, leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_q)
BEGIN
CASE leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_s IS
WHEN "00" => leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q <= reg_leftShiftStage1_uid384_normVal_uid115_fpLogE1pxTest_0_to_leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_2_q;
WHEN "01" => leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q <= leftShiftStage2Idx1_uid387_normVal_uid115_fpLogE1pxTest_q;
WHEN "10" => leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q <= leftShiftStage2Idx2_uid390_normVal_uid115_fpLogE1pxTest_q;
WHEN "11" => leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q <= leftShiftStage2Idx3_uid393_normVal_uid115_fpLogE1pxTest_q;
WHEN OTHERS => leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest(BITSELECT,398)@48
leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_in <= r_uid360_countZ_uid114_fpLogE1pxTest_q(0 downto 0);
leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b <= leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_in(0 downto 0);
--ld_leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_b(DELAY,1055)@48
ld_leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b, xout => ld_leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest(MUX,399)@50
leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_s <= ld_leftShiftStageSel0Dto0_uid399_normVal_uid115_fpLogE1pxTest_b_to_leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_b_q;
leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest: PROCESS (leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_s, en, leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q, leftShiftStage3Idx1_uid398_normVal_uid115_fpLogE1pxTest_q)
BEGIN
CASE leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_s IS
WHEN "0" => leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_q <= leftShiftStage2_uid395_normVal_uid115_fpLogE1pxTest_q;
WHEN "1" => leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_q <= leftShiftStage3Idx1_uid398_normVal_uid115_fpLogE1pxTest_q;
WHEN OTHERS => leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracR_uid122_fpLogE1pxTest(BITSELECT,121)@50
fracR_uid122_fpLogE1pxTest_in <= leftShiftStage3_uid400_normVal_uid115_fpLogE1pxTest_q(118 downto 0);
fracR_uid122_fpLogE1pxTest_b <= fracR_uid122_fpLogE1pxTest_in(118 downto 66);
--expFracConc_uid123_fpLogE1pxTest(BITJOIN,122)@50
expFracConc_uid123_fpLogE1pxTest_q <= expRExt_uid121_fpLogE1pxTest_q & fracR_uid122_fpLogE1pxTest_b;
--reg_expFracConc_uid123_fpLogE1pxTest_0_to_expFracPostRnd_uid124_fpLogE1pxTest_0(REG,648)@50
reg_expFracConc_uid123_fpLogE1pxTest_0_to_expFracPostRnd_uid124_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expFracConc_uid123_fpLogE1pxTest_0_to_expFracPostRnd_uid124_fpLogE1pxTest_0_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expFracConc_uid123_fpLogE1pxTest_0_to_expFracPostRnd_uid124_fpLogE1pxTest_0_q <= expFracConc_uid123_fpLogE1pxTest_q;
END IF;
END IF;
END PROCESS;
--expFracPostRnd_uid124_fpLogE1pxTest(ADD,123)@51
expFracPostRnd_uid124_fpLogE1pxTest_a <= STD_LOGIC_VECTOR("0" & reg_expFracConc_uid123_fpLogE1pxTest_0_to_expFracPostRnd_uid124_fpLogE1pxTest_0_q);
expFracPostRnd_uid124_fpLogE1pxTest_b <= STD_LOGIC_VECTOR("000000000000000000000000000000000000000000000000000000000000000000" & VCC_q);
expFracPostRnd_uid124_fpLogE1pxTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracPostRnd_uid124_fpLogE1pxTest_a) + UNSIGNED(expFracPostRnd_uid124_fpLogE1pxTest_b));
expFracPostRnd_uid124_fpLogE1pxTest_q <= expFracPostRnd_uid124_fpLogE1pxTest_o(66 downto 0);
--expR_uid127_fpLogE1pxTest(BITSELECT,126)@51
expR_uid127_fpLogE1pxTest_in <= expFracPostRnd_uid124_fpLogE1pxTest_q(63 downto 0);
expR_uid127_fpLogE1pxTest_b <= expR_uid127_fpLogE1pxTest_in(63 downto 53);
--reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2(REG,652)@51
reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2_q <= expR_uid127_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor(LOGICAL,1522)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_b <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_q <= not (ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_a or ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_b);
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_mem_top(CONSTANT,1518)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_mem_top_q <= "0110000";
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp(LOGICAL,1519)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_a <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_mem_top_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_q);
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_q <= "1" when ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_a = ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_b else "0";
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmpReg(REG,1520)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmpReg_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena(REG,1523)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_nor_q = "1") THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd(LOGICAL,1524)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_a <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_sticky_ena_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_b <= en;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_a and ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_b;
--reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1(REG,649)@0
reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q <= resIsX_uid62_fpLogE1pxTest_c;
END IF;
END IF;
END PROCESS;
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_inputreg(DELAY,1512)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q, xout => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt(COUNTER,1514)
-- every=1, low=0, high=48, step=1, init=1
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i = 47 THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_eq = '1') THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i - 48;
ELSE
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_i,6));
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg(REG,1515)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux(MUX,1516)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_s <= en;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux: PROCESS (ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_s, ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q, ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q)
BEGIN
CASE ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_s IS
WHEN "0" => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q;
WHEN "1" => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem(DUALMEM,1513)
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_ia <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_inputreg_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_aa <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_ab <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdmux_q;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 6,
numwords_a => 49,
width_b => 1,
widthad_b => 6,
numwords_b => 49,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_iq,
address_a => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_aa,
data_a => ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_ia
);
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_reset0 <= areset;
ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_q <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_iq(0 downto 0);
--expR_uid128_fpLogE1pxTest(MUX,127)@52
expR_uid128_fpLogE1pxTest_s <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_q;
expR_uid128_fpLogE1pxTest: PROCESS (expR_uid128_fpLogE1pxTest_s, en, reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2_q, ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_q)
BEGIN
CASE expR_uid128_fpLogE1pxTest_s IS
WHEN "0" => expR_uid128_fpLogE1pxTest_q <= reg_expR_uid127_fpLogE1pxTest_0_to_expR_uid128_fpLogE1pxTest_2_q;
WHEN "1" => expR_uid128_fpLogE1pxTest_q <= ld_expX_uid6_fpLogE1pxTest_b_to_expR_uid128_fpLogE1pxTest_d_replace_mem_q;
WHEN OTHERS => expR_uid128_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor(LOGICAL,1559)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_b <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_q <= not (ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_a or ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_b);
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_mem_top(CONSTANT,1555)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_mem_top_q <= "0101110";
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp(LOGICAL,1556)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_a <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_mem_top_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_q);
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_q <= "1" when ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_a = ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_b else "0";
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmpReg(REG,1557)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmpReg_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena(REG,1560)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_nor_q = "1") THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd(LOGICAL,1561)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_a <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_sticky_ena_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_b <= en;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_a and ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_b;
--xM1_uid131_fpLogE1pxTest(LOGICAL,130)@0
xM1_uid131_fpLogE1pxTest_a <= a;
xM1_uid131_fpLogE1pxTest_b <= mO_uid130_fpLogE1pxTest_q;
xM1_uid131_fpLogE1pxTest_q <= "1" when xM1_uid131_fpLogE1pxTest_a = xM1_uid131_fpLogE1pxTest_b else "0";
--ld_xM1_uid131_fpLogE1pxTest_q_to_excRInf0_uid134_fpLogE1pxTest_b(DELAY,786)@0
ld_xM1_uid131_fpLogE1pxTest_q_to_excRInf0_uid134_fpLogE1pxTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => xM1_uid131_fpLogE1pxTest_q, xout => ld_xM1_uid131_fpLogE1pxTest_q_to_excRInf0_uid134_fpLogE1pxTest_b_q, ena => en(0), clk => clk, aclr => areset );
--excRInf0_uid134_fpLogE1pxTest(LOGICAL,133)@1
excRInf0_uid134_fpLogE1pxTest_a <= exc_R_uid30_fpLogE1pxTest_q;
excRInf0_uid134_fpLogE1pxTest_b <= ld_xM1_uid131_fpLogE1pxTest_q_to_excRInf0_uid134_fpLogE1pxTest_b_q;
excRInf0_uid134_fpLogE1pxTest_q_i <= excRInf0_uid134_fpLogE1pxTest_a and excRInf0_uid134_fpLogE1pxTest_b;
excRInf0_uid134_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => excRInf0_uid134_fpLogE1pxTest_q, xin => excRInf0_uid134_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_branch11_uid64_fpLogE1pxTest_q_to_posInf_uid136_fpLogE1pxTest_a(DELAY,787)@0
ld_branch11_uid64_fpLogE1pxTest_q_to_posInf_uid136_fpLogE1pxTest_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => branch11_uid64_fpLogE1pxTest_q, xout => ld_branch11_uid64_fpLogE1pxTest_q_to_posInf_uid136_fpLogE1pxTest_a_q, ena => en(0), clk => clk, aclr => areset );
--posInf_uid136_fpLogE1pxTest(LOGICAL,135)@1
posInf_uid136_fpLogE1pxTest_a <= ld_branch11_uid64_fpLogE1pxTest_q_to_posInf_uid136_fpLogE1pxTest_a_q;
posInf_uid136_fpLogE1pxTest_b <= exc_I_uid24_fpLogE1pxTest_q;
posInf_uid136_fpLogE1pxTest_q_i <= posInf_uid136_fpLogE1pxTest_a and posInf_uid136_fpLogE1pxTest_b;
posInf_uid136_fpLogE1pxTest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => posInf_uid136_fpLogE1pxTest_q, xin => posInf_uid136_fpLogE1pxTest_q_i, clk => clk, ena => en(0), aclr => areset);
--excRInf0_uid137_fpLogE1pxTest(LOGICAL,136)@2
excRInf0_uid137_fpLogE1pxTest_a <= posInf_uid136_fpLogE1pxTest_q;
excRInf0_uid137_fpLogE1pxTest_b <= excRInf0_uid134_fpLogE1pxTest_q;
excRInf0_uid137_fpLogE1pxTest_q <= excRInf0_uid137_fpLogE1pxTest_a or excRInf0_uid137_fpLogE1pxTest_b;
--reg_expXIsZero_uid19_fpLogE1pxTest_0_to_concExc_uid143_fpLogE1pxTest_0(REG,492)@1
reg_expXIsZero_uid19_fpLogE1pxTest_0_to_concExc_uid143_fpLogE1pxTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXIsZero_uid19_fpLogE1pxTest_0_to_concExc_uid143_fpLogE1pxTest_0_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXIsZero_uid19_fpLogE1pxTest_0_to_concExc_uid143_fpLogE1pxTest_0_q <= ld_expXIsZero_uid19_fpLogE1pxTest_q_to_InvExpXIsZero_uid29_fpLogE1pxTest_a_q;
END IF;
END IF;
END PROCESS;
--concExc_uid143_fpLogE1pxTest(BITJOIN,142)@2
concExc_uid143_fpLogE1pxTest_q <= excRNaN_uid140_fpLogE1pxTest_q & excRInf0_uid137_fpLogE1pxTest_q & reg_expXIsZero_uid19_fpLogE1pxTest_0_to_concExc_uid143_fpLogE1pxTest_0_q;
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_inputreg(DELAY,1549)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => concExc_uid143_fpLogE1pxTest_q, xout => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt(COUNTER,1551)
-- every=1, low=0, high=46, step=1, init=1
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i = 45 THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_eq = '1') THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i - 46;
ELSE
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_i,6));
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg(REG,1552)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux(MUX,1553)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_s <= en;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux: PROCESS (ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_s, ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg_q, ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_q)
BEGIN
CASE ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_s IS
WHEN "0" => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg_q;
WHEN "1" => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem(DUALMEM,1550)
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_ia <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_inputreg_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_aa <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdreg_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_ab <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_rdmux_q;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 6,
numwords_a => 47,
width_b => 3,
widthad_b => 6,
numwords_b => 47,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_iq,
address_a => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_aa,
data_a => ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_ia
);
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_reset0 <= areset;
ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_q <= ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_iq(2 downto 0);
--excREnc_uid144_fpLogE1pxTest(LOOKUP,143)@51
excREnc_uid144_fpLogE1pxTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
excREnc_uid144_fpLogE1pxTest_q <= "01";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_concExc_uid143_fpLogE1pxTest_q_to_excREnc_uid144_fpLogE1pxTest_a_replace_mem_q) IS
WHEN "000" => excREnc_uid144_fpLogE1pxTest_q <= "01";
WHEN "001" => excREnc_uid144_fpLogE1pxTest_q <= "00";
WHEN "010" => excREnc_uid144_fpLogE1pxTest_q <= "10";
WHEN "011" => excREnc_uid144_fpLogE1pxTest_q <= "00";
WHEN "100" => excREnc_uid144_fpLogE1pxTest_q <= "11";
WHEN "101" => excREnc_uid144_fpLogE1pxTest_q <= "00";
WHEN "110" => excREnc_uid144_fpLogE1pxTest_q <= "00";
WHEN "111" => excREnc_uid144_fpLogE1pxTest_q <= "00";
WHEN OTHERS =>
excREnc_uid144_fpLogE1pxTest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--expRPostExc_uid152_fpLogE1pxTest(MUX,151)@52
expRPostExc_uid152_fpLogE1pxTest_s <= excREnc_uid144_fpLogE1pxTest_q;
expRPostExc_uid152_fpLogE1pxTest: PROCESS (expRPostExc_uid152_fpLogE1pxTest_s, en, cstAllZWE_uid17_fpLogE1pxTest_q, expR_uid128_fpLogE1pxTest_q, cstAllOWE_uid15_fpLogE1pxTest_q, cstAllOWE_uid15_fpLogE1pxTest_q)
BEGIN
CASE expRPostExc_uid152_fpLogE1pxTest_s IS
WHEN "00" => expRPostExc_uid152_fpLogE1pxTest_q <= cstAllZWE_uid17_fpLogE1pxTest_q;
WHEN "01" => expRPostExc_uid152_fpLogE1pxTest_q <= expR_uid128_fpLogE1pxTest_q;
WHEN "10" => expRPostExc_uid152_fpLogE1pxTest_q <= cstAllOWE_uid15_fpLogE1pxTest_q;
WHEN "11" => expRPostExc_uid152_fpLogE1pxTest_q <= cstAllOWE_uid15_fpLogE1pxTest_q;
WHEN OTHERS => expRPostExc_uid152_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--oneFracRPostExc2_uid145_fpLogE1pxTest(CONSTANT,144)
oneFracRPostExc2_uid145_fpLogE1pxTest_q <= "0000000000000000000000000000000000000000000000000001";
--ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor(LOGICAL,1533)
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_a <= ld_oMfracXRSExt_uid40_fpLogE1pxTest_q_to_redLO_uid47_fpLogE1pxTest_a_notEnable_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_b <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_q <= not (ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_a or ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_b);
--ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp(LOGICAL,1530)
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_a <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_mem_top_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q);
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_q <= "1" when ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_a = ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_b else "0";
--ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmpReg(REG,1531)
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmpReg_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena(REG,1534)
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_nor_q = "1") THEN
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd(LOGICAL,1535)
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_a <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_sticky_ena_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_b <= en;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_a and ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_b;
--ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem(DUALMEM,1526)
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_ia <= ld_frac_uid22_fpLogE1pxTest_b_to_fracXz_uid82_fpLogE1pxTest_b_inputreg_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_aa <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdreg_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_ab <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_rdcnt_q;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 52,
widthad_a => 6,
numwords_a => 49,
width_b => 52,
widthad_b => 6,
numwords_b => 49,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_enaAnd_q(0),
clocken0 => en(0),
wren_a => en(0),
clock0 => clk,
aclr1 => ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_reset0,
clock1 => clk,
address_b => ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_iq,
address_a => ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_aa,
data_a => ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_ia
);
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_reset0 <= areset;
ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_iq(51 downto 0);
--fracR0_uid125_fpLogE1pxTest(BITSELECT,124)@51
fracR0_uid125_fpLogE1pxTest_in <= expFracPostRnd_uid124_fpLogE1pxTest_q(52 downto 0);
fracR0_uid125_fpLogE1pxTest_b <= fracR0_uid125_fpLogE1pxTest_in(52 downto 1);
--reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2(REG,650)@51
reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2_q <= fracR0_uid125_fpLogE1pxTest_b;
END IF;
END IF;
END PROCESS;
--fracR_uid126_fpLogE1pxTest(MUX,125)@52
fracR_uid126_fpLogE1pxTest_s <= ld_reg_resIsX_uid62_fpLogE1pxTest_1_to_fracR_uid126_fpLogE1pxTest_1_q_to_fracR_uid126_fpLogE1pxTest_b_replace_mem_q;
fracR_uid126_fpLogE1pxTest: PROCESS (fracR_uid126_fpLogE1pxTest_s, en, reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2_q, ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_q)
BEGIN
CASE fracR_uid126_fpLogE1pxTest_s IS
WHEN "0" => fracR_uid126_fpLogE1pxTest_q <= reg_fracR0_uid125_fpLogE1pxTest_0_to_fracR_uid126_fpLogE1pxTest_2_q;
WHEN "1" => fracR_uid126_fpLogE1pxTest_q <= ld_frac_uid22_fpLogE1pxTest_b_to_fracR_uid126_fpLogE1pxTest_d_replace_mem_q;
WHEN OTHERS => fracR_uid126_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--fracRPostExc_uid148_fpLogE1pxTest(MUX,147)@52
fracRPostExc_uid148_fpLogE1pxTest_s <= excREnc_uid144_fpLogE1pxTest_q;
fracRPostExc_uid148_fpLogE1pxTest: PROCESS (fracRPostExc_uid148_fpLogE1pxTest_s, en, cstAllZWF_uid8_fpLogE1pxTest_q, fracR_uid126_fpLogE1pxTest_q, cstAllZWF_uid8_fpLogE1pxTest_q, oneFracRPostExc2_uid145_fpLogE1pxTest_q)
BEGIN
CASE fracRPostExc_uid148_fpLogE1pxTest_s IS
WHEN "00" => fracRPostExc_uid148_fpLogE1pxTest_q <= cstAllZWF_uid8_fpLogE1pxTest_q;
WHEN "01" => fracRPostExc_uid148_fpLogE1pxTest_q <= fracR_uid126_fpLogE1pxTest_q;
WHEN "10" => fracRPostExc_uid148_fpLogE1pxTest_q <= cstAllZWF_uid8_fpLogE1pxTest_q;
WHEN "11" => fracRPostExc_uid148_fpLogE1pxTest_q <= oneFracRPostExc2_uid145_fpLogE1pxTest_q;
WHEN OTHERS => fracRPostExc_uid148_fpLogE1pxTest_q <= (others => '0');
END CASE;
END PROCESS;
--RLn_uid153_fpLogE1pxTest(BITJOIN,152)@52
RLn_uid153_fpLogE1pxTest_q <= ld_signRFull_uid142_fpLogE1pxTest_q_to_RLn_uid153_fpLogE1pxTest_c_replace_mem_q & expRPostExc_uid152_fpLogE1pxTest_q & fracRPostExc_uid148_fpLogE1pxTest_q;
--xOut(GPOUT,4)@52
q <= RLn_uid153_fpLogE1pxTest_q;
end normal;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_castxtoy.vhd
|
10
|
2116
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTXTOY.VHD ***
--*** ***
--*** Function: Cast Internal Single to ***
--*** Internal Double ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castxtoy IS
GENERIC (
target : integer := 1; -- 1(internal), 0 (multiplier, divider)
mantissa : positive := 32
);
PORT (
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip : STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (67+10*target DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_castxtoy;
ARCHITECTURE rtl OF hcc_castxtoy IS
signal exponentadjust : STD_LOGIC_VECTOR (13 DOWNTO 1);
BEGIN
-- x : 32/36 signed mantissa, 10 bit exponent
-- y : (internal) 64 signed mantissa, 13 bit exponent
exponentadjust <= conv_std_logic_vector (896,13);
cc(67+10*target DOWNTO 68+10*target-mantissa) <= aa(mantissa+10 DOWNTO 11);
gxa: FOR k IN 14 TO 67+10*target-mantissa GENERATE
cc(k) <= aa(11);
END GENERATE;
cc(13 DOWNTO 1) <= ("000" & aa(10 DOWNTO 1)) + exponentadjust;
ccsat <= aasat;
cczip <= aazip;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_pos.vhd
|
10
|
6915
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT LIBRARY ***
--*** ***
--*** FP_POS.VHD ***
--*** ***
--*** Function: Local Count Leading Zeroes ***
--*** ***
--*** 22/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_pos IS
GENERIC (start : integer := 10);
PORT (
ingroup : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
position : OUT STD_LOGIC_VECTOR (5 DOWNTO 1)
);
END fp_pos;
ARCHITECTURE rtl of fp_pos IS
BEGIN
ptab: PROCESS (ingroup)
BEGIN
CASE ingroup IS
WHEN "000000" => position <= conv_std_logic_vector(0,5);
WHEN "000001" => position <= conv_std_logic_vector(start+5,5);
WHEN "000010" => position <= conv_std_logic_vector(start+4,5);
WHEN "000011" => position <= conv_std_logic_vector(start+4,5);
WHEN "000100" => position <= conv_std_logic_vector(start+3,5);
WHEN "000101" => position <= conv_std_logic_vector(start+3,5);
WHEN "000110" => position <= conv_std_logic_vector(start+3,5);
WHEN "000111" => position <= conv_std_logic_vector(start+3,5);
WHEN "001000" => position <= conv_std_logic_vector(start+2,5);
WHEN "001001" => position <= conv_std_logic_vector(start+2,5);
WHEN "001010" => position <= conv_std_logic_vector(start+2,5);
WHEN "001011" => position <= conv_std_logic_vector(start+2,5);
WHEN "001100" => position <= conv_std_logic_vector(start+2,5);
WHEN "001101" => position <= conv_std_logic_vector(start+2,5);
WHEN "001110" => position <= conv_std_logic_vector(start+2,5);
WHEN "001111" => position <= conv_std_logic_vector(start+2,5);
WHEN "010000" => position <= conv_std_logic_vector(start+1,5);
WHEN "010001" => position <= conv_std_logic_vector(start+1,5);
WHEN "010010" => position <= conv_std_logic_vector(start+1,5);
WHEN "010011" => position <= conv_std_logic_vector(start+1,5);
WHEN "010100" => position <= conv_std_logic_vector(start+1,5);
WHEN "010101" => position <= conv_std_logic_vector(start+1,5);
WHEN "010110" => position <= conv_std_logic_vector(start+1,5);
WHEN "010111" => position <= conv_std_logic_vector(start+1,5);
WHEN "011000" => position <= conv_std_logic_vector(start+1,5);
WHEN "011001" => position <= conv_std_logic_vector(start+1,5);
WHEN "011010" => position <= conv_std_logic_vector(start+1,5);
WHEN "011011" => position <= conv_std_logic_vector(start+1,5);
WHEN "011100" => position <= conv_std_logic_vector(start+1,5);
WHEN "011101" => position <= conv_std_logic_vector(start+1,5);
WHEN "011110" => position <= conv_std_logic_vector(start+1,5);
WHEN "011111" => position <= conv_std_logic_vector(start+1,5);
WHEN "100000" => position <= conv_std_logic_vector(start,5);
WHEN "100001" => position <= conv_std_logic_vector(start,5);
WHEN "100010" => position <= conv_std_logic_vector(start,5);
WHEN "100011" => position <= conv_std_logic_vector(start,5);
WHEN "100100" => position <= conv_std_logic_vector(start,5);
WHEN "100101" => position <= conv_std_logic_vector(start,5);
WHEN "100110" => position <= conv_std_logic_vector(start,5);
WHEN "100111" => position <= conv_std_logic_vector(start,5);
WHEN "101000" => position <= conv_std_logic_vector(start,5);
WHEN "101001" => position <= conv_std_logic_vector(start,5);
WHEN "101010" => position <= conv_std_logic_vector(start,5);
WHEN "101011" => position <= conv_std_logic_vector(start,5);
WHEN "101100" => position <= conv_std_logic_vector(start,5);
WHEN "101101" => position <= conv_std_logic_vector(start,5);
WHEN "101110" => position <= conv_std_logic_vector(start,5);
WHEN "101111" => position <= conv_std_logic_vector(start,5);
WHEN "110000" => position <= conv_std_logic_vector(start,5);
WHEN "110001" => position <= conv_std_logic_vector(start,5);
WHEN "110010" => position <= conv_std_logic_vector(start,5);
WHEN "110011" => position <= conv_std_logic_vector(start,5);
WHEN "110100" => position <= conv_std_logic_vector(start,5);
WHEN "110101" => position <= conv_std_logic_vector(start,5);
WHEN "110110" => position <= conv_std_logic_vector(start,5);
WHEN "110111" => position <= conv_std_logic_vector(start,5);
WHEN "111000" => position <= conv_std_logic_vector(start,5);
WHEN "111001" => position <= conv_std_logic_vector(start,5);
WHEN "111010" => position <= conv_std_logic_vector(start,5);
WHEN "111011" => position <= conv_std_logic_vector(start,5);
WHEN "111100" => position <= conv_std_logic_vector(start,5);
WHEN "111101" => position <= conv_std_logic_vector(start,5);
WHEN "111110" => position <= conv_std_logic_vector(start,5);
WHEN "111111" => position <= conv_std_logic_vector(start,5);
WHEN others => position <= conv_std_logic_vector(0,5);
END CASE;
END PROCESS;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/dp_lnnorm.vhd
|
10
|
6140
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** DP_LNNORM.VHD ***
--*** ***
--*** Function: Double Precision Normalization ***
--*** of LN calculation ***
--*** ***
--*** 18/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** Latency = 3 + 2*Speed ***
--***************************************************
ENTITY dp_lnnorm IS
GENERIC (
speed : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inman : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
inexp : IN STD_LOGIC_VECTOR (11 DOWNTO 1);
outman : OUT STD_LOGIC_VECTOR (64 DOWNTO 1);
outexp : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
zero : OUT STD_LOGIC
);
END dp_lnnorm;
ARCHITECTURE rtl OF dp_lnnorm IS
-- 3+2*speed
signal shift, shiftff : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal zerochk : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal inmanff, outmanff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal inexpff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal inmandelbus : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal outmanbus : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal expaddbus, expsubbus : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal expmidbus, expoutbus : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zeroff : STD_LOGIC_VECTOR (2+2*speed DOWNTO 1);
component dp_lnclz
PORT (
mantissa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
leading : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
component dp_lnclzpipe
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
mantissa : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
leading : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
component dp_lsft64x6
PORT (
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component dp_lsft64x6pipe
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
inbus : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
component fp_del
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
ppin: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
inmanff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
inexpff(k) <= '0';
END LOOP;
FOR k IN 1 TO 2+2*speed LOOP
zeroff(k) <= '0';
END LOOP;
shiftff <= "000000";
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
inmanff <= inman;
inexpff <= inexp;
zeroff(1) <= zerochk(64);
FOR k IN 2 TO 2+2*speed LOOP
zeroff(k) <= zeroff(k-1);
END LOOP;
shiftff <= shift;
END IF;
END IF;
END PROCESS;
zerochk(1) <= inmanff(1);
gza: FOR k IN 2 TO 64 GENERATE
zerochk(k) <= zerochk(k-1) OR inmanff(k);
END GENERATE;
delma: fp_del
GENERIC MAP (width=>64,pipes=>1+speed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>inmanff,cc=>inmandelbus);
gsa: IF (speed = 0) GENERATE
clz: dp_lnclz
PORT MAP (mantissa=>inmanff,leading=>shift);
sft: dp_lsft64x6
PORT MAP (inbus=>inmandelbus,shift=>shiftff,
outbus=>outmanbus);
END GENERATE;
gsb: IF (speed = 1) GENERATE
clzp: dp_lnclzpipe
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
mantissa=>inmanff,
leading=>shift);
sftp: dp_lsft64x6pipe
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
inbus=>inmandelbus,shift=>shiftff,
outbus=>outmanbus);
END GENERATE;
-- add 2 - 1 for right shift to avoid overflow
expaddbus <= inexpff + 1;
delxa: fp_del
GENERIC MAP (width=>11,pipes=>1+speed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>expaddbus,cc=>expmidbus);
expsubbus <= expmidbus - ("00000" & shiftff);
delxb: fp_del
GENERIC MAP (width=>11,pipes=>1+speed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>expsubbus,cc=>expoutbus);
ppout: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
outmanff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
outmanff <= outmanbus;
END IF;
END IF;
END PROCESS;
--*** OUTPUTS ***
outman <= outmanff;
outexp <= expoutbus;
zero <= zeroff(2+2*speed);
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_mul54us_3xs.vhd
|
10
|
2903
|
LIBRARY ieee;
LIBRARY work;
LIBRARY lpm;
LIBRARY altera_mf;
USE lpm.all;
USE altera_mf.all;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** FP_MUL54US_3XS.VHD ***
--*** ***
--*** Function: 4 pipeline stage unsigned 54 ***
--*** bit multiplier ***
--*** 3XS: Stratix 3, 10 18x18, synthesizeable ***
--*** ***
--*** 21/04/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: ***
--*** 1. For QII8.0 LPM_MULT always creates a 10 ***
--*** 18x18 multiplier 54x54 core ***
--*** 2. Identical to HCC_MUL54US_3XS, but 72 ***
--*** outputs ***
--*** ***
--***************************************************
ENTITY fp_mul54us_3xs IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
mulaa, mulbb : IN STD_LOGIC_VECTOR (54 DOWNTO 1);
mulcc : OUT STD_LOGIC_VECTOR (72 DOWNTO 1)
);
END fp_mul54us_3xs;
ARCHITECTURE syn of fp_mul54us_3xs IS
component lpm_mult
GENERIC (
lpm_hint : STRING;
lpm_pipeline : NATURAL;
lpm_representation : STRING;
lpm_type : STRING;
lpm_widtha : NATURAL;
lpm_widthb : NATURAL;
lpm_widthp : NATURAL
);
PORT (
dataa : IN STD_LOGIC_VECTOR (53 DOWNTO 0);
datab : IN STD_LOGIC_VECTOR (53 DOWNTO 0);
clken : IN STD_LOGIC ;
aclr : IN STD_LOGIC ;
clock : IN STD_LOGIC ;
result : OUT STD_LOGIC_VECTOR (71 DOWNTO 0)
);
end component;
BEGIN
lpm_mult_component : lpm_mult
GENERIC MAP (
lpm_hint => "MAXIMIZE_SPEED=5",
lpm_pipeline => 4,
lpm_representation => "UNSIGNED",
lpm_type => "LPM_MULT",
lpm_widtha => 54,
lpm_widthb => 54,
lpm_widthp => 72
)
PORT MAP (
dataa => mulaa,
datab => mulbb,
clken => enable,
aclr => reset,
clock => sysclk,
result => mulcc
);
END syn;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_lsftcomb32.vhd
|
10
|
3504
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_LSFTCOMB32.VHD ***
--*** ***
--*** Function: Combinatorial left shift, 32 ***
--*** bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_lsftcomb32 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END hcc_lsftcomb32;
ARCHITECTURE rtl OF hcc_lsftcomb32 IS
signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (32 DOWNTO 1);
BEGIN
levzip <= inbus;
-- shift by 0,1,2,3
levone(1) <= (levzip(1) AND NOT(shift(2)) AND NOT(shift(1)));
levone(2) <= (levzip(2) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(1) AND NOT(shift(2)) AND shift(1));
levone(3) <= (levzip(3) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(2) AND NOT(shift(2)) AND shift(1)) OR
(levzip(1) AND shift(2) AND NOT(shift(1)));
gaa: FOR k IN 4 TO 32 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k-1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k-2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k-3) AND shift(2) AND shift(1));
END GENERATE;
-- shift by 0,4,8,12
gba: FOR k IN 1 TO 4 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3)));
END GENERATE;
gbb: FOR k IN 5 TO 8 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3));
END GENERATE;
gbc: FOR k IN 9 TO 12 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3)));
END GENERATE;
gbd: FOR k IN 13 TO 32 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k-4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k-8) AND shift(4) AND NOT(shift(3))) OR
(levone(k-12) AND shift(4) AND shift(3));
END GENERATE;
gca: FOR k IN 1 TO 16 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(5)));
END GENERATE;
gcb: FOR k IN 17 TO 32 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(5))) OR
(levtwo(k-16) AND shift(5));
END GENERATE;
outbus <= levthr;
END rtl;
|
mit
|
LorhanSohaky/UFSCar
|
2017/lab_cd/aula9/maquina/simulation/modelsim/rtl_work/inicial/_primary.vhd
|
1
|
1082
|
library verilog;
use verilog.vl_types.all;
entity inicial is
generic(
\Fechado\ : vl_logic_vector(0 to 1) := (Hi0, Hi0);
Abrindo : vl_logic_vector(0 to 1) := (Hi0, Hi1);
\Aberto\ : vl_logic_vector(0 to 1) := (Hi1, Hi0);
Fechando : vl_logic_vector(0 to 1) := (Hi1, Hi1)
);
port(
botao : in vl_logic;
aberto : in vl_logic;
fechado : in vl_logic;
motor : in vl_logic;
sentido : in vl_logic;
ledVerde : out vl_logic;
ledVermelho : out vl_logic;
display : out vl_logic_vector(6 downto 0);
clock : in vl_logic
);
attribute mti_svvh_generic_type : integer;
attribute mti_svvh_generic_type of \Fechado\ : constant is 1;
attribute mti_svvh_generic_type of Abrindo : constant is 1;
attribute mti_svvh_generic_type of \Aberto\ : constant is 1;
attribute mti_svvh_generic_type of Fechando : constant is 1;
end inicial;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_ln_double_s5.vhd
|
10
|
543973
|
-----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_ln_double_s5
-- VHDL created on Mon Apr 8 15:29:06 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_ln_double_s5 is
port (
a : in std_logic_vector(63 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(63 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_ln_double_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllZWF_uid8_fpLogETest_q : std_logic_vector (51 downto 0);
signal cstBias_uid9_fpLogETest_q : std_logic_vector (10 downto 0);
signal cstBiasMO_uid10_fpLogETest_q : std_logic_vector (10 downto 0);
signal cstAllOWE_uid12_fpLogETest_q : std_logic_vector (10 downto 0);
signal cstAllZWE_uid14_fpLogETest_q : std_logic_vector (10 downto 0);
signal exc_R_uid27_fpLogETest_a : std_logic_vector(0 downto 0);
signal exc_R_uid27_fpLogETest_b : std_logic_vector(0 downto 0);
signal exc_R_uid27_fpLogETest_c : std_logic_vector(0 downto 0);
signal exc_R_uid27_fpLogETest_q_i : std_logic_vector(0 downto 0);
signal exc_R_uid27_fpLogETest_q : std_logic_vector(0 downto 0);
signal oMz_uid38_fpLogETest_a : std_logic_vector(53 downto 0);
signal oMz_uid38_fpLogETest_b : std_logic_vector(53 downto 0);
signal oMz_uid38_fpLogETest_o : std_logic_vector (53 downto 0);
signal oMz_uid38_fpLogETest_q : std_logic_vector (53 downto 0);
signal z2_uid40_fpLogETest_q : std_logic_vector (1 downto 0);
signal wideZero_uid44_fpLogETest_q : std_logic_vector (66 downto 0);
signal addTermOne_uid45_fpLogETest_s : std_logic_vector (0 downto 0);
signal addTermOne_uid45_fpLogETest_q : std_logic_vector (66 downto 0);
signal finalSumOneComp_uid52_fpLogETest_a : std_logic_vector(117 downto 0);
signal finalSumOneComp_uid52_fpLogETest_b : std_logic_vector(117 downto 0);
signal finalSumOneComp_uid52_fpLogETest_q_i : std_logic_vector(117 downto 0);
signal finalSumOneComp_uid52_fpLogETest_q : std_logic_vector(117 downto 0);
signal cstMSBFinalSumPBias_uid56_fpLogETest_q : std_logic_vector (11 downto 0);
signal expRExt_uid57_fpLogETest_a : std_logic_vector(12 downto 0);
signal expRExt_uid57_fpLogETest_b : std_logic_vector(12 downto 0);
signal expRExt_uid57_fpLogETest_o : std_logic_vector (12 downto 0);
signal expRExt_uid57_fpLogETest_q : std_logic_vector (12 downto 0);
signal signRC1_uid73_fpLogETest_a : std_logic_vector(0 downto 0);
signal signRC1_uid73_fpLogETest_b : std_logic_vector(0 downto 0);
signal signRC1_uid73_fpLogETest_q_i : std_logic_vector(0 downto 0);
signal signRC1_uid73_fpLogETest_q : std_logic_vector(0 downto 0);
signal InvExcRNaN_uid76_fpLogETest_a : std_logic_vector(0 downto 0);
signal InvExcRNaN_uid76_fpLogETest_q_i : std_logic_vector(0 downto 0);
signal InvExcRNaN_uid76_fpLogETest_q : std_logic_vector(0 downto 0);
signal signRFull_uid77_fpLogETest_a : std_logic_vector(0 downto 0);
signal signRFull_uid77_fpLogETest_b : std_logic_vector(0 downto 0);
signal signRFull_uid77_fpLogETest_q_i : std_logic_vector(0 downto 0);
signal signRFull_uid77_fpLogETest_q : std_logic_vector(0 downto 0);
signal excREnc_uid79_fpLogETest_q : std_logic_vector(1 downto 0);
signal oneFracRPostExc2_uid80_fpLogETest_q : std_logic_vector (51 downto 0);
signal p1_uid92_constMult_q : std_logic_vector(68 downto 0);
signal rndBit_uid130_natLogPolyEval_q : std_logic_vector (1 downto 0);
signal rndBit_uid142_natLogPolyEval_q : std_logic_vector (2 downto 0);
signal zs_uid147_countZ_uid54_fpLogETest_q : std_logic_vector (63 downto 0);
signal mO_uid150_countZ_uid54_fpLogETest_q : std_logic_vector (8 downto 0);
signal zs_uid155_countZ_uid54_fpLogETest_q : std_logic_vector (31 downto 0);
signal zs_uid161_countZ_uid54_fpLogETest_q : std_logic_vector (15 downto 0);
signal zs_uid167_countZ_uid54_fpLogETest_q : std_logic_vector (7 downto 0);
signal vCount_uid169_countZ_uid54_fpLogETest_a : std_logic_vector(7 downto 0);
signal vCount_uid169_countZ_uid54_fpLogETest_b : std_logic_vector(7 downto 0);
signal vCount_uid169_countZ_uid54_fpLogETest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid169_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal zs_uid173_countZ_uid54_fpLogETest_q : std_logic_vector (3 downto 0);
signal vCount_uid181_countZ_uid54_fpLogETest_a : std_logic_vector(1 downto 0);
signal vCount_uid181_countZ_uid54_fpLogETest_b : std_logic_vector(1 downto 0);
signal vCount_uid181_countZ_uid54_fpLogETest_q_i : std_logic_vector(0 downto 0);
signal vCount_uid181_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal leftShiftStage0Idx3Pad96_uid197_normVal_uid55_fpLogETest_q : std_logic_vector (95 downto 0);
signal leftShiftStage1Idx3Pad24_uid208_normVal_uid55_fpLogETest_q : std_logic_vector (23 downto 0);
signal leftShiftStage2Idx3Pad6_uid219_normVal_uid55_fpLogETest_q : std_logic_vector (5 downto 0);
signal prodXY_uid230_pT1_uid123_natLogPolyEval_a : std_logic_vector (16 downto 0);
signal prodXY_uid230_pT1_uid123_natLogPolyEval_b : std_logic_vector (16 downto 0);
signal prodXY_uid230_pT1_uid123_natLogPolyEval_s1 : std_logic_vector (33 downto 0);
signal prodXY_uid230_pT1_uid123_natLogPolyEval_pr : SIGNED (34 downto 0);
signal prodXY_uid230_pT1_uid123_natLogPolyEval_q : std_logic_vector (33 downto 0);
signal topProd_uid235_pT2_uid129_natLogPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid235_pT2_uid129_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid235_pT2_uid129_natLogPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid235_pT2_uid129_natLogPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid235_pT2_uid129_natLogPolyEval_q : std_logic_vector (53 downto 0);
signal sm0_uid238_pT2_uid129_natLogPolyEval_a : std_logic_vector (2 downto 0);
signal sm0_uid238_pT2_uid129_natLogPolyEval_b : std_logic_vector (3 downto 0);
signal sm0_uid238_pT2_uid129_natLogPolyEval_s1 : std_logic_vector (6 downto 0);
signal sm0_uid238_pT2_uid129_natLogPolyEval_pr : UNSIGNED (6 downto 0);
attribute multstyle : string;
attribute multstyle of sm0_uid238_pT2_uid129_natLogPolyEval_pr: signal is "logic";
signal sm0_uid238_pT2_uid129_natLogPolyEval_q : std_logic_vector (6 downto 0);
signal sm1_uid241_pT2_uid129_natLogPolyEval_a : std_logic_vector (5 downto 0);
signal sm1_uid241_pT2_uid129_natLogPolyEval_b : std_logic_vector (0 downto 0);
signal sm1_uid241_pT2_uid129_natLogPolyEval_s1 : std_logic_vector (6 downto 0);
signal sm1_uid241_pT2_uid129_natLogPolyEval_pr : SIGNED (7 downto 0);
attribute multstyle of sm1_uid241_pT2_uid129_natLogPolyEval_pr: signal is "logic";
signal sm1_uid241_pT2_uid129_natLogPolyEval_q : std_logic_vector (6 downto 0);
signal topProd_uid248_pT3_uid135_natLogPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid248_pT3_uid135_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid248_pT3_uid135_natLogPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid248_pT3_uid135_natLogPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid248_pT3_uid135_natLogPolyEval_q : std_logic_vector (53 downto 0);
signal topProd_uid265_pT4_uid141_natLogPolyEval_a : std_logic_vector (26 downto 0);
signal topProd_uid265_pT4_uid141_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal topProd_uid265_pT4_uid141_natLogPolyEval_s1 : std_logic_vector (53 downto 0);
signal topProd_uid265_pT4_uid141_natLogPolyEval_pr : SIGNED (54 downto 0);
signal topProd_uid265_pT4_uid141_natLogPolyEval_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b0_a : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b0_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b0_pr : UNSIGNED (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b0_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b0_a : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b0_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b0_pr : SIGNED (54 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b0_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b1_a : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b1_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b1_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b1_pr : UNSIGNED (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b1_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b1_a : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b1_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b1_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b1_pr : SIGNED (54 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b1_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b2_a : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b2_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b2_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b2_pr : SIGNED (54 downto 0);
signal postPEMul_uid43_fpLogETest_a0_b2_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b2_a : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b2_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b2_s1 : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b2_pr : SIGNED (53 downto 0);
signal postPEMul_uid43_fpLogETest_a1_b2_q : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_0_a : std_logic_vector(84 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_0_b : std_logic_vector(84 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_0_o : std_logic_vector (84 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_0_q : std_logic_vector (83 downto 0);
signal memoryC0_uid97_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid97_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid97_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid97_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid97_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid97_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid98_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid98_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid98_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid98_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid98_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid98_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid99_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid99_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid99_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid99_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid99_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid99_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid100_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid100_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid100_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid100_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid100_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid100_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid101_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid101_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid101_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid101_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid101_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid101_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC0_uid102_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC0_uid102_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC0_uid102_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC0_uid102_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC0_uid102_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC0_uid102_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid104_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid104_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid104_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid104_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid104_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid104_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid105_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid105_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid105_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid105_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid105_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid105_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid106_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid106_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid106_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid106_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid106_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid106_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid107_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid107_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC1_uid107_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid107_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid107_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC1_uid107_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC1_uid108_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC1_uid108_natLogTabGen_lutmem_ia : std_logic_vector (7 downto 0);
signal memoryC1_uid108_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC1_uid108_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC1_uid108_natLogTabGen_lutmem_iq : std_logic_vector (7 downto 0);
signal memoryC1_uid108_natLogTabGen_lutmem_q : std_logic_vector (7 downto 0);
signal memoryC2_uid110_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid110_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC2_uid110_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid110_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid110_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC2_uid110_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC2_uid111_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid111_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC2_uid111_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid111_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid111_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC2_uid111_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC2_uid112_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid112_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC2_uid112_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid112_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid112_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC2_uid112_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC2_uid113_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC2_uid113_natLogTabGen_lutmem_ia : std_logic_vector (7 downto 0);
signal memoryC2_uid113_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC2_uid113_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC2_uid113_natLogTabGen_lutmem_iq : std_logic_vector (7 downto 0);
signal memoryC2_uid113_natLogTabGen_lutmem_q : std_logic_vector (7 downto 0);
signal memoryC3_uid115_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC3_uid115_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC3_uid115_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC3_uid115_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC3_uid115_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC3_uid115_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC3_uid116_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC3_uid116_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC3_uid116_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC3_uid116_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC3_uid116_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC3_uid116_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC3_uid117_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC3_uid117_natLogTabGen_lutmem_ia : std_logic_vector (7 downto 0);
signal memoryC3_uid117_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC3_uid117_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC3_uid117_natLogTabGen_lutmem_iq : std_logic_vector (7 downto 0);
signal memoryC3_uid117_natLogTabGen_lutmem_q : std_logic_vector (7 downto 0);
signal memoryC4_uid119_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC4_uid119_natLogTabGen_lutmem_ia : std_logic_vector (9 downto 0);
signal memoryC4_uid119_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC4_uid119_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC4_uid119_natLogTabGen_lutmem_iq : std_logic_vector (9 downto 0);
signal memoryC4_uid119_natLogTabGen_lutmem_q : std_logic_vector (9 downto 0);
signal memoryC4_uid120_natLogTabGen_lutmem_reset0 : std_logic;
signal memoryC4_uid120_natLogTabGen_lutmem_ia : std_logic_vector (6 downto 0);
signal memoryC4_uid120_natLogTabGen_lutmem_aa : std_logic_vector (10 downto 0);
signal memoryC4_uid120_natLogTabGen_lutmem_ab : std_logic_vector (10 downto 0);
signal memoryC4_uid120_natLogTabGen_lutmem_iq : std_logic_vector (6 downto 0);
signal memoryC4_uid120_natLogTabGen_lutmem_q : std_logic_vector (6 downto 0);
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a_type is array(0 to 1) of UNSIGNED(17 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a_type;
attribute preserve : boolean;
attribute preserve of multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a : signal is true;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c_type is array(0 to 1) of SIGNED(17 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c_type;
attribute preserve of multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c : signal is true;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l_type is array(0 to 1) of SIGNED(18 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l_type;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p_type is array(0 to 1) of SIGNED(36 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p_type;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_w_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_w : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_w_type;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_x_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_x : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_x_type;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_y_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_y : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_y_type;
type multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s_type is array(0 to 0) of SIGNED(37 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s : multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s_type;
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s0 : std_logic_vector(36 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_q : std_logic_vector (36 downto 0);
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a_type is array(0 to 1) of UNSIGNED(26 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a_type;
attribute preserve of multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a : signal is true;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c_type is array(0 to 1) of SIGNED(26 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c_type;
attribute preserve of multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c : signal is true;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l_type is array(0 to 1) of SIGNED(27 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l_type;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p_type is array(0 to 1) of SIGNED(54 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p_type;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w_type;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x_type;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y_type;
type multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s_type is array(0 to 1) of SIGNED(55 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s : multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s_type;
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s0 : std_logic_vector(54 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_q : std_logic_vector (54 downto 0);
signal reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q : std_logic_vector (2 downto 0);
signal reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q : std_logic_vector (0 downto 0);
signal reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2_q : std_logic_vector (53 downto 0);
signal reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q : std_logic_vector (10 downto 0);
signal reg_memoryC0_uid97_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid98_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid99_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_2_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid100_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_3_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid101_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_4_q : std_logic_vector (9 downto 0);
signal reg_memoryC0_uid102_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_5_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid104_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid105_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid106_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_2_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid107_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_3_q : std_logic_vector (9 downto 0);
signal reg_memoryC1_uid108_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_4_q : std_logic_vector (7 downto 0);
signal reg_memoryC2_uid110_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC2_uid111_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC2_uid112_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_2_q : std_logic_vector (9 downto 0);
signal reg_memoryC2_uid113_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_3_q : std_logic_vector (7 downto 0);
signal reg_memoryC4_uid119_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC4_uid120_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_1_q : std_logic_vector (6 downto 0);
signal reg_yT1_uid122_natLogPolyEval_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_0_q : std_logic_vector (16 downto 0);
signal reg_os_uid121_natLogTabGen_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_1_q : std_logic_vector (16 downto 0);
signal reg_memoryC3_uid115_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_0_q : std_logic_vector (9 downto 0);
signal reg_memoryC3_uid116_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_1_q : std_logic_vector (9 downto 0);
signal reg_memoryC3_uid117_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_2_q : std_logic_vector (7 downto 0);
signal reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid234_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_sSM0H_uid236_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_0_q : std_logic_vector (2 downto 0);
signal reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_q : std_logic_vector (3 downto 0);
signal reg_sSM1H_uid239_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_0_q : std_logic_vector (5 downto 0);
signal reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q : std_logic_vector (0 downto 0);
signal reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0_q : std_logic_vector (39 downto 0);
signal reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1_q : std_logic_vector (29 downto 0);
signal reg_xTop18Bits_uid249_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_4_q : std_logic_vector (17 downto 0);
signal reg_pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_6_q : std_logic_vector (17 downto 0);
signal reg_pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_7_q : std_logic_vector (16 downto 0);
signal reg_yTop18Bits_uid252_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_9_q : std_logic_vector (17 downto 0);
signal reg_xTop27Bits_uid246_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_0_q : std_logic_vector (26 downto 0);
signal reg_yTop27Bits_uid247_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_1_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0_q : std_logic_vector (49 downto 0);
signal reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1_q : std_logic_vector (40 downto 0);
signal reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4_q : std_logic_vector (26 downto 0);
signal reg_pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_6_q : std_logic_vector (26 downto 0);
signal reg_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_7_q : std_logic_vector (25 downto 0);
signal reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9_q : std_logic_vector (26 downto 0);
signal reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0_q : std_logic_vector (62 downto 0);
signal reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1_q : std_logic_vector (51 downto 0);
signal reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid43_fpLogETest_align_0_0_to_postPEMul_uid43_fpLogETest_result_add_0_0_0_q : std_logic_vector (53 downto 0);
signal reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1_q : std_logic_vector (26 downto 0);
signal reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0_q : std_logic_vector (108 downto 0);
signal reg_xv1_uid91_constMult_0_to_p1_uid92_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_q : std_logic_vector (5 downto 0);
signal reg_sR_uid95_constMult_0_to_addTermOne_uid45_fpLogETest_2_q : std_logic_vector (66 downto 0);
signal reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1_q : std_logic_vector (58 downto 0);
signal reg_lowRangeB_uid46_fpLogETest_0_to_finalSum_uid46_uid49_fpLogETest_0_q : std_logic_vector (49 downto 0);
signal reg_rVStage_uid148_countZ_uid54_fpLogETest_0_to_vCount_uid149_countZ_uid54_fpLogETest_1_q : std_logic_vector (63 downto 0);
signal reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1_q : std_logic_vector (31 downto 0);
signal reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3_q : std_logic_vector (31 downto 0);
signal reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1_q : std_logic_vector (15 downto 0);
signal reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3_q : std_logic_vector (15 downto 0);
signal reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2_q : std_logic_vector (7 downto 0);
signal reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3_q : std_logic_vector (7 downto 0);
signal reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2_q : std_logic_vector (1 downto 0);
signal reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3_q : std_logic_vector (1 downto 0);
signal reg_vCount_uid175_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_2_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_q : std_logic_vector (0 downto 0);
signal reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q : std_logic_vector (0 downto 0);
signal reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5_q : std_logic_vector (118 downto 0);
signal reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q : std_logic_vector (1 downto 0);
signal reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2_q : std_logic_vector (118 downto 0);
signal reg_expFracConc_uid59_fpLogETest_0_to_expFracPostRnd_uid60_fpLogETest_0_q : std_logic_vector (65 downto 0);
signal reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3_q : std_logic_vector (51 downto 0);
signal reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3_q : std_logic_vector (10 downto 0);
signal reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q : std_logic_vector (0 downto 0);
signal ld_FullSumAB117_uid50_fpLogETest_b_to_finalSumAbs_uid53_fpLogETest_b_q : std_logic_vector (0 downto 0);
signal ld_r_uid188_countZ_uid54_fpLogETest_q_to_expRExt_uid57_fpLogETest_b_q : std_logic_vector (6 downto 0);
signal ld_signRFull_uid77_fpLogETest_q_to_RLn_uid88_fpLogETest_c_q : std_logic_vector (0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_cStage_uid152_countZ_uid54_fpLogETest_b_q : std_logic_vector (54 downto 0);
signal ld_rVStage_uid148_countZ_uid54_fpLogETest_b_to_vStagei_uid154_countZ_uid54_fpLogETest_c_q : std_logic_vector (63 downto 0);
signal ld_vCount_uid169_countZ_uid54_fpLogETest_q_to_r_uid188_countZ_uid54_fpLogETest_d_q : std_logic_vector (0 downto 0);
signal ld_reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q_to_r_uid188_countZ_uid54_fpLogETest_g_q : std_logic_vector (0 downto 0);
signal ld_LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_b_q : std_logic_vector (116 downto 0);
signal ld_LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_b_q : std_logic_vector (114 downto 0);
signal ld_LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_b_q : std_logic_vector (112 downto 0);
signal ld_reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_b_q : std_logic_vector (1 downto 0);
signal ld_leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b_to_leftShiftStage3_uid228_normVal_uid55_fpLogETest_b_q : std_logic_vector (0 downto 0);
signal ld_reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q_to_sm1_uid241_pT2_uid129_natLogPolyEval_b_q : std_logic_vector (0 downto 0);
signal ld_reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_topProd_uid265_pT4_uid141_natLogPolyEval_1_q_to_topProd_uid265_pT4_uid141_natLogPolyEval_b_q : std_logic_vector (26 downto 0);
signal ld_yBottomBits_uid266_pT4_uid141_natLogPolyEval_b_to_spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_a_q : std_logic_vector (22 downto 0);
signal ld_postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_1_a_q : std_logic_vector (55 downto 0);
signal ld_postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_2_a_q : std_logic_vector (54 downto 0);
signal ld_postPEMul_uid43_fpLogETest_a1_b2_q_to_postPEMul_uid43_fpLogETest_align_3_a_q : std_logic_vector (53 downto 0);
signal ld_sSM0W_uid237_pT2_uid129_natLogPolyEval_b_to_reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_a_q : std_logic_vector (3 downto 0);
signal ld_xv0_uid90_constMult_b_to_reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_a_q : std_logic_vector (5 downto 0);
signal ld_vCount_uid163_countZ_uid54_fpLogETest_q_to_reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_a_q : std_logic_vector (0 downto 0);
signal ld_vCount_uid157_countZ_uid54_fpLogETest_q_to_reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_a_q : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_inputreg_q : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_ia : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_iq : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_q : std_logic_vector (10 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_eq : std_logic;
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_inputreg_q : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_ia : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_iq : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_q : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_reset0 : std_logic;
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_ia : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_iq : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_q : std_logic_vector (51 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_eq : std_logic;
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena_q : signal is true;
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_reset0 : std_logic;
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena_q : signal is true;
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_reset0 : std_logic;
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_eq : std_logic;
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena_q : signal is true;
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_eq : std_logic;
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_inputreg_q : std_logic_vector (2 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_reset0 : std_logic;
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_ia : std_logic_vector (2 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_aa : std_logic_vector (5 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_ab : std_logic_vector (5 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_iq : std_logic_vector (2 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_q : std_logic_vector (2 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_q : std_logic_vector(5 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i : unsigned(5 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_eq : std_logic;
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg_q : std_logic_vector (5 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_mem_top_q : std_logic_vector (6 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena_q : signal is true;
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_inputreg_q : std_logic_vector (27 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_ia : std_logic_vector (27 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_iq : std_logic_vector (27 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_q : std_logic_vector (27 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena_q : signal is true;
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_inputreg_q : std_logic_vector (37 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_ia : std_logic_vector (37 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_iq : std_logic_vector (37 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_q : std_logic_vector (37 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_mem_top_q : std_logic_vector (3 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_inputreg_q : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_ia : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_iq : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_q : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena_q : signal is true;
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_inputreg_q : std_logic_vector (47 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_ia : std_logic_vector (47 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_iq : std_logic_vector (47 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_q : std_logic_vector (47 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_mem_top_q : std_logic_vector (4 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_inputreg_q : std_logic_vector (59 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_ia : std_logic_vector (59 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_iq : std_logic_vector (59 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_q : std_logic_vector (59 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_inputreg_q : std_logic_vector (86 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_reset0 : std_logic;
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_ia : std_logic_vector (86 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_iq : std_logic_vector (86 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_q : std_logic_vector (86 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena_q : signal is true;
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_reset0 : std_logic;
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_ia : std_logic_vector (54 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_iq : std_logic_vector (54 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_q : std_logic_vector (54 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena_q : signal is true;
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_inputreg_q : std_logic_vector (22 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_reset0 : std_logic;
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_ia : std_logic_vector (22 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_iq : std_logic_vector (22 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_q : std_logic_vector (22 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena_q : signal is true;
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_reset0 : std_logic;
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_ia : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_iq : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_q : std_logic_vector (41 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_eq : std_logic;
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena_q : signal is true;
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_inputreg_q : std_logic_vector (14 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_reset0 : std_logic;
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_ia : std_logic_vector (14 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_iq : std_logic_vector (14 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_q : std_logic_vector (14 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_eq : std_logic;
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_mem_top_q : std_logic_vector (4 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena_q : signal is true;
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_inputreg_q : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_reset0 : std_logic;
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_ia : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_iq : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_q : std_logic_vector (26 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena_q : signal is true;
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_inputreg_q : std_logic_vector (118 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_reset0 : std_logic;
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_ia : std_logic_vector (118 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_iq : std_logic_vector (118 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_q : std_logic_vector (118 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena_q : signal is true;
signal pad_o_uid11_uid38_fpLogETest_q : std_logic_vector (52 downto 0);
signal FPOne_uid63_fpLogETest_q : std_logic_vector (63 downto 0);
signal pad_sm0_uid238_uid242_pT2_uid129_natLogPolyEval_q : std_logic_vector (26 downto 0);
signal pad_sm1_uid241_uid243_pT2_uid129_natLogPolyEval_q : std_logic_vector (26 downto 0);
signal spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_q : std_logic_vector (23 downto 0);
signal pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_q : std_logic_vector (25 downto 0);
signal pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_q : std_logic_vector (26 downto 0);
signal expFracPostRnd_uid60_fpLogETest_a : std_logic_vector(66 downto 0);
signal expFracPostRnd_uid60_fpLogETest_b : std_logic_vector(66 downto 0);
signal expFracPostRnd_uid60_fpLogETest_o : std_logic_vector (66 downto 0);
signal expFracPostRnd_uid60_fpLogETest_q : std_logic_vector (66 downto 0);
signal notC_uid71_fpLogETest_a : std_logic_vector(0 downto 0);
signal notC_uid71_fpLogETest_q : std_logic_vector(0 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_1_add_0_0_a : std_logic_vector(56 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_1_add_0_0_b : std_logic_vector(56 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_1_add_0_0_o : std_logic_vector (56 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q : std_logic_vector (55 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_2_add_0_0_a : std_logic_vector(54 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_2_add_0_0_b : std_logic_vector(54 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_2_add_0_0_o : std_logic_vector (54 downto 0);
signal postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q : std_logic_vector (54 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_q : std_logic_vector (5 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_q : std_logic_vector (3 downto 0);
signal expX_uid6_fpLogETest_in : std_logic_vector (62 downto 0);
signal expX_uid6_fpLogETest_b : std_logic_vector (10 downto 0);
signal signX_uid7_fpLogETest_in : std_logic_vector (63 downto 0);
signal signX_uid7_fpLogETest_b : std_logic_vector (0 downto 0);
signal frac_uid19_fpLogETest_in : std_logic_vector (51 downto 0);
signal frac_uid19_fpLogETest_b : std_logic_vector (51 downto 0);
signal excRZero_uid64_fpLogETest_a : std_logic_vector(63 downto 0);
signal excRZero_uid64_fpLogETest_b : std_logic_vector(63 downto 0);
signal excRZero_uid64_fpLogETest_q : std_logic_vector(0 downto 0);
signal expXIsZero_uid16_fpLogETest_a : std_logic_vector(10 downto 0);
signal expXIsZero_uid16_fpLogETest_b : std_logic_vector(10 downto 0);
signal expXIsZero_uid16_fpLogETest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid18_fpLogETest_a : std_logic_vector(10 downto 0);
signal expXIsMax_uid18_fpLogETest_b : std_logic_vector(10 downto 0);
signal expXIsMax_uid18_fpLogETest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid20_fpLogETest_a : std_logic_vector(51 downto 0);
signal fracXIsZero_uid20_fpLogETest_b : std_logic_vector(51 downto 0);
signal fracXIsZero_uid20_fpLogETest_q : std_logic_vector(0 downto 0);
signal exc_I_uid21_fpLogETest_a : std_logic_vector(0 downto 0);
signal exc_I_uid21_fpLogETest_b : std_logic_vector(0 downto 0);
signal exc_I_uid21_fpLogETest_q : std_logic_vector(0 downto 0);
signal e_uid29_fpLogETest_a : std_logic_vector(11 downto 0);
signal e_uid29_fpLogETest_b : std_logic_vector(11 downto 0);
signal e_uid29_fpLogETest_o : std_logic_vector (11 downto 0);
signal e_uid29_fpLogETest_q : std_logic_vector (11 downto 0);
signal c_uid31_fpLogETest_a : std_logic_vector(10 downto 0);
signal c_uid31_fpLogETest_b : std_logic_vector(10 downto 0);
signal c_uid31_fpLogETest_q : std_logic_vector(0 downto 0);
signal multTermOne_uid42_fpLogETest_s : std_logic_vector (0 downto 0);
signal multTermOne_uid42_fpLogETest_q : std_logic_vector (53 downto 0);
signal sumAHighB_uid48_fpLogETest_a : std_logic_vector(67 downto 0);
signal sumAHighB_uid48_fpLogETest_b : std_logic_vector(67 downto 0);
signal sumAHighB_uid48_fpLogETest_o : std_logic_vector (67 downto 0);
signal sumAHighB_uid48_fpLogETest_q : std_logic_vector (67 downto 0);
signal finalSumAbs_uid53_fpLogETest_a : std_logic_vector(118 downto 0);
signal finalSumAbs_uid53_fpLogETest_b : std_logic_vector(118 downto 0);
signal finalSumAbs_uid53_fpLogETest_o : std_logic_vector (118 downto 0);
signal finalSumAbs_uid53_fpLogETest_q : std_logic_vector (118 downto 0);
signal signRC11_uid74_fpLogETest_a : std_logic_vector(0 downto 0);
signal signRC11_uid74_fpLogETest_b : std_logic_vector(0 downto 0);
signal signRC11_uid74_fpLogETest_q : std_logic_vector(0 downto 0);
signal signR_uid75_fpLogETest_a : std_logic_vector(0 downto 0);
signal signR_uid75_fpLogETest_b : std_logic_vector(0 downto 0);
signal signR_uid75_fpLogETest_q : std_logic_vector(0 downto 0);
signal fracRPostExc_uid83_fpLogETest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid83_fpLogETest_q : std_logic_vector (51 downto 0);
signal expRPostExc_uid87_fpLogETest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid87_fpLogETest_q : std_logic_vector (10 downto 0);
signal p0_uid93_constMult_q : std_logic_vector(62 downto 0);
signal lev1_a0_uid94_constMult_a : std_logic_vector(70 downto 0);
signal lev1_a0_uid94_constMult_b : std_logic_vector(70 downto 0);
signal lev1_a0_uid94_constMult_o : std_logic_vector (70 downto 0);
signal lev1_a0_uid94_constMult_q : std_logic_vector (69 downto 0);
signal ts2_uid132_natLogPolyEval_a : std_logic_vector(40 downto 0);
signal ts2_uid132_natLogPolyEval_b : std_logic_vector(40 downto 0);
signal ts2_uid132_natLogPolyEval_o : std_logic_vector (40 downto 0);
signal ts2_uid132_natLogPolyEval_q : std_logic_vector (40 downto 0);
signal ts3_uid138_natLogPolyEval_a : std_logic_vector(50 downto 0);
signal ts3_uid138_natLogPolyEval_b : std_logic_vector(50 downto 0);
signal ts3_uid138_natLogPolyEval_o : std_logic_vector (50 downto 0);
signal ts3_uid138_natLogPolyEval_q : std_logic_vector (50 downto 0);
signal ts4_uid144_natLogPolyEval_a : std_logic_vector(63 downto 0);
signal ts4_uid144_natLogPolyEval_b : std_logic_vector(63 downto 0);
signal ts4_uid144_natLogPolyEval_o : std_logic_vector (63 downto 0);
signal ts4_uid144_natLogPolyEval_q : std_logic_vector (63 downto 0);
signal vCount_uid149_countZ_uid54_fpLogETest_a : std_logic_vector(63 downto 0);
signal vCount_uid149_countZ_uid54_fpLogETest_b : std_logic_vector(63 downto 0);
signal vCount_uid149_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal vCount_uid157_countZ_uid54_fpLogETest_a : std_logic_vector(31 downto 0);
signal vCount_uid157_countZ_uid54_fpLogETest_b : std_logic_vector(31 downto 0);
signal vCount_uid157_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal vStagei_uid160_countZ_uid54_fpLogETest_s : std_logic_vector (0 downto 0);
signal vStagei_uid160_countZ_uid54_fpLogETest_q : std_logic_vector (31 downto 0);
signal vCount_uid163_countZ_uid54_fpLogETest_a : std_logic_vector(15 downto 0);
signal vCount_uid163_countZ_uid54_fpLogETest_b : std_logic_vector(15 downto 0);
signal vCount_uid163_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal vStagei_uid166_countZ_uid54_fpLogETest_s : std_logic_vector (0 downto 0);
signal vStagei_uid166_countZ_uid54_fpLogETest_q : std_logic_vector (15 downto 0);
signal vStagei_uid172_countZ_uid54_fpLogETest_s : std_logic_vector (0 downto 0);
signal vStagei_uid172_countZ_uid54_fpLogETest_q : std_logic_vector (7 downto 0);
signal vStagei_uid184_countZ_uid54_fpLogETest_s : std_logic_vector (0 downto 0);
signal vStagei_uid184_countZ_uid54_fpLogETest_q : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid212_normVal_uid55_fpLogETest_s : std_logic_vector (1 downto 0);
signal leftShiftStage1_uid212_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal add0_uid242_pT2_uid129_natLogPolyEval_a : std_logic_vector (54 downto 0);
signal add0_uid242_pT2_uid129_natLogPolyEval_b : std_logic_vector (54 downto 0);
signal add0_uid242_pT2_uid129_natLogPolyEval_c : std_logic_vector (54 downto 0);
signal add0_uid242_pT2_uid129_natLogPolyEval_o : std_logic_vector (54 downto 0);
signal add0_uid242_pT2_uid129_natLogPolyEval_q : std_logic_vector (54 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_q : std_logic_vector(0 downto 0);
signal sEz_uid41_fpLogETest_q : std_logic_vector (53 downto 0);
signal leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal cIncludingRoundingBit_uid131_natLogPolyEval_q : std_logic_vector (39 downto 0);
signal cIncludingRoundingBit_uid137_natLogPolyEval_q : std_logic_vector (49 downto 0);
signal cIncludingRoundingBit_uid143_natLogPolyEval_q : std_logic_vector (62 downto 0);
signal leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal cStage_uid152_countZ_uid54_fpLogETest_q : std_logic_vector (63 downto 0);
signal leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_in : std_logic_vector (33 downto 0);
signal prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_b : std_logic_vector (18 downto 0);
signal postPEMul_uid43_fpLogETest_align_0_q_int : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_align_0_q : std_logic_vector (53 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_in : std_logic_vector (36 downto 0);
signal multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_b : std_logic_vector (32 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_in : std_logic_vector (54 downto 0);
signal multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_b : std_logic_vector (51 downto 0);
signal os_uid103_natLogTabGen_q : std_logic_vector (59 downto 0);
signal os_uid109_natLogTabGen_q : std_logic_vector (47 downto 0);
signal os_uid114_natLogTabGen_q : std_logic_vector (37 downto 0);
signal os_uid121_natLogTabGen_q : std_logic_vector (16 downto 0);
signal os_uid118_natLogTabGen_q : std_logic_vector (27 downto 0);
signal finalSum_uid46_uid49_fpLogETest_q : std_logic_vector (117 downto 0);
signal leftShiftStage2_uid223_normVal_uid55_fpLogETest_s : std_logic_vector (1 downto 0);
signal leftShiftStage2_uid223_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal RLn_uid88_fpLogETest_q : std_logic_vector (63 downto 0);
signal vStagei_uid154_countZ_uid54_fpLogETest_s : std_logic_vector (0 downto 0);
signal vStagei_uid154_countZ_uid54_fpLogETest_q : std_logic_vector (63 downto 0);
signal postPEMul_uid43_fpLogETest_align_1_q_int : std_logic_vector (82 downto 0);
signal postPEMul_uid43_fpLogETest_align_1_q : std_logic_vector (82 downto 0);
signal postPEMul_uid43_fpLogETest_align_2_q_int : std_logic_vector (108 downto 0);
signal postPEMul_uid43_fpLogETest_align_2_q : std_logic_vector (108 downto 0);
signal postPEMul_uid43_fpLogETest_align_3_q_int : std_logic_vector (134 downto 0);
signal postPEMul_uid43_fpLogETest_align_3_q : std_logic_vector (134 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal zPPolyEval_uid35_fpLogETest_in : std_logic_vector (41 downto 0);
signal zPPolyEval_uid35_fpLogETest_b : std_logic_vector (41 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_a : std_logic_vector(6 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_b : std_logic_vector(6 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_a : std_logic_vector(3 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_b : std_logic_vector(3 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal yT3_uid134_natLogPolyEval_in : std_logic_vector (41 downto 0);
signal yT3_uid134_natLogPolyEval_b : std_logic_vector (37 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_a : std_logic_vector(4 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_b : std_logic_vector(4 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_q : std_logic_vector(0 downto 0);
signal xTop27Bits_uid263_pT4_uid141_natLogPolyEval_in : std_logic_vector (41 downto 0);
signal xTop27Bits_uid263_pT4_uid141_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_a : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_b : std_logic_vector(0 downto 0);
signal ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_a : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_b : std_logic_vector(4 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_a : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_b : std_logic_vector(0 downto 0);
signal ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_q : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_q : std_logic_vector(0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_a : std_logic_vector(0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_b : std_logic_vector(0 downto 0);
signal ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_q : std_logic_vector(0 downto 0);
signal fracR_uid61_fpLogETest_in : std_logic_vector (52 downto 0);
signal fracR_uid61_fpLogETest_b : std_logic_vector (51 downto 0);
signal expR_uid62_fpLogETest_in : std_logic_vector (63 downto 0);
signal expR_uid62_fpLogETest_b : std_logic_vector (10 downto 0);
signal InvSignX_uid65_fpLogETest_a : std_logic_vector(0 downto 0);
signal InvSignX_uid65_fpLogETest_q : std_logic_vector(0 downto 0);
signal zAddrLow_uid33_fpLogETest_in : std_logic_vector (51 downto 0);
signal zAddrLow_uid33_fpLogETest_b : std_logic_vector (9 downto 0);
signal InvExpXIsZero_uid26_fpLogETest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid26_fpLogETest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid22_fpLogETest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid22_fpLogETest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid25_fpLogETest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid25_fpLogETest_q : std_logic_vector(0 downto 0);
signal excRInfC1_uid66_fpLogETest_a : std_logic_vector(0 downto 0);
signal excRInfC1_uid66_fpLogETest_b : std_logic_vector(0 downto 0);
signal excRInfC1_uid66_fpLogETest_q : std_logic_vector(0 downto 0);
signal xv0_uid90_constMult_in : std_logic_vector (5 downto 0);
signal xv0_uid90_constMult_b : std_logic_vector (5 downto 0);
signal xv1_uid91_constMult_in : std_logic_vector (11 downto 0);
signal xv1_uid91_constMult_b : std_logic_vector (5 downto 0);
signal addr_uid34_fpLogETest_q : std_logic_vector (10 downto 0);
signal postPEMul_uid43_fpLogETest_a_0_in : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a_0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_a_1_in : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_a_1_b : std_logic_vector (26 downto 0);
signal rVStage_uid148_countZ_uid54_fpLogETest_in : std_logic_vector (118 downto 0);
signal rVStage_uid148_countZ_uid54_fpLogETest_b : std_logic_vector (63 downto 0);
signal vStage_uid151_countZ_uid54_fpLogETest_in : std_logic_vector (54 downto 0);
signal vStage_uid151_countZ_uid54_fpLogETest_b : std_logic_vector (54 downto 0);
signal X86dto0_uid192_normVal_uid55_fpLogETest_in : std_logic_vector (86 downto 0);
signal X86dto0_uid192_normVal_uid55_fpLogETest_b : std_logic_vector (86 downto 0);
signal X22dto0_uid198_normVal_uid55_fpLogETest_in : std_logic_vector (22 downto 0);
signal X22dto0_uid198_normVal_uid55_fpLogETest_b : std_logic_vector (22 downto 0);
signal sR_uid95_constMult_in : std_logic_vector (68 downto 0);
signal sR_uid95_constMult_b : std_logic_vector (66 downto 0);
signal s2_uid133_natLogPolyEval_in : std_logic_vector (40 downto 0);
signal s2_uid133_natLogPolyEval_b : std_logic_vector (39 downto 0);
signal s3_uid139_natLogPolyEval_in : std_logic_vector (50 downto 0);
signal s3_uid139_natLogPolyEval_b : std_logic_vector (49 downto 0);
signal s4_uid145_natLogPolyEval_in : std_logic_vector (63 downto 0);
signal s4_uid145_natLogPolyEval_b : std_logic_vector (62 downto 0);
signal rVStage_uid162_countZ_uid54_fpLogETest_in : std_logic_vector (31 downto 0);
signal rVStage_uid162_countZ_uid54_fpLogETest_b : std_logic_vector (15 downto 0);
signal vStage_uid164_countZ_uid54_fpLogETest_in : std_logic_vector (15 downto 0);
signal vStage_uid164_countZ_uid54_fpLogETest_b : std_logic_vector (15 downto 0);
signal rVStage_uid168_countZ_uid54_fpLogETest_in : std_logic_vector (15 downto 0);
signal rVStage_uid168_countZ_uid54_fpLogETest_b : std_logic_vector (7 downto 0);
signal vStage_uid170_countZ_uid54_fpLogETest_in : std_logic_vector (7 downto 0);
signal vStage_uid170_countZ_uid54_fpLogETest_b : std_logic_vector (7 downto 0);
signal rVStage_uid174_countZ_uid54_fpLogETest_in : std_logic_vector (7 downto 0);
signal rVStage_uid174_countZ_uid54_fpLogETest_b : std_logic_vector (3 downto 0);
signal vStage_uid176_countZ_uid54_fpLogETest_in : std_logic_vector (3 downto 0);
signal vStage_uid176_countZ_uid54_fpLogETest_b : std_logic_vector (3 downto 0);
signal rVStage_uid186_countZ_uid54_fpLogETest_in : std_logic_vector (1 downto 0);
signal rVStage_uid186_countZ_uid54_fpLogETest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_in : std_logic_vector (116 downto 0);
signal LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b : std_logic_vector (116 downto 0);
signal LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_in : std_logic_vector (114 downto 0);
signal LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b : std_logic_vector (114 downto 0);
signal LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_in : std_logic_vector (112 downto 0);
signal LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b : std_logic_vector (112 downto 0);
signal R_uid245_pT2_uid129_natLogPolyEval_in : std_logic_vector (53 downto 0);
signal R_uid245_pT2_uid129_natLogPolyEval_b : std_logic_vector (29 downto 0);
signal lowRangeB_uid124_natLogPolyEval_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid124_natLogPolyEval_b : std_logic_vector (0 downto 0);
signal highBBits_uid125_natLogPolyEval_in : std_logic_vector (18 downto 0);
signal highBBits_uid125_natLogPolyEval_b : std_logic_vector (17 downto 0);
signal lowRangeB_uid258_pT3_uid135_natLogPolyEval_in : std_logic_vector (3 downto 0);
signal lowRangeB_uid258_pT3_uid135_natLogPolyEval_b : std_logic_vector (3 downto 0);
signal highBBits_uid259_pT3_uid135_natLogPolyEval_in : std_logic_vector (32 downto 0);
signal highBBits_uid259_pT3_uid135_natLogPolyEval_b : std_logic_vector (28 downto 0);
signal lowRangeB_uid273_pT4_uid141_natLogPolyEval_in : std_logic_vector (22 downto 0);
signal lowRangeB_uid273_pT4_uid141_natLogPolyEval_b : std_logic_vector (22 downto 0);
signal highBBits_uid274_pT4_uid141_natLogPolyEval_in : std_logic_vector (51 downto 0);
signal highBBits_uid274_pT4_uid141_natLogPolyEval_b : std_logic_vector (28 downto 0);
signal FullSumAB117_uid50_fpLogETest_in : std_logic_vector (117 downto 0);
signal FullSumAB117_uid50_fpLogETest_b : std_logic_vector (0 downto 0);
signal LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest_in : std_logic_vector (117 downto 0);
signal LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest_b : std_logic_vector (117 downto 0);
signal rVStage_uid156_countZ_uid54_fpLogETest_in : std_logic_vector (63 downto 0);
signal rVStage_uid156_countZ_uid54_fpLogETest_b : std_logic_vector (31 downto 0);
signal vStage_uid158_countZ_uid54_fpLogETest_in : std_logic_vector (31 downto 0);
signal vStage_uid158_countZ_uid54_fpLogETest_b : std_logic_vector (31 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_1_a : std_logic_vector(135 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_1_b : std_logic_vector(135 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_1_o : std_logic_vector (135 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_0_1_q : std_logic_vector (135 downto 0);
signal yT1_uid122_natLogPolyEval_in : std_logic_vector (41 downto 0);
signal yT1_uid122_natLogPolyEval_b : std_logic_vector (16 downto 0);
signal yT2_uid128_natLogPolyEval_in : std_logic_vector (41 downto 0);
signal yT2_uid128_natLogPolyEval_b : std_logic_vector (27 downto 0);
signal xBottomBits_uid267_pT4_uid141_natLogPolyEval_in : std_logic_vector (14 downto 0);
signal xBottomBits_uid267_pT4_uid141_natLogPolyEval_b : std_logic_vector (14 downto 0);
signal xTop27Bits_uid246_pT3_uid135_natLogPolyEval_in : std_logic_vector (37 downto 0);
signal xTop27Bits_uid246_pT3_uid135_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal xTop18Bits_uid249_pT3_uid135_natLogPolyEval_in : std_logic_vector (37 downto 0);
signal xTop18Bits_uid249_pT3_uid135_natLogPolyEval_b : std_logic_vector (17 downto 0);
signal xBottomBits_uid251_pT3_uid135_natLogPolyEval_in : std_logic_vector (10 downto 0);
signal xBottomBits_uid251_pT3_uid135_natLogPolyEval_b : std_logic_vector (10 downto 0);
signal negNonZero_uid69_fpLogETest_a : std_logic_vector(0 downto 0);
signal negNonZero_uid69_fpLogETest_b : std_logic_vector(0 downto 0);
signal negNonZero_uid69_fpLogETest_q : std_logic_vector(0 downto 0);
signal exc_N_uid23_fpLogETest_a : std_logic_vector(0 downto 0);
signal exc_N_uid23_fpLogETest_b : std_logic_vector(0 downto 0);
signal exc_N_uid23_fpLogETest_q : std_logic_vector(0 downto 0);
signal excRInf_uid67_fpLogETest_a : std_logic_vector(0 downto 0);
signal excRInf_uid67_fpLogETest_b : std_logic_vector(0 downto 0);
signal excRInf_uid67_fpLogETest_q : std_logic_vector(0 downto 0);
signal yTop27Bits_uid247_pT3_uid135_natLogPolyEval_in : std_logic_vector (39 downto 0);
signal yTop27Bits_uid247_pT3_uid135_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid250_pT3_uid135_natLogPolyEval_in : std_logic_vector (12 downto 0);
signal yBottomBits_uid250_pT3_uid135_natLogPolyEval_b : std_logic_vector (12 downto 0);
signal yTop18Bits_uid252_pT3_uid135_natLogPolyEval_in : std_logic_vector (39 downto 0);
signal yTop18Bits_uid252_pT3_uid135_natLogPolyEval_b : std_logic_vector (17 downto 0);
signal yTop27Bits_uid264_pT4_uid141_natLogPolyEval_in : std_logic_vector (49 downto 0);
signal yTop27Bits_uid264_pT4_uid141_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal yBottomBits_uid266_pT4_uid141_natLogPolyEval_in : std_logic_vector (22 downto 0);
signal yBottomBits_uid266_pT4_uid141_natLogPolyEval_b : std_logic_vector (22 downto 0);
signal peOR_uid37_fpLogETest_in : std_logic_vector (61 downto 0);
signal peOR_uid37_fpLogETest_b : std_logic_vector (54 downto 0);
signal vCount_uid175_countZ_uid54_fpLogETest_a : std_logic_vector(3 downto 0);
signal vCount_uid175_countZ_uid54_fpLogETest_b : std_logic_vector(3 downto 0);
signal vCount_uid175_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal vStagei_uid178_countZ_uid54_fpLogETest_s : std_logic_vector (0 downto 0);
signal vStagei_uid178_countZ_uid54_fpLogETest_q : std_logic_vector (3 downto 0);
signal vCount_uid187_countZ_uid54_fpLogETest_a : std_logic_vector(0 downto 0);
signal vCount_uid187_countZ_uid54_fpLogETest_b : std_logic_vector(0 downto 0);
signal vCount_uid187_countZ_uid54_fpLogETest_q : std_logic_vector(0 downto 0);
signal sumAHighB_uid126_natLogPolyEval_a : std_logic_vector(28 downto 0);
signal sumAHighB_uid126_natLogPolyEval_b : std_logic_vector(28 downto 0);
signal sumAHighB_uid126_natLogPolyEval_o : std_logic_vector (28 downto 0);
signal sumAHighB_uid126_natLogPolyEval_q : std_logic_vector (28 downto 0);
signal sumAHighB_uid260_pT3_uid135_natLogPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid260_pT3_uid135_natLogPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid260_pT3_uid135_natLogPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid260_pT3_uid135_natLogPolyEval_q : std_logic_vector (54 downto 0);
signal sumAHighB_uid275_pT4_uid141_natLogPolyEval_a : std_logic_vector(54 downto 0);
signal sumAHighB_uid275_pT4_uid141_natLogPolyEval_b : std_logic_vector(54 downto 0);
signal sumAHighB_uid275_pT4_uid141_natLogPolyEval_o : std_logic_vector (54 downto 0);
signal sumAHighB_uid275_pT4_uid141_natLogPolyEval_q : std_logic_vector (54 downto 0);
signal signTerm2_uid72_fpLogETest_a : std_logic_vector(0 downto 0);
signal signTerm2_uid72_fpLogETest_b : std_logic_vector(0 downto 0);
signal signTerm2_uid72_fpLogETest_q : std_logic_vector(0 downto 0);
signal leftShiftStage3Idx1_uid226_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_1_0_a : std_logic_vector(136 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_1_0_b : std_logic_vector(136 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_1_0_o : std_logic_vector (136 downto 0);
signal postPEMul_uid43_fpLogETest_result_add_1_0_q : std_logic_vector (136 downto 0);
signal xTop27Bits_uid233_pT2_uid129_natLogPolyEval_in : std_logic_vector (27 downto 0);
signal xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal sSM0W_uid237_pT2_uid129_natLogPolyEval_in : std_logic_vector (27 downto 0);
signal sSM0W_uid237_pT2_uid129_natLogPolyEval_b : std_logic_vector (3 downto 0);
signal sSM1W_uid240_pT2_uid129_natLogPolyEval_in : std_logic_vector (0 downto 0);
signal sSM1W_uid240_pT2_uid129_natLogPolyEval_b : std_logic_vector (0 downto 0);
signal pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_q : std_logic_vector (16 downto 0);
signal excRNaN_uid70_fpLogETest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid70_fpLogETest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid70_fpLogETest_q : std_logic_vector(0 downto 0);
signal InvExc_N_uid24_fpLogETest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid24_fpLogETest_q : std_logic_vector(0 downto 0);
signal concExc_uid78_fpLogETest_q : std_logic_vector (2 downto 0);
signal spad_yBottomBits_uid250_uid253_pT3_uid135_natLogPolyEval_q : std_logic_vector (13 downto 0);
signal postPEMul_uid43_fpLogETest_b_0_in : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_b_0_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_b_1_in : std_logic_vector (53 downto 0);
signal postPEMul_uid43_fpLogETest_b_1_b : std_logic_vector (26 downto 0);
signal postPEMul_uid43_fpLogETest_b_2_in : std_logic_vector (80 downto 0);
signal postPEMul_uid43_fpLogETest_b_2_b : std_logic_vector (26 downto 0);
signal rVStage_uid180_countZ_uid54_fpLogETest_in : std_logic_vector (3 downto 0);
signal rVStage_uid180_countZ_uid54_fpLogETest_b : std_logic_vector (1 downto 0);
signal vStage_uid182_countZ_uid54_fpLogETest_in : std_logic_vector (1 downto 0);
signal vStage_uid182_countZ_uid54_fpLogETest_b : std_logic_vector (1 downto 0);
signal r_uid188_countZ_uid54_fpLogETest_q : std_logic_vector (6 downto 0);
signal s1_uid124_uid127_natLogPolyEval_q : std_logic_vector (29 downto 0);
signal add0_uid258_uid261_pT3_uid135_natLogPolyEval_q : std_logic_vector (58 downto 0);
signal add0_uid273_uid276_pT4_uid141_natLogPolyEval_q : std_logic_vector (77 downto 0);
signal leftShiftStage3_uid228_normVal_uid55_fpLogETest_s : std_logic_vector (0 downto 0);
signal leftShiftStage3_uid228_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal lowRangeB_uid46_fpLogETest_in : std_logic_vector (49 downto 0);
signal lowRangeB_uid46_fpLogETest_b : std_logic_vector (49 downto 0);
signal highBBits_uid47_fpLogETest_in : std_logic_vector (108 downto 0);
signal highBBits_uid47_fpLogETest_b : std_logic_vector (58 downto 0);
signal pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_q : std_logic_vector (17 downto 0);
signal leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest_in : std_logic_vector (6 downto 0);
signal leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_in : std_logic_vector (4 downto 0);
signal leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_in : std_logic_vector (2 downto 0);
signal leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_b : std_logic_vector (1 downto 0);
signal leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_in : std_logic_vector (0 downto 0);
signal leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b : std_logic_vector (0 downto 0);
signal yTop27Bits_uid234_pT2_uid129_natLogPolyEval_in : std_logic_vector (29 downto 0);
signal yTop27Bits_uid234_pT2_uid129_natLogPolyEval_b : std_logic_vector (26 downto 0);
signal sSM0H_uid236_pT2_uid129_natLogPolyEval_in : std_logic_vector (2 downto 0);
signal sSM0H_uid236_pT2_uid129_natLogPolyEval_b : std_logic_vector (2 downto 0);
signal sSM1H_uid239_pT2_uid129_natLogPolyEval_in : std_logic_vector (29 downto 0);
signal sSM1H_uid239_pT2_uid129_natLogPolyEval_b : std_logic_vector (5 downto 0);
signal R_uid262_pT3_uid135_natLogPolyEval_in : std_logic_vector (57 downto 0);
signal R_uid262_pT3_uid135_natLogPolyEval_b : std_logic_vector (40 downto 0);
signal R_uid277_pT4_uid141_natLogPolyEval_in : std_logic_vector (76 downto 0);
signal R_uid277_pT4_uid141_natLogPolyEval_b : std_logic_vector (51 downto 0);
signal fracR_uid58_fpLogETest_in : std_logic_vector (117 downto 0);
signal fracR_uid58_fpLogETest_b : std_logic_vector (52 downto 0);
signal leftShiftStage0_uid201_normVal_uid55_fpLogETest_s : std_logic_vector (1 downto 0);
signal leftShiftStage0_uid201_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal expFracConc_uid59_fpLogETest_q : std_logic_vector (65 downto 0);
signal LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest_in : std_logic_vector (110 downto 0);
signal LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest_b : std_logic_vector (110 downto 0);
signal LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest_in : std_logic_vector (102 downto 0);
signal LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest_b : std_logic_vector (102 downto 0);
signal LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest_in : std_logic_vector (94 downto 0);
signal LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest_b : std_logic_vector (94 downto 0);
signal leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
signal leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_q : std_logic_vector (118 downto 0);
begin
--xIn(GPIN,3)@0
--VCC(CONSTANT,1)
VCC_q <= "1";
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable(LOGICAL,902)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_a <= en;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q <= not ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_a;
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor(LOGICAL,914)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_b <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena_q;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_q <= not (ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_a or ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_b);
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg(REG,912)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena(REG,915)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_nor_q = "1") THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd(LOGICAL,916)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_a <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_sticky_ena_q;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_b <= en;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_a and ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_b;
--frac_uid19_fpLogETest(BITSELECT,18)@0
frac_uid19_fpLogETest_in <= a(51 downto 0);
frac_uid19_fpLogETest_b <= frac_uid19_fpLogETest_in(51 downto 0);
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_inputreg(DELAY,906)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 52, depth => 1 )
PORT MAP ( xin => frac_uid19_fpLogETest_b, xout => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt(COUNTER,908)
-- every=1, low=0, high=1, step=1, init=1
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_i <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_i,1));
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg(REG,909)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux(MUX,910)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_s <= en;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux: PROCESS (ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_s, ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q, ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_q)
BEGIN
CASE ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_s IS
WHEN "0" => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
WHEN "1" => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem(DUALMEM,907)
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_ia <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_inputreg_q;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 52,
widthad_a => 1,
numwords_a => 2,
width_b => 52,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_iq,
address_a => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_aa,
data_a => ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_ia
);
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_reset0 <= areset;
ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_iq(51 downto 0);
--zPPolyEval_uid35_fpLogETest(BITSELECT,34)@4
zPPolyEval_uid35_fpLogETest_in <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_mem_q(41 downto 0);
zPPolyEval_uid35_fpLogETest_b <= zPPolyEval_uid35_fpLogETest_in(41 downto 0);
--yT2_uid128_natLogPolyEval(BITSELECT,127)@4
yT2_uid128_natLogPolyEval_in <= zPPolyEval_uid35_fpLogETest_b;
yT2_uid128_natLogPolyEval_b <= yT2_uid128_natLogPolyEval_in(41 downto 14);
--sSM1W_uid240_pT2_uid129_natLogPolyEval(BITSELECT,239)@4
sSM1W_uid240_pT2_uid129_natLogPolyEval_in <= yT2_uid128_natLogPolyEval_b(0 downto 0);
sSM1W_uid240_pT2_uid129_natLogPolyEval_b <= sSM1W_uid240_pT2_uid129_natLogPolyEval_in(0 downto 0);
--reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1(REG,369)@4
reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q <= sSM1W_uid240_pT2_uid129_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q_to_sm1_uid241_pT2_uid129_natLogPolyEval_b(DELAY,672)@5
ld_reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q_to_sm1_uid241_pT2_uid129_natLogPolyEval_b : dspba_delay
GENERIC MAP ( width => 1, depth => 4 )
PORT MAP ( xin => reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q, xout => ld_reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q_to_sm1_uid241_pT2_uid129_natLogPolyEval_b_q, ena => en(0), clk => clk, aclr => areset );
--cstBiasMO_uid10_fpLogETest(CONSTANT,9)
cstBiasMO_uid10_fpLogETest_q <= "01111111110";
--expX_uid6_fpLogETest(BITSELECT,5)@0
expX_uid6_fpLogETest_in <= a(62 downto 0);
expX_uid6_fpLogETest_b <= expX_uid6_fpLogETest_in(62 downto 52);
--c_uid31_fpLogETest(LOGICAL,30)@0
c_uid31_fpLogETest_a <= expX_uid6_fpLogETest_b;
c_uid31_fpLogETest_b <= cstBiasMO_uid10_fpLogETest_q;
c_uid31_fpLogETest_q <= "1" when c_uid31_fpLogETest_a = c_uid31_fpLogETest_b else "0";
--zAddrLow_uid33_fpLogETest(BITSELECT,32)@0
zAddrLow_uid33_fpLogETest_in <= frac_uid19_fpLogETest_b;
zAddrLow_uid33_fpLogETest_b <= zAddrLow_uid33_fpLogETest_in(51 downto 42);
--addr_uid34_fpLogETest(BITJOIN,33)@0
addr_uid34_fpLogETest_q <= c_uid31_fpLogETest_q & zAddrLow_uid33_fpLogETest_b;
--reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0(REG,322)@0
reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q <= addr_uid34_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--memoryC4_uid120_natLogTabGen_lutmem(DUALMEM,316)@1
memoryC4_uid120_natLogTabGen_lutmem_ia <= (others => '0');
memoryC4_uid120_natLogTabGen_lutmem_aa <= (others => '0');
memoryC4_uid120_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC4_uid120_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 7,
widthad_a => 11,
numwords_a => 2048,
width_b => 7,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC4_uid120_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC4_uid120_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC4_uid120_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC4_uid120_natLogTabGen_lutmem_iq,
address_a => memoryC4_uid120_natLogTabGen_lutmem_aa,
data_a => memoryC4_uid120_natLogTabGen_lutmem_ia
);
memoryC4_uid120_natLogTabGen_lutmem_reset0 <= areset;
memoryC4_uid120_natLogTabGen_lutmem_q <= memoryC4_uid120_natLogTabGen_lutmem_iq(6 downto 0);
--reg_memoryC4_uid120_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_1(REG,355)@3
reg_memoryC4_uid120_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC4_uid120_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_1_q <= "0000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC4_uid120_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_1_q <= memoryC4_uid120_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC4_uid119_natLogTabGen_lutmem(DUALMEM,315)@1
memoryC4_uid119_natLogTabGen_lutmem_ia <= (others => '0');
memoryC4_uid119_natLogTabGen_lutmem_aa <= (others => '0');
memoryC4_uid119_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC4_uid119_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC4_uid119_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC4_uid119_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC4_uid119_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC4_uid119_natLogTabGen_lutmem_iq,
address_a => memoryC4_uid119_natLogTabGen_lutmem_aa,
data_a => memoryC4_uid119_natLogTabGen_lutmem_ia
);
memoryC4_uid119_natLogTabGen_lutmem_reset0 <= areset;
memoryC4_uid119_natLogTabGen_lutmem_q <= memoryC4_uid119_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC4_uid119_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_0(REG,354)@3
reg_memoryC4_uid119_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC4_uid119_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC4_uid119_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_0_q <= memoryC4_uid119_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid121_natLogTabGen(BITJOIN,120)@4
os_uid121_natLogTabGen_q <= reg_memoryC4_uid120_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_1_q & reg_memoryC4_uid119_natLogTabGen_lutmem_0_to_os_uid121_natLogTabGen_0_q;
--reg_os_uid121_natLogTabGen_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_1(REG,357)@4
reg_os_uid121_natLogTabGen_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_os_uid121_natLogTabGen_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_1_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_os_uid121_natLogTabGen_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_1_q <= os_uid121_natLogTabGen_q;
END IF;
END IF;
END PROCESS;
--yT1_uid122_natLogPolyEval(BITSELECT,121)@4
yT1_uid122_natLogPolyEval_in <= zPPolyEval_uid35_fpLogETest_b;
yT1_uid122_natLogPolyEval_b <= yT1_uid122_natLogPolyEval_in(41 downto 25);
--reg_yT1_uid122_natLogPolyEval_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_0(REG,356)@4
reg_yT1_uid122_natLogPolyEval_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid122_natLogPolyEval_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_0_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid122_natLogPolyEval_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_0_q <= yT1_uid122_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid230_pT1_uid123_natLogPolyEval(MULT,229)@5
prodXY_uid230_pT1_uid123_natLogPolyEval_pr <= signed(resize(UNSIGNED(prodXY_uid230_pT1_uid123_natLogPolyEval_a),18)) * SIGNED(prodXY_uid230_pT1_uid123_natLogPolyEval_b);
prodXY_uid230_pT1_uid123_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid230_pT1_uid123_natLogPolyEval_a <= (others => '0');
prodXY_uid230_pT1_uid123_natLogPolyEval_b <= (others => '0');
prodXY_uid230_pT1_uid123_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid230_pT1_uid123_natLogPolyEval_a <= reg_yT1_uid122_natLogPolyEval_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_0_q;
prodXY_uid230_pT1_uid123_natLogPolyEval_b <= reg_os_uid121_natLogTabGen_0_to_prodXY_uid230_pT1_uid123_natLogPolyEval_1_q;
prodXY_uid230_pT1_uid123_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid230_pT1_uid123_natLogPolyEval_pr,34));
END IF;
END IF;
END PROCESS;
prodXY_uid230_pT1_uid123_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid230_pT1_uid123_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid230_pT1_uid123_natLogPolyEval_q <= prodXY_uid230_pT1_uid123_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval(BITSELECT,230)@8
prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_in <= prodXY_uid230_pT1_uid123_natLogPolyEval_q;
prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_b <= prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_in(33 downto 15);
--highBBits_uid125_natLogPolyEval(BITSELECT,124)@8
highBBits_uid125_natLogPolyEval_in <= prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_b;
highBBits_uid125_natLogPolyEval_b <= highBBits_uid125_natLogPolyEval_in(18 downto 1);
--ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor(LOGICAL,1029)
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_b <= ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena_q;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_q <= not (ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_a or ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_b);
--ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena(REG,1030)
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_nor_q = "1") THEN
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd(LOGICAL,1031)
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_a <= ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_sticky_ena_q;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_b <= en;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_q <= ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_a and ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_b;
--memoryC3_uid117_natLogTabGen_lutmem(DUALMEM,314)@1
memoryC3_uid117_natLogTabGen_lutmem_ia <= (others => '0');
memoryC3_uid117_natLogTabGen_lutmem_aa <= (others => '0');
memoryC3_uid117_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC3_uid117_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 11,
numwords_a => 2048,
width_b => 8,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC3_uid117_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid117_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid117_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid117_natLogTabGen_lutmem_iq,
address_a => memoryC3_uid117_natLogTabGen_lutmem_aa,
data_a => memoryC3_uid117_natLogTabGen_lutmem_ia
);
memoryC3_uid117_natLogTabGen_lutmem_reset0 <= areset;
memoryC3_uid117_natLogTabGen_lutmem_q <= memoryC3_uid117_natLogTabGen_lutmem_iq(7 downto 0);
--reg_memoryC3_uid117_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_2(REG,363)@3
reg_memoryC3_uid117_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid117_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid117_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_2_q <= memoryC3_uid117_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC3_uid116_natLogTabGen_lutmem(DUALMEM,313)@1
memoryC3_uid116_natLogTabGen_lutmem_ia <= (others => '0');
memoryC3_uid116_natLogTabGen_lutmem_aa <= (others => '0');
memoryC3_uid116_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC3_uid116_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC3_uid116_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid116_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid116_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid116_natLogTabGen_lutmem_iq,
address_a => memoryC3_uid116_natLogTabGen_lutmem_aa,
data_a => memoryC3_uid116_natLogTabGen_lutmem_ia
);
memoryC3_uid116_natLogTabGen_lutmem_reset0 <= areset;
memoryC3_uid116_natLogTabGen_lutmem_q <= memoryC3_uid116_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC3_uid116_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_1(REG,362)@3
reg_memoryC3_uid116_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid116_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid116_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_1_q <= memoryC3_uid116_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC3_uid115_natLogTabGen_lutmem(DUALMEM,312)@1
memoryC3_uid115_natLogTabGen_lutmem_ia <= (others => '0');
memoryC3_uid115_natLogTabGen_lutmem_aa <= (others => '0');
memoryC3_uid115_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC3_uid115_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC3_uid115_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC3_uid115_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC3_uid115_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC3_uid115_natLogTabGen_lutmem_iq,
address_a => memoryC3_uid115_natLogTabGen_lutmem_aa,
data_a => memoryC3_uid115_natLogTabGen_lutmem_ia
);
memoryC3_uid115_natLogTabGen_lutmem_reset0 <= areset;
memoryC3_uid115_natLogTabGen_lutmem_q <= memoryC3_uid115_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC3_uid115_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_0(REG,361)@3
reg_memoryC3_uid115_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC3_uid115_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC3_uid115_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_0_q <= memoryC3_uid115_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid118_natLogTabGen(BITJOIN,117)@4
os_uid118_natLogTabGen_q <= reg_memoryC3_uid117_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_2_q & reg_memoryC3_uid116_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_1_q & reg_memoryC3_uid115_natLogTabGen_lutmem_0_to_os_uid118_natLogTabGen_0_q;
--ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_inputreg(DELAY,1021)
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 28, depth => 1 )
PORT MAP ( xin => os_uid118_natLogTabGen_q, xout => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem(DUALMEM,1022)
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_ia <= ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_inputreg_q;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 28,
widthad_a => 1,
numwords_a => 2,
width_b => 28,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_iq,
address_a => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_aa,
data_a => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_ia
);
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_reset0 <= areset;
ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_q <= ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_iq(27 downto 0);
--sumAHighB_uid126_natLogPolyEval(ADD,125)@8
sumAHighB_uid126_natLogPolyEval_a <= STD_LOGIC_VECTOR((28 downto 28 => ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_q(27)) & ld_os_uid118_natLogTabGen_q_to_sumAHighB_uid126_natLogPolyEval_a_replace_mem_q);
sumAHighB_uid126_natLogPolyEval_b <= STD_LOGIC_VECTOR((28 downto 18 => highBBits_uid125_natLogPolyEval_b(17)) & highBBits_uid125_natLogPolyEval_b);
sumAHighB_uid126_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid126_natLogPolyEval_a) + SIGNED(sumAHighB_uid126_natLogPolyEval_b));
sumAHighB_uid126_natLogPolyEval_q <= sumAHighB_uid126_natLogPolyEval_o(28 downto 0);
--lowRangeB_uid124_natLogPolyEval(BITSELECT,123)@8
lowRangeB_uid124_natLogPolyEval_in <= prodXYTruncFR_uid231_pT1_uid123_natLogPolyEval_b(0 downto 0);
lowRangeB_uid124_natLogPolyEval_b <= lowRangeB_uid124_natLogPolyEval_in(0 downto 0);
--s1_uid124_uid127_natLogPolyEval(BITJOIN,126)@8
s1_uid124_uid127_natLogPolyEval_q <= sumAHighB_uid126_natLogPolyEval_q & lowRangeB_uid124_natLogPolyEval_b;
--sSM1H_uid239_pT2_uid129_natLogPolyEval(BITSELECT,238)@8
sSM1H_uid239_pT2_uid129_natLogPolyEval_in <= s1_uid124_uid127_natLogPolyEval_q;
sSM1H_uid239_pT2_uid129_natLogPolyEval_b <= sSM1H_uid239_pT2_uid129_natLogPolyEval_in(29 downto 24);
--reg_sSM1H_uid239_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_0(REG,368)@8
reg_sSM1H_uid239_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM1H_uid239_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM1H_uid239_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_0_q <= sSM1H_uid239_pT2_uid129_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--sm1_uid241_pT2_uid129_natLogPolyEval(MULT,240)@9
sm1_uid241_pT2_uid129_natLogPolyEval_pr <= SIGNED(sm1_uid241_pT2_uid129_natLogPolyEval_a) * signed(resize(UNSIGNED(sm1_uid241_pT2_uid129_natLogPolyEval_b),2));
sm1_uid241_pT2_uid129_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm1_uid241_pT2_uid129_natLogPolyEval_a <= (others => '0');
sm1_uid241_pT2_uid129_natLogPolyEval_b <= (others => '0');
sm1_uid241_pT2_uid129_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm1_uid241_pT2_uid129_natLogPolyEval_a <= reg_sSM1H_uid239_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_0_q;
sm1_uid241_pT2_uid129_natLogPolyEval_b <= ld_reg_sSM1W_uid240_pT2_uid129_natLogPolyEval_0_to_sm1_uid241_pT2_uid129_natLogPolyEval_1_q_to_sm1_uid241_pT2_uid129_natLogPolyEval_b_q;
sm1_uid241_pT2_uid129_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(sm1_uid241_pT2_uid129_natLogPolyEval_pr,7));
END IF;
END IF;
END PROCESS;
sm1_uid241_pT2_uid129_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm1_uid241_pT2_uid129_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm1_uid241_pT2_uid129_natLogPolyEval_q <= sm1_uid241_pT2_uid129_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--GND(CONSTANT,0)
GND_q <= "0";
--pad_sm1_uid241_uid243_pT2_uid129_natLogPolyEval(BITJOIN,242)@12
pad_sm1_uid241_uid243_pT2_uid129_natLogPolyEval_q <= sm1_uid241_pT2_uid129_natLogPolyEval_q & STD_LOGIC_VECTOR((19 downto 1 => GND_q(0)) & GND_q);
--sSM0W_uid237_pT2_uid129_natLogPolyEval(BITSELECT,236)@4
sSM0W_uid237_pT2_uid129_natLogPolyEval_in <= yT2_uid128_natLogPolyEval_b;
sSM0W_uid237_pT2_uid129_natLogPolyEval_b <= sSM0W_uid237_pT2_uid129_natLogPolyEval_in(27 downto 24);
--ld_sSM0W_uid237_pT2_uid129_natLogPolyEval_b_to_reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_a(DELAY,822)@4
ld_sSM0W_uid237_pT2_uid129_natLogPolyEval_b_to_reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_a : dspba_delay
GENERIC MAP ( width => 4, depth => 4 )
PORT MAP ( xin => sSM0W_uid237_pT2_uid129_natLogPolyEval_b, xout => ld_sSM0W_uid237_pT2_uid129_natLogPolyEval_b_to_reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1(REG,367)@8
reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_q <= ld_sSM0W_uid237_pT2_uid129_natLogPolyEval_b_to_reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_a_q;
END IF;
END IF;
END PROCESS;
--sSM0H_uid236_pT2_uid129_natLogPolyEval(BITSELECT,235)@8
sSM0H_uid236_pT2_uid129_natLogPolyEval_in <= s1_uid124_uid127_natLogPolyEval_q(2 downto 0);
sSM0H_uid236_pT2_uid129_natLogPolyEval_b <= sSM0H_uid236_pT2_uid129_natLogPolyEval_in(2 downto 0);
--reg_sSM0H_uid236_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_0(REG,366)@8
reg_sSM0H_uid236_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sSM0H_uid236_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sSM0H_uid236_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_0_q <= sSM0H_uid236_pT2_uid129_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--sm0_uid238_pT2_uid129_natLogPolyEval(MULT,237)@9
sm0_uid238_pT2_uid129_natLogPolyEval_pr <= UNSIGNED(sm0_uid238_pT2_uid129_natLogPolyEval_a) * UNSIGNED(sm0_uid238_pT2_uid129_natLogPolyEval_b);
sm0_uid238_pT2_uid129_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm0_uid238_pT2_uid129_natLogPolyEval_a <= (others => '0');
sm0_uid238_pT2_uid129_natLogPolyEval_b <= (others => '0');
sm0_uid238_pT2_uid129_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm0_uid238_pT2_uid129_natLogPolyEval_a <= reg_sSM0H_uid236_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_0_q;
sm0_uid238_pT2_uid129_natLogPolyEval_b <= reg_sSM0W_uid237_pT2_uid129_natLogPolyEval_0_to_sm0_uid238_pT2_uid129_natLogPolyEval_1_q;
sm0_uid238_pT2_uid129_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(sm0_uid238_pT2_uid129_natLogPolyEval_pr);
END IF;
END IF;
END PROCESS;
sm0_uid238_pT2_uid129_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
sm0_uid238_pT2_uid129_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
sm0_uid238_pT2_uid129_natLogPolyEval_q <= sm0_uid238_pT2_uid129_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--pad_sm0_uid238_uid242_pT2_uid129_natLogPolyEval(BITJOIN,241)@12
pad_sm0_uid238_uid242_pT2_uid129_natLogPolyEval_q <= sm0_uid238_pT2_uid129_natLogPolyEval_q & STD_LOGIC_VECTOR((19 downto 1 => GND_q(0)) & GND_q);
--yTop27Bits_uid234_pT2_uid129_natLogPolyEval(BITSELECT,233)@8
yTop27Bits_uid234_pT2_uid129_natLogPolyEval_in <= s1_uid124_uid127_natLogPolyEval_q;
yTop27Bits_uid234_pT2_uid129_natLogPolyEval_b <= yTop27Bits_uid234_pT2_uid129_natLogPolyEval_in(29 downto 3);
--reg_yTop27Bits_uid234_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_1(REG,365)@8
reg_yTop27Bits_uid234_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid234_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid234_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_1_q <= yTop27Bits_uid234_pT2_uid129_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor(LOGICAL,1151)
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_b <= ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena_q;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_q <= not (ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_a or ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_b);
--ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena(REG,1152)
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_nor_q = "1") THEN
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd(LOGICAL,1153)
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_a <= ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_sticky_ena_q;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_b <= en;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_q <= ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_a and ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_b;
--xTop27Bits_uid233_pT2_uid129_natLogPolyEval(BITSELECT,232)@4
xTop27Bits_uid233_pT2_uid129_natLogPolyEval_in <= yT2_uid128_natLogPolyEval_b;
xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b <= xTop27Bits_uid233_pT2_uid129_natLogPolyEval_in(27 downto 1);
--ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_inputreg(DELAY,1143)
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b, xout => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem(DUALMEM,1144)
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_ia <= ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_inputreg_q;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 27,
widthad_a => 1,
numwords_a => 2,
width_b => 27,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_iq,
address_a => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_aa,
data_a => ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_ia
);
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_reset0 <= areset;
ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_q <= ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_iq(26 downto 0);
--reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0(REG,364)@8
reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_q <= ld_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_b_to_reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--topProd_uid235_pT2_uid129_natLogPolyEval(MULT,234)@9
topProd_uid235_pT2_uid129_natLogPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid235_pT2_uid129_natLogPolyEval_a),28)) * SIGNED(topProd_uid235_pT2_uid129_natLogPolyEval_b);
topProd_uid235_pT2_uid129_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid235_pT2_uid129_natLogPolyEval_a <= (others => '0');
topProd_uid235_pT2_uid129_natLogPolyEval_b <= (others => '0');
topProd_uid235_pT2_uid129_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid235_pT2_uid129_natLogPolyEval_a <= reg_xTop27Bits_uid233_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_0_q;
topProd_uid235_pT2_uid129_natLogPolyEval_b <= reg_yTop27Bits_uid234_pT2_uid129_natLogPolyEval_0_to_topProd_uid235_pT2_uid129_natLogPolyEval_1_q;
topProd_uid235_pT2_uid129_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid235_pT2_uid129_natLogPolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid235_pT2_uid129_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid235_pT2_uid129_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid235_pT2_uid129_natLogPolyEval_q <= topProd_uid235_pT2_uid129_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--add0_uid242_pT2_uid129_natLogPolyEval(ADDSUB3,243)@12
add0_uid242_pT2_uid129_natLogPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid235_pT2_uid129_natLogPolyEval_q(53)) & topProd_uid235_pT2_uid129_natLogPolyEval_q);
add0_uid242_pT2_uid129_natLogPolyEval_b <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000" & pad_sm0_uid238_uid242_pT2_uid129_natLogPolyEval_q);
add0_uid242_pT2_uid129_natLogPolyEval_c <= STD_LOGIC_VECTOR((54 downto 27 => pad_sm1_uid241_uid243_pT2_uid129_natLogPolyEval_q(26)) & pad_sm1_uid241_uid243_pT2_uid129_natLogPolyEval_q);
add0_uid242_pT2_uid129_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(add0_uid242_pT2_uid129_natLogPolyEval_a) + SIGNED(add0_uid242_pT2_uid129_natLogPolyEval_b) + SIGNED(add0_uid242_pT2_uid129_natLogPolyEval_c));
add0_uid242_pT2_uid129_natLogPolyEval_q <= add0_uid242_pT2_uid129_natLogPolyEval_o(54 downto 0);
--R_uid245_pT2_uid129_natLogPolyEval(BITSELECT,244)@12
R_uid245_pT2_uid129_natLogPolyEval_in <= add0_uid242_pT2_uid129_natLogPolyEval_q(53 downto 0);
R_uid245_pT2_uid129_natLogPolyEval_b <= R_uid245_pT2_uid129_natLogPolyEval_in(53 downto 24);
--reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1(REG,371)@12
reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1_q <= "000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1_q <= R_uid245_pT2_uid129_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor(LOGICAL,1042)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_b <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_q <= not (ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_a or ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_b);
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_mem_top(CONSTANT,1038)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_mem_top_q <= "0101";
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp(LOGICAL,1039)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_a <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_mem_top_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_q);
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_q <= "1" when ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_a = ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_b else "0";
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmpReg(REG,1040)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmpReg_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena(REG,1043)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_nor_q = "1") THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd(LOGICAL,1044)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_a <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_sticky_ena_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_b <= en;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_a and ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_b;
--memoryC2_uid113_natLogTabGen_lutmem(DUALMEM,311)@1
memoryC2_uid113_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid113_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid113_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC2_uid113_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 11,
numwords_a => 2048,
width_b => 8,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC2_uid113_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid113_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid113_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid113_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid113_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid113_natLogTabGen_lutmem_ia
);
memoryC2_uid113_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid113_natLogTabGen_lutmem_q <= memoryC2_uid113_natLogTabGen_lutmem_iq(7 downto 0);
--reg_memoryC2_uid113_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_3(REG,351)@3
reg_memoryC2_uid113_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid113_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid113_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_3_q <= memoryC2_uid113_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid112_natLogTabGen_lutmem(DUALMEM,310)@1
memoryC2_uid112_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid112_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid112_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC2_uid112_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC2_uid112_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid112_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid112_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid112_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid112_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid112_natLogTabGen_lutmem_ia
);
memoryC2_uid112_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid112_natLogTabGen_lutmem_q <= memoryC2_uid112_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC2_uid112_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_2(REG,350)@3
reg_memoryC2_uid112_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid112_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_2_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid112_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_2_q <= memoryC2_uid112_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid111_natLogTabGen_lutmem(DUALMEM,309)@1
memoryC2_uid111_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid111_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid111_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC2_uid111_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC2_uid111_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid111_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid111_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid111_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid111_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid111_natLogTabGen_lutmem_ia
);
memoryC2_uid111_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid111_natLogTabGen_lutmem_q <= memoryC2_uid111_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC2_uid111_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_1(REG,349)@3
reg_memoryC2_uid111_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid111_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid111_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_1_q <= memoryC2_uid111_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid110_natLogTabGen_lutmem(DUALMEM,308)@1
memoryC2_uid110_natLogTabGen_lutmem_ia <= (others => '0');
memoryC2_uid110_natLogTabGen_lutmem_aa <= (others => '0');
memoryC2_uid110_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC2_uid110_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC2_uid110_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid110_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid110_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid110_natLogTabGen_lutmem_iq,
address_a => memoryC2_uid110_natLogTabGen_lutmem_aa,
data_a => memoryC2_uid110_natLogTabGen_lutmem_ia
);
memoryC2_uid110_natLogTabGen_lutmem_reset0 <= areset;
memoryC2_uid110_natLogTabGen_lutmem_q <= memoryC2_uid110_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC2_uid110_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_0(REG,348)@3
reg_memoryC2_uid110_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid110_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid110_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_0_q <= memoryC2_uid110_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid114_natLogTabGen(BITJOIN,113)@4
os_uid114_natLogTabGen_q <= reg_memoryC2_uid113_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_3_q & reg_memoryC2_uid112_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_2_q & reg_memoryC2_uid111_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_1_q & reg_memoryC2_uid110_natLogTabGen_lutmem_0_to_os_uid114_natLogTabGen_0_q;
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_inputreg(DELAY,1032)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 38, depth => 1 )
PORT MAP ( xin => os_uid114_natLogTabGen_q, xout => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt(COUNTER,1034)
-- every=1, low=0, high=5, step=1, init=1
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i = 4 THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i - 5;
ELSE
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_i,3));
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg(REG,1035)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux(MUX,1036)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_s <= en;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux: PROCESS (ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_s, ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg_q, ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem(DUALMEM,1033)
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_ia <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_inputreg_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_aa <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdreg_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_ab <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_rdmux_q;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 38,
widthad_a => 3,
numwords_a => 6,
width_b => 38,
widthad_b => 3,
numwords_b => 6,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_iq,
address_a => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_aa,
data_a => ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_ia
);
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_iq(37 downto 0);
--rndBit_uid130_natLogPolyEval(CONSTANT,129)
rndBit_uid130_natLogPolyEval_q <= "01";
--cIncludingRoundingBit_uid131_natLogPolyEval(BITJOIN,130)@12
cIncludingRoundingBit_uid131_natLogPolyEval_q <= ld_os_uid114_natLogTabGen_q_to_cIncludingRoundingBit_uid131_natLogPolyEval_b_replace_mem_q & rndBit_uid130_natLogPolyEval_q;
--reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0(REG,370)@12
reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0_q <= "0000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0_q <= cIncludingRoundingBit_uid131_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ts2_uid132_natLogPolyEval(ADD,131)@13
ts2_uid132_natLogPolyEval_a <= STD_LOGIC_VECTOR((40 downto 40 => reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0_q(39)) & reg_cIncludingRoundingBit_uid131_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_0_q);
ts2_uid132_natLogPolyEval_b <= STD_LOGIC_VECTOR((40 downto 30 => reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1_q(29)) & reg_R_uid245_pT2_uid129_natLogPolyEval_0_to_ts2_uid132_natLogPolyEval_1_q);
ts2_uid132_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts2_uid132_natLogPolyEval_a) + SIGNED(ts2_uid132_natLogPolyEval_b));
ts2_uid132_natLogPolyEval_q <= ts2_uid132_natLogPolyEval_o(40 downto 0);
--s2_uid133_natLogPolyEval(BITSELECT,132)@13
s2_uid133_natLogPolyEval_in <= ts2_uid132_natLogPolyEval_q;
s2_uid133_natLogPolyEval_b <= s2_uid133_natLogPolyEval_in(40 downto 1);
--yTop18Bits_uid252_pT3_uid135_natLogPolyEval(BITSELECT,251)@13
yTop18Bits_uid252_pT3_uid135_natLogPolyEval_in <= s2_uid133_natLogPolyEval_b;
yTop18Bits_uid252_pT3_uid135_natLogPolyEval_b <= yTop18Bits_uid252_pT3_uid135_natLogPolyEval_in(39 downto 22);
--reg_yTop18Bits_uid252_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_9(REG,375)@13
reg_yTop18Bits_uid252_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop18Bits_uid252_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_9_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop18Bits_uid252_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_9_q <= yTop18Bits_uid252_pT3_uid135_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor(LOGICAL,1055)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_b <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_q <= not (ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_a or ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_b);
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_mem_top(CONSTANT,1051)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_mem_top_q <= "0110";
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp(LOGICAL,1052)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_a <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_mem_top_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_q);
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_q <= "1" when ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_a = ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_b else "0";
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmpReg(REG,1053)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmpReg_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena(REG,1056)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd(LOGICAL,1057)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_b <= en;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_a and ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_inputreg(DELAY,1045)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_inputreg : dspba_delay
GENERIC MAP ( width => 42, depth => 1 )
PORT MAP ( xin => zPPolyEval_uid35_fpLogETest_b, xout => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt(COUNTER,1047)
-- every=1, low=0, high=6, step=1, init=1
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i = 5 THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i - 6;
ELSE
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_i,3));
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg(REG,1048)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux(MUX,1049)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_s <= en;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux: PROCESS (ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_s, ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg_q, ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem(DUALMEM,1046)
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_ia <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_inputreg_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_aa <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdreg_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_ab <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_rdmux_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 42,
widthad_a => 3,
numwords_a => 7,
width_b => 42,
widthad_b => 3,
numwords_b => 7,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_iq(41 downto 0);
--yT3_uid134_natLogPolyEval(BITSELECT,133)@13
yT3_uid134_natLogPolyEval_in <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_replace_mem_q;
yT3_uid134_natLogPolyEval_b <= yT3_uid134_natLogPolyEval_in(41 downto 4);
--xBottomBits_uid251_pT3_uid135_natLogPolyEval(BITSELECT,250)@13
xBottomBits_uid251_pT3_uid135_natLogPolyEval_in <= yT3_uid134_natLogPolyEval_b(10 downto 0);
xBottomBits_uid251_pT3_uid135_natLogPolyEval_b <= xBottomBits_uid251_pT3_uid135_natLogPolyEval_in(10 downto 0);
--pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval(BITJOIN,253)@13
pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_q <= xBottomBits_uid251_pT3_uid135_natLogPolyEval_b & STD_LOGIC_VECTOR((5 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_7(REG,374)@13
reg_pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_7_q <= "00000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_7_q <= pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid250_pT3_uid135_natLogPolyEval(BITSELECT,249)@13
yBottomBits_uid250_pT3_uid135_natLogPolyEval_in <= s2_uid133_natLogPolyEval_b(12 downto 0);
yBottomBits_uid250_pT3_uid135_natLogPolyEval_b <= yBottomBits_uid250_pT3_uid135_natLogPolyEval_in(12 downto 0);
--spad_yBottomBits_uid250_uid253_pT3_uid135_natLogPolyEval(BITJOIN,252)@13
spad_yBottomBits_uid250_uid253_pT3_uid135_natLogPolyEval_q <= GND_q & yBottomBits_uid250_pT3_uid135_natLogPolyEval_b;
--pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval(BITJOIN,254)@13
pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_q <= spad_yBottomBits_uid250_uid253_pT3_uid135_natLogPolyEval_q & STD_LOGIC_VECTOR((3 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_6(REG,373)@13
reg_pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_6_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_6_q <= pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--xTop18Bits_uid249_pT3_uid135_natLogPolyEval(BITSELECT,248)@13
xTop18Bits_uid249_pT3_uid135_natLogPolyEval_in <= yT3_uid134_natLogPolyEval_b;
xTop18Bits_uid249_pT3_uid135_natLogPolyEval_b <= xTop18Bits_uid249_pT3_uid135_natLogPolyEval_in(37 downto 20);
--reg_xTop18Bits_uid249_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_4(REG,372)@13
reg_xTop18Bits_uid249_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop18Bits_uid249_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_4_q <= "000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop18Bits_uid249_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_4_q <= xTop18Bits_uid249_pT3_uid135_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma(CHAINMULTADD,317)@14
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a(0),19));
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a(1),19));
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p(0) <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l(0) * multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c(0);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p(1) <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_l(1) * multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c(1);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_w(0) <= RESIZE(multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p(0),38) + RESIZE(multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_p(1),38);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_x(0) <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_w(0);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_y(0) <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_x(0);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop18Bits_uid249_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_4_q),18);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid251_uid254_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_7_q),18);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid250_uid255_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_6_q),18);
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop18Bits_uid252_pT3_uid135_natLogPolyEval_0_to_multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_9_q),18);
IF (en = "1") THEN
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s(0) <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_y(0);
END IF;
END IF;
END PROCESS;
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 37, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_s(0)(36 downto 0)), xout => multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval(BITSELECT,256)@17
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_in <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_cma_q;
multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_b <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_in(36 downto 4);
--highBBits_uid259_pT3_uid135_natLogPolyEval(BITSELECT,258)@17
highBBits_uid259_pT3_uid135_natLogPolyEval_in <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_b;
highBBits_uid259_pT3_uid135_natLogPolyEval_b <= highBBits_uid259_pT3_uid135_natLogPolyEval_in(32 downto 4);
--yTop27Bits_uid247_pT3_uid135_natLogPolyEval(BITSELECT,246)@13
yTop27Bits_uid247_pT3_uid135_natLogPolyEval_in <= s2_uid133_natLogPolyEval_b;
yTop27Bits_uid247_pT3_uid135_natLogPolyEval_b <= yTop27Bits_uid247_pT3_uid135_natLogPolyEval_in(39 downto 13);
--reg_yTop27Bits_uid247_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_1(REG,377)@13
reg_yTop27Bits_uid247_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid247_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid247_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_1_q <= yTop27Bits_uid247_pT3_uid135_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--xTop27Bits_uid246_pT3_uid135_natLogPolyEval(BITSELECT,245)@13
xTop27Bits_uid246_pT3_uid135_natLogPolyEval_in <= yT3_uid134_natLogPolyEval_b;
xTop27Bits_uid246_pT3_uid135_natLogPolyEval_b <= xTop27Bits_uid246_pT3_uid135_natLogPolyEval_in(37 downto 11);
--reg_xTop27Bits_uid246_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_0(REG,376)@13
reg_xTop27Bits_uid246_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid246_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid246_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_0_q <= xTop27Bits_uid246_pT3_uid135_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--topProd_uid248_pT3_uid135_natLogPolyEval(MULT,247)@14
topProd_uid248_pT3_uid135_natLogPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid248_pT3_uid135_natLogPolyEval_a),28)) * SIGNED(topProd_uid248_pT3_uid135_natLogPolyEval_b);
topProd_uid248_pT3_uid135_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid248_pT3_uid135_natLogPolyEval_a <= (others => '0');
topProd_uid248_pT3_uid135_natLogPolyEval_b <= (others => '0');
topProd_uid248_pT3_uid135_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid248_pT3_uid135_natLogPolyEval_a <= reg_xTop27Bits_uid246_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_0_q;
topProd_uid248_pT3_uid135_natLogPolyEval_b <= reg_yTop27Bits_uid247_pT3_uid135_natLogPolyEval_0_to_topProd_uid248_pT3_uid135_natLogPolyEval_1_q;
topProd_uid248_pT3_uid135_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid248_pT3_uid135_natLogPolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid248_pT3_uid135_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid248_pT3_uid135_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid248_pT3_uid135_natLogPolyEval_q <= topProd_uid248_pT3_uid135_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid260_pT3_uid135_natLogPolyEval(ADD,259)@17
sumAHighB_uid260_pT3_uid135_natLogPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid248_pT3_uid135_natLogPolyEval_q(53)) & topProd_uid248_pT3_uid135_natLogPolyEval_q);
sumAHighB_uid260_pT3_uid135_natLogPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid259_pT3_uid135_natLogPolyEval_b(28)) & highBBits_uid259_pT3_uid135_natLogPolyEval_b);
sumAHighB_uid260_pT3_uid135_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid260_pT3_uid135_natLogPolyEval_a) + SIGNED(sumAHighB_uid260_pT3_uid135_natLogPolyEval_b));
sumAHighB_uid260_pT3_uid135_natLogPolyEval_q <= sumAHighB_uid260_pT3_uid135_natLogPolyEval_o(54 downto 0);
--lowRangeB_uid258_pT3_uid135_natLogPolyEval(BITSELECT,257)@17
lowRangeB_uid258_pT3_uid135_natLogPolyEval_in <= multSumOfTwo18_uid253_pT3_uid135_natLogPolyEval_b(3 downto 0);
lowRangeB_uid258_pT3_uid135_natLogPolyEval_b <= lowRangeB_uid258_pT3_uid135_natLogPolyEval_in(3 downto 0);
--add0_uid258_uid261_pT3_uid135_natLogPolyEval(BITJOIN,260)@17
add0_uid258_uid261_pT3_uid135_natLogPolyEval_q <= sumAHighB_uid260_pT3_uid135_natLogPolyEval_q & lowRangeB_uid258_pT3_uid135_natLogPolyEval_b;
--R_uid262_pT3_uid135_natLogPolyEval(BITSELECT,261)@17
R_uid262_pT3_uid135_natLogPolyEval_in <= add0_uid258_uid261_pT3_uid135_natLogPolyEval_q(57 downto 0);
R_uid262_pT3_uid135_natLogPolyEval_b <= R_uid262_pT3_uid135_natLogPolyEval_in(57 downto 17);
--reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1(REG,379)@17
reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1_q <= "00000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1_q <= R_uid262_pT3_uid135_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor(LOGICAL,1068)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_b <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_q <= not (ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_a or ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_b);
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_mem_top(CONSTANT,1064)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_mem_top_q <= "01010";
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp(LOGICAL,1065)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_a <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_mem_top_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_q);
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_q <= "1" when ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_a = ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_b else "0";
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmpReg(REG,1066)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmpReg_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena(REG,1069)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_nor_q = "1") THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd(LOGICAL,1070)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_a <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_sticky_ena_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_b <= en;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_a and ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_b;
--memoryC1_uid108_natLogTabGen_lutmem(DUALMEM,307)@1
memoryC1_uid108_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid108_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid108_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC1_uid108_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 11,
numwords_a => 2048,
width_b => 8,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC1_uid108_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid108_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid108_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid108_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid108_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid108_natLogTabGen_lutmem_ia
);
memoryC1_uid108_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid108_natLogTabGen_lutmem_q <= memoryC1_uid108_natLogTabGen_lutmem_iq(7 downto 0);
--reg_memoryC1_uid108_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_4(REG,343)@3
reg_memoryC1_uid108_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid108_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_4_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid108_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_4_q <= memoryC1_uid108_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid107_natLogTabGen_lutmem(DUALMEM,306)@1
memoryC1_uid107_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid107_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid107_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC1_uid107_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC1_uid107_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid107_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid107_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid107_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid107_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid107_natLogTabGen_lutmem_ia
);
memoryC1_uid107_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid107_natLogTabGen_lutmem_q <= memoryC1_uid107_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid107_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_3(REG,342)@3
reg_memoryC1_uid107_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid107_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_3_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid107_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_3_q <= memoryC1_uid107_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid106_natLogTabGen_lutmem(DUALMEM,305)@1
memoryC1_uid106_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid106_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid106_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC1_uid106_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC1_uid106_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid106_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid106_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid106_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid106_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid106_natLogTabGen_lutmem_ia
);
memoryC1_uid106_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid106_natLogTabGen_lutmem_q <= memoryC1_uid106_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid106_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_2(REG,341)@3
reg_memoryC1_uid106_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid106_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_2_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid106_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_2_q <= memoryC1_uid106_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid105_natLogTabGen_lutmem(DUALMEM,304)@1
memoryC1_uid105_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid105_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid105_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC1_uid105_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC1_uid105_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid105_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid105_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid105_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid105_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid105_natLogTabGen_lutmem_ia
);
memoryC1_uid105_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid105_natLogTabGen_lutmem_q <= memoryC1_uid105_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid105_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_1(REG,340)@3
reg_memoryC1_uid105_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid105_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid105_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_1_q <= memoryC1_uid105_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid104_natLogTabGen_lutmem(DUALMEM,303)@1
memoryC1_uid104_natLogTabGen_lutmem_ia <= (others => '0');
memoryC1_uid104_natLogTabGen_lutmem_aa <= (others => '0');
memoryC1_uid104_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC1_uid104_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC1_uid104_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid104_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid104_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid104_natLogTabGen_lutmem_iq,
address_a => memoryC1_uid104_natLogTabGen_lutmem_aa,
data_a => memoryC1_uid104_natLogTabGen_lutmem_ia
);
memoryC1_uid104_natLogTabGen_lutmem_reset0 <= areset;
memoryC1_uid104_natLogTabGen_lutmem_q <= memoryC1_uid104_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC1_uid104_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_0(REG,339)@3
reg_memoryC1_uid104_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid104_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid104_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_0_q <= memoryC1_uid104_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid109_natLogTabGen(BITJOIN,108)@4
os_uid109_natLogTabGen_q <= reg_memoryC1_uid108_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_4_q & reg_memoryC1_uid107_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_3_q & reg_memoryC1_uid106_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_2_q & reg_memoryC1_uid105_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_1_q & reg_memoryC1_uid104_natLogTabGen_lutmem_0_to_os_uid109_natLogTabGen_0_q;
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_inputreg(DELAY,1058)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 48, depth => 1 )
PORT MAP ( xin => os_uid109_natLogTabGen_q, xout => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt(COUNTER,1060)
-- every=1, low=0, high=10, step=1, init=1
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i = 9 THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i - 10;
ELSE
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_i,4));
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg(REG,1061)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux(MUX,1062)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_s <= en;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux: PROCESS (ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_s, ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg_q, ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem(DUALMEM,1059)
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_ia <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_inputreg_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_aa <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdreg_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_ab <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_rdmux_q;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 48,
widthad_a => 4,
numwords_a => 11,
width_b => 48,
widthad_b => 4,
numwords_b => 11,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_iq,
address_a => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_aa,
data_a => ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_ia
);
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_iq(47 downto 0);
--cIncludingRoundingBit_uid137_natLogPolyEval(BITJOIN,136)@17
cIncludingRoundingBit_uid137_natLogPolyEval_q <= ld_os_uid109_natLogTabGen_q_to_cIncludingRoundingBit_uid137_natLogPolyEval_b_replace_mem_q & rndBit_uid130_natLogPolyEval_q;
--reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0(REG,378)@17
reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0_q <= "00000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0_q <= cIncludingRoundingBit_uid137_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ts3_uid138_natLogPolyEval(ADD,137)@18
ts3_uid138_natLogPolyEval_a <= STD_LOGIC_VECTOR((50 downto 50 => reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0_q(49)) & reg_cIncludingRoundingBit_uid137_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_0_q);
ts3_uid138_natLogPolyEval_b <= STD_LOGIC_VECTOR((50 downto 41 => reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1_q(40)) & reg_R_uid262_pT3_uid135_natLogPolyEval_0_to_ts3_uid138_natLogPolyEval_1_q);
ts3_uid138_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts3_uid138_natLogPolyEval_a) + SIGNED(ts3_uid138_natLogPolyEval_b));
ts3_uid138_natLogPolyEval_q <= ts3_uid138_natLogPolyEval_o(50 downto 0);
--s3_uid139_natLogPolyEval(BITSELECT,138)@18
s3_uid139_natLogPolyEval_in <= ts3_uid138_natLogPolyEval_q;
s3_uid139_natLogPolyEval_b <= s3_uid139_natLogPolyEval_in(50 downto 1);
--yTop27Bits_uid264_pT4_uid141_natLogPolyEval(BITSELECT,263)@18
yTop27Bits_uid264_pT4_uid141_natLogPolyEval_in <= s3_uid139_natLogPolyEval_b;
yTop27Bits_uid264_pT4_uid141_natLogPolyEval_b <= yTop27Bits_uid264_pT4_uid141_natLogPolyEval_in(49 downto 23);
--reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9(REG,383)@18
reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9_q <= yTop27Bits_uid264_pT4_uid141_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor(LOGICAL,1140)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_b <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_q <= not (ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_a or ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_b);
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_mem_top(CONSTANT,1136)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_mem_top_q <= "01011";
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp(LOGICAL,1137)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_a <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_mem_top_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_q);
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_q <= "1" when ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_a = ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_b else "0";
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmpReg(REG,1138)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmpReg_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena(REG,1141)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_nor_q = "1") THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd(LOGICAL,1142)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_a <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_sticky_ena_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_b <= en;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_a and ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_b;
--xBottomBits_uid267_pT4_uid141_natLogPolyEval(BITSELECT,266)@4
xBottomBits_uid267_pT4_uid141_natLogPolyEval_in <= zPPolyEval_uid35_fpLogETest_b(14 downto 0);
xBottomBits_uid267_pT4_uid141_natLogPolyEval_b <= xBottomBits_uid267_pT4_uid141_natLogPolyEval_in(14 downto 0);
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_inputreg(DELAY,1130)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 15, depth => 1 )
PORT MAP ( xin => xBottomBits_uid267_pT4_uid141_natLogPolyEval_b, xout => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt(COUNTER,1132)
-- every=1, low=0, high=11, step=1, init=1
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i = 10 THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i - 11;
ELSE
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_i,4));
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg(REG,1133)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux(MUX,1134)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_s <= en;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux: PROCESS (ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_s, ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg_q, ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem(DUALMEM,1131)
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_ia <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_inputreg_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_aa <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdreg_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_ab <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_rdmux_q;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 15,
widthad_a => 4,
numwords_a => 12,
width_b => 15,
widthad_b => 4,
numwords_b => 12,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_iq,
address_a => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_aa,
data_a => ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_ia
);
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_iq(14 downto 0);
--pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval(BITJOIN,268)@18
pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_q <= ld_xBottomBits_uid267_pT4_uid141_natLogPolyEval_b_to_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_b_replace_mem_q & STD_LOGIC_VECTOR((10 downto 1 => GND_q(0)) & GND_q);
--reg_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_7(REG,382)@18
reg_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_7: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_7_q <= "00000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_7_q <= pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--yBottomBits_uid266_pT4_uid141_natLogPolyEval(BITSELECT,265)@18
yBottomBits_uid266_pT4_uid141_natLogPolyEval_in <= s3_uid139_natLogPolyEval_b(22 downto 0);
yBottomBits_uid266_pT4_uid141_natLogPolyEval_b <= yBottomBits_uid266_pT4_uid141_natLogPolyEval_in(22 downto 0);
--ld_yBottomBits_uid266_pT4_uid141_natLogPolyEval_b_to_spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_a(DELAY,704)@18
ld_yBottomBits_uid266_pT4_uid141_natLogPolyEval_b_to_spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_a : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => yBottomBits_uid266_pT4_uid141_natLogPolyEval_b, xout => ld_yBottomBits_uid266_pT4_uid141_natLogPolyEval_b_to_spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_a_q, ena => en(0), clk => clk, aclr => areset );
--spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval(BITJOIN,267)@19
spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_q <= GND_q & ld_yBottomBits_uid266_pT4_uid141_natLogPolyEval_b_to_spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_a_q;
--pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval(BITJOIN,269)@19
pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_q <= spad_yBottomBits_uid266_uid268_pT4_uid141_natLogPolyEval_q & STD_LOGIC_VECTOR((2 downto 1 => GND_q(0)) & GND_q);
--reg_pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_6(REG,381)@19
reg_pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_6_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_6_q <= pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor(LOGICAL,1127)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_b <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_q <= not (ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_a or ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_b);
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_mem_top(CONSTANT,1123)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_mem_top_q <= "01100";
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp(LOGICAL,1124)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_a <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_mem_top_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_q);
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_q <= "1" when ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_a = ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_b else "0";
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmpReg(REG,1125)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmpReg_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena(REG,1128)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_nor_q = "1") THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd(LOGICAL,1129)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_a <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_sticky_ena_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_b <= en;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_a and ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_b;
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt(COUNTER,1119)
-- every=1, low=0, high=12, step=1, init=1
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i = 11 THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_eq <= '1';
ELSE
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_eq = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i - 12;
ELSE
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_i,4));
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg(REG,1120)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux(MUX,1121)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_s <= en;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux: PROCESS (ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_s, ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg_q, ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_q)
BEGIN
CASE ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_s IS
WHEN "0" => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg_q;
WHEN "1" => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdcnt_q;
WHEN OTHERS => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem(DUALMEM,1118)
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_ia <= ld_zPPolyEval_uid35_fpLogETest_b_to_yT3_uid134_natLogPolyEval_a_inputreg_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_aa <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdreg_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_ab <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_rdmux_q;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 42,
widthad_a => 4,
numwords_a => 13,
width_b => 42,
widthad_b => 4,
numwords_b => 13,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_iq,
address_a => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_aa,
data_a => ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_ia
);
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_reset0 <= areset;
ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_q <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_iq(41 downto 0);
--xTop27Bits_uid263_pT4_uid141_natLogPolyEval(BITSELECT,262)@19
xTop27Bits_uid263_pT4_uid141_natLogPolyEval_in <= ld_zPPolyEval_uid35_fpLogETest_b_to_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_a_replace_mem_q;
xTop27Bits_uid263_pT4_uid141_natLogPolyEval_b <= xTop27Bits_uid263_pT4_uid141_natLogPolyEval_in(41 downto 15);
--reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4(REG,380)@19
reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4_q <= xTop27Bits_uid263_pT4_uid141_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma(CHAINMULTADD,318)@20
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l(0) <= SIGNED(RESIZE(multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a(0),28));
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l(1) <= SIGNED(RESIZE(multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a(1),28));
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p(0) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l(0) * multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c(0);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p(1) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_l(1) * multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c(1);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w(0) <= RESIZE(multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p(0),56);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w(1) <= RESIZE(multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_p(1),56);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x(0) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w(0);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x(1) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_w(1);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y(0) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s(1) + multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x(0);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y(1) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_x(1);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_chainmultadd: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a <= (others => (others => '0'));
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c <= (others => (others => '0'));
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s <= (others => (others => '0'));
ELSIF(clk'EVENT AND clk = '1') THEN
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a(0) <= RESIZE(UNSIGNED(reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4_q),27);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_a(1) <= RESIZE(UNSIGNED(reg_pad_xBottomBits_uid267_uid269_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_7_q),27);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c(0) <= RESIZE(SIGNED(reg_pad_yBottomBits_uid266_uid270_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_6_q),27);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_c(1) <= RESIZE(SIGNED(reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9_q),27);
IF (en = "1") THEN
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s(0) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y(0);
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s(1) <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_y(1);
END IF;
END IF;
END PROCESS;
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_delay : dspba_delay
GENERIC MAP (width => 55, depth => 1)
PORT MAP (xin => STD_LOGIC_VECTOR(multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_s(0)(54 downto 0)), xout => multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_q, clk => clk, aclr => areset);
--multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval(BITSELECT,271)@23
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_in <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_q;
multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_b <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_in(54 downto 3);
--highBBits_uid274_pT4_uid141_natLogPolyEval(BITSELECT,273)@23
highBBits_uid274_pT4_uid141_natLogPolyEval_in <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_b;
highBBits_uid274_pT4_uid141_natLogPolyEval_b <= highBBits_uid274_pT4_uid141_natLogPolyEval_in(51 downto 23);
--ld_reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_topProd_uid265_pT4_uid141_natLogPolyEval_1_q_to_topProd_uid265_pT4_uid141_natLogPolyEval_b(DELAY,701)@19
ld_reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_topProd_uid265_pT4_uid141_natLogPolyEval_1_q_to_topProd_uid265_pT4_uid141_natLogPolyEval_b : dspba_delay
GENERIC MAP ( width => 27, depth => 1 )
PORT MAP ( xin => reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_9_q, xout => ld_reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_topProd_uid265_pT4_uid141_natLogPolyEval_1_q_to_topProd_uid265_pT4_uid141_natLogPolyEval_b_q, ena => en(0), clk => clk, aclr => areset );
--topProd_uid265_pT4_uid141_natLogPolyEval(MULT,264)@20
topProd_uid265_pT4_uid141_natLogPolyEval_pr <= signed(resize(UNSIGNED(topProd_uid265_pT4_uid141_natLogPolyEval_a),28)) * SIGNED(topProd_uid265_pT4_uid141_natLogPolyEval_b);
topProd_uid265_pT4_uid141_natLogPolyEval_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid265_pT4_uid141_natLogPolyEval_a <= (others => '0');
topProd_uid265_pT4_uid141_natLogPolyEval_b <= (others => '0');
topProd_uid265_pT4_uid141_natLogPolyEval_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid265_pT4_uid141_natLogPolyEval_a <= reg_xTop27Bits_uid263_pT4_uid141_natLogPolyEval_0_to_multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_cma_4_q;
topProd_uid265_pT4_uid141_natLogPolyEval_b <= ld_reg_yTop27Bits_uid264_pT4_uid141_natLogPolyEval_0_to_topProd_uid265_pT4_uid141_natLogPolyEval_1_q_to_topProd_uid265_pT4_uid141_natLogPolyEval_b_q;
topProd_uid265_pT4_uid141_natLogPolyEval_s1 <= STD_LOGIC_VECTOR(resize(topProd_uid265_pT4_uid141_natLogPolyEval_pr,54));
END IF;
END IF;
END PROCESS;
topProd_uid265_pT4_uid141_natLogPolyEval: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
topProd_uid265_pT4_uid141_natLogPolyEval_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
topProd_uid265_pT4_uid141_natLogPolyEval_q <= topProd_uid265_pT4_uid141_natLogPolyEval_s1;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid275_pT4_uid141_natLogPolyEval(ADD,274)@23
sumAHighB_uid275_pT4_uid141_natLogPolyEval_a <= STD_LOGIC_VECTOR((54 downto 54 => topProd_uid265_pT4_uid141_natLogPolyEval_q(53)) & topProd_uid265_pT4_uid141_natLogPolyEval_q);
sumAHighB_uid275_pT4_uid141_natLogPolyEval_b <= STD_LOGIC_VECTOR((54 downto 29 => highBBits_uid274_pT4_uid141_natLogPolyEval_b(28)) & highBBits_uid274_pT4_uid141_natLogPolyEval_b);
sumAHighB_uid275_pT4_uid141_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid275_pT4_uid141_natLogPolyEval_a) + SIGNED(sumAHighB_uid275_pT4_uid141_natLogPolyEval_b));
sumAHighB_uid275_pT4_uid141_natLogPolyEval_q <= sumAHighB_uid275_pT4_uid141_natLogPolyEval_o(54 downto 0);
--lowRangeB_uid273_pT4_uid141_natLogPolyEval(BITSELECT,272)@23
lowRangeB_uid273_pT4_uid141_natLogPolyEval_in <= multSumOfTwo27_uid268_pT4_uid141_natLogPolyEval_b(22 downto 0);
lowRangeB_uid273_pT4_uid141_natLogPolyEval_b <= lowRangeB_uid273_pT4_uid141_natLogPolyEval_in(22 downto 0);
--add0_uid273_uid276_pT4_uid141_natLogPolyEval(BITJOIN,275)@23
add0_uid273_uid276_pT4_uid141_natLogPolyEval_q <= sumAHighB_uid275_pT4_uid141_natLogPolyEval_q & lowRangeB_uid273_pT4_uid141_natLogPolyEval_b;
--R_uid277_pT4_uid141_natLogPolyEval(BITSELECT,276)@23
R_uid277_pT4_uid141_natLogPolyEval_in <= add0_uid273_uid276_pT4_uid141_natLogPolyEval_q(76 downto 0);
R_uid277_pT4_uid141_natLogPolyEval_b <= R_uid277_pT4_uid141_natLogPolyEval_in(76 downto 25);
--reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1(REG,387)@23
reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1_q <= R_uid277_pT4_uid141_natLogPolyEval_b;
END IF;
END IF;
END PROCESS;
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor(LOGICAL,1081)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_b <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_q <= not (ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_a or ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_b);
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_mem_top(CONSTANT,1077)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_mem_top_q <= "010000";
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp(LOGICAL,1078)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_a <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_mem_top_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_q);
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_q <= "1" when ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_a = ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_b else "0";
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmpReg(REG,1079)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmpReg_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena(REG,1082)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_nor_q = "1") THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd(LOGICAL,1083)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_a <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_sticky_ena_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_b <= en;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_a and ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_b;
--memoryC0_uid102_natLogTabGen_lutmem(DUALMEM,302)@1
memoryC0_uid102_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid102_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid102_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC0_uid102_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC0_uid102_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid102_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid102_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid102_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid102_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid102_natLogTabGen_lutmem_ia
);
memoryC0_uid102_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid102_natLogTabGen_lutmem_q <= memoryC0_uid102_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid102_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_5(REG,333)@3
reg_memoryC0_uid102_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid102_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_5_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid102_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_5_q <= memoryC0_uid102_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid101_natLogTabGen_lutmem(DUALMEM,301)@1
memoryC0_uid101_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid101_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid101_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC0_uid101_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC0_uid101_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid101_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid101_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid101_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid101_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid101_natLogTabGen_lutmem_ia
);
memoryC0_uid101_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid101_natLogTabGen_lutmem_q <= memoryC0_uid101_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid101_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_4(REG,332)@3
reg_memoryC0_uid101_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid101_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_4_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid101_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_4_q <= memoryC0_uid101_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid100_natLogTabGen_lutmem(DUALMEM,300)@1
memoryC0_uid100_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid100_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid100_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC0_uid100_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC0_uid100_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid100_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid100_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid100_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid100_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid100_natLogTabGen_lutmem_ia
);
memoryC0_uid100_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid100_natLogTabGen_lutmem_q <= memoryC0_uid100_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid100_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_3(REG,331)@3
reg_memoryC0_uid100_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid100_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_3_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid100_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_3_q <= memoryC0_uid100_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid99_natLogTabGen_lutmem(DUALMEM,299)@1
memoryC0_uid99_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid99_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid99_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC0_uid99_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC0_uid99_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid99_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid99_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid99_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid99_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid99_natLogTabGen_lutmem_ia
);
memoryC0_uid99_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid99_natLogTabGen_lutmem_q <= memoryC0_uid99_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid99_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_2(REG,330)@3
reg_memoryC0_uid99_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid99_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_2_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid99_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_2_q <= memoryC0_uid99_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid98_natLogTabGen_lutmem(DUALMEM,298)@1
memoryC0_uid98_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid98_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid98_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC0_uid98_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC0_uid98_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid98_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid98_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid98_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid98_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid98_natLogTabGen_lutmem_ia
);
memoryC0_uid98_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid98_natLogTabGen_lutmem_q <= memoryC0_uid98_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid98_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_1(REG,329)@3
reg_memoryC0_uid98_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid98_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_1_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid98_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_1_q <= memoryC0_uid98_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid97_natLogTabGen_lutmem(DUALMEM,297)@1
memoryC0_uid97_natLogTabGen_lutmem_ia <= (others => '0');
memoryC0_uid97_natLogTabGen_lutmem_aa <= (others => '0');
memoryC0_uid97_natLogTabGen_lutmem_ab <= reg_addr_uid34_fpLogETest_0_to_memoryC0_uid97_natLogTabGen_lutmem_0_q;
memoryC0_uid97_natLogTabGen_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 11,
numwords_a => 2048,
width_b => 10,
widthad_b => 11,
numwords_b => 2048,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_ln_double_s5_memoryC0_uid97_natLogTabGen_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid97_natLogTabGen_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid97_natLogTabGen_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid97_natLogTabGen_lutmem_iq,
address_a => memoryC0_uid97_natLogTabGen_lutmem_aa,
data_a => memoryC0_uid97_natLogTabGen_lutmem_ia
);
memoryC0_uid97_natLogTabGen_lutmem_reset0 <= areset;
memoryC0_uid97_natLogTabGen_lutmem_q <= memoryC0_uid97_natLogTabGen_lutmem_iq(9 downto 0);
--reg_memoryC0_uid97_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_0(REG,328)@3
reg_memoryC0_uid97_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid97_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid97_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_0_q <= memoryC0_uid97_natLogTabGen_lutmem_q;
END IF;
END IF;
END PROCESS;
--os_uid103_natLogTabGen(BITJOIN,102)@4
os_uid103_natLogTabGen_q <= reg_memoryC0_uid102_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_5_q & reg_memoryC0_uid101_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_4_q & reg_memoryC0_uid100_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_3_q & reg_memoryC0_uid99_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_2_q & reg_memoryC0_uid98_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_1_q & reg_memoryC0_uid97_natLogTabGen_lutmem_0_to_os_uid103_natLogTabGen_0_q;
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_inputreg(DELAY,1071)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_inputreg : dspba_delay
GENERIC MAP ( width => 60, depth => 1 )
PORT MAP ( xin => os_uid103_natLogTabGen_q, xout => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt(COUNTER,1073)
-- every=1, low=0, high=16, step=1, init=1
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i = 15 THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_eq <= '1';
ELSE
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_eq = '1') THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i - 16;
ELSE
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_i,5));
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg(REG,1074)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux(MUX,1075)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_s <= en;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux: PROCESS (ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_s, ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg_q, ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_q)
BEGIN
CASE ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_s IS
WHEN "0" => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg_q;
WHEN "1" => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdcnt_q;
WHEN OTHERS => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem(DUALMEM,1072)
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_ia <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_inputreg_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_aa <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdreg_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_ab <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_rdmux_q;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 60,
widthad_a => 5,
numwords_a => 17,
width_b => 60,
widthad_b => 5,
numwords_b => 17,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_iq,
address_a => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_aa,
data_a => ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_ia
);
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_reset0 <= areset;
ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_iq(59 downto 0);
--rndBit_uid142_natLogPolyEval(CONSTANT,141)
rndBit_uid142_natLogPolyEval_q <= "001";
--cIncludingRoundingBit_uid143_natLogPolyEval(BITJOIN,142)@23
cIncludingRoundingBit_uid143_natLogPolyEval_q <= ld_os_uid103_natLogTabGen_q_to_cIncludingRoundingBit_uid143_natLogPolyEval_b_replace_mem_q & rndBit_uid142_natLogPolyEval_q;
--reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0(REG,386)@23
reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0_q <= "000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0_q <= cIncludingRoundingBit_uid143_natLogPolyEval_q;
END IF;
END IF;
END PROCESS;
--ts4_uid144_natLogPolyEval(ADD,143)@24
ts4_uid144_natLogPolyEval_a <= STD_LOGIC_VECTOR((63 downto 63 => reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0_q(62)) & reg_cIncludingRoundingBit_uid143_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_0_q);
ts4_uid144_natLogPolyEval_b <= STD_LOGIC_VECTOR((63 downto 52 => reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1_q(51)) & reg_R_uid277_pT4_uid141_natLogPolyEval_0_to_ts4_uid144_natLogPolyEval_1_q);
ts4_uid144_natLogPolyEval_o <= STD_LOGIC_VECTOR(SIGNED(ts4_uid144_natLogPolyEval_a) + SIGNED(ts4_uid144_natLogPolyEval_b));
ts4_uid144_natLogPolyEval_q <= ts4_uid144_natLogPolyEval_o(63 downto 0);
--s4_uid145_natLogPolyEval(BITSELECT,144)@24
s4_uid145_natLogPolyEval_in <= ts4_uid144_natLogPolyEval_q;
s4_uid145_natLogPolyEval_b <= s4_uid145_natLogPolyEval_in(63 downto 1);
--peOR_uid37_fpLogETest(BITSELECT,36)@24
peOR_uid37_fpLogETest_in <= s4_uid145_natLogPolyEval_b(61 downto 0);
peOR_uid37_fpLogETest_b <= peOR_uid37_fpLogETest_in(61 downto 7);
--postPEMul_uid43_fpLogETest_b_2(BITSELECT,281)@24
postPEMul_uid43_fpLogETest_b_2_in <= STD_LOGIC_VECTOR((80 downto 55 => peOR_uid37_fpLogETest_b(54)) & peOR_uid37_fpLogETest_b);
postPEMul_uid43_fpLogETest_b_2_b <= postPEMul_uid43_fpLogETest_b_2_in(80 downto 54);
--reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1(REG,398)@24
reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1_q <= postPEMul_uid43_fpLogETest_b_2_b;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor(LOGICAL,927)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_b <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_q <= not (ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_a or ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_b);
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_mem_top(CONSTANT,923)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_mem_top_q <= "010100";
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp(LOGICAL,924)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_a <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_mem_top_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q);
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_q <= "1" when ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_a = ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_b else "0";
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg(REG,925)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena(REG,928)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_nor_q = "1") THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd(LOGICAL,929)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_a <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_sticky_ena_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_b <= en;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_a and ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_b;
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt(COUNTER,919)
-- every=1, low=0, high=20, step=1, init=1
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i = 19 THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_eq <= '1';
ELSE
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_eq = '1') THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i - 20;
ELSE
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_i,5));
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg(REG,920)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux(MUX,921)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_s <= en;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux: PROCESS (ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_s, ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q, ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_q)
BEGIN
CASE ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_s IS
WHEN "0" => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q;
WHEN "1" => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdcnt_q;
WHEN OTHERS => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem(DUALMEM,918)
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_ia <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_inputreg_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 52,
widthad_a => 5,
numwords_a => 21,
width_b => 52,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_iq,
address_a => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_aa,
data_a => ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_ia
);
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_reset0 <= areset;
ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_iq(51 downto 0);
--pad_o_uid11_uid38_fpLogETest(BITJOIN,37)@23
pad_o_uid11_uid38_fpLogETest_q <= VCC_q & STD_LOGIC_VECTOR((51 downto 1 => GND_q(0)) & GND_q);
--oMz_uid38_fpLogETest(SUB,38)@23
oMz_uid38_fpLogETest_a <= STD_LOGIC_VECTOR("0" & pad_o_uid11_uid38_fpLogETest_q);
oMz_uid38_fpLogETest_b <= STD_LOGIC_VECTOR("00" & ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_q);
oMz_uid38_fpLogETest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oMz_uid38_fpLogETest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
oMz_uid38_fpLogETest_o <= STD_LOGIC_VECTOR(UNSIGNED(oMz_uid38_fpLogETest_a) - UNSIGNED(oMz_uid38_fpLogETest_b));
END IF;
END IF;
END PROCESS;
oMz_uid38_fpLogETest_q <= oMz_uid38_fpLogETest_o(53 downto 0);
--z2_uid40_fpLogETest(CONSTANT,39)
z2_uid40_fpLogETest_q <= "00";
--sEz_uid41_fpLogETest(BITJOIN,40)@23
sEz_uid41_fpLogETest_q <= z2_uid40_fpLogETest_q & ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_mem_q;
--reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2(REG,321)@23
reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2_q <= sEz_uid41_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor(LOGICAL,940)
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_b <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena_q;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_q <= not (ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_a or ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_b);
--ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena(REG,941)
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_nor_q = "1") THEN
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd(LOGICAL,942)
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_a <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_sticky_ena_q;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_b <= en;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_q <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_a and ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_b;
--reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1(REG,320)@0
reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q <= c_uid31_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_inputreg(DELAY,930)
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q, xout => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem(DUALMEM,931)
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_ia <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_inputreg_q;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdreg_q;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_oMz_uid38_fpLogETest_b_replace_rdmux_q;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 21,
width_b => 1,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_iq,
address_a => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_aa,
data_a => ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_ia
);
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_reset0 <= areset;
ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_q <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_iq(0 downto 0);
--multTermOne_uid42_fpLogETest(MUX,41)@24
multTermOne_uid42_fpLogETest_s <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_replace_mem_q;
multTermOne_uid42_fpLogETest: PROCESS (multTermOne_uid42_fpLogETest_s, en, reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2_q, oMz_uid38_fpLogETest_q)
BEGIN
CASE multTermOne_uid42_fpLogETest_s IS
WHEN "0" => multTermOne_uid42_fpLogETest_q <= reg_sEz_uid41_fpLogETest_0_to_multTermOne_uid42_fpLogETest_2_q;
WHEN "1" => multTermOne_uid42_fpLogETest_q <= oMz_uid38_fpLogETest_q;
WHEN OTHERS => multTermOne_uid42_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--postPEMul_uid43_fpLogETest_a_1(BITSELECT,278)@24
postPEMul_uid43_fpLogETest_a_1_in <= multTermOne_uid42_fpLogETest_q;
postPEMul_uid43_fpLogETest_a_1_b <= postPEMul_uid43_fpLogETest_a_1_in(53 downto 27);
--reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0(REG,390)@24
reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0_q <= postPEMul_uid43_fpLogETest_a_1_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_a1_b2(MULT,287)@25
postPEMul_uid43_fpLogETest_a1_b2_pr <= SIGNED(postPEMul_uid43_fpLogETest_a1_b2_a) * SIGNED(postPEMul_uid43_fpLogETest_a1_b2_b);
postPEMul_uid43_fpLogETest_a1_b2_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a1_b2_a <= (others => '0');
postPEMul_uid43_fpLogETest_a1_b2_b <= (others => '0');
postPEMul_uid43_fpLogETest_a1_b2_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a1_b2_a <= reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0_q;
postPEMul_uid43_fpLogETest_a1_b2_b <= reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1_q;
postPEMul_uid43_fpLogETest_a1_b2_s1 <= STD_LOGIC_VECTOR(postPEMul_uid43_fpLogETest_a1_b2_pr);
END IF;
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_a1_b2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a1_b2_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a1_b2_q <= postPEMul_uid43_fpLogETest_a1_b2_s1;
END IF;
END IF;
END PROCESS;
--ld_postPEMul_uid43_fpLogETest_a1_b2_q_to_postPEMul_uid43_fpLogETest_align_3_a(DELAY,739)@28
ld_postPEMul_uid43_fpLogETest_a1_b2_q_to_postPEMul_uid43_fpLogETest_align_3_a : dspba_delay
GENERIC MAP ( width => 54, depth => 2 )
PORT MAP ( xin => postPEMul_uid43_fpLogETest_a1_b2_q, xout => ld_postPEMul_uid43_fpLogETest_a1_b2_q_to_postPEMul_uid43_fpLogETest_align_3_a_q, ena => en(0), clk => clk, aclr => areset );
--postPEMul_uid43_fpLogETest_align_3(BITSHIFT,293)@30
postPEMul_uid43_fpLogETest_align_3_q_int <= ld_postPEMul_uid43_fpLogETest_a1_b2_q_to_postPEMul_uid43_fpLogETest_align_3_a_q & "000000000000000000000000000000000000000000000000000000000000000000000000000000000";
postPEMul_uid43_fpLogETest_align_3_q <= postPEMul_uid43_fpLogETest_align_3_q_int(134 downto 0);
--postPEMul_uid43_fpLogETest_a_0(BITSELECT,277)@24
postPEMul_uid43_fpLogETest_a_0_in <= multTermOne_uid42_fpLogETest_q(26 downto 0);
postPEMul_uid43_fpLogETest_a_0_b <= postPEMul_uid43_fpLogETest_a_0_in(26 downto 0);
--reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0(REG,388)@24
reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0_q <= postPEMul_uid43_fpLogETest_a_0_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_a0_b2(MULT,286)@25
postPEMul_uid43_fpLogETest_a0_b2_pr <= signed(resize(UNSIGNED(postPEMul_uid43_fpLogETest_a0_b2_a),28)) * SIGNED(postPEMul_uid43_fpLogETest_a0_b2_b);
postPEMul_uid43_fpLogETest_a0_b2_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a0_b2_a <= (others => '0');
postPEMul_uid43_fpLogETest_a0_b2_b <= (others => '0');
postPEMul_uid43_fpLogETest_a0_b2_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a0_b2_a <= reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0_q;
postPEMul_uid43_fpLogETest_a0_b2_b <= reg_postPEMul_uid43_fpLogETest_b_2_0_to_postPEMul_uid43_fpLogETest_a0_b2_1_q;
postPEMul_uid43_fpLogETest_a0_b2_s1 <= STD_LOGIC_VECTOR(resize(postPEMul_uid43_fpLogETest_a0_b2_pr,54));
END IF;
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_a0_b2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a0_b2_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a0_b2_q <= postPEMul_uid43_fpLogETest_a0_b2_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_b_1(BITSELECT,280)@24
postPEMul_uid43_fpLogETest_b_1_in <= peOR_uid37_fpLogETest_b(53 downto 0);
postPEMul_uid43_fpLogETest_b_1_b <= postPEMul_uid43_fpLogETest_b_1_in(53 downto 27);
--reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1(REG,393)@24
reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1_q <= postPEMul_uid43_fpLogETest_b_1_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_a1_b1(MULT,285)@25
postPEMul_uid43_fpLogETest_a1_b1_pr <= SIGNED(postPEMul_uid43_fpLogETest_a1_b1_a) * signed(resize(UNSIGNED(postPEMul_uid43_fpLogETest_a1_b1_b),28));
postPEMul_uid43_fpLogETest_a1_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a1_b1_a <= (others => '0');
postPEMul_uid43_fpLogETest_a1_b1_b <= (others => '0');
postPEMul_uid43_fpLogETest_a1_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a1_b1_a <= reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0_q;
postPEMul_uid43_fpLogETest_a1_b1_b <= reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1_q;
postPEMul_uid43_fpLogETest_a1_b1_s1 <= STD_LOGIC_VECTOR(resize(postPEMul_uid43_fpLogETest_a1_b1_pr,54));
END IF;
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_a1_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a1_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a1_b1_q <= postPEMul_uid43_fpLogETest_a1_b1_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_addcol_2_add_0_0(ADD,289)@28
postPEMul_uid43_fpLogETest_addcol_2_add_0_0_a <= STD_LOGIC_VECTOR((54 downto 54 => postPEMul_uid43_fpLogETest_a1_b1_q(53)) & postPEMul_uid43_fpLogETest_a1_b1_q);
postPEMul_uid43_fpLogETest_addcol_2_add_0_0_b <= STD_LOGIC_VECTOR((54 downto 54 => postPEMul_uid43_fpLogETest_a0_b2_q(53)) & postPEMul_uid43_fpLogETest_a0_b2_q);
postPEMul_uid43_fpLogETest_addcol_2_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid43_fpLogETest_addcol_2_add_0_0_a) + SIGNED(postPEMul_uid43_fpLogETest_addcol_2_add_0_0_b));
postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q <= postPEMul_uid43_fpLogETest_addcol_2_add_0_0_o(54 downto 0);
--ld_postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_2_a(DELAY,738)@28
ld_postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_2_a : dspba_delay
GENERIC MAP ( width => 55, depth => 1 )
PORT MAP ( xin => postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q, xout => ld_postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_2_a_q, ena => en(0), clk => clk, aclr => areset );
--postPEMul_uid43_fpLogETest_align_2(BITSHIFT,292)@29
postPEMul_uid43_fpLogETest_align_2_q_int <= ld_postPEMul_uid43_fpLogETest_addcol_2_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_2_a_q & "000000000000000000000000000000000000000000000000000000";
postPEMul_uid43_fpLogETest_align_2_q <= postPEMul_uid43_fpLogETest_align_2_q_int(108 downto 0);
--reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0(REG,401)@29
reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0_q <= "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0_q <= postPEMul_uid43_fpLogETest_align_2_q;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_result_add_0_1(ADD,295)@30
postPEMul_uid43_fpLogETest_result_add_0_1_a <= STD_LOGIC_VECTOR((135 downto 109 => reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0_q(108)) & reg_postPEMul_uid43_fpLogETest_align_2_0_to_postPEMul_uid43_fpLogETest_result_add_0_1_0_q);
postPEMul_uid43_fpLogETest_result_add_0_1_b <= STD_LOGIC_VECTOR((135 downto 135 => postPEMul_uid43_fpLogETest_align_3_q(134)) & postPEMul_uid43_fpLogETest_align_3_q);
postPEMul_uid43_fpLogETest_result_add_0_1_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid43_fpLogETest_result_add_0_1_a) + SIGNED(postPEMul_uid43_fpLogETest_result_add_0_1_b));
postPEMul_uid43_fpLogETest_result_add_0_1_q <= postPEMul_uid43_fpLogETest_result_add_0_1_o(135 downto 0);
--postPEMul_uid43_fpLogETest_a0_b1(MULT,284)@25
postPEMul_uid43_fpLogETest_a0_b1_pr <= UNSIGNED(postPEMul_uid43_fpLogETest_a0_b1_a) * UNSIGNED(postPEMul_uid43_fpLogETest_a0_b1_b);
postPEMul_uid43_fpLogETest_a0_b1_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a0_b1_a <= (others => '0');
postPEMul_uid43_fpLogETest_a0_b1_b <= (others => '0');
postPEMul_uid43_fpLogETest_a0_b1_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a0_b1_a <= reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0_q;
postPEMul_uid43_fpLogETest_a0_b1_b <= reg_postPEMul_uid43_fpLogETest_b_1_0_to_postPEMul_uid43_fpLogETest_a0_b1_1_q;
postPEMul_uid43_fpLogETest_a0_b1_s1 <= STD_LOGIC_VECTOR(postPEMul_uid43_fpLogETest_a0_b1_pr);
END IF;
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_a0_b1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a0_b1_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a0_b1_q <= postPEMul_uid43_fpLogETest_a0_b1_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_b_0(BITSELECT,279)@24
postPEMul_uid43_fpLogETest_b_0_in <= peOR_uid37_fpLogETest_b(26 downto 0);
postPEMul_uid43_fpLogETest_b_0_b <= postPEMul_uid43_fpLogETest_b_0_in(26 downto 0);
--reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1(REG,389)@24
reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1_q <= "000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1_q <= postPEMul_uid43_fpLogETest_b_0_b;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_a1_b0(MULT,283)@25
postPEMul_uid43_fpLogETest_a1_b0_pr <= SIGNED(postPEMul_uid43_fpLogETest_a1_b0_a) * signed(resize(UNSIGNED(postPEMul_uid43_fpLogETest_a1_b0_b),28));
postPEMul_uid43_fpLogETest_a1_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a1_b0_a <= (others => '0');
postPEMul_uid43_fpLogETest_a1_b0_b <= (others => '0');
postPEMul_uid43_fpLogETest_a1_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a1_b0_a <= reg_postPEMul_uid43_fpLogETest_a_1_0_to_postPEMul_uid43_fpLogETest_a1_b0_0_q;
postPEMul_uid43_fpLogETest_a1_b0_b <= reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1_q;
postPEMul_uid43_fpLogETest_a1_b0_s1 <= STD_LOGIC_VECTOR(resize(postPEMul_uid43_fpLogETest_a1_b0_pr,54));
END IF;
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_a1_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a1_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a1_b0_q <= postPEMul_uid43_fpLogETest_a1_b0_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_addcol_1_add_0_0(ADD,288)@28
postPEMul_uid43_fpLogETest_addcol_1_add_0_0_a <= STD_LOGIC_VECTOR((56 downto 54 => postPEMul_uid43_fpLogETest_a1_b0_q(53)) & postPEMul_uid43_fpLogETest_a1_b0_q);
postPEMul_uid43_fpLogETest_addcol_1_add_0_0_b <= STD_LOGIC_VECTOR('0' & "00" & postPEMul_uid43_fpLogETest_a0_b1_q);
postPEMul_uid43_fpLogETest_addcol_1_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid43_fpLogETest_addcol_1_add_0_0_a) + SIGNED(postPEMul_uid43_fpLogETest_addcol_1_add_0_0_b));
postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q <= postPEMul_uid43_fpLogETest_addcol_1_add_0_0_o(55 downto 0);
--ld_postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_1_a(DELAY,737)@28
ld_postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_1_a : dspba_delay
GENERIC MAP ( width => 56, depth => 1 )
PORT MAP ( xin => postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q, xout => ld_postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_1_a_q, ena => en(0), clk => clk, aclr => areset );
--postPEMul_uid43_fpLogETest_align_1(BITSHIFT,291)@29
postPEMul_uid43_fpLogETest_align_1_q_int <= ld_postPEMul_uid43_fpLogETest_addcol_1_add_0_0_q_to_postPEMul_uid43_fpLogETest_align_1_a_q & "000000000000000000000000000";
postPEMul_uid43_fpLogETest_align_1_q <= postPEMul_uid43_fpLogETest_align_1_q_int(82 downto 0);
--postPEMul_uid43_fpLogETest_a0_b0(MULT,282)@25
postPEMul_uid43_fpLogETest_a0_b0_pr <= UNSIGNED(postPEMul_uid43_fpLogETest_a0_b0_a) * UNSIGNED(postPEMul_uid43_fpLogETest_a0_b0_b);
postPEMul_uid43_fpLogETest_a0_b0_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a0_b0_a <= (others => '0');
postPEMul_uid43_fpLogETest_a0_b0_b <= (others => '0');
postPEMul_uid43_fpLogETest_a0_b0_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a0_b0_a <= reg_postPEMul_uid43_fpLogETest_a_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_0_q;
postPEMul_uid43_fpLogETest_a0_b0_b <= reg_postPEMul_uid43_fpLogETest_b_0_0_to_postPEMul_uid43_fpLogETest_a0_b0_1_q;
postPEMul_uid43_fpLogETest_a0_b0_s1 <= STD_LOGIC_VECTOR(postPEMul_uid43_fpLogETest_a0_b0_pr);
END IF;
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_a0_b0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_a0_b0_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
postPEMul_uid43_fpLogETest_a0_b0_q <= postPEMul_uid43_fpLogETest_a0_b0_s1;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_align_0(BITSHIFT,290)@28
postPEMul_uid43_fpLogETest_align_0_q_int <= postPEMul_uid43_fpLogETest_a0_b0_q;
postPEMul_uid43_fpLogETest_align_0_q <= postPEMul_uid43_fpLogETest_align_0_q_int(53 downto 0);
--reg_postPEMul_uid43_fpLogETest_align_0_0_to_postPEMul_uid43_fpLogETest_result_add_0_0_0(REG,394)@28
reg_postPEMul_uid43_fpLogETest_align_0_0_to_postPEMul_uid43_fpLogETest_result_add_0_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_postPEMul_uid43_fpLogETest_align_0_0_to_postPEMul_uid43_fpLogETest_result_add_0_0_0_q <= "000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_postPEMul_uid43_fpLogETest_align_0_0_to_postPEMul_uid43_fpLogETest_result_add_0_0_0_q <= postPEMul_uid43_fpLogETest_align_0_q;
END IF;
END IF;
END PROCESS;
--postPEMul_uid43_fpLogETest_result_add_0_0(ADD,294)@29
postPEMul_uid43_fpLogETest_result_add_0_0_a <= STD_LOGIC_VECTOR('0' & "000000000000000000000000000000" & reg_postPEMul_uid43_fpLogETest_align_0_0_to_postPEMul_uid43_fpLogETest_result_add_0_0_0_q);
postPEMul_uid43_fpLogETest_result_add_0_0_b <= STD_LOGIC_VECTOR((84 downto 83 => postPEMul_uid43_fpLogETest_align_1_q(82)) & postPEMul_uid43_fpLogETest_align_1_q);
postPEMul_uid43_fpLogETest_result_add_0_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
postPEMul_uid43_fpLogETest_result_add_0_0_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
postPEMul_uid43_fpLogETest_result_add_0_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid43_fpLogETest_result_add_0_0_a) + SIGNED(postPEMul_uid43_fpLogETest_result_add_0_0_b));
END IF;
END PROCESS;
postPEMul_uid43_fpLogETest_result_add_0_0_q <= postPEMul_uid43_fpLogETest_result_add_0_0_o(83 downto 0);
--postPEMul_uid43_fpLogETest_result_add_1_0(ADD,296)@30
postPEMul_uid43_fpLogETest_result_add_1_0_a <= STD_LOGIC_VECTOR((136 downto 84 => postPEMul_uid43_fpLogETest_result_add_0_0_q(83)) & postPEMul_uid43_fpLogETest_result_add_0_0_q);
postPEMul_uid43_fpLogETest_result_add_1_0_b <= STD_LOGIC_VECTOR((136 downto 136 => postPEMul_uid43_fpLogETest_result_add_0_1_q(135)) & postPEMul_uid43_fpLogETest_result_add_0_1_q);
postPEMul_uid43_fpLogETest_result_add_1_0_o <= STD_LOGIC_VECTOR(SIGNED(postPEMul_uid43_fpLogETest_result_add_1_0_a) + SIGNED(postPEMul_uid43_fpLogETest_result_add_1_0_b));
postPEMul_uid43_fpLogETest_result_add_1_0_q <= postPEMul_uid43_fpLogETest_result_add_1_0_o(136 downto 0);
--highBBits_uid47_fpLogETest(BITSELECT,46)@30
highBBits_uid47_fpLogETest_in <= postPEMul_uid43_fpLogETest_result_add_1_0_q(108 downto 0);
highBBits_uid47_fpLogETest_b <= highBBits_uid47_fpLogETest_in(108 downto 50);
--reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1(REG,406)@30
reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1_q <= "00000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1_q <= highBBits_uid47_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--wideZero_uid44_fpLogETest(CONSTANT,43)
wideZero_uid44_fpLogETest_q <= "0000000000000000000000000000000000000000000000000000000000000000000";
--cstBias_uid9_fpLogETest(CONSTANT,8)
cstBias_uid9_fpLogETest_q <= "01111111111";
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor(LOGICAL,903)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_b <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_q <= not (ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_a or ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_b);
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_mem_top(CONSTANT,899)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_mem_top_q <= "011000";
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp(LOGICAL,900)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_mem_top_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_q);
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_q <= "1" when ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_a = ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_b else "0";
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmpReg(REG,901)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmpReg_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena(REG,904)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_nor_q = "1") THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd(LOGICAL,905)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_sticky_ena_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_b <= en;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_a and ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_b;
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_inputreg(DELAY,893)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 11, depth => 1 )
PORT MAP ( xin => expX_uid6_fpLogETest_b, xout => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt(COUNTER,895)
-- every=1, low=0, high=24, step=1, init=1
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i = 23 THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_eq <= '1';
ELSE
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_eq = '1') THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i - 24;
ELSE
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_i,5));
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg(REG,896)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux(MUX,897)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_s <= en;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux: PROCESS (ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_s, ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg_q, ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_q)
BEGIN
CASE ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_s IS
WHEN "0" => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg_q;
WHEN "1" => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem(DUALMEM,894)
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_ia <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_inputreg_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_aa <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdreg_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_ab <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_rdmux_q;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 11,
widthad_a => 5,
numwords_a => 25,
width_b => 11,
widthad_b => 5,
numwords_b => 25,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_iq,
address_a => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_aa,
data_a => ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_ia
);
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_reset0 <= areset;
ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_q <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_iq(10 downto 0);
--e_uid29_fpLogETest(SUB,28)@27
e_uid29_fpLogETest_a <= STD_LOGIC_VECTOR("0" & ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_replace_mem_q);
e_uid29_fpLogETest_b <= STD_LOGIC_VECTOR("0" & cstBias_uid9_fpLogETest_q);
e_uid29_fpLogETest_o <= STD_LOGIC_VECTOR(UNSIGNED(e_uid29_fpLogETest_a) - UNSIGNED(e_uid29_fpLogETest_b));
e_uid29_fpLogETest_q <= e_uid29_fpLogETest_o(11 downto 0);
--xv0_uid90_constMult(BITSELECT,89)@27
xv0_uid90_constMult_in <= e_uid29_fpLogETest_q(5 downto 0);
xv0_uid90_constMult_b <= xv0_uid90_constMult_in(5 downto 0);
--ld_xv0_uid90_constMult_b_to_reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_a(DELAY,858)@27
ld_xv0_uid90_constMult_b_to_reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_a : dspba_delay
GENERIC MAP ( width => 6, depth => 1 )
PORT MAP ( xin => xv0_uid90_constMult_b, xout => ld_xv0_uid90_constMult_b_to_reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0(REG,403)@28
reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_q <= ld_xv0_uid90_constMult_b_to_reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_a_q;
END IF;
END IF;
END PROCESS;
--p0_uid93_constMult(LOOKUP,92)@29
p0_uid93_constMult: PROCESS (reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_xv0_uid90_constMult_0_to_p0_uid93_constMult_0_q) IS
WHEN "000000" => p0_uid93_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p0_uid93_constMult_q <= "000000101100010111001000010111111101111101000111001111011110000";
WHEN "000010" => p0_uid93_constMult_q <= "000001011000101110010000101111111011111010001110011110111100000";
WHEN "000011" => p0_uid93_constMult_q <= "000010000101000101011001000111111001110111010101101110011010000";
WHEN "000100" => p0_uid93_constMult_q <= "000010110001011100100001011111110111110100011100111101111000000";
WHEN "000101" => p0_uid93_constMult_q <= "000011011101110011101001110111110101110001100100001101010110000";
WHEN "000110" => p0_uid93_constMult_q <= "000100001010001010110010001111110011101110101011011100110100000";
WHEN "000111" => p0_uid93_constMult_q <= "000100110110100001111010100111110001101011110010101100010010000";
WHEN "001000" => p0_uid93_constMult_q <= "000101100010111001000010111111101111101000111001111011110000000";
WHEN "001001" => p0_uid93_constMult_q <= "000110001111010000001011010111101101100110000001001011001110000";
WHEN "001010" => p0_uid93_constMult_q <= "000110111011100111010011101111101011100011001000011010101100000";
WHEN "001011" => p0_uid93_constMult_q <= "000111100111111110011100000111101001100000001111101010001010000";
WHEN "001100" => p0_uid93_constMult_q <= "001000010100010101100100011111100111011101010110111001101000000";
WHEN "001101" => p0_uid93_constMult_q <= "001001000000101100101100110111100101011010011110001001000110000";
WHEN "001110" => p0_uid93_constMult_q <= "001001101101000011110101001111100011010111100101011000100100000";
WHEN "001111" => p0_uid93_constMult_q <= "001010011001011010111101100111100001010100101100101000000010000";
WHEN "010000" => p0_uid93_constMult_q <= "001011000101110010000101111111011111010001110011110111100000000";
WHEN "010001" => p0_uid93_constMult_q <= "001011110010001001001110010111011101001110111011000110111110000";
WHEN "010010" => p0_uid93_constMult_q <= "001100011110100000010110101111011011001100000010010110011100000";
WHEN "010011" => p0_uid93_constMult_q <= "001101001010110111011111000111011001001001001001100101111010000";
WHEN "010100" => p0_uid93_constMult_q <= "001101110111001110100111011111010111000110010000110101011000000";
WHEN "010101" => p0_uid93_constMult_q <= "001110100011100101101111110111010101000011011000000100110110000";
WHEN "010110" => p0_uid93_constMult_q <= "001111001111111100111000001111010011000000011111010100010100000";
WHEN "010111" => p0_uid93_constMult_q <= "001111111100010100000000100111010000111101100110100011110010000";
WHEN "011000" => p0_uid93_constMult_q <= "010000101000101011001000111111001110111010101101110011010000000";
WHEN "011001" => p0_uid93_constMult_q <= "010001010101000010010001010111001100110111110101000010101110000";
WHEN "011010" => p0_uid93_constMult_q <= "010010000001011001011001101111001010110100111100010010001100000";
WHEN "011011" => p0_uid93_constMult_q <= "010010101101110000100010000111001000110010000011100001101010000";
WHEN "011100" => p0_uid93_constMult_q <= "010011011010000111101010011111000110101111001010110001001000000";
WHEN "011101" => p0_uid93_constMult_q <= "010100000110011110110010110111000100101100010010000000100110000";
WHEN "011110" => p0_uid93_constMult_q <= "010100110010110101111011001111000010101001011001010000000100000";
WHEN "011111" => p0_uid93_constMult_q <= "010101011111001101000011100111000000100110100000011111100010000";
WHEN "100000" => p0_uid93_constMult_q <= "010110001011100100001011111110111110100011100111101111000000000";
WHEN "100001" => p0_uid93_constMult_q <= "010110110111111011010100010110111100100000101110111110011110000";
WHEN "100010" => p0_uid93_constMult_q <= "010111100100010010011100101110111010011101110110001101111100000";
WHEN "100011" => p0_uid93_constMult_q <= "011000010000101001100101000110111000011010111101011101011010000";
WHEN "100100" => p0_uid93_constMult_q <= "011000111101000000101101011110110110011000000100101100111000000";
WHEN "100101" => p0_uid93_constMult_q <= "011001101001010111110101110110110100010101001011111100010110000";
WHEN "100110" => p0_uid93_constMult_q <= "011010010101101110111110001110110010010010010011001011110100000";
WHEN "100111" => p0_uid93_constMult_q <= "011011000010000110000110100110110000001111011010011011010010000";
WHEN "101000" => p0_uid93_constMult_q <= "011011101110011101001110111110101110001100100001101010110000000";
WHEN "101001" => p0_uid93_constMult_q <= "011100011010110100010111010110101100001001101000111010001110000";
WHEN "101010" => p0_uid93_constMult_q <= "011101000111001011011111101110101010000110110000001001101100000";
WHEN "101011" => p0_uid93_constMult_q <= "011101110011100010101000000110101000000011110111011001001010000";
WHEN "101100" => p0_uid93_constMult_q <= "011110011111111001110000011110100110000000111110101000101000000";
WHEN "101101" => p0_uid93_constMult_q <= "011111001100010000111000110110100011111110000101111000000110000";
WHEN "101110" => p0_uid93_constMult_q <= "011111111000101000000001001110100001111011001101000111100100000";
WHEN "101111" => p0_uid93_constMult_q <= "100000100100111111001001100110011111111000010100010111000010000";
WHEN "110000" => p0_uid93_constMult_q <= "100001010001010110010001111110011101110101011011100110100000000";
WHEN "110001" => p0_uid93_constMult_q <= "100001111101101101011010010110011011110010100010110101111110000";
WHEN "110010" => p0_uid93_constMult_q <= "100010101010000100100010101110011001101111101010000101011100000";
WHEN "110011" => p0_uid93_constMult_q <= "100011010110011011101011000110010111101100110001010100111010000";
WHEN "110100" => p0_uid93_constMult_q <= "100100000010110010110011011110010101101001111000100100011000000";
WHEN "110101" => p0_uid93_constMult_q <= "100100101111001001111011110110010011100110111111110011110110000";
WHEN "110110" => p0_uid93_constMult_q <= "100101011011100001000100001110010001100100000111000011010100000";
WHEN "110111" => p0_uid93_constMult_q <= "100110000111111000001100100110001111100001001110010010110010000";
WHEN "111000" => p0_uid93_constMult_q <= "100110110100001111010100111110001101011110010101100010010000000";
WHEN "111001" => p0_uid93_constMult_q <= "100111100000100110011101010110001011011011011100110001101110000";
WHEN "111010" => p0_uid93_constMult_q <= "101000001100111101100101101110001001011000100100000001001100000";
WHEN "111011" => p0_uid93_constMult_q <= "101000111001010100101110000110000111010101101011010000101010000";
WHEN "111100" => p0_uid93_constMult_q <= "101001100101101011110110011110000101010010110010100000001000000";
WHEN "111101" => p0_uid93_constMult_q <= "101010010010000010111110110110000011001111111001101111100110000";
WHEN "111110" => p0_uid93_constMult_q <= "101010111110011010000111001110000001001101000000111111000100000";
WHEN "111111" => p0_uid93_constMult_q <= "101011101010110001001111100101111111001010001000001110100010000";
WHEN OTHERS =>
p0_uid93_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000";
END CASE;
-- End reserved scope level
END PROCESS;
--xv1_uid91_constMult(BITSELECT,90)@27
xv1_uid91_constMult_in <= e_uid29_fpLogETest_q;
xv1_uid91_constMult_b <= xv1_uid91_constMult_in(11 downto 6);
--reg_xv1_uid91_constMult_0_to_p1_uid92_constMult_0(REG,402)@27
reg_xv1_uid91_constMult_0_to_p1_uid92_constMult_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_xv1_uid91_constMult_0_to_p1_uid92_constMult_0_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_xv1_uid91_constMult_0_to_p1_uid92_constMult_0_q <= xv1_uid91_constMult_b;
END IF;
END IF;
END PROCESS;
--p1_uid92_constMult(LOOKUP,91)@28
p1_uid92_constMult: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
p1_uid92_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (reg_xv1_uid91_constMult_0_to_p1_uid92_constMult_0_q) IS
WHEN "000000" => p1_uid92_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
WHEN "000001" => p1_uid92_constMult_q <= "000000101100010111001000010111111101111101000111001111011110000000000";
WHEN "000010" => p1_uid92_constMult_q <= "000001011000101110010000101111111011111010001110011110111100000000000";
WHEN "000011" => p1_uid92_constMult_q <= "000010000101000101011001000111111001110111010101101110011010000000000";
WHEN "000100" => p1_uid92_constMult_q <= "000010110001011100100001011111110111110100011100111101111000000000000";
WHEN "000101" => p1_uid92_constMult_q <= "000011011101110011101001110111110101110001100100001101010110000000000";
WHEN "000110" => p1_uid92_constMult_q <= "000100001010001010110010001111110011101110101011011100110100000000000";
WHEN "000111" => p1_uid92_constMult_q <= "000100110110100001111010100111110001101011110010101100010010000000000";
WHEN "001000" => p1_uid92_constMult_q <= "000101100010111001000010111111101111101000111001111011110000000000000";
WHEN "001001" => p1_uid92_constMult_q <= "000110001111010000001011010111101101100110000001001011001110000000000";
WHEN "001010" => p1_uid92_constMult_q <= "000110111011100111010011101111101011100011001000011010101100000000000";
WHEN "001011" => p1_uid92_constMult_q <= "000111100111111110011100000111101001100000001111101010001010000000000";
WHEN "001100" => p1_uid92_constMult_q <= "001000010100010101100100011111100111011101010110111001101000000000000";
WHEN "001101" => p1_uid92_constMult_q <= "001001000000101100101100110111100101011010011110001001000110000000000";
WHEN "001110" => p1_uid92_constMult_q <= "001001101101000011110101001111100011010111100101011000100100000000000";
WHEN "001111" => p1_uid92_constMult_q <= "001010011001011010111101100111100001010100101100101000000010000000000";
WHEN "010000" => p1_uid92_constMult_q <= "001011000101110010000101111111011111010001110011110111100000000000000";
WHEN "010001" => p1_uid92_constMult_q <= "001011110010001001001110010111011101001110111011000110111110000000000";
WHEN "010010" => p1_uid92_constMult_q <= "001100011110100000010110101111011011001100000010010110011100000000000";
WHEN "010011" => p1_uid92_constMult_q <= "001101001010110111011111000111011001001001001001100101111010000000000";
WHEN "010100" => p1_uid92_constMult_q <= "001101110111001110100111011111010111000110010000110101011000000000000";
WHEN "010101" => p1_uid92_constMult_q <= "001110100011100101101111110111010101000011011000000100110110000000000";
WHEN "010110" => p1_uid92_constMult_q <= "001111001111111100111000001111010011000000011111010100010100000000000";
WHEN "010111" => p1_uid92_constMult_q <= "001111111100010100000000100111010000111101100110100011110010000000000";
WHEN "011000" => p1_uid92_constMult_q <= "010000101000101011001000111111001110111010101101110011010000000000000";
WHEN "011001" => p1_uid92_constMult_q <= "010001010101000010010001010111001100110111110101000010101110000000000";
WHEN "011010" => p1_uid92_constMult_q <= "010010000001011001011001101111001010110100111100010010001100000000000";
WHEN "011011" => p1_uid92_constMult_q <= "010010101101110000100010000111001000110010000011100001101010000000000";
WHEN "011100" => p1_uid92_constMult_q <= "010011011010000111101010011111000110101111001010110001001000000000000";
WHEN "011101" => p1_uid92_constMult_q <= "010100000110011110110010110111000100101100010010000000100110000000000";
WHEN "011110" => p1_uid92_constMult_q <= "010100110010110101111011001111000010101001011001010000000100000000000";
WHEN "011111" => p1_uid92_constMult_q <= "010101011111001101000011100111000000100110100000011111100010000000000";
WHEN "100000" => p1_uid92_constMult_q <= "101001110100011011110100000001000001011100011000010001000000000000000";
WHEN "100001" => p1_uid92_constMult_q <= "101010100000110010111100011000111111011001011111100000011110000000000";
WHEN "100010" => p1_uid92_constMult_q <= "101011001101001010000100110000111101010110100110101111111100000000000";
WHEN "100011" => p1_uid92_constMult_q <= "101011111001100001001101001000111011010011101101111111011010000000000";
WHEN "100100" => p1_uid92_constMult_q <= "101100100101111000010101100000111001010000110101001110111000000000000";
WHEN "100101" => p1_uid92_constMult_q <= "101101010010001111011101111000110111001101111100011110010110000000000";
WHEN "100110" => p1_uid92_constMult_q <= "101101111110100110100110010000110101001011000011101101110100000000000";
WHEN "100111" => p1_uid92_constMult_q <= "101110101010111101101110101000110011001000001010111101010010000000000";
WHEN "101000" => p1_uid92_constMult_q <= "101111010111010100110111000000110001000101010010001100110000000000000";
WHEN "101001" => p1_uid92_constMult_q <= "110000000011101011111111011000101111000010011001011100001110000000000";
WHEN "101010" => p1_uid92_constMult_q <= "110000110000000011000111110000101100111111100000101011101100000000000";
WHEN "101011" => p1_uid92_constMult_q <= "110001011100011010010000001000101010111100100111111011001010000000000";
WHEN "101100" => p1_uid92_constMult_q <= "110010001000110001011000100000101000111001101111001010101000000000000";
WHEN "101101" => p1_uid92_constMult_q <= "110010110101001000100000111000100110110110110110011010000110000000000";
WHEN "101110" => p1_uid92_constMult_q <= "110011100001011111101001010000100100110011111101101001100100000000000";
WHEN "101111" => p1_uid92_constMult_q <= "110100001101110110110001101000100010110001000100111001000010000000000";
WHEN "110000" => p1_uid92_constMult_q <= "110100111010001101111010000000100000101110001100001000100000000000000";
WHEN "110001" => p1_uid92_constMult_q <= "110101100110100101000010011000011110101011010011010111111110000000000";
WHEN "110010" => p1_uid92_constMult_q <= "110110010010111100001010110000011100101000011010100111011100000000000";
WHEN "110011" => p1_uid92_constMult_q <= "110110111111010011010011001000011010100101100001110110111010000000000";
WHEN "110100" => p1_uid92_constMult_q <= "110111101011101010011011100000011000100010101001000110011000000000000";
WHEN "110101" => p1_uid92_constMult_q <= "111000011000000001100011111000010110011111110000010101110110000000000";
WHEN "110110" => p1_uid92_constMult_q <= "111001000100011000101100010000010100011100110111100101010100000000000";
WHEN "110111" => p1_uid92_constMult_q <= "111001110000101111110100101000010010011001111110110100110010000000000";
WHEN "111000" => p1_uid92_constMult_q <= "111010011101000110111101000000010000010111000110000100010000000000000";
WHEN "111001" => p1_uid92_constMult_q <= "111011001001011110000101011000001110010100001101010011101110000000000";
WHEN "111010" => p1_uid92_constMult_q <= "111011110101110101001101110000001100010001010100100011001100000000000";
WHEN "111011" => p1_uid92_constMult_q <= "111100100010001100010110001000001010001110011011110010101010000000000";
WHEN "111100" => p1_uid92_constMult_q <= "111101001110100011011110100000001000001011100011000010001000000000000";
WHEN "111101" => p1_uid92_constMult_q <= "111101111010111010100110111000000110001000101010010001100110000000000";
WHEN "111110" => p1_uid92_constMult_q <= "111110100111010001101111010000000100000101110001100001000100000000000";
WHEN "111111" => p1_uid92_constMult_q <= "111111010011101000110111101000000010000010111000110000100010000000000";
WHEN OTHERS =>
p1_uid92_constMult_q <= "000000000000000000000000000000000000000000000000000000000000000000000";
END CASE;
END IF;
END IF;
END PROCESS;
--lev1_a0_uid94_constMult(ADD,93)@29
lev1_a0_uid94_constMult_a <= STD_LOGIC_VECTOR((70 downto 69 => p1_uid92_constMult_q(68)) & p1_uid92_constMult_q);
lev1_a0_uid94_constMult_b <= STD_LOGIC_VECTOR('0' & "0000000" & p0_uid93_constMult_q);
lev1_a0_uid94_constMult_o <= STD_LOGIC_VECTOR(SIGNED(lev1_a0_uid94_constMult_a) + SIGNED(lev1_a0_uid94_constMult_b));
lev1_a0_uid94_constMult_q <= lev1_a0_uid94_constMult_o(69 downto 0);
--sR_uid95_constMult(BITSELECT,94)@29
sR_uid95_constMult_in <= lev1_a0_uid94_constMult_q(68 downto 0);
sR_uid95_constMult_b <= sR_uid95_constMult_in(68 downto 2);
--reg_sR_uid95_constMult_0_to_addTermOne_uid45_fpLogETest_2(REG,405)@29
reg_sR_uid95_constMult_0_to_addTermOne_uid45_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_sR_uid95_constMult_0_to_addTermOne_uid45_fpLogETest_2_q <= "0000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_sR_uid95_constMult_0_to_addTermOne_uid45_fpLogETest_2_q <= sR_uid95_constMult_b;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor(LOGICAL,953)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_b <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_q <= not (ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_a or ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_b);
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_mem_top(CONSTANT,949)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_mem_top_q <= "011010";
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp(LOGICAL,950)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_a <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_mem_top_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_q);
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_q <= "1" when ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_a = ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_b else "0";
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmpReg(REG,951)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmpReg_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena(REG,954)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_nor_q = "1") THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd(LOGICAL,955)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_a <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_sticky_ena_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_b <= en;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_a and ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_b;
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt(COUNTER,945)
-- every=1, low=0, high=26, step=1, init=1
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i = 25 THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_eq <= '1';
ELSE
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_eq = '1') THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i - 26;
ELSE
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_i,5));
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg(REG,946)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux(MUX,947)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_s <= en;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux: PROCESS (ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_s, ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg_q, ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_q)
BEGIN
CASE ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_s IS
WHEN "0" => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg_q;
WHEN "1" => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdcnt_q;
WHEN OTHERS => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem(DUALMEM,944)
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_ia <= ld_reg_c_uid31_fpLogETest_0_to_multTermOne_uid42_fpLogETest_1_q_to_multTermOne_uid42_fpLogETest_b_inputreg_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_aa <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdreg_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_ab <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_rdmux_q;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 27,
width_b => 1,
widthad_b => 5,
numwords_b => 27,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_iq,
address_a => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_aa,
data_a => ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_ia
);
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_reset0 <= areset;
ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_q <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_iq(0 downto 0);
--addTermOne_uid45_fpLogETest(MUX,44)@30
addTermOne_uid45_fpLogETest_s <= ld_reg_c_uid31_fpLogETest_0_to_addTermOne_uid45_fpLogETest_1_q_to_addTermOne_uid45_fpLogETest_b_replace_mem_q;
addTermOne_uid45_fpLogETest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
addTermOne_uid45_fpLogETest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE addTermOne_uid45_fpLogETest_s IS
WHEN "0" => addTermOne_uid45_fpLogETest_q <= reg_sR_uid95_constMult_0_to_addTermOne_uid45_fpLogETest_2_q;
WHEN "1" => addTermOne_uid45_fpLogETest_q <= wideZero_uid44_fpLogETest_q;
WHEN OTHERS => addTermOne_uid45_fpLogETest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid48_fpLogETest(ADD,47)@31
sumAHighB_uid48_fpLogETest_a <= STD_LOGIC_VECTOR((67 downto 67 => addTermOne_uid45_fpLogETest_q(66)) & addTermOne_uid45_fpLogETest_q);
sumAHighB_uid48_fpLogETest_b <= STD_LOGIC_VECTOR((67 downto 59 => reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1_q(58)) & reg_highBBits_uid47_fpLogETest_0_to_sumAHighB_uid48_fpLogETest_1_q);
sumAHighB_uid48_fpLogETest_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid48_fpLogETest_a) + SIGNED(sumAHighB_uid48_fpLogETest_b));
sumAHighB_uid48_fpLogETest_q <= sumAHighB_uid48_fpLogETest_o(67 downto 0);
--lowRangeB_uid46_fpLogETest(BITSELECT,45)@30
lowRangeB_uid46_fpLogETest_in <= postPEMul_uid43_fpLogETest_result_add_1_0_q(49 downto 0);
lowRangeB_uid46_fpLogETest_b <= lowRangeB_uid46_fpLogETest_in(49 downto 0);
--reg_lowRangeB_uid46_fpLogETest_0_to_finalSum_uid46_uid49_fpLogETest_0(REG,407)@30
reg_lowRangeB_uid46_fpLogETest_0_to_finalSum_uid46_uid49_fpLogETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_lowRangeB_uid46_fpLogETest_0_to_finalSum_uid46_uid49_fpLogETest_0_q <= "00000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_lowRangeB_uid46_fpLogETest_0_to_finalSum_uid46_uid49_fpLogETest_0_q <= lowRangeB_uid46_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--finalSum_uid46_uid49_fpLogETest(BITJOIN,48)@31
finalSum_uid46_uid49_fpLogETest_q <= sumAHighB_uid48_fpLogETest_q & reg_lowRangeB_uid46_fpLogETest_0_to_finalSum_uid46_uid49_fpLogETest_0_q;
--FullSumAB117_uid50_fpLogETest(BITSELECT,49)@31
FullSumAB117_uid50_fpLogETest_in <= finalSum_uid46_uid49_fpLogETest_q;
FullSumAB117_uid50_fpLogETest_b <= FullSumAB117_uid50_fpLogETest_in(117 downto 117);
--notC_uid71_fpLogETest(LOGICAL,70)@31
notC_uid71_fpLogETest_a <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_q;
notC_uid71_fpLogETest_q <= not notC_uid71_fpLogETest_a;
--signTerm2_uid72_fpLogETest(LOGICAL,71)@31
signTerm2_uid72_fpLogETest_a <= notC_uid71_fpLogETest_q;
signTerm2_uid72_fpLogETest_b <= FullSumAB117_uid50_fpLogETest_b;
signTerm2_uid72_fpLogETest_q <= signTerm2_uid72_fpLogETest_a and signTerm2_uid72_fpLogETest_b;
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor(LOGICAL,966)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_b <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_q <= not (ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_a or ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_b);
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_mem_top(CONSTANT,962)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_mem_top_q <= "011100";
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp(LOGICAL,963)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_a <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_mem_top_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q);
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_q <= "1" when ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_a = ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_b else "0";
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg(REG,964)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena(REG,967)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_nor_q = "1") THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd(LOGICAL,968)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_a <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_sticky_ena_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_b <= en;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_a and ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_b;
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_inputreg(DELAY,956)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => c_uid31_fpLogETest_q, xout => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt(COUNTER,958)
-- every=1, low=0, high=28, step=1, init=1
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i = 27 THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_eq <= '1';
ELSE
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_eq = '1') THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i - 28;
ELSE
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_i,5));
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg(REG,959)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux(MUX,960)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_s <= en;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux: PROCESS (ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_s, ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q, ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_q)
BEGIN
CASE ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_s IS
WHEN "0" => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q;
WHEN "1" => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem(DUALMEM,957)
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_ia <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_inputreg_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_aa <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_ab <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 29,
width_b => 1,
widthad_b => 5,
numwords_b => 29,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_iq,
address_a => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_aa,
data_a => ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_ia
);
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_reset0 <= areset;
ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_iq(0 downto 0);
--signRC1_uid73_fpLogETest(LOGICAL,72)@31
signRC1_uid73_fpLogETest_a <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_mem_q;
signRC1_uid73_fpLogETest_b <= signTerm2_uid72_fpLogETest_q;
signRC1_uid73_fpLogETest_q_i <= signRC1_uid73_fpLogETest_a or signRC1_uid73_fpLogETest_b;
signRC1_uid73_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => signRC1_uid73_fpLogETest_q, xin => signRC1_uid73_fpLogETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor(LOGICAL,979)
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_b <= ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena_q;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_q <= not (ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_a or ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_b);
--ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena(REG,980)
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_nor_q = "1") THEN
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd(LOGICAL,981)
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_a <= ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_sticky_ena_q;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_b <= en;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_q <= ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_a and ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_b;
--cstAllZWF_uid8_fpLogETest(CONSTANT,7)
cstAllZWF_uid8_fpLogETest_q <= "0000000000000000000000000000000000000000000000000000";
--fracXIsZero_uid20_fpLogETest(LOGICAL,19)@0
fracXIsZero_uid20_fpLogETest_a <= frac_uid19_fpLogETest_b;
fracXIsZero_uid20_fpLogETest_b <= cstAllZWF_uid8_fpLogETest_q;
fracXIsZero_uid20_fpLogETest_q <= "1" when fracXIsZero_uid20_fpLogETest_a = fracXIsZero_uid20_fpLogETest_b else "0";
--InvFracXIsZero_uid22_fpLogETest(LOGICAL,21)@0
InvFracXIsZero_uid22_fpLogETest_a <= fracXIsZero_uid20_fpLogETest_q;
InvFracXIsZero_uid22_fpLogETest_q <= not InvFracXIsZero_uid22_fpLogETest_a;
--cstAllOWE_uid12_fpLogETest(CONSTANT,11)
cstAllOWE_uid12_fpLogETest_q <= "11111111111";
--expXIsMax_uid18_fpLogETest(LOGICAL,17)@0
expXIsMax_uid18_fpLogETest_a <= expX_uid6_fpLogETest_b;
expXIsMax_uid18_fpLogETest_b <= cstAllOWE_uid12_fpLogETest_q;
expXIsMax_uid18_fpLogETest_q <= "1" when expXIsMax_uid18_fpLogETest_a = expXIsMax_uid18_fpLogETest_b else "0";
--exc_N_uid23_fpLogETest(LOGICAL,22)@0
exc_N_uid23_fpLogETest_a <= expXIsMax_uid18_fpLogETest_q;
exc_N_uid23_fpLogETest_b <= InvFracXIsZero_uid22_fpLogETest_q;
exc_N_uid23_fpLogETest_q <= exc_N_uid23_fpLogETest_a and exc_N_uid23_fpLogETest_b;
--InvExc_N_uid24_fpLogETest(LOGICAL,23)@0
InvExc_N_uid24_fpLogETest_a <= exc_N_uid23_fpLogETest_q;
InvExc_N_uid24_fpLogETest_q <= not InvExc_N_uid24_fpLogETest_a;
--exc_I_uid21_fpLogETest(LOGICAL,20)@0
exc_I_uid21_fpLogETest_a <= expXIsMax_uid18_fpLogETest_q;
exc_I_uid21_fpLogETest_b <= fracXIsZero_uid20_fpLogETest_q;
exc_I_uid21_fpLogETest_q <= exc_I_uid21_fpLogETest_a and exc_I_uid21_fpLogETest_b;
--InvExc_I_uid25_fpLogETest(LOGICAL,24)@0
InvExc_I_uid25_fpLogETest_a <= exc_I_uid21_fpLogETest_q;
InvExc_I_uid25_fpLogETest_q <= not InvExc_I_uid25_fpLogETest_a;
--cstAllZWE_uid14_fpLogETest(CONSTANT,13)
cstAllZWE_uid14_fpLogETest_q <= "00000000000";
--expXIsZero_uid16_fpLogETest(LOGICAL,15)@0
expXIsZero_uid16_fpLogETest_a <= expX_uid6_fpLogETest_b;
expXIsZero_uid16_fpLogETest_b <= cstAllZWE_uid14_fpLogETest_q;
expXIsZero_uid16_fpLogETest_q <= "1" when expXIsZero_uid16_fpLogETest_a = expXIsZero_uid16_fpLogETest_b else "0";
--InvExpXIsZero_uid26_fpLogETest(LOGICAL,25)@0
InvExpXIsZero_uid26_fpLogETest_a <= expXIsZero_uid16_fpLogETest_q;
InvExpXIsZero_uid26_fpLogETest_q <= not InvExpXIsZero_uid26_fpLogETest_a;
--exc_R_uid27_fpLogETest(LOGICAL,26)@0
exc_R_uid27_fpLogETest_a <= InvExpXIsZero_uid26_fpLogETest_q;
exc_R_uid27_fpLogETest_b <= InvExc_I_uid25_fpLogETest_q;
exc_R_uid27_fpLogETest_c <= InvExc_N_uid24_fpLogETest_q;
exc_R_uid27_fpLogETest_q_i <= exc_R_uid27_fpLogETest_a and exc_R_uid27_fpLogETest_b and exc_R_uid27_fpLogETest_c;
exc_R_uid27_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => exc_R_uid27_fpLogETest_q, xin => exc_R_uid27_fpLogETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_inputreg(DELAY,969)
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_R_uid27_fpLogETest_q, xout => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem(DUALMEM,970)
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_ia <= ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_inputreg_q;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_aa <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_ab <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 29,
width_b => 1,
widthad_b => 5,
numwords_b => 29,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_iq,
address_a => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_aa,
data_a => ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_ia
);
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_reset0 <= areset;
ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_q <= ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_iq(0 downto 0);
--signRC11_uid74_fpLogETest(LOGICAL,73)@32
signRC11_uid74_fpLogETest_a <= ld_exc_R_uid27_fpLogETest_q_to_signRC11_uid74_fpLogETest_a_replace_mem_q;
signRC11_uid74_fpLogETest_b <= signRC1_uid73_fpLogETest_q;
signRC11_uid74_fpLogETest_q <= signRC11_uid74_fpLogETest_a and signRC11_uid74_fpLogETest_b;
--ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor(LOGICAL,992)
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_b <= ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena_q;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_q <= not (ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_a or ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_b);
--ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena(REG,993)
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_nor_q = "1") THEN
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd(LOGICAL,994)
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_a <= ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_sticky_ena_q;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_b <= en;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_q <= ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_a and ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_b;
--reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1(REG,437)@0
reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q <= expXIsZero_uid16_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_inputreg(DELAY,982)
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q, xout => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem(DUALMEM,983)
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_ia <= ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_inputreg_q;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_aa <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_ab <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 29,
width_b => 1,
widthad_b => 5,
numwords_b => 29,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_iq,
address_a => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_aa,
data_a => ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_ia
);
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_reset0 <= areset;
ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_q <= ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_iq(0 downto 0);
--signR_uid75_fpLogETest(LOGICAL,74)@32
signR_uid75_fpLogETest_a <= ld_reg_expXIsZero_uid16_fpLogETest_0_to_signR_uid75_fpLogETest_1_q_to_signR_uid75_fpLogETest_a_replace_mem_q;
signR_uid75_fpLogETest_b <= signRC11_uid74_fpLogETest_q;
signR_uid75_fpLogETest_q <= signR_uid75_fpLogETest_a or signR_uid75_fpLogETest_b;
--ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor(LOGICAL,1005)
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_b <= ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena_q;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_q <= not (ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_a or ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_b);
--ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena(REG,1006)
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_nor_q = "1") THEN
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena_q <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd(LOGICAL,1007)
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_a <= ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_sticky_ena_q;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_b <= en;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_q <= ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_a and ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_b;
--signX_uid7_fpLogETest(BITSELECT,6)@0
signX_uid7_fpLogETest_in <= a;
signX_uid7_fpLogETest_b <= signX_uid7_fpLogETest_in(63 downto 63);
--negNonZero_uid69_fpLogETest(LOGICAL,68)@0
negNonZero_uid69_fpLogETest_a <= InvExpXIsZero_uid26_fpLogETest_q;
negNonZero_uid69_fpLogETest_b <= signX_uid7_fpLogETest_b;
negNonZero_uid69_fpLogETest_q <= negNonZero_uid69_fpLogETest_a and negNonZero_uid69_fpLogETest_b;
--excRNaN_uid70_fpLogETest(LOGICAL,69)@0
excRNaN_uid70_fpLogETest_a <= negNonZero_uid69_fpLogETest_q;
excRNaN_uid70_fpLogETest_b <= exc_N_uid23_fpLogETest_q;
excRNaN_uid70_fpLogETest_q <= excRNaN_uid70_fpLogETest_a or excRNaN_uid70_fpLogETest_b;
--ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_inputreg(DELAY,995)
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => excRNaN_uid70_fpLogETest_q, xout => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem(DUALMEM,996)
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_ia <= ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_inputreg_q;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_aa <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdreg_q;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_ab <= ld_c_uid31_fpLogETest_q_to_notC_uid71_fpLogETest_a_replace_rdmux_q;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 29,
width_b => 1,
widthad_b => 5,
numwords_b => 29,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_iq,
address_a => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_aa,
data_a => ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_ia
);
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_reset0 <= areset;
ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_q <= ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_iq(0 downto 0);
--InvExcRNaN_uid76_fpLogETest(LOGICAL,75)@31
InvExcRNaN_uid76_fpLogETest_a <= ld_excRNaN_uid70_fpLogETest_q_to_InvExcRNaN_uid76_fpLogETest_a_replace_mem_q;
InvExcRNaN_uid76_fpLogETest_q_i <= not InvExcRNaN_uid76_fpLogETest_a;
InvExcRNaN_uid76_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => InvExcRNaN_uid76_fpLogETest_q, xin => InvExcRNaN_uid76_fpLogETest_q_i, clk => clk, aclr => areset);
--signRFull_uid77_fpLogETest(LOGICAL,76)@32
signRFull_uid77_fpLogETest_a <= InvExcRNaN_uid76_fpLogETest_q;
signRFull_uid77_fpLogETest_b <= signR_uid75_fpLogETest_q;
signRFull_uid77_fpLogETest_q_i <= signRFull_uid77_fpLogETest_a and signRFull_uid77_fpLogETest_b;
signRFull_uid77_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => signRFull_uid77_fpLogETest_q, xin => signRFull_uid77_fpLogETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_signRFull_uid77_fpLogETest_q_to_RLn_uid88_fpLogETest_c(DELAY,522)@33
ld_signRFull_uid77_fpLogETest_q_to_RLn_uid88_fpLogETest_c : dspba_delay
GENERIC MAP ( width => 1, depth => 8 )
PORT MAP ( xin => signRFull_uid77_fpLogETest_q, xout => ld_signRFull_uid77_fpLogETest_q_to_RLn_uid88_fpLogETest_c_q, ena => en(0), clk => clk, aclr => areset );
--zs_uid147_countZ_uid54_fpLogETest(CONSTANT,146)
zs_uid147_countZ_uid54_fpLogETest_q <= "0000000000000000000000000000000000000000000000000000000000000000";
--ld_FullSumAB117_uid50_fpLogETest_b_to_finalSumAbs_uid53_fpLogETest_b(DELAY,481)@31
ld_FullSumAB117_uid50_fpLogETest_b_to_finalSumAbs_uid53_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => FullSumAB117_uid50_fpLogETest_b, xout => ld_FullSumAB117_uid50_fpLogETest_b_to_finalSumAbs_uid53_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--finalSumOneComp_uid52_fpLogETest(LOGICAL,51)@31
finalSumOneComp_uid52_fpLogETest_a <= finalSum_uid46_uid49_fpLogETest_q;
finalSumOneComp_uid52_fpLogETest_b <= STD_LOGIC_VECTOR((117 downto 1 => FullSumAB117_uid50_fpLogETest_b(0)) & FullSumAB117_uid50_fpLogETest_b);
finalSumOneComp_uid52_fpLogETest_q_i <= finalSumOneComp_uid52_fpLogETest_a xor finalSumOneComp_uid52_fpLogETest_b;
finalSumOneComp_uid52_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 118, depth => 1)
PORT MAP (xout => finalSumOneComp_uid52_fpLogETest_q, xin => finalSumOneComp_uid52_fpLogETest_q_i, clk => clk, ena => en(0), aclr => areset);
--finalSumAbs_uid53_fpLogETest(ADD,52)@32
finalSumAbs_uid53_fpLogETest_a <= STD_LOGIC_VECTOR((118 downto 118 => finalSumOneComp_uid52_fpLogETest_q(117)) & finalSumOneComp_uid52_fpLogETest_q);
finalSumAbs_uid53_fpLogETest_b <= STD_LOGIC_VECTOR((118 downto 1 => ld_FullSumAB117_uid50_fpLogETest_b_to_finalSumAbs_uid53_fpLogETest_b_q(0)) & ld_FullSumAB117_uid50_fpLogETest_b_to_finalSumAbs_uid53_fpLogETest_b_q);
finalSumAbs_uid53_fpLogETest_o <= STD_LOGIC_VECTOR(SIGNED(finalSumAbs_uid53_fpLogETest_a) + SIGNED(finalSumAbs_uid53_fpLogETest_b));
finalSumAbs_uid53_fpLogETest_q <= finalSumAbs_uid53_fpLogETest_o(118 downto 0);
--rVStage_uid148_countZ_uid54_fpLogETest(BITSELECT,147)@32
rVStage_uid148_countZ_uid54_fpLogETest_in <= finalSumAbs_uid53_fpLogETest_q;
rVStage_uid148_countZ_uid54_fpLogETest_b <= rVStage_uid148_countZ_uid54_fpLogETest_in(118 downto 55);
--reg_rVStage_uid148_countZ_uid54_fpLogETest_0_to_vCount_uid149_countZ_uid54_fpLogETest_1(REG,408)@32
reg_rVStage_uid148_countZ_uid54_fpLogETest_0_to_vCount_uid149_countZ_uid54_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid148_countZ_uid54_fpLogETest_0_to_vCount_uid149_countZ_uid54_fpLogETest_1_q <= "0000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid148_countZ_uid54_fpLogETest_0_to_vCount_uid149_countZ_uid54_fpLogETest_1_q <= rVStage_uid148_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid149_countZ_uid54_fpLogETest(LOGICAL,148)@33
vCount_uid149_countZ_uid54_fpLogETest_a <= reg_rVStage_uid148_countZ_uid54_fpLogETest_0_to_vCount_uid149_countZ_uid54_fpLogETest_1_q;
vCount_uid149_countZ_uid54_fpLogETest_b <= zs_uid147_countZ_uid54_fpLogETest_q;
vCount_uid149_countZ_uid54_fpLogETest_q <= "1" when vCount_uid149_countZ_uid54_fpLogETest_a = vCount_uid149_countZ_uid54_fpLogETest_b else "0";
--reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6(REG,422)@33
reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q <= vCount_uid149_countZ_uid54_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q_to_r_uid188_countZ_uid54_fpLogETest_g(DELAY,616)@34
ld_reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q_to_r_uid188_countZ_uid54_fpLogETest_g : dspba_delay
GENERIC MAP ( width => 1, depth => 3 )
PORT MAP ( xin => reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q, xout => ld_reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q_to_r_uid188_countZ_uid54_fpLogETest_g_q, ena => en(0), clk => clk, aclr => areset );
--zs_uid155_countZ_uid54_fpLogETest(CONSTANT,154)
zs_uid155_countZ_uid54_fpLogETest_q <= "00000000000000000000000000000000";
--vStage_uid151_countZ_uid54_fpLogETest(BITSELECT,150)@32
vStage_uid151_countZ_uid54_fpLogETest_in <= finalSumAbs_uid53_fpLogETest_q(54 downto 0);
vStage_uid151_countZ_uid54_fpLogETest_b <= vStage_uid151_countZ_uid54_fpLogETest_in(54 downto 0);
--ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_cStage_uid152_countZ_uid54_fpLogETest_b(DELAY,574)@32
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_cStage_uid152_countZ_uid54_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 55, depth => 1 )
PORT MAP ( xin => vStage_uid151_countZ_uid54_fpLogETest_b, xout => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_cStage_uid152_countZ_uid54_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--mO_uid150_countZ_uid54_fpLogETest(CONSTANT,149)
mO_uid150_countZ_uid54_fpLogETest_q <= "111111111";
--cStage_uid152_countZ_uid54_fpLogETest(BITJOIN,151)@33
cStage_uid152_countZ_uid54_fpLogETest_q <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_cStage_uid152_countZ_uid54_fpLogETest_b_q & mO_uid150_countZ_uid54_fpLogETest_q;
--ld_rVStage_uid148_countZ_uid54_fpLogETest_b_to_vStagei_uid154_countZ_uid54_fpLogETest_c(DELAY,576)@32
ld_rVStage_uid148_countZ_uid54_fpLogETest_b_to_vStagei_uid154_countZ_uid54_fpLogETest_c : dspba_delay
GENERIC MAP ( width => 64, depth => 1 )
PORT MAP ( xin => rVStage_uid148_countZ_uid54_fpLogETest_b, xout => ld_rVStage_uid148_countZ_uid54_fpLogETest_b_to_vStagei_uid154_countZ_uid54_fpLogETest_c_q, ena => en(0), clk => clk, aclr => areset );
--vStagei_uid154_countZ_uid54_fpLogETest(MUX,153)@33
vStagei_uid154_countZ_uid54_fpLogETest_s <= vCount_uid149_countZ_uid54_fpLogETest_q;
vStagei_uid154_countZ_uid54_fpLogETest: PROCESS (vStagei_uid154_countZ_uid54_fpLogETest_s, en, ld_rVStage_uid148_countZ_uid54_fpLogETest_b_to_vStagei_uid154_countZ_uid54_fpLogETest_c_q, cStage_uid152_countZ_uid54_fpLogETest_q)
BEGIN
CASE vStagei_uid154_countZ_uid54_fpLogETest_s IS
WHEN "0" => vStagei_uid154_countZ_uid54_fpLogETest_q <= ld_rVStage_uid148_countZ_uid54_fpLogETest_b_to_vStagei_uid154_countZ_uid54_fpLogETest_c_q;
WHEN "1" => vStagei_uid154_countZ_uid54_fpLogETest_q <= cStage_uid152_countZ_uid54_fpLogETest_q;
WHEN OTHERS => vStagei_uid154_countZ_uid54_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid156_countZ_uid54_fpLogETest(BITSELECT,155)@33
rVStage_uid156_countZ_uid54_fpLogETest_in <= vStagei_uid154_countZ_uid54_fpLogETest_q;
rVStage_uid156_countZ_uid54_fpLogETest_b <= rVStage_uid156_countZ_uid54_fpLogETest_in(63 downto 32);
--reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1(REG,409)@33
reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1_q <= rVStage_uid156_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid157_countZ_uid54_fpLogETest(LOGICAL,156)@34
vCount_uid157_countZ_uid54_fpLogETest_a <= reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1_q;
vCount_uid157_countZ_uid54_fpLogETest_b <= zs_uid155_countZ_uid54_fpLogETest_q;
vCount_uid157_countZ_uid54_fpLogETest_q <= "1" when vCount_uid157_countZ_uid54_fpLogETest_a = vCount_uid157_countZ_uid54_fpLogETest_b else "0";
--ld_vCount_uid157_countZ_uid54_fpLogETest_q_to_reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_a(DELAY,876)@34
ld_vCount_uid157_countZ_uid54_fpLogETest_q_to_reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_a : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => vCount_uid157_countZ_uid54_fpLogETest_q, xout => ld_vCount_uid157_countZ_uid54_fpLogETest_q_to_reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5(REG,421)@36
reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_q <= ld_vCount_uid157_countZ_uid54_fpLogETest_q_to_reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_a_q;
END IF;
END IF;
END PROCESS;
--zs_uid161_countZ_uid54_fpLogETest(CONSTANT,160)
zs_uid161_countZ_uid54_fpLogETest_q <= "0000000000000000";
--vStage_uid158_countZ_uid54_fpLogETest(BITSELECT,157)@33
vStage_uid158_countZ_uid54_fpLogETest_in <= vStagei_uid154_countZ_uid54_fpLogETest_q(31 downto 0);
vStage_uid158_countZ_uid54_fpLogETest_b <= vStage_uid158_countZ_uid54_fpLogETest_in(31 downto 0);
--reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3(REG,411)@33
reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3_q <= "00000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3_q <= vStage_uid158_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid160_countZ_uid54_fpLogETest(MUX,159)@34
vStagei_uid160_countZ_uid54_fpLogETest_s <= vCount_uid157_countZ_uid54_fpLogETest_q;
vStagei_uid160_countZ_uid54_fpLogETest: PROCESS (vStagei_uid160_countZ_uid54_fpLogETest_s, en, reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1_q, reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3_q)
BEGIN
CASE vStagei_uid160_countZ_uid54_fpLogETest_s IS
WHEN "0" => vStagei_uid160_countZ_uid54_fpLogETest_q <= reg_rVStage_uid156_countZ_uid54_fpLogETest_0_to_vCount_uid157_countZ_uid54_fpLogETest_1_q;
WHEN "1" => vStagei_uid160_countZ_uid54_fpLogETest_q <= reg_vStage_uid158_countZ_uid54_fpLogETest_0_to_vStagei_uid160_countZ_uid54_fpLogETest_3_q;
WHEN OTHERS => vStagei_uid160_countZ_uid54_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid162_countZ_uid54_fpLogETest(BITSELECT,161)@34
rVStage_uid162_countZ_uid54_fpLogETest_in <= vStagei_uid160_countZ_uid54_fpLogETest_q;
rVStage_uid162_countZ_uid54_fpLogETest_b <= rVStage_uid162_countZ_uid54_fpLogETest_in(31 downto 16);
--reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1(REG,412)@34
reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1_q <= rVStage_uid162_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vCount_uid163_countZ_uid54_fpLogETest(LOGICAL,162)@35
vCount_uid163_countZ_uid54_fpLogETest_a <= reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1_q;
vCount_uid163_countZ_uid54_fpLogETest_b <= zs_uid161_countZ_uid54_fpLogETest_q;
vCount_uid163_countZ_uid54_fpLogETest_q <= "1" when vCount_uid163_countZ_uid54_fpLogETest_a = vCount_uid163_countZ_uid54_fpLogETest_b else "0";
--ld_vCount_uid163_countZ_uid54_fpLogETest_q_to_reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_a(DELAY,875)@35
ld_vCount_uid163_countZ_uid54_fpLogETest_q_to_reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid163_countZ_uid54_fpLogETest_q, xout => ld_vCount_uid163_countZ_uid54_fpLogETest_q_to_reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4(REG,420)@36
reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_q <= ld_vCount_uid163_countZ_uid54_fpLogETest_q_to_reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_a_q;
END IF;
END IF;
END PROCESS;
--zs_uid167_countZ_uid54_fpLogETest(CONSTANT,166)
zs_uid167_countZ_uid54_fpLogETest_q <= "00000000";
--vStage_uid164_countZ_uid54_fpLogETest(BITSELECT,163)@34
vStage_uid164_countZ_uid54_fpLogETest_in <= vStagei_uid160_countZ_uid54_fpLogETest_q(15 downto 0);
vStage_uid164_countZ_uid54_fpLogETest_b <= vStage_uid164_countZ_uid54_fpLogETest_in(15 downto 0);
--reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3(REG,414)@34
reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3_q <= vStage_uid164_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid166_countZ_uid54_fpLogETest(MUX,165)@35
vStagei_uid166_countZ_uid54_fpLogETest_s <= vCount_uid163_countZ_uid54_fpLogETest_q;
vStagei_uid166_countZ_uid54_fpLogETest: PROCESS (vStagei_uid166_countZ_uid54_fpLogETest_s, en, reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1_q, reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3_q)
BEGIN
CASE vStagei_uid166_countZ_uid54_fpLogETest_s IS
WHEN "0" => vStagei_uid166_countZ_uid54_fpLogETest_q <= reg_rVStage_uid162_countZ_uid54_fpLogETest_0_to_vCount_uid163_countZ_uid54_fpLogETest_1_q;
WHEN "1" => vStagei_uid166_countZ_uid54_fpLogETest_q <= reg_vStage_uid164_countZ_uid54_fpLogETest_0_to_vStagei_uid166_countZ_uid54_fpLogETest_3_q;
WHEN OTHERS => vStagei_uid166_countZ_uid54_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid168_countZ_uid54_fpLogETest(BITSELECT,167)@35
rVStage_uid168_countZ_uid54_fpLogETest_in <= vStagei_uid166_countZ_uid54_fpLogETest_q;
rVStage_uid168_countZ_uid54_fpLogETest_b <= rVStage_uid168_countZ_uid54_fpLogETest_in(15 downto 8);
--vCount_uid169_countZ_uid54_fpLogETest(LOGICAL,168)@35
vCount_uid169_countZ_uid54_fpLogETest_a <= rVStage_uid168_countZ_uid54_fpLogETest_b;
vCount_uid169_countZ_uid54_fpLogETest_b <= zs_uid167_countZ_uid54_fpLogETest_q;
vCount_uid169_countZ_uid54_fpLogETest_q_i <= "1" when vCount_uid169_countZ_uid54_fpLogETest_a = vCount_uid169_countZ_uid54_fpLogETest_b else "0";
vCount_uid169_countZ_uid54_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid169_countZ_uid54_fpLogETest_q, xin => vCount_uid169_countZ_uid54_fpLogETest_q_i, clk => clk, ena => en(0), aclr => areset);
--ld_vCount_uid169_countZ_uid54_fpLogETest_q_to_r_uid188_countZ_uid54_fpLogETest_d(DELAY,613)@36
ld_vCount_uid169_countZ_uid54_fpLogETest_q_to_r_uid188_countZ_uid54_fpLogETest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => vCount_uid169_countZ_uid54_fpLogETest_q, xout => ld_vCount_uid169_countZ_uid54_fpLogETest_q_to_r_uid188_countZ_uid54_fpLogETest_d_q, ena => en(0), clk => clk, aclr => areset );
--zs_uid173_countZ_uid54_fpLogETest(CONSTANT,172)
zs_uid173_countZ_uid54_fpLogETest_q <= "0000";
--vStage_uid170_countZ_uid54_fpLogETest(BITSELECT,169)@35
vStage_uid170_countZ_uid54_fpLogETest_in <= vStagei_uid166_countZ_uid54_fpLogETest_q(7 downto 0);
vStage_uid170_countZ_uid54_fpLogETest_b <= vStage_uid170_countZ_uid54_fpLogETest_in(7 downto 0);
--reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3(REG,416)@35
reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3_q <= vStage_uid170_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2(REG,415)@35
reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2_q <= rVStage_uid168_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid172_countZ_uid54_fpLogETest(MUX,171)@36
vStagei_uid172_countZ_uid54_fpLogETest_s <= vCount_uid169_countZ_uid54_fpLogETest_q;
vStagei_uid172_countZ_uid54_fpLogETest: PROCESS (vStagei_uid172_countZ_uid54_fpLogETest_s, en, reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2_q, reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3_q)
BEGIN
CASE vStagei_uid172_countZ_uid54_fpLogETest_s IS
WHEN "0" => vStagei_uid172_countZ_uid54_fpLogETest_q <= reg_rVStage_uid168_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_2_q;
WHEN "1" => vStagei_uid172_countZ_uid54_fpLogETest_q <= reg_vStage_uid170_countZ_uid54_fpLogETest_0_to_vStagei_uid172_countZ_uid54_fpLogETest_3_q;
WHEN OTHERS => vStagei_uid172_countZ_uid54_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid174_countZ_uid54_fpLogETest(BITSELECT,173)@36
rVStage_uid174_countZ_uid54_fpLogETest_in <= vStagei_uid172_countZ_uid54_fpLogETest_q;
rVStage_uid174_countZ_uid54_fpLogETest_b <= rVStage_uid174_countZ_uid54_fpLogETest_in(7 downto 4);
--vCount_uid175_countZ_uid54_fpLogETest(LOGICAL,174)@36
vCount_uid175_countZ_uid54_fpLogETest_a <= rVStage_uid174_countZ_uid54_fpLogETest_b;
vCount_uid175_countZ_uid54_fpLogETest_b <= zs_uid173_countZ_uid54_fpLogETest_q;
vCount_uid175_countZ_uid54_fpLogETest_q <= "1" when vCount_uid175_countZ_uid54_fpLogETest_a = vCount_uid175_countZ_uid54_fpLogETest_b else "0";
--reg_vCount_uid175_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_2(REG,419)@36
reg_vCount_uid175_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vCount_uid175_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_2_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vCount_uid175_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_2_q <= vCount_uid175_countZ_uid54_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--vStage_uid176_countZ_uid54_fpLogETest(BITSELECT,175)@36
vStage_uid176_countZ_uid54_fpLogETest_in <= vStagei_uid172_countZ_uid54_fpLogETest_q(3 downto 0);
vStage_uid176_countZ_uid54_fpLogETest_b <= vStage_uid176_countZ_uid54_fpLogETest_in(3 downto 0);
--vStagei_uid178_countZ_uid54_fpLogETest(MUX,177)@36
vStagei_uid178_countZ_uid54_fpLogETest_s <= vCount_uid175_countZ_uid54_fpLogETest_q;
vStagei_uid178_countZ_uid54_fpLogETest: PROCESS (vStagei_uid178_countZ_uid54_fpLogETest_s, en, rVStage_uid174_countZ_uid54_fpLogETest_b, vStage_uid176_countZ_uid54_fpLogETest_b)
BEGIN
CASE vStagei_uid178_countZ_uid54_fpLogETest_s IS
WHEN "0" => vStagei_uid178_countZ_uid54_fpLogETest_q <= rVStage_uid174_countZ_uid54_fpLogETest_b;
WHEN "1" => vStagei_uid178_countZ_uid54_fpLogETest_q <= vStage_uid176_countZ_uid54_fpLogETest_b;
WHEN OTHERS => vStagei_uid178_countZ_uid54_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid180_countZ_uid54_fpLogETest(BITSELECT,179)@36
rVStage_uid180_countZ_uid54_fpLogETest_in <= vStagei_uid178_countZ_uid54_fpLogETest_q;
rVStage_uid180_countZ_uid54_fpLogETest_b <= rVStage_uid180_countZ_uid54_fpLogETest_in(3 downto 2);
--vCount_uid181_countZ_uid54_fpLogETest(LOGICAL,180)@36
vCount_uid181_countZ_uid54_fpLogETest_a <= rVStage_uid180_countZ_uid54_fpLogETest_b;
vCount_uid181_countZ_uid54_fpLogETest_b <= z2_uid40_fpLogETest_q;
vCount_uid181_countZ_uid54_fpLogETest_q_i <= "1" when vCount_uid181_countZ_uid54_fpLogETest_a = vCount_uid181_countZ_uid54_fpLogETest_b else "0";
vCount_uid181_countZ_uid54_fpLogETest_delay : dspba_delay
GENERIC MAP (width => 1, depth => 1)
PORT MAP (xout => vCount_uid181_countZ_uid54_fpLogETest_q, xin => vCount_uid181_countZ_uid54_fpLogETest_q_i, clk => clk, ena => en(0), aclr => areset);
--vStage_uid182_countZ_uid54_fpLogETest(BITSELECT,181)@36
vStage_uid182_countZ_uid54_fpLogETest_in <= vStagei_uid178_countZ_uid54_fpLogETest_q(1 downto 0);
vStage_uid182_countZ_uid54_fpLogETest_b <= vStage_uid182_countZ_uid54_fpLogETest_in(1 downto 0);
--reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3(REG,418)@36
reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3_q <= vStage_uid182_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2(REG,417)@36
reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2_q <= rVStage_uid180_countZ_uid54_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--vStagei_uid184_countZ_uid54_fpLogETest(MUX,183)@37
vStagei_uid184_countZ_uid54_fpLogETest_s <= vCount_uid181_countZ_uid54_fpLogETest_q;
vStagei_uid184_countZ_uid54_fpLogETest: PROCESS (vStagei_uid184_countZ_uid54_fpLogETest_s, en, reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2_q, reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3_q)
BEGIN
CASE vStagei_uid184_countZ_uid54_fpLogETest_s IS
WHEN "0" => vStagei_uid184_countZ_uid54_fpLogETest_q <= reg_rVStage_uid180_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_2_q;
WHEN "1" => vStagei_uid184_countZ_uid54_fpLogETest_q <= reg_vStage_uid182_countZ_uid54_fpLogETest_0_to_vStagei_uid184_countZ_uid54_fpLogETest_3_q;
WHEN OTHERS => vStagei_uid184_countZ_uid54_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--rVStage_uid186_countZ_uid54_fpLogETest(BITSELECT,185)@37
rVStage_uid186_countZ_uid54_fpLogETest_in <= vStagei_uid184_countZ_uid54_fpLogETest_q;
rVStage_uid186_countZ_uid54_fpLogETest_b <= rVStage_uid186_countZ_uid54_fpLogETest_in(1 downto 1);
--vCount_uid187_countZ_uid54_fpLogETest(LOGICAL,186)@37
vCount_uid187_countZ_uid54_fpLogETest_a <= rVStage_uid186_countZ_uid54_fpLogETest_b;
vCount_uid187_countZ_uid54_fpLogETest_b <= GND_q;
vCount_uid187_countZ_uid54_fpLogETest_q <= "1" when vCount_uid187_countZ_uid54_fpLogETest_a = vCount_uid187_countZ_uid54_fpLogETest_b else "0";
--r_uid188_countZ_uid54_fpLogETest(BITJOIN,187)@37
r_uid188_countZ_uid54_fpLogETest_q <= ld_reg_vCount_uid149_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_6_q_to_r_uid188_countZ_uid54_fpLogETest_g_q & reg_vCount_uid157_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_5_q & reg_vCount_uid163_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_4_q & ld_vCount_uid169_countZ_uid54_fpLogETest_q_to_r_uid188_countZ_uid54_fpLogETest_d_q & reg_vCount_uid175_countZ_uid54_fpLogETest_0_to_r_uid188_countZ_uid54_fpLogETest_2_q & vCount_uid181_countZ_uid54_fpLogETest_q & vCount_uid187_countZ_uid54_fpLogETest_q;
--ld_r_uid188_countZ_uid54_fpLogETest_q_to_expRExt_uid57_fpLogETest_b(DELAY,482)@37
ld_r_uid188_countZ_uid54_fpLogETest_q_to_expRExt_uid57_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 7, depth => 1 )
PORT MAP ( xin => r_uid188_countZ_uid54_fpLogETest_q, xout => ld_r_uid188_countZ_uid54_fpLogETest_q_to_expRExt_uid57_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--cstMSBFinalSumPBias_uid56_fpLogETest(CONSTANT,55)
cstMSBFinalSumPBias_uid56_fpLogETest_q <= "010000001100";
--expRExt_uid57_fpLogETest(SUB,56)@38
expRExt_uid57_fpLogETest_a <= STD_LOGIC_VECTOR("0" & cstMSBFinalSumPBias_uid56_fpLogETest_q);
expRExt_uid57_fpLogETest_b <= STD_LOGIC_VECTOR("000000" & ld_r_uid188_countZ_uid54_fpLogETest_q_to_expRExt_uid57_fpLogETest_b_q);
expRExt_uid57_fpLogETest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRExt_uid57_fpLogETest_o <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
expRExt_uid57_fpLogETest_o <= STD_LOGIC_VECTOR(UNSIGNED(expRExt_uid57_fpLogETest_a) - UNSIGNED(expRExt_uid57_fpLogETest_b));
END IF;
END IF;
END PROCESS;
expRExt_uid57_fpLogETest_q <= expRExt_uid57_fpLogETest_o(12 downto 0);
--LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest(BITSELECT,224)@39
LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest_in <= leftShiftStage2_uid223_normVal_uid55_fpLogETest_q(117 downto 0);
LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest_b <= LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest_in(117 downto 0);
--leftShiftStage3Idx1_uid226_normVal_uid55_fpLogETest(BITJOIN,225)@39
leftShiftStage3Idx1_uid226_normVal_uid55_fpLogETest_q <= LeftShiftStage2117dto0_uid225_normVal_uid55_fpLogETest_b & GND_q;
--ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor(LOGICAL,1114)
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_b <= ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena_q;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_q <= not (ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_a or ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_b);
--ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena(REG,1115)
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_nor_q = "1") THEN
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd(LOGICAL,1116)
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_a <= ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_sticky_ena_q;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_b <= en;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_q <= ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_a and ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_b;
--X22dto0_uid198_normVal_uid55_fpLogETest(BITSELECT,197)@32
X22dto0_uid198_normVal_uid55_fpLogETest_in <= finalSumAbs_uid53_fpLogETest_q(22 downto 0);
X22dto0_uid198_normVal_uid55_fpLogETest_b <= X22dto0_uid198_normVal_uid55_fpLogETest_in(22 downto 0);
--ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_inputreg(DELAY,1106)
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => X22dto0_uid198_normVal_uid55_fpLogETest_b, xout => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem(DUALMEM,1107)
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_ia <= ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_inputreg_q;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 23,
widthad_a => 1,
numwords_a => 2,
width_b => 23,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_iq,
address_a => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_aa,
data_a => ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_ia
);
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_reset0 <= areset;
ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_q <= ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_iq(22 downto 0);
--leftShiftStage0Idx3Pad96_uid197_normVal_uid55_fpLogETest(CONSTANT,196)
leftShiftStage0Idx3Pad96_uid197_normVal_uid55_fpLogETest_q <= "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
--leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest(BITJOIN,198)@36
leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_q <= ld_X22dto0_uid198_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_b_replace_mem_q & leftShiftStage0Idx3Pad96_uid197_normVal_uid55_fpLogETest_q;
--reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5(REG,426)@36
reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5_q <= leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor(LOGICAL,1103)
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_b <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena_q;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_q <= not (ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_a or ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_b);
--ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena(REG,1104)
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_nor_q = "1") THEN
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd(LOGICAL,1105)
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_a <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_sticky_ena_q;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_b <= en;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_q <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_a and ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_b;
--ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem(DUALMEM,1096)
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_ia <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_cStage_uid152_countZ_uid54_fpLogETest_b_q;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 55,
widthad_a => 1,
numwords_a => 2,
width_b => 55,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_iq,
address_a => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_aa,
data_a => ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_ia
);
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_reset0 <= areset;
ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_q <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_iq(54 downto 0);
--leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest(BITJOIN,195)@36
leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_q <= ld_vStage_uid151_countZ_uid54_fpLogETest_b_to_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_b_replace_mem_q & zs_uid147_countZ_uid54_fpLogETest_q;
--reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4(REG,425)@36
reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4_q <= leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor(LOGICAL,1092)
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_b <= ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena_q;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_q <= not (ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_a or ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_b);
--ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena(REG,1093)
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_nor_q = "1") THEN
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd(LOGICAL,1094)
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_a <= ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_sticky_ena_q;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_b <= en;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_q <= ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_a and ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_b;
--X86dto0_uid192_normVal_uid55_fpLogETest(BITSELECT,191)@32
X86dto0_uid192_normVal_uid55_fpLogETest_in <= finalSumAbs_uid53_fpLogETest_q(86 downto 0);
X86dto0_uid192_normVal_uid55_fpLogETest_b <= X86dto0_uid192_normVal_uid55_fpLogETest_in(86 downto 0);
--ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_inputreg(DELAY,1084)
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_inputreg : dspba_delay
GENERIC MAP ( width => 87, depth => 1 )
PORT MAP ( xin => X86dto0_uid192_normVal_uid55_fpLogETest_b, xout => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem(DUALMEM,1085)
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_ia <= ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_inputreg_q;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 87,
widthad_a => 1,
numwords_a => 2,
width_b => 87,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_iq,
address_a => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_aa,
data_a => ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_ia
);
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_reset0 <= areset;
ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_q <= ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_iq(86 downto 0);
--leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest(BITJOIN,192)@36
leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_q <= ld_X86dto0_uid192_normVal_uid55_fpLogETest_b_to_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_b_replace_mem_q & zs_uid155_countZ_uid54_fpLogETest_q;
--reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3(REG,424)@36
reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3_q <= leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor(LOGICAL,1162)
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_b <= ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena_q;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_q <= not (ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_a or ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_b);
--ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena(REG,1163)
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_nor_q = "1") THEN
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena_q <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd(LOGICAL,1164)
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_a <= ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_sticky_ena_q;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_b <= en;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_q <= ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_a and ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_b;
--ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_inputreg(DELAY,1154)
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_inputreg : dspba_delay
GENERIC MAP ( width => 119, depth => 1 )
PORT MAP ( xin => finalSumAbs_uid53_fpLogETest_q, xout => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem(DUALMEM,1155)
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_ia <= ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_inputreg_q;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_aa <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdreg_q;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_ab <= ld_frac_uid19_fpLogETest_b_to_zPPolyEval_uid35_fpLogETest_a_replace_rdmux_q;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 119,
widthad_a => 1,
numwords_a => 2,
width_b => 119,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_iq,
address_a => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_aa,
data_a => ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_ia
);
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_reset0 <= areset;
ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_q <= ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_iq(118 downto 0);
--reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2(REG,423)@36
reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_q <= ld_finalSumAbs_uid53_fpLogETest_q_to_reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest(BITSELECT,199)@37
leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest_in <= r_uid188_countZ_uid54_fpLogETest_q;
leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest_b <= leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest_in(6 downto 5);
--leftShiftStage0_uid201_normVal_uid55_fpLogETest(MUX,200)@37
leftShiftStage0_uid201_normVal_uid55_fpLogETest_s <= leftShiftStageSel6Dto5_uid200_normVal_uid55_fpLogETest_b;
leftShiftStage0_uid201_normVal_uid55_fpLogETest: PROCESS (leftShiftStage0_uid201_normVal_uid55_fpLogETest_s, en, reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_q, reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3_q, reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4_q, reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5_q)
BEGIN
CASE leftShiftStage0_uid201_normVal_uid55_fpLogETest_s IS
WHEN "00" => leftShiftStage0_uid201_normVal_uid55_fpLogETest_q <= reg_finalSumAbs_uid53_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_2_q;
WHEN "01" => leftShiftStage0_uid201_normVal_uid55_fpLogETest_q <= reg_leftShiftStage0Idx1_uid193_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_3_q;
WHEN "10" => leftShiftStage0_uid201_normVal_uid55_fpLogETest_q <= reg_leftShiftStage0Idx2_uid196_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_4_q;
WHEN "11" => leftShiftStage0_uid201_normVal_uid55_fpLogETest_q <= reg_leftShiftStage0Idx3_uid199_normVal_uid55_fpLogETest_0_to_leftShiftStage0_uid201_normVal_uid55_fpLogETest_5_q;
WHEN OTHERS => leftShiftStage0_uid201_normVal_uid55_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest(BITSELECT,208)@37
LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest_in <= leftShiftStage0_uid201_normVal_uid55_fpLogETest_q(94 downto 0);
LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest_b <= LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest_in(94 downto 0);
--leftShiftStage1Idx3Pad24_uid208_normVal_uid55_fpLogETest(CONSTANT,207)
leftShiftStage1Idx3Pad24_uid208_normVal_uid55_fpLogETest_q <= "000000000000000000000000";
--leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest(BITJOIN,209)@37
leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_q <= LeftShiftStage094dto0_uid209_normVal_uid55_fpLogETest_b & leftShiftStage1Idx3Pad24_uid208_normVal_uid55_fpLogETest_q;
--reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5(REG,431)@37
reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5_q <= leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest(BITSELECT,205)@37
LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest_in <= leftShiftStage0_uid201_normVal_uid55_fpLogETest_q(102 downto 0);
LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest_b <= LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest_in(102 downto 0);
--leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest(BITJOIN,206)@37
leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_q <= LeftShiftStage0102dto0_uid206_normVal_uid55_fpLogETest_b & zs_uid161_countZ_uid54_fpLogETest_q;
--reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4(REG,430)@37
reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4_q <= leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest(BITSELECT,202)@37
LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest_in <= leftShiftStage0_uid201_normVal_uid55_fpLogETest_q(110 downto 0);
LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest_b <= LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest_in(110 downto 0);
--leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest(BITJOIN,203)@37
leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_q <= LeftShiftStage0110dto0_uid203_normVal_uid55_fpLogETest_b & zs_uid167_countZ_uid54_fpLogETest_q;
--reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3(REG,429)@37
reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3_q <= leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2(REG,428)@37
reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2_q <= leftShiftStage0_uid201_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest(BITSELECT,210)@37
leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_in <= r_uid188_countZ_uid54_fpLogETest_q(4 downto 0);
leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_b <= leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_in(4 downto 3);
--reg_leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_1(REG,427)@37
reg_leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_1_q <= leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--leftShiftStage1_uid212_normVal_uid55_fpLogETest(MUX,211)@38
leftShiftStage1_uid212_normVal_uid55_fpLogETest_s <= reg_leftShiftStageSel4Dto3_uid211_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_1_q;
leftShiftStage1_uid212_normVal_uid55_fpLogETest: PROCESS (leftShiftStage1_uid212_normVal_uid55_fpLogETest_s, en, reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2_q, reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3_q, reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4_q, reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5_q)
BEGIN
CASE leftShiftStage1_uid212_normVal_uid55_fpLogETest_s IS
WHEN "00" => leftShiftStage1_uid212_normVal_uid55_fpLogETest_q <= reg_leftShiftStage0_uid201_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_2_q;
WHEN "01" => leftShiftStage1_uid212_normVal_uid55_fpLogETest_q <= reg_leftShiftStage1Idx1_uid204_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_3_q;
WHEN "10" => leftShiftStage1_uid212_normVal_uid55_fpLogETest_q <= reg_leftShiftStage1Idx2_uid207_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_4_q;
WHEN "11" => leftShiftStage1_uid212_normVal_uid55_fpLogETest_q <= reg_leftShiftStage1Idx3_uid210_normVal_uid55_fpLogETest_0_to_leftShiftStage1_uid212_normVal_uid55_fpLogETest_5_q;
WHEN OTHERS => leftShiftStage1_uid212_normVal_uid55_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest(BITSELECT,219)@38
LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_in <= leftShiftStage1_uid212_normVal_uid55_fpLogETest_q(112 downto 0);
LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b <= LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_in(112 downto 0);
--ld_LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_b(DELAY,645)@38
ld_LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 113, depth => 1 )
PORT MAP ( xin => LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b, xout => ld_LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx3Pad6_uid219_normVal_uid55_fpLogETest(CONSTANT,218)
leftShiftStage2Idx3Pad6_uid219_normVal_uid55_fpLogETest_q <= "000000";
--leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest(BITJOIN,220)@39
leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_q <= ld_LeftShiftStage1112dto0_uid220_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_b_q & leftShiftStage2Idx3Pad6_uid219_normVal_uid55_fpLogETest_q;
--LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest(BITSELECT,216)@38
LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_in <= leftShiftStage1_uid212_normVal_uid55_fpLogETest_q(114 downto 0);
LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b <= LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_in(114 downto 0);
--ld_LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_b(DELAY,643)@38
ld_LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 115, depth => 1 )
PORT MAP ( xin => LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b, xout => ld_LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest(BITJOIN,217)@39
leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_q <= ld_LeftShiftStage1114dto0_uid217_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_b_q & zs_uid173_countZ_uid54_fpLogETest_q;
--LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest(BITSELECT,213)@38
LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_in <= leftShiftStage1_uid212_normVal_uid55_fpLogETest_q(116 downto 0);
LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b <= LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_in(116 downto 0);
--ld_LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_b(DELAY,641)@38
ld_LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 117, depth => 1 )
PORT MAP ( xin => LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b, xout => ld_LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest(BITJOIN,214)@39
leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_q <= ld_LeftShiftStage1116dto0_uid214_normVal_uid55_fpLogETest_b_to_leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_b_q & z2_uid40_fpLogETest_q;
--reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2(REG,433)@38
reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2_q <= "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2_q <= leftShiftStage1_uid212_normVal_uid55_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest(BITSELECT,221)@37
leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_in <= r_uid188_countZ_uid54_fpLogETest_q(2 downto 0);
leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_b <= leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_in(2 downto 1);
--reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1(REG,432)@37
reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q <= leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_b(DELAY,647)@38
ld_reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q, xout => ld_reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage2_uid223_normVal_uid55_fpLogETest(MUX,222)@39
leftShiftStage2_uid223_normVal_uid55_fpLogETest_s <= ld_reg_leftShiftStageSel2Dto1_uid222_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_1_q_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_b_q;
leftShiftStage2_uid223_normVal_uid55_fpLogETest: PROCESS (leftShiftStage2_uid223_normVal_uid55_fpLogETest_s, en, reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2_q, leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_q, leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_q, leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_q)
BEGIN
CASE leftShiftStage2_uid223_normVal_uid55_fpLogETest_s IS
WHEN "00" => leftShiftStage2_uid223_normVal_uid55_fpLogETest_q <= reg_leftShiftStage1_uid212_normVal_uid55_fpLogETest_0_to_leftShiftStage2_uid223_normVal_uid55_fpLogETest_2_q;
WHEN "01" => leftShiftStage2_uid223_normVal_uid55_fpLogETest_q <= leftShiftStage2Idx1_uid215_normVal_uid55_fpLogETest_q;
WHEN "10" => leftShiftStage2_uid223_normVal_uid55_fpLogETest_q <= leftShiftStage2Idx2_uid218_normVal_uid55_fpLogETest_q;
WHEN "11" => leftShiftStage2_uid223_normVal_uid55_fpLogETest_q <= leftShiftStage2Idx3_uid221_normVal_uid55_fpLogETest_q;
WHEN OTHERS => leftShiftStage2_uid223_normVal_uid55_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest(BITSELECT,226)@37
leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_in <= r_uid188_countZ_uid54_fpLogETest_q(0 downto 0);
leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b <= leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_in(0 downto 0);
--ld_leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b_to_leftShiftStage3_uid228_normVal_uid55_fpLogETest_b(DELAY,655)@37
ld_leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b_to_leftShiftStage3_uid228_normVal_uid55_fpLogETest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 2 )
PORT MAP ( xin => leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b, xout => ld_leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b_to_leftShiftStage3_uid228_normVal_uid55_fpLogETest_b_q, ena => en(0), clk => clk, aclr => areset );
--leftShiftStage3_uid228_normVal_uid55_fpLogETest(MUX,227)@39
leftShiftStage3_uid228_normVal_uid55_fpLogETest_s <= ld_leftShiftStageSel0Dto0_uid227_normVal_uid55_fpLogETest_b_to_leftShiftStage3_uid228_normVal_uid55_fpLogETest_b_q;
leftShiftStage3_uid228_normVal_uid55_fpLogETest: PROCESS (leftShiftStage3_uid228_normVal_uid55_fpLogETest_s, en, leftShiftStage2_uid223_normVal_uid55_fpLogETest_q, leftShiftStage3Idx1_uid226_normVal_uid55_fpLogETest_q)
BEGIN
CASE leftShiftStage3_uid228_normVal_uid55_fpLogETest_s IS
WHEN "0" => leftShiftStage3_uid228_normVal_uid55_fpLogETest_q <= leftShiftStage2_uid223_normVal_uid55_fpLogETest_q;
WHEN "1" => leftShiftStage3_uid228_normVal_uid55_fpLogETest_q <= leftShiftStage3Idx1_uid226_normVal_uid55_fpLogETest_q;
WHEN OTHERS => leftShiftStage3_uid228_normVal_uid55_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--fracR_uid58_fpLogETest(BITSELECT,57)@39
fracR_uid58_fpLogETest_in <= leftShiftStage3_uid228_normVal_uid55_fpLogETest_q(117 downto 0);
fracR_uid58_fpLogETest_b <= fracR_uid58_fpLogETest_in(117 downto 65);
--expFracConc_uid59_fpLogETest(BITJOIN,58)@39
expFracConc_uid59_fpLogETest_q <= expRExt_uid57_fpLogETest_q & fracR_uid58_fpLogETest_b;
--reg_expFracConc_uid59_fpLogETest_0_to_expFracPostRnd_uid60_fpLogETest_0(REG,434)@39
reg_expFracConc_uid59_fpLogETest_0_to_expFracPostRnd_uid60_fpLogETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expFracConc_uid59_fpLogETest_0_to_expFracPostRnd_uid60_fpLogETest_0_q <= "000000000000000000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expFracConc_uid59_fpLogETest_0_to_expFracPostRnd_uid60_fpLogETest_0_q <= expFracConc_uid59_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--expFracPostRnd_uid60_fpLogETest(ADD,59)@40
expFracPostRnd_uid60_fpLogETest_a <= STD_LOGIC_VECTOR("0" & reg_expFracConc_uid59_fpLogETest_0_to_expFracPostRnd_uid60_fpLogETest_0_q);
expFracPostRnd_uid60_fpLogETest_b <= STD_LOGIC_VECTOR("000000000000000000000000000000000000000000000000000000000000000000" & VCC_q);
expFracPostRnd_uid60_fpLogETest_o <= STD_LOGIC_VECTOR(UNSIGNED(expFracPostRnd_uid60_fpLogETest_a) + UNSIGNED(expFracPostRnd_uid60_fpLogETest_b));
expFracPostRnd_uid60_fpLogETest_q <= expFracPostRnd_uid60_fpLogETest_o(66 downto 0);
--expR_uid62_fpLogETest(BITSELECT,61)@40
expR_uid62_fpLogETest_in <= expFracPostRnd_uid60_fpLogETest_q(63 downto 0);
expR_uid62_fpLogETest_b <= expR_uid62_fpLogETest_in(63 downto 53);
--reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3(REG,436)@40
reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3_q <= expR_uid62_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor(LOGICAL,1018)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_a <= ld_expX_uid6_fpLogETest_b_to_e_uid29_fpLogETest_a_notEnable_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_b <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_q <= not (ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_a or ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_b);
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_mem_top(CONSTANT,1014)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_mem_top_q <= "0100100";
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp(LOGICAL,1015)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_a <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_mem_top_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_q);
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_q <= "1" when ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_a = ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_b else "0";
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmpReg(REG,1016)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmpReg_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena(REG,1019)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_nor_q = "1") THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd(LOGICAL,1020)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_a <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_sticky_ena_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_b <= en;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_a and ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_b;
--InvSignX_uid65_fpLogETest(LOGICAL,64)@0
InvSignX_uid65_fpLogETest_a <= signX_uid7_fpLogETest_b;
InvSignX_uid65_fpLogETest_q <= not InvSignX_uid65_fpLogETest_a;
--excRInfC1_uid66_fpLogETest(LOGICAL,65)@0
excRInfC1_uid66_fpLogETest_a <= exc_I_uid21_fpLogETest_q;
excRInfC1_uid66_fpLogETest_b <= InvSignX_uid65_fpLogETest_q;
excRInfC1_uid66_fpLogETest_q <= excRInfC1_uid66_fpLogETest_a and excRInfC1_uid66_fpLogETest_b;
--excRInf_uid67_fpLogETest(LOGICAL,66)@0
excRInf_uid67_fpLogETest_a <= excRInfC1_uid66_fpLogETest_q;
excRInf_uid67_fpLogETest_b <= expXIsZero_uid16_fpLogETest_q;
excRInf_uid67_fpLogETest_q <= excRInf_uid67_fpLogETest_a or excRInf_uid67_fpLogETest_b;
--FPOne_uid63_fpLogETest(BITJOIN,62)@0
FPOne_uid63_fpLogETest_q <= GND_q & cstBias_uid9_fpLogETest_q & cstAllZWF_uid8_fpLogETest_q;
--excRZero_uid64_fpLogETest(LOGICAL,63)@0
excRZero_uid64_fpLogETest_a <= a;
excRZero_uid64_fpLogETest_b <= FPOne_uid63_fpLogETest_q;
excRZero_uid64_fpLogETest_q <= "1" when excRZero_uid64_fpLogETest_a = excRZero_uid64_fpLogETest_b else "0";
--concExc_uid78_fpLogETest(BITJOIN,77)@0
concExc_uid78_fpLogETest_q <= excRNaN_uid70_fpLogETest_q & excRInf_uid67_fpLogETest_q & excRZero_uid64_fpLogETest_q;
--reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0(REG,319)@0
reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q <= concExc_uid78_fpLogETest_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_inputreg(DELAY,1008)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_inputreg : dspba_delay
GENERIC MAP ( width => 3, depth => 1 )
PORT MAP ( xin => reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q, xout => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt(COUNTER,1010)
-- every=1, low=0, high=36, step=1, init=1
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i <= TO_UNSIGNED(1,6);
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i = 35 THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_eq <= '1';
ELSE
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_eq = '1') THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i - 36;
ELSE
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_i,6));
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg(REG,1011)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg_q <= "000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux(MUX,1012)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_s <= en;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux: PROCESS (ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_s, ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg_q, ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_q)
BEGIN
CASE ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_s IS
WHEN "0" => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg_q;
WHEN "1" => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdcnt_q;
WHEN OTHERS => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem(DUALMEM,1009)
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_ia <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_inputreg_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_aa <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdreg_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_ab <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_rdmux_q;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 3,
widthad_a => 6,
numwords_a => 37,
width_b => 3,
widthad_b => 6,
numwords_b => 37,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_iq,
address_a => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_aa,
data_a => ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_ia
);
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_reset0 <= areset;
ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_q <= ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_iq(2 downto 0);
--excREnc_uid79_fpLogETest(LOOKUP,78)@40
excREnc_uid79_fpLogETest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
excREnc_uid79_fpLogETest_q <= "01";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
CASE (ld_reg_concExc_uid78_fpLogETest_0_to_excREnc_uid79_fpLogETest_0_q_to_excREnc_uid79_fpLogETest_a_replace_mem_q) IS
WHEN "000" => excREnc_uid79_fpLogETest_q <= "01";
WHEN "001" => excREnc_uid79_fpLogETest_q <= "00";
WHEN "010" => excREnc_uid79_fpLogETest_q <= "10";
WHEN "011" => excREnc_uid79_fpLogETest_q <= "00";
WHEN "100" => excREnc_uid79_fpLogETest_q <= "11";
WHEN "101" => excREnc_uid79_fpLogETest_q <= "00";
WHEN "110" => excREnc_uid79_fpLogETest_q <= "00";
WHEN "111" => excREnc_uid79_fpLogETest_q <= "00";
WHEN OTHERS =>
excREnc_uid79_fpLogETest_q <= (others => '-');
END CASE;
END IF;
END IF;
END PROCESS;
--expRPostExc_uid87_fpLogETest(MUX,86)@41
expRPostExc_uid87_fpLogETest_s <= excREnc_uid79_fpLogETest_q;
expRPostExc_uid87_fpLogETest: PROCESS (expRPostExc_uid87_fpLogETest_s, en, cstAllZWE_uid14_fpLogETest_q, reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3_q, cstAllOWE_uid12_fpLogETest_q, cstAllOWE_uid12_fpLogETest_q)
BEGIN
CASE expRPostExc_uid87_fpLogETest_s IS
WHEN "00" => expRPostExc_uid87_fpLogETest_q <= cstAllZWE_uid14_fpLogETest_q;
WHEN "01" => expRPostExc_uid87_fpLogETest_q <= reg_expR_uid62_fpLogETest_0_to_expRPostExc_uid87_fpLogETest_3_q;
WHEN "10" => expRPostExc_uid87_fpLogETest_q <= cstAllOWE_uid12_fpLogETest_q;
WHEN "11" => expRPostExc_uid87_fpLogETest_q <= cstAllOWE_uid12_fpLogETest_q;
WHEN OTHERS => expRPostExc_uid87_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--oneFracRPostExc2_uid80_fpLogETest(CONSTANT,79)
oneFracRPostExc2_uid80_fpLogETest_q <= "0000000000000000000000000000000000000000000000000001";
--fracR_uid61_fpLogETest(BITSELECT,60)@40
fracR_uid61_fpLogETest_in <= expFracPostRnd_uid60_fpLogETest_q(52 downto 0);
fracR_uid61_fpLogETest_b <= fracR_uid61_fpLogETest_in(52 downto 1);
--reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3(REG,435)@40
reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3_q <= "0000000000000000000000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3_q <= fracR_uid61_fpLogETest_b;
END IF;
END IF;
END PROCESS;
--fracRPostExc_uid83_fpLogETest(MUX,82)@41
fracRPostExc_uid83_fpLogETest_s <= excREnc_uid79_fpLogETest_q;
fracRPostExc_uid83_fpLogETest: PROCESS (fracRPostExc_uid83_fpLogETest_s, en, cstAllZWF_uid8_fpLogETest_q, reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3_q, cstAllZWF_uid8_fpLogETest_q, oneFracRPostExc2_uid80_fpLogETest_q)
BEGIN
CASE fracRPostExc_uid83_fpLogETest_s IS
WHEN "00" => fracRPostExc_uid83_fpLogETest_q <= cstAllZWF_uid8_fpLogETest_q;
WHEN "01" => fracRPostExc_uid83_fpLogETest_q <= reg_fracR_uid61_fpLogETest_0_to_fracRPostExc_uid83_fpLogETest_3_q;
WHEN "10" => fracRPostExc_uid83_fpLogETest_q <= cstAllZWF_uid8_fpLogETest_q;
WHEN "11" => fracRPostExc_uid83_fpLogETest_q <= oneFracRPostExc2_uid80_fpLogETest_q;
WHEN OTHERS => fracRPostExc_uid83_fpLogETest_q <= (others => '0');
END CASE;
END PROCESS;
--RLn_uid88_fpLogETest(BITJOIN,87)@41
RLn_uid88_fpLogETest_q <= ld_signRFull_uid77_fpLogETest_q_to_RLn_uid88_fpLogETest_c_q & expRPostExc_uid87_fpLogETest_q & fracRPostExc_uid83_fpLogETest_q;
--xOut(GPOUT,4)@41
q <= RLn_uid88_fpLogETest_q;
end normal;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_divrndpipe.vhd
|
10
|
7102
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_DIVRNDPIPE.VHD ***
--*** ***
--*** Function: Output Stage, Pipelined Round ***
--*** ***
--*** 24/12/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 22/04/09 - added NAN support, IEEE NAN ***
--*** output ***
--*** ***
--*** ***
--***************************************************
--***************************************************
--*** Notes: Latency = 3 ***
--***************************************************
ENTITY hcc_divrndpipe IS
GENERIC (synthesize : integer := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
signin : IN STD_LOGIC;
exponentin : IN STD_LOGIC_VECTOR (13 DOWNTO 1);
mantissain : IN STD_LOGIC_VECTOR (53 DOWNTO 1); -- includes roundbit
satin, zipin, nanin : IN STD_LOGIC;
signout : OUT STD_LOGIC;
exponentout : OUT STD_LOGIC_VECTOR (11 DOWNTO 1);
mantissaout : OUT STD_LOGIC_VECTOR (52 DOWNTO 1)
);
END hcc_divrndpipe;
ARCHITECTURE rtl OF hcc_divrndpipe IS
signal zerovec : STD_LOGIC_VECTOR (53 DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (3 DOWNTO 1);
signal satinff, zipinff, naninff : STD_LOGIC_VECTOR (2 DOWNTO 1);
signal roundmantissanode : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal mantissaff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exponentoneff, exponenttwoff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal exponentff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal manoverflow : STD_LOGIC_VECTOR (53 DOWNTO 1);
signal manoverflowff : STD_LOGIC;
signal infinitygen : STD_LOGIC_VECTOR (12 DOWNTO 1);
signal zerogen : STD_LOGIC_VECTOR (12 DOWNTO 1);
signal setmanzero, setmanmax : STD_LOGIC;
signal setexpzero, setexpmax : STD_LOGIC;
component hcc_addpipeb
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_addpipes
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
gzv: FOR k IN 1 TO 53 GENERATE
zerovec(k) <= '0';
END GENERATE;
pra: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
signff <= "000";
satinff <= "00";
zipinff <= "00";
naninff <= "00";
manoverflowff <= '0';
FOR k IN 1 TO 52 LOOP
mantissaff(k) <= '0';
END LOOP;
FOR k IN 1 TO 13 LOOP
exponentoneff(k) <= '0';
exponenttwoff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF(enable = '1') THEN
signff(1) <= signin;
signff(2) <= signff(1);
signff(3) <= signff(2);
satinff(1) <= satin;
satinff(2) <= satinff(1);
zipinff(1) <= zipin;
zipinff(2) <= zipinff(1);
naninff(1) <= nanin;
naninff(2) <= naninff(1);
FOR k IN 1 TO 52 LOOP
mantissaff(k) <= (roundmantissanode(k) AND NOT(setmanzero)) OR setmanmax;
END LOOP;
exponentoneff(13 DOWNTO 1) <= exponentin;
exponenttwoff(13 DOWNTO 1) <= exponentoneff(13 DOWNTO 1) +
(zerovec(12 DOWNTO 1) & manoverflowff);
FOR k IN 1 TO 11 LOOP
exponentff(k) <= (exponenttwoff(k) AND NOT(setexpzero)) OR setexpmax;
END LOOP;
END IF;
END IF;
END PROCESS;
gaa: IF (synthesize = 0) GENERATE
addb: hcc_addpipeb
GENERIC MAP (width=>52,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>mantissain(53 DOWNTO 2),bb=>zerovec(52 DOWNTO 1),
carryin=>mantissain(1),
cc=>roundmantissanode);
END GENERATE;
gab: IF (synthesize = 1) GENERATE
addb: hcc_addpipes
GENERIC MAP (width=>52,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>mantissain(53 DOWNTO 2),bb=>zerovec(52 DOWNTO 1),
carryin=>mantissain(1),
cc=>roundmantissanode);
END GENERATE;
--*********************************
--*** PREDICT MANTISSA OVERFLOW ***
--*********************************
manoverflow(1) <= mantissain(1);
gmoa: FOR k IN 2 TO 53 GENERATE
manoverflow(k) <= manoverflow(k-1) AND mantissain(k);
END GENERATE;
--**********************************
--*** CHECK GENERATED CONDITIONS ***
--**********************************
-- infinity if exponent >= 255
infinitygen(1) <= exponenttwoff(1);
gia: FOR k IN 2 TO 11 GENERATE
infinitygen(k) <= infinitygen(k-1) AND exponenttwoff(k);
END GENERATE;
-- 12/05/09 - make sure exponent = -1 doesnt make infinity
infinitygen(12) <= (infinitygen(11) AND NOT(exponenttwoff(12)) AND NOT(exponenttwoff(13))) OR
satinff(2) OR (exponenttwoff(12) AND NOT(exponenttwoff(13))); -- '1' if infinity
-- zero if exponent <= 0
zerogen(1) <= exponenttwoff(1);
gza: FOR k IN 2 TO 11 GENERATE
zerogen(k) <= zerogen(k-1) OR exponenttwoff(k);
END GENERATE;
zerogen(12) <= NOT(zerogen(11)) OR zipinff(2) OR exponenttwoff(13); -- '1' if zero
-- set mantissa to 0 when infinity or zero condition
setmanzero <= infinitygen(12) OR zerogen(12);
setmanmax <= naninff(2);
-- set exponent to 0 when zero condition
setexpzero <= zerogen(12);
-- set exponent to "11..11" infinity
setexpmax <= infinitygen(12) OR naninff(2);
--***************
--*** OUTPUTS ***
--***************
signout <= signff(3);
mantissaout <= mantissaff;
exponentout <= exponentff;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_cntusgn32_sv.vhd
|
20
|
4321
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CNTUSGN32.VHD ***
--*** ***
--*** Function: Count leading bits in an ***
--*** unsigned 32 bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_cntusgn32 IS
PORT (
frac : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
count : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
END hcc_cntusgn32;
ARCHITECTURE rtl OF hcc_cntusgn32 IS
type positiontype IS ARRAY (6 DOWNTO 1) OF STD_LOGIC_VECTOR (6 DOWNTO 1);
signal sec, sel : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal lastfrac : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal position : positiontype;
component hcc_usgnpos IS
GENERIC (start : integer := 10);
PORT (
ingroup : IN STD_LOGIC_VECTOR (6 DOWNTO 1);
position : OUT STD_LOGIC_VECTOR (6 DOWNTO 1)
);
end component;
BEGIN
-- for single 32 bit mantissa
-- [S ][O....O][1 ][M...M][RGS]
-- [32][31..28][27][26..4][321] - NB underflow can run into RGS
-- for single 36 bit mantissa
-- [S ][O....O][1 ][M...M][O..O][RGS]
-- [36][35..32][31][30..8][7..4][321]
-- for double 64 bit mantissa
-- [S ][O....O][1 ][M...M][O..O][RGS]
-- [64][63..60][59][58..7][6..4][321] - NB underflow less than overflow
-- find first leading '1' in inexact portion for 32 bit positive number
sec(1) <= frac(31) OR frac(30) OR frac(29) OR frac(28) OR frac(27) OR frac(26);
sec(2) <= frac(25) OR frac(24) OR frac(23) OR frac(22) OR frac(21) OR frac(20);
sec(3) <= frac(19) OR frac(18) OR frac(17) OR frac(16) OR frac(15) OR frac(14);
sec(4) <= frac(13) OR frac(12) OR frac(11) OR frac(10) OR frac(9) OR frac(8);
sec(5) <= frac(7) OR frac(6) OR frac(5) OR frac(4) OR frac(3) OR frac(2);
sec(6) <= frac(1);
sel(1) <= sec(1);
sel(2) <= sec(2) AND NOT(sec(1));
sel(3) <= sec(3) AND NOT(sec(2)) AND NOT(sec(1));
sel(4) <= sec(4) AND NOT(sec(3)) AND NOT(sec(2)) AND NOT(sec(1));
sel(5) <= sec(5) AND NOT(sec(4)) AND NOT(sec(3)) AND NOT(sec(2)) AND NOT(sec(1));
sel(6) <= sec(6) AND NOT(sec(5)) AND NOT(sec(4)) AND NOT(sec(3)) AND NOT(sec(2)) AND NOT(sec(1));
pone: hcc_usgnpos
GENERIC MAP (start=>0)
PORT MAP (ingroup=>frac(31 DOWNTO 26),
position=>position(1)(6 DOWNTO 1));
ptwo: hcc_usgnpos
GENERIC MAP (start=>6)
PORT MAP (ingroup=>frac(25 DOWNTO 20),
position=>position(2)(6 DOWNTO 1));
pthr: hcc_usgnpos
GENERIC MAP (start=>12)
PORT MAP (ingroup=>frac(19 DOWNTO 14),
position=>position(3)(6 DOWNTO 1));
pfor: hcc_usgnpos
GENERIC MAP (start=>18)
PORT MAP (ingroup=>frac(13 DOWNTO 8),
position=>position(4)(6 DOWNTO 1));
pfiv: hcc_usgnpos
GENERIC MAP (start=>24)
PORT MAP (ingroup=>frac(7 DOWNTO 2),
position=>position(5)(6 DOWNTO 1));
psix: hcc_usgnpos
GENERIC MAP (start=>30)
PORT MAP (ingroup=>lastfrac,
position=>position(6)(6 DOWNTO 1));
lastfrac <= frac(1) & "00000";
gmc: FOR k IN 1 TO 6 GENERATE
count(k) <= (position(1)(k) AND sel(1)) OR
(position(2)(k) AND sel(2)) OR
(position(3)(k) AND sel(3)) OR
(position(4)(k) AND sel(4)) OR
(position(5)(k) AND sel(5)) OR
(position(6)(k) AND sel(6));
END GENERATE;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_castytod.vhd
|
10
|
18709
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--******************************************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTYTOD.VHD ***
--*** ***
--*** Function: Cast Internal Double to IEEE754 ***
--*** Double ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 27/05/09 - fixed zero/infinity/nan mantissa cases, also output 0 if ***
--*** mantissa is 0 ***
--*** 29/06/09 - look at bits 12&13 of expnode to check zero & max *** ***
--*** ***
--*** ***
--******************************************************************************
--******************************************************************************
--*** Latency: ***
--*** 4 + swNormSpeed + swDoubleSpeed + swRoundConvert*(1 + swDoubleSpeed); ***
--******************************************************************************
ENTITY hcc_castytod IS
GENERIC (
roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
END hcc_castytod;
ARCHITECTURE rtl OF hcc_castytod IS
constant signdepth : positive := 3 + (roundconvert*doublespeed) + normspeed + roundconvert*(1 + doublespeed);
constant exptopffdepth : positive := 2 + (roundconvert*doublespeed);
constant expbotffdepth : positive := normspeed;
constant satffdepth : positive := 3 + (roundconvert*doublespeed) + normspeed;
type absfftype IS ARRAY (3 DOWNTO 1) OF STD_LOGIC_VECTOR (64 DOWNTO 1);
type exptopfftype IS ARRAY (exptopffdepth DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
type expbotdelfftype IS ARRAY (expbotffdepth DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal aaff : STD_LOGIC_VECTOR (77 DOWNTO 1);
signal absinvnode, absnode, absff, absolute : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal countnorm : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal fracout, fracoutff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal exptopff : exptopfftype;
signal expbotff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal expbotdelff : expbotdelfftype;
signal exponent : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal satff, zipff : STD_LOGIC_VECTOR (satffdepth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (signdepth DOWNTO 1);
signal zeronumber : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal zeronumberff : STD_LOGIC_VECTOR (1+normspeed DOWNTO 1);
signal roundoverflow : STD_LOGIC_VECTOR (53 DOWNTO 1);
signal roundoverflowff : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zeroexpnode, maxexpnode : STD_LOGIC;
signal zeromantissanode : STD_LOGIC;
signal zeroexponentnode, maxexponentnode : STD_LOGIC;
signal roundbit : STD_LOGIC;
-- common to all output flows
signal mantissaoutff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exponentoutff : STD_LOGIC_VECTOR (11 DOWNTO 1);
-- common to all rounded output flows
signal mantissaroundff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exponentoneff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zeromantissaff : STD_LOGIC;
signal zeroexponentff, maxexponentff : STD_LOGIC;
-- only for doublespeed rounded output
signal mantissaroundnode : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal exponenttwoff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zeromantissadelff : STD_LOGIC;
signal zeroexponentdelff, maxexponentdelff : STD_LOGIC;
-- debug
signal aaexp : STD_LOGIC_VECTOR(13 DOWNTO 1);
signal aaman : STD_LOGIC_VECTOR(64 DOWNTO 1);
signal ccsgn : STD_LOGIC;
signal ccexp : STD_LOGIC_VECTOR(11 DOWNTO 1);
signal ccman : STD_LOGIC_VECTOR(52 DOWNTO 1);
component hcc_addpipeb
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_addpipes
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_normus64 IS
GENERIC (pipes : positive := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
fracin : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1);
fracout : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 64 GENERATE
zerovec(k) <= '0';
END GENERATE;
pclk: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 77 LOOP
aaff(k) <= '0';
END LOOP;
FOR k IN 1 TO 64 LOOP
fracoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO exptopffdepth LOOP
FOR j IN 1 TO 13 LOOP
exptopff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO satffdepth LOOP
satff(k) <= '0';
zipff(k) <= '0';
END LOOP;
FOR k IN 1 TO signdepth LOOP
signff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
fracoutff <= fracout;
exptopff(1)(13 DOWNTO 1) <= aaff(13 DOWNTO 1) + "0000000000100";
FOR k IN 2 TO exptopffdepth LOOP
exptopff(k)(13 DOWNTO 1) <= exptopff(k-1)(13 DOWNTO 1);
END LOOP;
satff(1) <= aasat;
FOR k IN 2 TO satffdepth LOOP
satff(k) <= satff(k-1);
END LOOP;
zipff(1) <= aazip;
FOR k IN 2 TO satffdepth LOOP
zipff(k) <= zipff(k-1);
END LOOP;
signff(1) <= aaff(77);
FOR k IN 2 TO signdepth LOOP
signff(k) <= signff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
gna: FOR k IN 1 TO 64 GENERATE
absinvnode(k) <= aaff(k+13) XOR aaff(77);
END GENERATE;
--*** APPLY ROUNDING TO ABS VALUE (IF REQUIRED) ***
gnb: IF ((roundconvert = 0) OR
(roundconvert = 1 AND doublespeed = 0)) GENERATE
gnc: IF (roundconvert = 0) GENERATE
absnode <= absinvnode;
END GENERATE;
gnd: IF (roundconvert = 1) GENERATE
absnode <= absinvnode + (zerovec(63 DOWNTO 1) & aaff(77));
END GENERATE;
pnb: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
absff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
absff <= absnode;
END IF;
END IF;
END PROCESS;
absolute <= absff;
END GENERATE;
gnd: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
gsa: IF (synthesize = 0) GENERATE
absone: hcc_addpipeb
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>absinvnode,bb=>zerovec,carryin=>aaff(77),
cc=>absolute);
END GENERATE;
gsb: IF (synthesize = 1) GENERATE
abstwo: hcc_addpipes
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>absinvnode,bb=>zerovec,carryin=>aaff(77),
cc=>absolute);
END GENERATE;
END GENERATE;
zeronumber(1) <= absolute(1);
gzma: FOR k IN 2 TO 64 GENERATE
zeronumber(k) <= zeronumber(k-1) OR absolute(k);
END GENERATE;
pzm: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO normspeed+1 LOOP
zeronumberff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
zeronumberff(1) <= NOT(zeronumber(64));
FOR k IN 2 TO 1+normspeed LOOP
zeronumberff(k) <= zeronumberff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--******************************************************************
--*** NORMALIZE HERE - 1-3 pipes (countnorm output after 1 pipe) ***
--******************************************************************
normcore: hcc_normus64
GENERIC MAP (pipes=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
fracin=>absolute,
countout=>countnorm,fracout=>fracout);
--****************************
--*** exponent bottom half ***
--****************************
gxa: IF (expbotffdepth = 1) GENERATE
pxa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 13 LOOP
expbotff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
expbotff(13 DOWNTO 1) <= exptopff(exptopffdepth)(13 DOWNTO 1) - ("0000000" & countnorm);
END IF;
END IF;
END PROCESS;
exponent <= expbotff;
END GENERATE;
gxb: IF (expbotffdepth > 1) GENERATE
pxb: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO expbotffdepth LOOP
FOR j IN 1 TO 13 LOOP
expbotdelff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
expbotdelff(1)(13 DOWNTO 1) <= exptopff(exptopffdepth)(13 DOWNTO 1) - ("0000000" & countnorm);
FOR k IN 2 TO expbotffdepth LOOP
expbotdelff(k)(13 DOWNTO 1) <= expbotdelff(k-1)(13 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
exponent <= expbotdelff(expbotffdepth)(13 DOWNTO 1);
END GENERATE;
--**************************************
--*** CALCULATE OVERFLOW & UNDERFLOW ***
--**************************************
groa: IF (roundconvert = 1) GENERATE
roundoverflow(1) <= fracout(10);
grob: FOR k IN 2 TO 53 GENERATE
roundoverflow(k) <= roundoverflow(k-1) AND fracout(k+9);
END GENERATE;
prca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
roundoverflowff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
roundoverflowff <= roundoverflow(53);
END IF;
END IF;
END PROCESS;
END GENERATE;
-- fracff, expnode, roundoverflowff (if used) aligned here, depth of satffdepth
zeroexpnode <= NOT(expnode(13) OR expnode(12) OR
expnode(11) OR expnode(10) OR expnode(9) OR
expnode(8) OR expnode(7) OR expnode(6) OR expnode(5) OR
expnode(4) OR expnode(3) OR expnode(2) OR expnode(1));
maxexpnode <= NOT(expnode(13)) AND NOT(expnode(12)) AND
expnode(11) AND expnode(10) AND expnode(9) AND
expnode(8) AND expnode(7) AND expnode(6) AND expnode(5) AND
expnode(4) AND expnode(3) AND expnode(2) AND expnode(1);
-- '1' when true
-- 27/05/09 make sure all conditions are covered
groc: IF (roundconvert = 0) GENERATE
zeromantissanode <= expnode(12) OR expnode(13) OR
zeroexpnode OR maxexpnode OR
zipff(satffdepth) OR satff(satffdepth);
END GENERATE;
grod: IF (roundconvert = 1) GENERATE
zeromantissanode <= roundoverflowff OR expnode(12) OR expnode(13) OR
zeroexpnode OR maxexpnode OR
zipff(satffdepth) OR satff(satffdepth) OR
zeronumberff(1+normspeed);
END GENERATE;
zeroexponentnode <= zeroexpnode OR expnode(13) OR
zipff(satffdepth) OR zeronumberff(1+normspeed);
-- 27/05/09 - make sure than exp = -1 doesn't trigger max nod
maxexponentnode <= (maxexpnode AND NOT(expnode(12)) AND NOT(expnode(13))) OR
(expnode(12) AND NOT(expnode(13))) OR
satff(satffdepth);
--**********************
--*** OUTPUT SECTION ***
--**********************
goa: IF (roundconvert = 0) GENERATE
expnode <= exponent;
roundbit <= '0';
poa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= fracoutff(k+10) AND NOT(zeromantissanode);
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeroexponentnode)) OR maxexponentnode;
END LOOP;
END IF;
END PROCESS;
END GENERATE;
gob: IF (roundconvert = 1 AND doublespeed = 0) GENERATE
expnode <= exponent + (zerovec(12 DOWNTO 1) & roundoverflowff);
-- round to nearest even
roundbit <= (fracoutff(11) AND fracoutff(10)) OR
(NOT(fracoutff(11)) AND fracoutff(10) AND
(fracoutff(9) OR fracoutff(8) OR fracoutff(7)));
pob: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
mantissaroundff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoneff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
zeromantissaff <= '0';
zeroexponentff <= '0';
maxexponentff <= '0';
ELSIF (rising_edge(sysclk)) THEN
mantissaroundff <= fracoutff(62 DOWNTO 11) + (zerovec(51 DOWNTO 1) & roundbit);
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= mantissaroundff(k) AND NOT(zeromantissaff);
END LOOP;
exponentoneff <= expnode(11 DOWNTO 1);
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (exponentoneff(k) AND NOT(zeroexponentff)) OR maxexponentff;
END LOOP;
-- '1' when true
zeromantissaff <= zeromantissanode;
zeroexponentff <= zeroexponentnode;
maxexponentff <= maxexponentnode;
END IF;
END PROCESS;
END GENERATE;
goc: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
expnode <= exponent + (zerovec(12 DOWNTO 1) & roundoverflowff);
-- round to nearest even
roundbit <= (fracoutff(11) AND fracoutff(10)) OR
(NOT(fracoutff(11)) AND fracoutff(10) AND
(fracoutff(9) OR fracoutff(8) OR fracoutff(7)));
poc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoneff(k) <= '0';
exponenttwoff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
zeromantissaff <= '0';
zeroexponentff <= '0';
maxexponentff <= '0';
zeromantissadelff <= '0';
zeroexponentdelff <= '0';
maxexponentdelff <= '0';
ELSIF (rising_edge(sysclk)) THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= mantissaroundnode(k) AND NOT(zeromantissadelff);
END LOOP;
exponentoneff <= expnode(11 DOWNTO 1);
exponenttwoff <= exponentoneff;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (exponenttwoff(k) AND NOT(zeroexponentdelff)) OR maxexponentdelff;
END LOOP;
-- '1' when true
zeromantissaff <= zeromantissanode;
zeroexponentff <= zeroexponentnode;
maxexponentff <= maxexponentnode;
zeromantissadelff <= zeromantissaff;
zeroexponentdelff <= zeroexponentff;
maxexponentdelff <= maxexponentff;
END IF;
END PROCESS;
aroa: IF (synthesize = 0) GENERATE
roone: hcc_addpipeb
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>fracoutff(64 DOWNTO 11),bb=>zerovec(54 DOWNTO 1),carryin=>roundbit,
cc=>mantissaroundnode);
END GENERATE;
arob: IF (synthesize = 1) GENERATE
rotwo: hcc_addpipes
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>fracoutff(64 DOWNTO 11),bb=>zerovec(54 DOWNTO 1),carryin=>roundbit,
cc=>mantissaroundnode);
END GENERATE;
END GENERATE;
--*** OUTPUTS ***
cc(64) <= signff(signdepth);
cc(63 DOWNTO 53) <= exponentoutff;
cc(52 DOWNTO 1) <= mantissaoutff;
--*** DEBUG ***
aaexp <= aa(13 DOWNTO 1);
aaman <= aa(77 DOWNTO 14);
ccsgn <= signff(signdepth);
ccexp <= exponentoutff;
ccman <= mantissaoutff;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/hcc_castytod.vhd
|
10
|
18709
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--******************************************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTYTOD.VHD ***
--*** ***
--*** Function: Cast Internal Double to IEEE754 ***
--*** Double ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 27/05/09 - fixed zero/infinity/nan mantissa cases, also output 0 if ***
--*** mantissa is 0 ***
--*** 29/06/09 - look at bits 12&13 of expnode to check zero & max *** ***
--*** ***
--*** ***
--******************************************************************************
--******************************************************************************
--*** Latency: ***
--*** 4 + swNormSpeed + swDoubleSpeed + swRoundConvert*(1 + swDoubleSpeed); ***
--******************************************************************************
ENTITY hcc_castytod IS
GENERIC (
roundconvert : integer := 0; -- global switch - round all ieee<=>y conversion when '1'
normspeed : positive := 3; -- 1,2, or 3 pipes for norm core
doublespeed : integer := 1; -- '0' for unpiped adder, '1' for piped adder
synthesize : integer := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (77 DOWNTO 1);
aasat, aazip : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
END hcc_castytod;
ARCHITECTURE rtl OF hcc_castytod IS
constant signdepth : positive := 3 + (roundconvert*doublespeed) + normspeed + roundconvert*(1 + doublespeed);
constant exptopffdepth : positive := 2 + (roundconvert*doublespeed);
constant expbotffdepth : positive := normspeed;
constant satffdepth : positive := 3 + (roundconvert*doublespeed) + normspeed;
type absfftype IS ARRAY (3 DOWNTO 1) OF STD_LOGIC_VECTOR (64 DOWNTO 1);
type exptopfftype IS ARRAY (exptopffdepth DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
type expbotdelfftype IS ARRAY (expbotffdepth DOWNTO 1) OF STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zerovec : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal aaff : STD_LOGIC_VECTOR (77 DOWNTO 1);
signal absinvnode, absnode, absff, absolute : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal countnorm : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal fracout, fracoutff : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal exptopff : exptopfftype;
signal expbotff : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal expbotdelff : expbotdelfftype;
signal exponent : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal satff, zipff : STD_LOGIC_VECTOR (satffdepth DOWNTO 1);
signal signff : STD_LOGIC_VECTOR (signdepth DOWNTO 1);
signal zeronumber : STD_LOGIC_VECTOR (64 DOWNTO 1);
signal zeronumberff : STD_LOGIC_VECTOR (1+normspeed DOWNTO 1);
signal roundoverflow : STD_LOGIC_VECTOR (53 DOWNTO 1);
signal roundoverflowff : STD_LOGIC;
signal expnode : STD_LOGIC_VECTOR (13 DOWNTO 1);
signal zeroexpnode, maxexpnode : STD_LOGIC;
signal zeromantissanode : STD_LOGIC;
signal zeroexponentnode, maxexponentnode : STD_LOGIC;
signal roundbit : STD_LOGIC;
-- common to all output flows
signal mantissaoutff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exponentoutff : STD_LOGIC_VECTOR (11 DOWNTO 1);
-- common to all rounded output flows
signal mantissaroundff : STD_LOGIC_VECTOR (52 DOWNTO 1);
signal exponentoneff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zeromantissaff : STD_LOGIC;
signal zeroexponentff, maxexponentff : STD_LOGIC;
-- only for doublespeed rounded output
signal mantissaroundnode : STD_LOGIC_VECTOR (54 DOWNTO 1);
signal exponenttwoff : STD_LOGIC_VECTOR (11 DOWNTO 1);
signal zeromantissadelff : STD_LOGIC;
signal zeroexponentdelff, maxexponentdelff : STD_LOGIC;
-- debug
signal aaexp : STD_LOGIC_VECTOR(13 DOWNTO 1);
signal aaman : STD_LOGIC_VECTOR(64 DOWNTO 1);
signal ccsgn : STD_LOGIC;
signal ccexp : STD_LOGIC_VECTOR(11 DOWNTO 1);
signal ccman : STD_LOGIC_VECTOR(52 DOWNTO 1);
component hcc_addpipeb
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_addpipes
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa, bb : IN STD_LOGIC_VECTOR (width DOWNTO 1);
carryin : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component hcc_normus64 IS
GENERIC (pipes : positive := 1);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
fracin : IN STD_LOGIC_VECTOR (64 DOWNTO 1);
countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1);
fracout : OUT STD_LOGIC_VECTOR (64 DOWNTO 1)
);
end component;
BEGIN
gza: FOR k IN 1 TO 64 GENERATE
zerovec(k) <= '0';
END GENERATE;
pclk: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 77 LOOP
aaff(k) <= '0';
END LOOP;
FOR k IN 1 TO 64 LOOP
fracoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO exptopffdepth LOOP
FOR j IN 1 TO 13 LOOP
exptopff(k)(j) <= '0';
END LOOP;
END LOOP;
FOR k IN 1 TO satffdepth LOOP
satff(k) <= '0';
zipff(k) <= '0';
END LOOP;
FOR k IN 1 TO signdepth LOOP
signff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
fracoutff <= fracout;
exptopff(1)(13 DOWNTO 1) <= aaff(13 DOWNTO 1) + "0000000000100";
FOR k IN 2 TO exptopffdepth LOOP
exptopff(k)(13 DOWNTO 1) <= exptopff(k-1)(13 DOWNTO 1);
END LOOP;
satff(1) <= aasat;
FOR k IN 2 TO satffdepth LOOP
satff(k) <= satff(k-1);
END LOOP;
zipff(1) <= aazip;
FOR k IN 2 TO satffdepth LOOP
zipff(k) <= zipff(k-1);
END LOOP;
signff(1) <= aaff(77);
FOR k IN 2 TO signdepth LOOP
signff(k) <= signff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
gna: FOR k IN 1 TO 64 GENERATE
absinvnode(k) <= aaff(k+13) XOR aaff(77);
END GENERATE;
--*** APPLY ROUNDING TO ABS VALUE (IF REQUIRED) ***
gnb: IF ((roundconvert = 0) OR
(roundconvert = 1 AND doublespeed = 0)) GENERATE
gnc: IF (roundconvert = 0) GENERATE
absnode <= absinvnode;
END GENERATE;
gnd: IF (roundconvert = 1) GENERATE
absnode <= absinvnode + (zerovec(63 DOWNTO 1) & aaff(77));
END GENERATE;
pnb: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 64 LOOP
absff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
absff <= absnode;
END IF;
END IF;
END PROCESS;
absolute <= absff;
END GENERATE;
gnd: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
gsa: IF (synthesize = 0) GENERATE
absone: hcc_addpipeb
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>absinvnode,bb=>zerovec,carryin=>aaff(77),
cc=>absolute);
END GENERATE;
gsb: IF (synthesize = 1) GENERATE
abstwo: hcc_addpipes
GENERIC MAP (width=>64,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>absinvnode,bb=>zerovec,carryin=>aaff(77),
cc=>absolute);
END GENERATE;
END GENERATE;
zeronumber(1) <= absolute(1);
gzma: FOR k IN 2 TO 64 GENERATE
zeronumber(k) <= zeronumber(k-1) OR absolute(k);
END GENERATE;
pzm: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO normspeed+1 LOOP
zeronumberff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
zeronumberff(1) <= NOT(zeronumber(64));
FOR k IN 2 TO 1+normspeed LOOP
zeronumberff(k) <= zeronumberff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
--******************************************************************
--*** NORMALIZE HERE - 1-3 pipes (countnorm output after 1 pipe) ***
--******************************************************************
normcore: hcc_normus64
GENERIC MAP (pipes=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
fracin=>absolute,
countout=>countnorm,fracout=>fracout);
--****************************
--*** exponent bottom half ***
--****************************
gxa: IF (expbotffdepth = 1) GENERATE
pxa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 13 LOOP
expbotff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
expbotff(13 DOWNTO 1) <= exptopff(exptopffdepth)(13 DOWNTO 1) - ("0000000" & countnorm);
END IF;
END IF;
END PROCESS;
exponent <= expbotff;
END GENERATE;
gxb: IF (expbotffdepth > 1) GENERATE
pxb: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO expbotffdepth LOOP
FOR j IN 1 TO 13 LOOP
expbotdelff(k)(j) <= '0';
END LOOP;
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
expbotdelff(1)(13 DOWNTO 1) <= exptopff(exptopffdepth)(13 DOWNTO 1) - ("0000000" & countnorm);
FOR k IN 2 TO expbotffdepth LOOP
expbotdelff(k)(13 DOWNTO 1) <= expbotdelff(k-1)(13 DOWNTO 1);
END LOOP;
END IF;
END IF;
END PROCESS;
exponent <= expbotdelff(expbotffdepth)(13 DOWNTO 1);
END GENERATE;
--**************************************
--*** CALCULATE OVERFLOW & UNDERFLOW ***
--**************************************
groa: IF (roundconvert = 1) GENERATE
roundoverflow(1) <= fracout(10);
grob: FOR k IN 2 TO 53 GENERATE
roundoverflow(k) <= roundoverflow(k-1) AND fracout(k+9);
END GENERATE;
prca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
roundoverflowff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
roundoverflowff <= roundoverflow(53);
END IF;
END IF;
END PROCESS;
END GENERATE;
-- fracff, expnode, roundoverflowff (if used) aligned here, depth of satffdepth
zeroexpnode <= NOT(expnode(13) OR expnode(12) OR
expnode(11) OR expnode(10) OR expnode(9) OR
expnode(8) OR expnode(7) OR expnode(6) OR expnode(5) OR
expnode(4) OR expnode(3) OR expnode(2) OR expnode(1));
maxexpnode <= NOT(expnode(13)) AND NOT(expnode(12)) AND
expnode(11) AND expnode(10) AND expnode(9) AND
expnode(8) AND expnode(7) AND expnode(6) AND expnode(5) AND
expnode(4) AND expnode(3) AND expnode(2) AND expnode(1);
-- '1' when true
-- 27/05/09 make sure all conditions are covered
groc: IF (roundconvert = 0) GENERATE
zeromantissanode <= expnode(12) OR expnode(13) OR
zeroexpnode OR maxexpnode OR
zipff(satffdepth) OR satff(satffdepth);
END GENERATE;
grod: IF (roundconvert = 1) GENERATE
zeromantissanode <= roundoverflowff OR expnode(12) OR expnode(13) OR
zeroexpnode OR maxexpnode OR
zipff(satffdepth) OR satff(satffdepth) OR
zeronumberff(1+normspeed);
END GENERATE;
zeroexponentnode <= zeroexpnode OR expnode(13) OR
zipff(satffdepth) OR zeronumberff(1+normspeed);
-- 27/05/09 - make sure than exp = -1 doesn't trigger max nod
maxexponentnode <= (maxexpnode AND NOT(expnode(12)) AND NOT(expnode(13))) OR
(expnode(12) AND NOT(expnode(13))) OR
satff(satffdepth);
--**********************
--*** OUTPUT SECTION ***
--**********************
goa: IF (roundconvert = 0) GENERATE
expnode <= exponent;
roundbit <= '0';
poa: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= fracoutff(k+10) AND NOT(zeromantissanode);
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (expnode(k) AND NOT(zeroexponentnode)) OR maxexponentnode;
END LOOP;
END IF;
END PROCESS;
END GENERATE;
gob: IF (roundconvert = 1 AND doublespeed = 0) GENERATE
expnode <= exponent + (zerovec(12 DOWNTO 1) & roundoverflowff);
-- round to nearest even
roundbit <= (fracoutff(11) AND fracoutff(10)) OR
(NOT(fracoutff(11)) AND fracoutff(10) AND
(fracoutff(9) OR fracoutff(8) OR fracoutff(7)));
pob: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
mantissaroundff(k) <= '0';
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoneff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
zeromantissaff <= '0';
zeroexponentff <= '0';
maxexponentff <= '0';
ELSIF (rising_edge(sysclk)) THEN
mantissaroundff <= fracoutff(62 DOWNTO 11) + (zerovec(51 DOWNTO 1) & roundbit);
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= mantissaroundff(k) AND NOT(zeromantissaff);
END LOOP;
exponentoneff <= expnode(11 DOWNTO 1);
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (exponentoneff(k) AND NOT(zeroexponentff)) OR maxexponentff;
END LOOP;
-- '1' when true
zeromantissaff <= zeromantissanode;
zeroexponentff <= zeroexponentnode;
maxexponentff <= maxexponentnode;
END IF;
END PROCESS;
END GENERATE;
goc: IF (roundconvert = 1 AND doublespeed = 1) GENERATE
expnode <= exponent + (zerovec(12 DOWNTO 1) & roundoverflowff);
-- round to nearest even
roundbit <= (fracoutff(11) AND fracoutff(10)) OR
(NOT(fracoutff(11)) AND fracoutff(10) AND
(fracoutff(9) OR fracoutff(8) OR fracoutff(7)));
poc: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= '0';
END LOOP;
FOR k IN 1 TO 11 LOOP
exponentoneff(k) <= '0';
exponenttwoff(k) <= '0';
exponentoutff(k) <= '0';
END LOOP;
zeromantissaff <= '0';
zeroexponentff <= '0';
maxexponentff <= '0';
zeromantissadelff <= '0';
zeroexponentdelff <= '0';
maxexponentdelff <= '0';
ELSIF (rising_edge(sysclk)) THEN
FOR k IN 1 TO 52 LOOP
mantissaoutff(k) <= mantissaroundnode(k) AND NOT(zeromantissadelff);
END LOOP;
exponentoneff <= expnode(11 DOWNTO 1);
exponenttwoff <= exponentoneff;
FOR k IN 1 TO 11 LOOP
exponentoutff(k) <= (exponenttwoff(k) AND NOT(zeroexponentdelff)) OR maxexponentdelff;
END LOOP;
-- '1' when true
zeromantissaff <= zeromantissanode;
zeroexponentff <= zeroexponentnode;
maxexponentff <= maxexponentnode;
zeromantissadelff <= zeromantissaff;
zeroexponentdelff <= zeroexponentff;
maxexponentdelff <= maxexponentff;
END IF;
END PROCESS;
aroa: IF (synthesize = 0) GENERATE
roone: hcc_addpipeb
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>fracoutff(64 DOWNTO 11),bb=>zerovec(54 DOWNTO 1),carryin=>roundbit,
cc=>mantissaroundnode);
END GENERATE;
arob: IF (synthesize = 1) GENERATE
rotwo: hcc_addpipes
GENERIC MAP (width=>54,pipes=>2)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>fracoutff(64 DOWNTO 11),bb=>zerovec(54 DOWNTO 1),carryin=>roundbit,
cc=>mantissaroundnode);
END GENERATE;
END GENERATE;
--*** OUTPUTS ***
cc(64) <= signff(signdepth);
cc(63 DOWNTO 53) <= exponentoutff;
cc(52 DOWNTO 1) <= mantissaoutff;
--*** DEBUG ***
aaexp <= aa(13 DOWNTO 1);
aaman <= aa(77 DOWNTO 14);
ccsgn <= signff(signdepth);
ccexp <= exponentoutff;
ccman <= mantissaoutff;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_hypot_s5.vhd
|
10
|
263346
|
-----------------------------------------------------------------------------
-- Altera DSP Builder Advanced Flow Tools Release Version 13.1
-- Quartus II development tool and MATLAB/Simulink Interface
--
-- Legal Notice: Copyright 2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing device programming or simulation files), and
-- any associated documentation or information are expressly subject to the
-- terms and conditions of the Altera Program License Subscription Agreement,
-- Altera MegaCore Function License Agreement, or other applicable license
-- agreement, including, without limitation, that your use is for the sole
-- purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-----------------------------------------------------------------------------
-- VHDL created from fp_hypot_s5
-- VHDL created on Tue Mar 12 11:24:00 2013
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;
use IEEE.MATH_REAL.all;
use std.TextIO.all;
use work.dspba_library_package.all;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.all;
LIBRARY lpm;
USE lpm.lpm_components.all;
entity fp_hypot_s5 is
port (
a : in std_logic_vector(31 downto 0);
b : in std_logic_vector(31 downto 0);
en : in std_logic_vector(0 downto 0);
q : out std_logic_vector(31 downto 0);
clk : in std_logic;
areset : in std_logic
);
end;
architecture normal of fp_hypot_s5 is
attribute altera_attribute : string;
attribute altera_attribute of normal : architecture is "-name NOT_GATE_PUSH_BACK OFF; -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON; -name AUTO_SHIFT_REGISTER_RECOGNITION OFF; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 10037; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 10036; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410";
signal GND_q : std_logic_vector (0 downto 0);
signal VCC_q : std_logic_vector (0 downto 0);
signal cstAllOWE_uid10_fpHypotTest_q : std_logic_vector (7 downto 0);
signal cstAllZWF_uid11_fpHypotTest_q : std_logic_vector (22 downto 0);
signal cstAllZWE_uid12_fpHypotTest_q : std_logic_vector (7 downto 0);
signal oFracXSqr_uid45_fpHypotTest_a : std_logic_vector (23 downto 0);
signal oFracXSqr_uid45_fpHypotTest_b : std_logic_vector (23 downto 0);
signal oFracXSqr_uid45_fpHypotTest_s1 : std_logic_vector (47 downto 0);
signal oFracXSqr_uid45_fpHypotTest_pr : UNSIGNED (47 downto 0);
signal oFracXSqr_uid45_fpHypotTest_q : std_logic_vector (47 downto 0);
signal oFracYSqr_uid46_fpHypotTest_a : std_logic_vector (23 downto 0);
signal oFracYSqr_uid46_fpHypotTest_b : std_logic_vector (23 downto 0);
signal oFracYSqr_uid46_fpHypotTest_s1 : std_logic_vector (47 downto 0);
signal oFracYSqr_uid46_fpHypotTest_pr : UNSIGNED (47 downto 0);
signal oFracYSqr_uid46_fpHypotTest_q : std_logic_vector (47 downto 0);
signal bias_uid47_fpHypotTest_q : std_logic_vector (6 downto 0);
signal cWFP2_uid66_fpHypotTest_q : std_logic_vector (4 downto 0);
signal oFracA_uid68_fpHypotTest_s : std_logic_vector (0 downto 0);
signal oFracA_uid68_fpHypotTest_q : std_logic_vector (23 downto 0);
signal z_uid71_fpHypotTest_q : std_logic_vector (4 downto 0);
signal excAZero_uid74_fpHypotTest_s : std_logic_vector (0 downto 0);
signal excAZero_uid74_fpHypotTest_q : std_logic_vector (0 downto 0);
signal excBZero_uid75_fpHypotTest_s : std_logic_vector (0 downto 0);
signal excBZero_uid75_fpHypotTest_q : std_logic_vector (0 downto 0);
signal zerosWFp1_uid77_fpHypotTest_q : std_logic_vector (23 downto 0);
signal oFracAPostExc_uid79_fpHypotTest_s : std_logic_vector (0 downto 0);
signal oFracAPostExc_uid79_fpHypotTest_q : std_logic_vector (23 downto 0);
signal biasP1Signal_uid99_fpHypotTest_q : std_logic_vector (6 downto 0);
signal expRMux_uid104_fpHypotTest_s : std_logic_vector (0 downto 0);
signal expRMux_uid104_fpHypotTest_q : std_logic_vector (9 downto 0);
signal xRegOrZero_uid121_fpHypotTest_a : std_logic_vector(0 downto 0);
signal xRegOrZero_uid121_fpHypotTest_b : std_logic_vector(0 downto 0);
signal xRegOrZero_uid121_fpHypotTest_q : std_logic_vector(0 downto 0);
signal yRegOrZero_uid123_fpHypotTest_a : std_logic_vector(0 downto 0);
signal yRegOrZero_uid123_fpHypotTest_b : std_logic_vector(0 downto 0);
signal yRegOrZero_uid123_fpHypotTest_q : std_logic_vector(0 downto 0);
signal NaNFracRPostExc_uid133_fpHypotTest_q : std_logic_vector (22 downto 0);
signal rightShiftStage0Idx2Pad16_uid149_alignShift_uid81_fpHypotTest_q : std_logic_vector (15 downto 0);
signal rightShiftStage1Idx1Pad2_uid157_alignShift_uid81_fpHypotTest_q : std_logic_vector (1 downto 0);
signal rightShiftStage1Idx2Pad4_uid160_alignShift_uid81_fpHypotTest_q : std_logic_vector (3 downto 0);
signal rightShiftStage1Idx3Pad6_uid163_alignShift_uid81_fpHypotTest_q : std_logic_vector (5 downto 0);
signal prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_a : std_logic_vector (11 downto 0);
signal prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_b : std_logic_vector (11 downto 0);
signal prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_s1 : std_logic_vector (23 downto 0);
signal prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_pr : SIGNED (24 downto 0);
signal prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_q : std_logic_vector (23 downto 0);
signal prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a : std_logic_vector (15 downto 0);
signal prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_b : std_logic_vector (22 downto 0);
signal prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_s1 : std_logic_vector (38 downto 0);
signal prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_pr : SIGNED (39 downto 0);
signal prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_q : std_logic_vector (38 downto 0);
signal memoryC0_uid173_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC0_uid173_sqrtTableGenerator_lutmem_ia : std_logic_vector (28 downto 0);
signal memoryC0_uid173_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC0_uid173_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC0_uid173_sqrtTableGenerator_lutmem_iq : std_logic_vector (28 downto 0);
signal memoryC0_uid173_sqrtTableGenerator_lutmem_q : std_logic_vector (28 downto 0);
signal memoryC1_uid174_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC1_uid174_sqrtTableGenerator_lutmem_ia : std_logic_vector (20 downto 0);
signal memoryC1_uid174_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC1_uid174_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC1_uid174_sqrtTableGenerator_lutmem_iq : std_logic_vector (20 downto 0);
signal memoryC1_uid174_sqrtTableGenerator_lutmem_q : std_logic_vector (20 downto 0);
signal memoryC2_uid175_sqrtTableGenerator_lutmem_reset0 : std_logic;
signal memoryC2_uid175_sqrtTableGenerator_lutmem_ia : std_logic_vector (11 downto 0);
signal memoryC2_uid175_sqrtTableGenerator_lutmem_aa : std_logic_vector (7 downto 0);
signal memoryC2_uid175_sqrtTableGenerator_lutmem_ab : std_logic_vector (7 downto 0);
signal memoryC2_uid175_sqrtTableGenerator_lutmem_iq : std_logic_vector (11 downto 0);
signal memoryC2_uid175_sqrtTableGenerator_lutmem_q : std_logic_vector (11 downto 0);
signal reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0_q : std_logic_vector (23 downto 0);
signal reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0_q : std_logic_vector (23 downto 0);
signal reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q : std_logic_vector (9 downto 0);
signal reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0_q : std_logic_vector (9 downto 0);
signal reg_expDiff_uid65_fpHypotTest_0_to_shiftedOut_uid67_fpHypotTest_0_q : std_logic_vector (9 downto 0);
signal reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2_q : std_logic_vector (4 downto 0);
signal reg_rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_1_q : std_logic_vector (1 downto 0);
signal reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2_q : std_logic_vector (24 downto 0);
signal reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3_q : std_logic_vector (24 downto 0);
signal reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4_q : std_logic_vector (24 downto 0);
signal reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5_q : std_logic_vector (24 downto 0);
signal reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q : std_logic_vector (1 downto 0);
signal reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2_q : std_logic_vector (24 downto 0);
signal reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_q : std_logic_vector (0 downto 0);
signal reg_highBBits_uid83_fpHypotTest_0_to_sumAHighB_uid84_fpHypotTest_1_q : std_logic_vector (23 downto 0);
signal reg_lowRangeB_uid82_fpHypotTest_0_to_soSPreNorm_uid82_uid85_fpHypotTest_0_q : std_logic_vector (0 downto 0);
signal reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0_q : std_logic_vector (33 downto 0);
signal reg_normCatFracSoS_uid93_uid93_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_1_q : std_logic_vector (24 downto 0);
signal reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q : std_logic_vector (9 downto 0);
signal reg_addrTable_uid107_fpHypotTest_0_to_memoryC2_uid175_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_yT1_uid176_sqrtPolynomialEvaluator_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_0_q : std_logic_vector (11 downto 0);
signal reg_memoryC2_uid175_sqrtTableGenerator_lutmem_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_1_q : std_logic_vector (11 downto 0);
signal reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0_q : std_logic_vector (20 downto 0);
signal reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q : std_logic_vector (15 downto 0);
signal reg_s1_uid178_uid181_sqrtPolynomialEvaluator_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_1_q : std_logic_vector (22 downto 0);
signal reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_q : std_logic_vector (7 downto 0);
signal reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0_q : std_logic_vector (28 downto 0);
signal reg_fracRPreInc_uid110_fpHypotTest_0_to_fracRPostInc_uid111_fpHypotTest_0_q : std_logic_vector (24 downto 0);
signal reg_fracRPostIncMSBU_uid113_fpHypotTest_0_to_expRPostInc_uid114_fpHypotTest_1_q : std_logic_vector (0 downto 0);
signal reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q : std_logic_vector (10 downto 0);
signal reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0_q : std_logic_vector (2 downto 0);
signal ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_q : std_logic_vector (7 downto 0);
signal ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_q : std_logic_vector (7 downto 0);
signal ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q : std_logic_vector (9 downto 0);
signal ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b_q : std_logic_vector (0 downto 0);
signal ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b_q : std_logic_vector (9 downto 0);
signal ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c_q : std_logic_vector (23 downto 0);
signal ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d_q : std_logic_vector (23 downto 0);
signal ld_expXIsZero_uid14_fpHypotTest_q_to_excAZero_uid74_fpHypotTest_d_q : std_logic_vector (0 downto 0);
signal ld_oFracB_uid69_fpHypotTest_q_to_oFracBPostExc_uid78_fpHypotTest_c_q : std_logic_vector (23 downto 0);
signal ld_oFracAPostExc_uid79_fpHypotTest_q_to_sumAHighB_uid84_fpHypotTest_a_q : std_logic_vector (23 downto 0);
signal ld_expOddSelect_uid103_fpHypotTest_q_to_expRMux_uid104_fpHypotTest_b_q : std_logic_vector (0 downto 0);
signal ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_q : std_logic_vector (22 downto 0);
signal ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_q : std_logic_vector (22 downto 0);
signal ld_expRPreExc_uid139_fpHypotTest_b_to_expRPostExc_uid141_fpHypotTest_d_q : std_logic_vector (7 downto 0);
signal ld_RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_a_q : std_logic_vector (22 downto 0);
signal ld_RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_a_q : std_logic_vector (20 downto 0);
signal ld_RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_a_q : std_logic_vector (18 downto 0);
signal ld_reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_b_q : std_logic_vector (1 downto 0);
signal ld_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b_to_reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_a_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_a_q : std_logic_vector (7 downto 0);
signal ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_inputreg_q : std_logic_vector (7 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_inputreg_q : std_logic_vector (9 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_reset0 : std_logic;
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_ia : std_logic_vector (9 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_iq : std_logic_vector (9 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_q : std_logic_vector (9 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_q : std_logic_vector(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_i : unsigned(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q : std_logic_vector (0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve : boolean;
attribute preserve of ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena_q : signal is true;
signal ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_inputreg_q : std_logic_vector (22 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_inputreg_q : std_logic_vector (9 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_reset0 : std_logic;
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_ia : std_logic_vector (9 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_aa : std_logic_vector (3 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_ab : std_logic_vector (3 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_iq : std_logic_vector (9 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_q : std_logic_vector (9 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_q : std_logic_vector(3 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i : unsigned(3 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_eq : std_logic;
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg_q : std_logic_vector (3 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_mem_top_q : std_logic_vector (4 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena_q : signal is true;
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_reset0 : std_logic;
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_eq : std_logic;
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_mem_top_q : std_logic_vector (5 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena_q : signal is true;
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_reset0 : std_logic;
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena_q : signal is true;
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_reset0 : std_logic;
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena_q : signal is true;
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_reset0 : std_logic;
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_q : std_logic_vector(4 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i : unsigned(4 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_eq : std_logic;
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q : std_logic_vector (4 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_mem_top_q : std_logic_vector (5 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena_q : signal is true;
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_reset0 : std_logic;
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena_q : signal is true;
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_reset0 : std_logic;
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena_q : signal is true;
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_inputreg_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_reset0 : std_logic;
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena_q : signal is true;
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_inputreg_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_reset0 : std_logic;
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_ia : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_aa : std_logic_vector (4 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_ab : std_logic_vector (4 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_iq : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_q : std_logic_vector (0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena_q : signal is true;
signal ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_inputreg_q : std_logic_vector (22 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_inputreg_q : std_logic_vector (15 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_reset0 : std_logic;
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_ia : std_logic_vector (15 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_aa : std_logic_vector (0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_ab : std_logic_vector (0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_iq : std_logic_vector (15 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_q : std_logic_vector (15 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena_q : signal is true;
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_inputreg_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 : std_logic;
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_ia : std_logic_vector (7 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_aa : std_logic_vector (2 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_ab : std_logic_vector (2 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_iq : std_logic_vector (7 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_q : std_logic_vector (7 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q : std_logic_vector(2 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i : unsigned(2 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq : std_logic;
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q : std_logic_vector (2 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_mem_top_q : std_logic_vector (3 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmpReg_q : std_logic_vector (0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : std_logic_vector (0 downto 0);
attribute preserve of ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena_q : signal is true;
signal pGTEq_uid60_fpHypotTest_a : std_logic_vector(12 downto 0);
signal pGTEq_uid60_fpHypotTest_b : std_logic_vector(12 downto 0);
signal pGTEq_uid60_fpHypotTest_o : std_logic_vector (12 downto 0);
signal pGTEq_uid60_fpHypotTest_cin : std_logic_vector (0 downto 0);
signal pGTEq_uid60_fpHypotTest_n : std_logic_vector (0 downto 0);
signal shiftedOut_uid67_fpHypotTest_a : std_logic_vector(12 downto 0);
signal shiftedOut_uid67_fpHypotTest_b : std_logic_vector(12 downto 0);
signal shiftedOut_uid67_fpHypotTest_o : std_logic_vector (12 downto 0);
signal shiftedOut_uid67_fpHypotTest_cin : std_logic_vector (0 downto 0);
signal shiftedOut_uid67_fpHypotTest_n : std_logic_vector (0 downto 0);
signal sqrtUnderflow_uid115_fpHypotTest_a : std_logic_vector(13 downto 0);
signal sqrtUnderflow_uid115_fpHypotTest_b : std_logic_vector(13 downto 0);
signal sqrtUnderflow_uid115_fpHypotTest_o : std_logic_vector (13 downto 0);
signal sqrtUnderflow_uid115_fpHypotTest_cin : std_logic_vector (0 downto 0);
signal sqrtUnderflow_uid115_fpHypotTest_n : std_logic_vector (0 downto 0);
signal sqrtOverflow_uid117_fpHypotTest_a : std_logic_vector(13 downto 0);
signal sqrtOverflow_uid117_fpHypotTest_b : std_logic_vector(13 downto 0);
signal sqrtOverflow_uid117_fpHypotTest_o : std_logic_vector (13 downto 0);
signal sqrtOverflow_uid117_fpHypotTest_cin : std_logic_vector (0 downto 0);
signal sqrtOverflow_uid117_fpHypotTest_n : std_logic_vector (0 downto 0);
signal fracRPostInc_uid111_fpHypotTest_a : std_logic_vector(25 downto 0);
signal fracRPostInc_uid111_fpHypotTest_b : std_logic_vector(25 downto 0);
signal fracRPostInc_uid111_fpHypotTest_o : std_logic_vector (25 downto 0);
signal fracRPostInc_uid111_fpHypotTest_q : std_logic_vector (25 downto 0);
signal expCatRndBit_uid91_uid92_fpHypotTest_q : std_logic_vector (33 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_q : std_logic_vector (0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_a : std_logic_vector(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_q : std_logic_vector (3 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q : std_logic_vector (4 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s : std_logic_vector (0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q : std_logic_vector (2 downto 0);
signal expX_uid6_fpHypotTest_in : std_logic_vector (30 downto 0);
signal expX_uid6_fpHypotTest_b : std_logic_vector (7 downto 0);
signal fracX_uid8_fpHypotTest_in : std_logic_vector (22 downto 0);
signal fracX_uid8_fpHypotTest_b : std_logic_vector (22 downto 0);
signal expY_uid7_fpHypotTest_in : std_logic_vector (30 downto 0);
signal expY_uid7_fpHypotTest_b : std_logic_vector (7 downto 0);
signal fracY_uid9_fpHypotTest_in : std_logic_vector (22 downto 0);
signal fracY_uid9_fpHypotTest_b : std_logic_vector (22 downto 0);
signal expXIsZero_uid14_fpHypotTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid14_fpHypotTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid14_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid16_fpHypotTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid16_fpHypotTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid16_fpHypotTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid18_fpHypotTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid18_fpHypotTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid18_fpHypotTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid19_fpHypotTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid19_fpHypotTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid19_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expXIsZero_uid30_fpHypotTest_a : std_logic_vector(7 downto 0);
signal expXIsZero_uid30_fpHypotTest_b : std_logic_vector(7 downto 0);
signal expXIsZero_uid30_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expXIsMax_uid32_fpHypotTest_a : std_logic_vector(7 downto 0);
signal expXIsMax_uid32_fpHypotTest_b : std_logic_vector(7 downto 0);
signal expXIsMax_uid32_fpHypotTest_q : std_logic_vector(0 downto 0);
signal fracXIsZero_uid34_fpHypotTest_a : std_logic_vector(22 downto 0);
signal fracXIsZero_uid34_fpHypotTest_b : std_logic_vector(22 downto 0);
signal fracXIsZero_uid34_fpHypotTest_q : std_logic_vector(0 downto 0);
signal exc_I_uid35_fpHypotTest_a : std_logic_vector(0 downto 0);
signal exc_I_uid35_fpHypotTest_b : std_logic_vector(0 downto 0);
signal exc_I_uid35_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expCmpGtePQ_uid61_fpHypotTest_a : std_logic_vector(0 downto 0);
signal expCmpGtePQ_uid61_fpHypotTest_b : std_logic_vector(0 downto 0);
signal expCmpGtePQ_uid61_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expDiffPQ_uid62_fpHypotTest_a : std_logic_vector(10 downto 0);
signal expDiffPQ_uid62_fpHypotTest_b : std_logic_vector(10 downto 0);
signal expDiffPQ_uid62_fpHypotTest_o : std_logic_vector (10 downto 0);
signal expDiffPQ_uid62_fpHypotTest_q : std_logic_vector (10 downto 0);
signal expDiffQP_uid63_fpHypotTest_a : std_logic_vector(10 downto 0);
signal expDiffQP_uid63_fpHypotTest_b : std_logic_vector(10 downto 0);
signal expDiffQP_uid63_fpHypotTest_o : std_logic_vector (10 downto 0);
signal expDiffQP_uid63_fpHypotTest_q : std_logic_vector (10 downto 0);
signal mux_uid64_fpHypotTest_s : std_logic_vector (0 downto 0);
signal mux_uid64_fpHypotTest_q : std_logic_vector (10 downto 0);
signal oFracB_uid69_fpHypotTest_s : std_logic_vector (0 downto 0);
signal oFracB_uid69_fpHypotTest_q : std_logic_vector (23 downto 0);
signal expA_uid70_fpHypotTest_s : std_logic_vector (0 downto 0);
signal expA_uid70_fpHypotTest_q : std_logic_vector (9 downto 0);
signal shiftValue_uid73_fpHypotTest_s : std_logic_vector (0 downto 0);
signal shiftValue_uid73_fpHypotTest_q : std_logic_vector (4 downto 0);
signal oFracBFlushToZero_uid76_fpHypotTest_a : std_logic_vector(0 downto 0);
signal oFracBFlushToZero_uid76_fpHypotTest_b : std_logic_vector(0 downto 0);
signal oFracBFlushToZero_uid76_fpHypotTest_q : std_logic_vector(0 downto 0);
signal oFracBPostExc_uid78_fpHypotTest_s : std_logic_vector (0 downto 0);
signal oFracBPostExc_uid78_fpHypotTest_q : std_logic_vector (23 downto 0);
signal sumAHighB_uid84_fpHypotTest_a : std_logic_vector(24 downto 0);
signal sumAHighB_uid84_fpHypotTest_b : std_logic_vector(24 downto 0);
signal sumAHighB_uid84_fpHypotTest_o : std_logic_vector (24 downto 0);
signal sumAHighB_uid84_fpHypotTest_q : std_logic_vector (24 downto 0);
signal expFracPostNorm_uid94_fpHypotTest_a : std_logic_vector(35 downto 0);
signal expFracPostNorm_uid94_fpHypotTest_b : std_logic_vector(35 downto 0);
signal expFracPostNorm_uid94_fpHypotTest_o : std_logic_vector (35 downto 0);
signal expFracPostNorm_uid94_fpHypotTest_q : std_logic_vector (34 downto 0);
signal expSumOfSquaresUnbiased_uid97_fpHypotTest_a : std_logic_vector(11 downto 0);
signal expSumOfSquaresUnbiased_uid97_fpHypotTest_b : std_logic_vector(11 downto 0);
signal expSumOfSquaresUnbiased_uid97_fpHypotTest_o : std_logic_vector (11 downto 0);
signal expSumOfSquaresUnbiased_uid97_fpHypotTest_q : std_logic_vector (10 downto 0);
signal expOddSig_uid100_fpHypotTest_a : std_logic_vector(11 downto 0);
signal expOddSig_uid100_fpHypotTest_b : std_logic_vector(11 downto 0);
signal expOddSig_uid100_fpHypotTest_o : std_logic_vector (11 downto 0);
signal expOddSig_uid100_fpHypotTest_q : std_logic_vector (10 downto 0);
signal expRPostInc_uid114_fpHypotTest_a : std_logic_vector(11 downto 0);
signal expRPostInc_uid114_fpHypotTest_b : std_logic_vector(11 downto 0);
signal expRPostInc_uid114_fpHypotTest_o : std_logic_vector (11 downto 0);
signal expRPostInc_uid114_fpHypotTest_q : std_logic_vector (10 downto 0);
signal excXYZ_uid118_fpHypotTest_a : std_logic_vector(0 downto 0);
signal excXYZ_uid118_fpHypotTest_b : std_logic_vector(0 downto 0);
signal excXYZ_uid118_fpHypotTest_q : std_logic_vector(0 downto 0);
signal excXYRUdf_uid119_fpHypotTest_a : std_logic_vector(0 downto 0);
signal excXYRUdf_uid119_fpHypotTest_b : std_logic_vector(0 downto 0);
signal excXYRUdf_uid119_fpHypotTest_c : std_logic_vector(0 downto 0);
signal excXYRUdf_uid119_fpHypotTest_q : std_logic_vector(0 downto 0);
signal excRZero_uid120_fpHypotTest_a : std_logic_vector(0 downto 0);
signal excRZero_uid120_fpHypotTest_b : std_logic_vector(0 downto 0);
signal excRZero_uid120_fpHypotTest_q : std_logic_vector(0 downto 0);
signal xRegOrZeroYRegOvf_uid122_fpHypotTest_a : std_logic_vector(0 downto 0);
signal xRegOrZeroYRegOvf_uid122_fpHypotTest_b : std_logic_vector(0 downto 0);
signal xRegOrZeroYRegOvf_uid122_fpHypotTest_c : std_logic_vector(0 downto 0);
signal xRegOrZeroYRegOvf_uid122_fpHypotTest_q : std_logic_vector(0 downto 0);
signal yRegOrZeroXRegOvf_uid124_fpHypotTest_a : std_logic_vector(0 downto 0);
signal yRegOrZeroXRegOvf_uid124_fpHypotTest_b : std_logic_vector(0 downto 0);
signal yRegOrZeroXRegOvf_uid124_fpHypotTest_c : std_logic_vector(0 downto 0);
signal yRegOrZeroXRegOvf_uid124_fpHypotTest_q : std_logic_vector(0 downto 0);
signal excRInf_uid125_fpHypotTest_a : std_logic_vector(0 downto 0);
signal excRInf_uid125_fpHypotTest_b : std_logic_vector(0 downto 0);
signal excRInf_uid125_fpHypotTest_c : std_logic_vector(0 downto 0);
signal excRInf_uid125_fpHypotTest_d : std_logic_vector(0 downto 0);
signal excRInf_uid125_fpHypotTest_q : std_logic_vector(0 downto 0);
signal outMuxSelEnc_uid132_fpHypotTest_q : std_logic_vector(1 downto 0);
signal fracRPostExc_uid136_fpHypotTest_s : std_logic_vector (1 downto 0);
signal fracRPostExc_uid136_fpHypotTest_q : std_logic_vector (22 downto 0);
signal expRPostExc_uid141_fpHypotTest_s : std_logic_vector (1 downto 0);
signal expRPostExc_uid141_fpHypotTest_q : std_logic_vector (7 downto 0);
signal rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_q : std_logic_vector(0 downto 0);
signal normBitXSqr_uid48_fpHypotTest_in : std_logic_vector (47 downto 0);
signal normBitXSqr_uid48_fpHypotTest_b : std_logic_vector (0 downto 0);
signal normFracXSqrHigh_uid54_fpHypotTest_in : std_logic_vector (47 downto 0);
signal normFracXSqrHigh_uid54_fpHypotTest_b : std_logic_vector (23 downto 0);
signal normFracXSqrLow_uid55_fpHypotTest_in : std_logic_vector (46 downto 0);
signal normFracXSqrLow_uid55_fpHypotTest_b : std_logic_vector (23 downto 0);
signal normBitYSqr_uid49_fpHypotTest_in : std_logic_vector (47 downto 0);
signal normBitYSqr_uid49_fpHypotTest_b : std_logic_vector (0 downto 0);
signal normFracYSqrHigh_uid57_fpHypotTest_in : std_logic_vector (47 downto 0);
signal normFracYSqrHigh_uid57_fpHypotTest_b : std_logic_vector (23 downto 0);
signal normFracYSqrLow_uid58_fpHypotTest_in : std_logic_vector (46 downto 0);
signal normFracYSqrLow_uid58_fpHypotTest_b : std_logic_vector (23 downto 0);
signal rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_in : std_logic_vector (23 downto 0);
signal prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_b : std_logic_vector (12 downto 0);
signal prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_in : std_logic_vector (38 downto 0);
signal prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_b : std_logic_vector (23 downto 0);
signal rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_s : std_logic_vector (1 downto 0);
signal rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal soSPreNorm_uid82_uid85_fpHypotTest_q : std_logic_vector (25 downto 0);
signal expXTimes2_uid50_fpHypotTest_q : std_logic_vector (8 downto 0);
signal expYTimes2_uid51_fpHypotTest_q : std_logic_vector (8 downto 0);
signal FracRPreSqrt15dto0_uid108_fpHypotTest_in : std_logic_vector (15 downto 0);
signal FracRPreSqrt15dto0_uid108_fpHypotTest_b : std_logic_vector (15 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_a : std_logic_vector(4 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_b : std_logic_vector(4 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_a : std_logic_vector(5 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_b : std_logic_vector(5 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_a : std_logic_vector(5 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_b : std_logic_vector(5 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_q : std_logic_vector(0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_a : std_logic_vector(0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_b : std_logic_vector(0 downto 0);
signal ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_q : std_logic_vector(0 downto 0);
signal excSelBits_uid131_fpHypotTest_q : std_logic_vector (2 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_a : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_b : std_logic_vector(0 downto 0);
signal ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_q : std_logic_vector(0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_a : std_logic_vector(0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_b : std_logic_vector(0 downto 0);
signal ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_a : std_logic_vector(3 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_b : std_logic_vector(3 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_q : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_a : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_b : std_logic_vector(0 downto 0);
signal ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_q : std_logic_vector(0 downto 0);
signal fracR_uid112_fpHypotTest_in : std_logic_vector (23 downto 0);
signal fracR_uid112_fpHypotTest_b : std_logic_vector (22 downto 0);
signal fracRPostIncMSBU_uid113_fpHypotTest_in : std_logic_vector (25 downto 0);
signal fracRPostIncMSBU_uid113_fpHypotTest_b : std_logic_vector (0 downto 0);
signal oFracX_uid43_uid43_fpHypotTest_q : std_logic_vector (23 downto 0);
signal oFracY_uid44_uid44_fpHypotTest_q : std_logic_vector (23 downto 0);
signal InvExpXIsZero_uid24_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid24_fpHypotTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid20_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid20_fpHypotTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid23_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid23_fpHypotTest_q : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid40_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvExpXIsZero_uid40_fpHypotTest_q : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid36_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvFracXIsZero_uid36_fpHypotTest_q : std_logic_vector(0 downto 0);
signal InvExc_I_uid39_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvExc_I_uid39_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expDiff_uid65_fpHypotTest_in : std_logic_vector (9 downto 0);
signal expDiff_uid65_fpHypotTest_b : std_logic_vector (9 downto 0);
signal rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_in : std_logic_vector (4 downto 0);
signal rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_in : std_logic_vector (2 downto 0);
signal rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_b : std_logic_vector (1 downto 0);
signal rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_in : std_logic_vector (0 downto 0);
signal rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b : std_logic_vector (0 downto 0);
signal oFracBPostExcG_uid80_fpHypotTest_q : std_logic_vector (24 downto 0);
signal fracRPreSqrt_uid95_fpHypotTest_in : std_logic_vector (23 downto 0);
signal fracRPreSqrt_uid95_fpHypotTest_b : std_logic_vector (22 downto 0);
signal expRPreSqrt_uid96_fpHypotTest_in : std_logic_vector (33 downto 0);
signal expRPreSqrt_uid96_fpHypotTest_b : std_logic_vector (9 downto 0);
signal expREven_uid98_fpHypotTest_in : std_logic_vector (10 downto 0);
signal expREven_uid98_fpHypotTest_b : std_logic_vector (9 downto 0);
signal expROdd_uid101_fpHypotTest_in : std_logic_vector (10 downto 0);
signal expROdd_uid101_fpHypotTest_b : std_logic_vector (9 downto 0);
signal expRPreExc_uid139_fpHypotTest_in : std_logic_vector (7 downto 0);
signal expRPreExc_uid139_fpHypotTest_b : std_logic_vector (7 downto 0);
signal RHypot_uid142_fpHypotTest_q : std_logic_vector (31 downto 0);
signal RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b : std_logic_vector (22 downto 0);
signal RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b : std_logic_vector (20 downto 0);
signal RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b : std_logic_vector (18 downto 0);
signal ofracP_uid56_fpHypotTest_s : std_logic_vector (0 downto 0);
signal ofracP_uid56_fpHypotTest_q : std_logic_vector (23 downto 0);
signal ofracQ_uid59_fpHypotTest_s : std_logic_vector (0 downto 0);
signal ofracQ_uid59_fpHypotTest_q : std_logic_vector (23 downto 0);
signal lowRangeB_uid178_sqrtPolynomialEvaluator_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid178_sqrtPolynomialEvaluator_b : std_logic_vector (0 downto 0);
signal highBBits_uid179_sqrtPolynomialEvaluator_in : std_logic_vector (12 downto 0);
signal highBBits_uid179_sqrtPolynomialEvaluator_b : std_logic_vector (11 downto 0);
signal lowRangeB_uid184_sqrtPolynomialEvaluator_in : std_logic_vector (1 downto 0);
signal lowRangeB_uid184_sqrtPolynomialEvaluator_b : std_logic_vector (1 downto 0);
signal highBBits_uid185_sqrtPolynomialEvaluator_in : std_logic_vector (23 downto 0);
signal highBBits_uid185_sqrtPolynomialEvaluator_b : std_logic_vector (21 downto 0);
signal RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest_b : std_logic_vector (23 downto 0);
signal FullSumAB25_uid86_fpHypotTest_in : std_logic_vector (25 downto 0);
signal FullSumAB25_uid86_fpHypotTest_b : std_logic_vector (0 downto 0);
signal soSRangeHigh_uid87_fpHypotTest_in : std_logic_vector (24 downto 0);
signal soSRangeHigh_uid87_fpHypotTest_b : std_logic_vector (23 downto 0);
signal soSRangeLow_uid88_fpHypotTest_in : std_logic_vector (23 downto 0);
signal soSRangeLow_uid88_fpHypotTest_b : std_logic_vector (23 downto 0);
signal expP_uid52_fpHypotTest_a : std_logic_vector(9 downto 0);
signal expP_uid52_fpHypotTest_b : std_logic_vector(9 downto 0);
signal expP_uid52_fpHypotTest_o : std_logic_vector (9 downto 0);
signal expP_uid52_fpHypotTest_q : std_logic_vector (9 downto 0);
signal expQ_uid53_fpHypotTest_a : std_logic_vector(9 downto 0);
signal expQ_uid53_fpHypotTest_b : std_logic_vector(9 downto 0);
signal expQ_uid53_fpHypotTest_o : std_logic_vector (9 downto 0);
signal expQ_uid53_fpHypotTest_q : std_logic_vector (9 downto 0);
signal yT1_uid176_sqrtPolynomialEvaluator_in : std_logic_vector (15 downto 0);
signal yT1_uid176_sqrtPolynomialEvaluator_b : std_logic_vector (11 downto 0);
signal exc_N_uid21_fpHypotTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid21_fpHypotTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid21_fpHypotTest_q : std_logic_vector(0 downto 0);
signal exc_N_uid37_fpHypotTest_a : std_logic_vector(0 downto 0);
signal exc_N_uid37_fpHypotTest_b : std_logic_vector(0 downto 0);
signal exc_N_uid37_fpHypotTest_q : std_logic_vector(0 downto 0);
signal xNaNyNonInf_uid129_fpHypotTest_a : std_logic_vector(0 downto 0);
signal xNaNyNonInf_uid129_fpHypotTest_b : std_logic_vector(0 downto 0);
signal xNaNyNonInf_uid129_fpHypotTest_q : std_logic_vector(0 downto 0);
signal expDiffShiftRange_uid72_fpHypotTest_in : std_logic_vector (4 downto 0);
signal expDiffShiftRange_uid72_fpHypotTest_b : std_logic_vector (4 downto 0);
signal X24dto8_uid145_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal X24dto8_uid145_alignShift_uid81_fpHypotTest_b : std_logic_vector (16 downto 0);
signal X24dto16_uid148_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal X24dto16_uid148_alignShift_uid81_fpHypotTest_b : std_logic_vector (8 downto 0);
signal X24dto24_uid151_alignShift_uid81_fpHypotTest_in : std_logic_vector (24 downto 0);
signal X24dto24_uid151_alignShift_uid81_fpHypotTest_b : std_logic_vector (0 downto 0);
signal fracXAddr_uid106_fpHypotTest_in : std_logic_vector (22 downto 0);
signal fracXAddr_uid106_fpHypotTest_b : std_logic_vector (6 downto 0);
signal ExpRPreSqrt0_uid102_fpHypotTest_in : std_logic_vector (0 downto 0);
signal ExpRPreSqrt0_uid102_fpHypotTest_b : std_logic_vector (0 downto 0);
signal sumAHighB_uid180_sqrtPolynomialEvaluator_a : std_logic_vector(21 downto 0);
signal sumAHighB_uid180_sqrtPolynomialEvaluator_b : std_logic_vector(21 downto 0);
signal sumAHighB_uid180_sqrtPolynomialEvaluator_o : std_logic_vector (21 downto 0);
signal sumAHighB_uid180_sqrtPolynomialEvaluator_q : std_logic_vector (21 downto 0);
signal sumAHighB_uid186_sqrtPolynomialEvaluator_a : std_logic_vector(29 downto 0);
signal sumAHighB_uid186_sqrtPolynomialEvaluator_b : std_logic_vector(29 downto 0);
signal sumAHighB_uid186_sqrtPolynomialEvaluator_o : std_logic_vector (29 downto 0);
signal sumAHighB_uid186_sqrtPolynomialEvaluator_q : std_logic_vector (29 downto 0);
signal rightShiftStage2Idx1_uid169_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal resFracNorm_uid89_fpHypotTest_s : std_logic_vector (0 downto 0);
signal resFracNorm_uid89_fpHypotTest_q : std_logic_vector (23 downto 0);
signal normCatFracSoS_uid93_uid93_fpHypotTest_q : std_logic_vector (24 downto 0);
signal InvExc_N_uid22_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid22_fpHypotTest_q : std_logic_vector(0 downto 0);
signal InvExc_N_uid38_fpHypotTest_a : std_logic_vector(0 downto 0);
signal InvExc_N_uid38_fpHypotTest_q : std_logic_vector(0 downto 0);
signal yNaNxNonInf_uid127_fpHypotTest_a : std_logic_vector(0 downto 0);
signal yNaNxNonInf_uid127_fpHypotTest_b : std_logic_vector(0 downto 0);
signal yNaNxNonInf_uid127_fpHypotTest_q : std_logic_vector(0 downto 0);
signal excRNaN_uid130_fpHypotTest_a : std_logic_vector(0 downto 0);
signal excRNaN_uid130_fpHypotTest_b : std_logic_vector(0 downto 0);
signal excRNaN_uid130_fpHypotTest_q : std_logic_vector(0 downto 0);
signal rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal expOddSelect_uid103_fpHypotTest_a : std_logic_vector(0 downto 0);
signal expOddSelect_uid103_fpHypotTest_q : std_logic_vector(0 downto 0);
signal s1_uid178_uid181_sqrtPolynomialEvaluator_q : std_logic_vector (22 downto 0);
signal s2_uid184_uid187_sqrtPolynomialEvaluator_q : std_logic_vector (31 downto 0);
signal rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_s : std_logic_vector (0 downto 0);
signal rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_q : std_logic_vector (24 downto 0);
signal exc_R_uid25_fpHypotTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid25_fpHypotTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid25_fpHypotTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid25_fpHypotTest_q : std_logic_vector(0 downto 0);
signal exc_R_uid41_fpHypotTest_a : std_logic_vector(0 downto 0);
signal exc_R_uid41_fpHypotTest_b : std_logic_vector(0 downto 0);
signal exc_R_uid41_fpHypotTest_c : std_logic_vector(0 downto 0);
signal exc_R_uid41_fpHypotTest_q : std_logic_vector(0 downto 0);
signal addrTable_uid107_fpHypotTest_q : std_logic_vector (7 downto 0);
signal fracRPreInc_uid110_fpHypotTest_in : std_logic_vector (29 downto 0);
signal fracRPreInc_uid110_fpHypotTest_b : std_logic_vector (24 downto 0);
signal lowRangeB_uid82_fpHypotTest_in : std_logic_vector (0 downto 0);
signal lowRangeB_uid82_fpHypotTest_b : std_logic_vector (0 downto 0);
signal highBBits_uid83_fpHypotTest_in : std_logic_vector (24 downto 0);
signal highBBits_uid83_fpHypotTest_b : std_logic_vector (23 downto 0);
begin
--GND(CONSTANT,0)
GND_q <= "0";
--cstAllOWE_uid10_fpHypotTest(CONSTANT,9)
cstAllOWE_uid10_fpHypotTest_q <= "11111111";
--VCC(CONSTANT,1)
VCC_q <= "1";
--RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest(BITSELECT,166)@8
RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest_in <= rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q;
RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest_b <= RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest_in(24 downto 1);
--rightShiftStage2Idx1_uid169_alignShift_uid81_fpHypotTest(BITJOIN,168)@8
rightShiftStage2Idx1_uid169_alignShift_uid81_fpHypotTest_q <= GND_q & RightShiftStage124dto1_uid167_alignShift_uid81_fpHypotTest_b;
--rightShiftStage1Idx3Pad6_uid163_alignShift_uid81_fpHypotTest(CONSTANT,162)
rightShiftStage1Idx3Pad6_uid163_alignShift_uid81_fpHypotTest_q <= "000000";
--zerosWFp1_uid77_fpHypotTest(CONSTANT,76)
zerosWFp1_uid77_fpHypotTest_q <= "000000000000000000000000";
--fracY_uid9_fpHypotTest(BITSELECT,8)@0
fracY_uid9_fpHypotTest_in <= b(22 downto 0);
fracY_uid9_fpHypotTest_b <= fracY_uid9_fpHypotTest_in(22 downto 0);
--oFracY_uid44_uid44_fpHypotTest(BITJOIN,43)@0
oFracY_uid44_uid44_fpHypotTest_q <= VCC_q & fracY_uid9_fpHypotTest_b;
--reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0(REG,199)@0
reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0_q <= oFracY_uid44_uid44_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--oFracYSqr_uid46_fpHypotTest(MULT,45)@1
oFracYSqr_uid46_fpHypotTest_pr <= UNSIGNED(oFracYSqr_uid46_fpHypotTest_a) * UNSIGNED(oFracYSqr_uid46_fpHypotTest_b);
oFracYSqr_uid46_fpHypotTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oFracYSqr_uid46_fpHypotTest_a <= (others => '0');
oFracYSqr_uid46_fpHypotTest_b <= (others => '0');
oFracYSqr_uid46_fpHypotTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
oFracYSqr_uid46_fpHypotTest_a <= reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0_q;
oFracYSqr_uid46_fpHypotTest_b <= reg_oFracY_uid44_uid44_fpHypotTest_0_to_oFracYSqr_uid46_fpHypotTest_0_q;
oFracYSqr_uid46_fpHypotTest_s1 <= STD_LOGIC_VECTOR(oFracYSqr_uid46_fpHypotTest_pr);
END IF;
END IF;
END PROCESS;
oFracYSqr_uid46_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oFracYSqr_uid46_fpHypotTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
oFracYSqr_uid46_fpHypotTest_q <= oFracYSqr_uid46_fpHypotTest_s1;
END IF;
END IF;
END PROCESS;
--normFracYSqrHigh_uid57_fpHypotTest(BITSELECT,56)@4
normFracYSqrHigh_uid57_fpHypotTest_in <= oFracYSqr_uid46_fpHypotTest_q;
normFracYSqrHigh_uid57_fpHypotTest_b <= normFracYSqrHigh_uid57_fpHypotTest_in(47 downto 24);
--normFracYSqrLow_uid58_fpHypotTest(BITSELECT,57)@4
normFracYSqrLow_uid58_fpHypotTest_in <= oFracYSqr_uid46_fpHypotTest_q(46 downto 0);
normFracYSqrLow_uid58_fpHypotTest_b <= normFracYSqrLow_uid58_fpHypotTest_in(46 downto 23);
--normBitYSqr_uid49_fpHypotTest(BITSELECT,48)@4
normBitYSqr_uid49_fpHypotTest_in <= oFracYSqr_uid46_fpHypotTest_q;
normBitYSqr_uid49_fpHypotTest_b <= normBitYSqr_uid49_fpHypotTest_in(47 downto 47);
--ofracQ_uid59_fpHypotTest(MUX,58)@4
ofracQ_uid59_fpHypotTest_s <= normBitYSqr_uid49_fpHypotTest_b;
ofracQ_uid59_fpHypotTest: PROCESS (ofracQ_uid59_fpHypotTest_s, en, normFracYSqrLow_uid58_fpHypotTest_b, normFracYSqrHigh_uid57_fpHypotTest_b)
BEGIN
CASE ofracQ_uid59_fpHypotTest_s IS
WHEN "0" => ofracQ_uid59_fpHypotTest_q <= normFracYSqrLow_uid58_fpHypotTest_b;
WHEN "1" => ofracQ_uid59_fpHypotTest_q <= normFracYSqrHigh_uid57_fpHypotTest_b;
WHEN OTHERS => ofracQ_uid59_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c(DELAY,305)@4
ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => ofracQ_uid59_fpHypotTest_q, xout => ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c_q, ena => en(0), clk => clk, aclr => areset );
--fracX_uid8_fpHypotTest(BITSELECT,7)@0
fracX_uid8_fpHypotTest_in <= a(22 downto 0);
fracX_uid8_fpHypotTest_b <= fracX_uid8_fpHypotTest_in(22 downto 0);
--oFracX_uid43_uid43_fpHypotTest(BITJOIN,42)@0
oFracX_uid43_uid43_fpHypotTest_q <= VCC_q & fracX_uid8_fpHypotTest_b;
--reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0(REG,197)@0
reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0_q <= oFracX_uid43_uid43_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--oFracXSqr_uid45_fpHypotTest(MULT,44)@1
oFracXSqr_uid45_fpHypotTest_pr <= UNSIGNED(oFracXSqr_uid45_fpHypotTest_a) * UNSIGNED(oFracXSqr_uid45_fpHypotTest_b);
oFracXSqr_uid45_fpHypotTest_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oFracXSqr_uid45_fpHypotTest_a <= (others => '0');
oFracXSqr_uid45_fpHypotTest_b <= (others => '0');
oFracXSqr_uid45_fpHypotTest_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
oFracXSqr_uid45_fpHypotTest_a <= reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0_q;
oFracXSqr_uid45_fpHypotTest_b <= reg_oFracX_uid43_uid43_fpHypotTest_0_to_oFracXSqr_uid45_fpHypotTest_0_q;
oFracXSqr_uid45_fpHypotTest_s1 <= STD_LOGIC_VECTOR(oFracXSqr_uid45_fpHypotTest_pr);
END IF;
END IF;
END PROCESS;
oFracXSqr_uid45_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oFracXSqr_uid45_fpHypotTest_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
oFracXSqr_uid45_fpHypotTest_q <= oFracXSqr_uid45_fpHypotTest_s1;
END IF;
END IF;
END PROCESS;
--normFracXSqrHigh_uid54_fpHypotTest(BITSELECT,53)@4
normFracXSqrHigh_uid54_fpHypotTest_in <= oFracXSqr_uid45_fpHypotTest_q;
normFracXSqrHigh_uid54_fpHypotTest_b <= normFracXSqrHigh_uid54_fpHypotTest_in(47 downto 24);
--normFracXSqrLow_uid55_fpHypotTest(BITSELECT,54)@4
normFracXSqrLow_uid55_fpHypotTest_in <= oFracXSqr_uid45_fpHypotTest_q(46 downto 0);
normFracXSqrLow_uid55_fpHypotTest_b <= normFracXSqrLow_uid55_fpHypotTest_in(46 downto 23);
--normBitXSqr_uid48_fpHypotTest(BITSELECT,47)@4
normBitXSqr_uid48_fpHypotTest_in <= oFracXSqr_uid45_fpHypotTest_q;
normBitXSqr_uid48_fpHypotTest_b <= normBitXSqr_uid48_fpHypotTest_in(47 downto 47);
--ofracP_uid56_fpHypotTest(MUX,55)@4
ofracP_uid56_fpHypotTest_s <= normBitXSqr_uid48_fpHypotTest_b;
ofracP_uid56_fpHypotTest: PROCESS (ofracP_uid56_fpHypotTest_s, en, normFracXSqrLow_uid55_fpHypotTest_b, normFracXSqrHigh_uid54_fpHypotTest_b)
BEGIN
CASE ofracP_uid56_fpHypotTest_s IS
WHEN "0" => ofracP_uid56_fpHypotTest_q <= normFracXSqrLow_uid55_fpHypotTest_b;
WHEN "1" => ofracP_uid56_fpHypotTest_q <= normFracXSqrHigh_uid54_fpHypotTest_b;
WHEN OTHERS => ofracP_uid56_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d(DELAY,306)@4
ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => ofracP_uid56_fpHypotTest_q, xout => ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d_q, ena => en(0), clk => clk, aclr => areset );
--expY_uid7_fpHypotTest(BITSELECT,6)@0
expY_uid7_fpHypotTest_in <= b(30 downto 0);
expY_uid7_fpHypotTest_b <= expY_uid7_fpHypotTest_in(30 downto 23);
--expXIsZero_uid30_fpHypotTest(LOGICAL,29)@0
expXIsZero_uid30_fpHypotTest_a <= expY_uid7_fpHypotTest_b;
expXIsZero_uid30_fpHypotTest_b <= cstAllZWE_uid12_fpHypotTest_q;
expXIsZero_uid30_fpHypotTest_q <= "1" when expXIsZero_uid30_fpHypotTest_a = expXIsZero_uid30_fpHypotTest_b else "0";
--ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b(DELAY,294)@0
ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => expXIsZero_uid30_fpHypotTest_q, xout => ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--bias_uid47_fpHypotTest(CONSTANT,46)
bias_uid47_fpHypotTest_q <= "1111111";
--ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_inputreg(DELAY,497)
ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expY_uid7_fpHypotTest_b, xout => ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b(DELAY,278)@0
ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_inputreg_q, xout => ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expYTimes2_uid51_fpHypotTest(BITJOIN,50)@4
expYTimes2_uid51_fpHypotTest_q <= ld_expY_uid7_fpHypotTest_b_to_expYTimes2_uid51_fpHypotTest_b_q & normBitYSqr_uid49_fpHypotTest_b;
--expQ_uid53_fpHypotTest(SUB,52)@4
expQ_uid53_fpHypotTest_a <= STD_LOGIC_VECTOR("0" & expYTimes2_uid51_fpHypotTest_q);
expQ_uid53_fpHypotTest_b <= STD_LOGIC_VECTOR("000" & bias_uid47_fpHypotTest_q);
expQ_uid53_fpHypotTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expQ_uid53_fpHypotTest_a) - UNSIGNED(expQ_uid53_fpHypotTest_b));
expQ_uid53_fpHypotTest_q <= expQ_uid53_fpHypotTest_o(9 downto 0);
--ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b(DELAY,292)@4
ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 10, depth => 1 )
PORT MAP ( xin => expQ_uid53_fpHypotTest_q, xout => ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expX_uid6_fpHypotTest(BITSELECT,5)@0
expX_uid6_fpHypotTest_in <= a(30 downto 0);
expX_uid6_fpHypotTest_b <= expX_uid6_fpHypotTest_in(30 downto 23);
--ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_inputreg(DELAY,496)
ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => expX_uid6_fpHypotTest_b, xout => ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b(DELAY,276)@0
ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_inputreg_q, xout => ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expXTimes2_uid50_fpHypotTest(BITJOIN,49)@4
expXTimes2_uid50_fpHypotTest_q <= ld_expX_uid6_fpHypotTest_b_to_expXTimes2_uid50_fpHypotTest_b_q & normBitXSqr_uid48_fpHypotTest_b;
--expP_uid52_fpHypotTest(SUB,51)@4
expP_uid52_fpHypotTest_a <= STD_LOGIC_VECTOR("0" & expXTimes2_uid50_fpHypotTest_q);
expP_uid52_fpHypotTest_b <= STD_LOGIC_VECTOR("000" & bias_uid47_fpHypotTest_q);
expP_uid52_fpHypotTest_o <= STD_LOGIC_VECTOR(UNSIGNED(expP_uid52_fpHypotTest_a) - UNSIGNED(expP_uid52_fpHypotTest_b));
expP_uid52_fpHypotTest_q <= expP_uid52_fpHypotTest_o(9 downto 0);
--reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0(REG,201)@4
reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q <= expP_uid52_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--pGTEq_uid60_fpHypotTest(COMPARE,59)@5
pGTEq_uid60_fpHypotTest_cin <= GND_q;
pGTEq_uid60_fpHypotTest_a <= STD_LOGIC_VECTOR((11 downto 10 => reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q(9)) & reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q) & '0';
pGTEq_uid60_fpHypotTest_b <= STD_LOGIC_VECTOR((11 downto 10 => ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q(9)) & ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q) & pGTEq_uid60_fpHypotTest_cin(0);
pGTEq_uid60_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(pGTEq_uid60_fpHypotTest_a) - SIGNED(pGTEq_uid60_fpHypotTest_b));
pGTEq_uid60_fpHypotTest_n(0) <= not pGTEq_uid60_fpHypotTest_o(12);
--expCmpGtePQ_uid61_fpHypotTest(LOGICAL,60)@5
expCmpGtePQ_uid61_fpHypotTest_a <= pGTEq_uid60_fpHypotTest_n;
expCmpGtePQ_uid61_fpHypotTest_b <= ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b_q;
expCmpGtePQ_uid61_fpHypotTest_q <= expCmpGtePQ_uid61_fpHypotTest_a or expCmpGtePQ_uid61_fpHypotTest_b;
--oFracB_uid69_fpHypotTest(MUX,68)@5
oFracB_uid69_fpHypotTest_s <= expCmpGtePQ_uid61_fpHypotTest_q;
oFracB_uid69_fpHypotTest: PROCESS (oFracB_uid69_fpHypotTest_s, en, ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d_q, ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c_q)
BEGIN
CASE oFracB_uid69_fpHypotTest_s IS
WHEN "0" => oFracB_uid69_fpHypotTest_q <= ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d_q;
WHEN "1" => oFracB_uid69_fpHypotTest_q <= ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c_q;
WHEN OTHERS => oFracB_uid69_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_oFracB_uid69_fpHypotTest_q_to_oFracBPostExc_uid78_fpHypotTest_c(DELAY,325)@5
ld_oFracB_uid69_fpHypotTest_q_to_oFracBPostExc_uid78_fpHypotTest_c : dspba_delay
GENERIC MAP ( width => 24, depth => 1 )
PORT MAP ( xin => oFracB_uid69_fpHypotTest_q, xout => ld_oFracB_uid69_fpHypotTest_q_to_oFracBPostExc_uid78_fpHypotTest_c_q, ena => en(0), clk => clk, aclr => areset );
--cWFP2_uid66_fpHypotTest(CONSTANT,65)
cWFP2_uid66_fpHypotTest_q <= "11001";
--expDiffPQ_uid62_fpHypotTest(SUB,61)@5
expDiffPQ_uid62_fpHypotTest_a <= STD_LOGIC_VECTOR((10 downto 10 => reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q(9)) & reg_expP_uid52_fpHypotTest_0_to_pGTEq_uid60_fpHypotTest_0_q);
expDiffPQ_uid62_fpHypotTest_b <= STD_LOGIC_VECTOR((10 downto 10 => ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q(9)) & ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q);
expDiffPQ_uid62_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(expDiffPQ_uid62_fpHypotTest_a) - SIGNED(expDiffPQ_uid62_fpHypotTest_b));
expDiffPQ_uid62_fpHypotTest_q <= expDiffPQ_uid62_fpHypotTest_o(10 downto 0);
--ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b(DELAY,298)@4
ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 10, depth => 1 )
PORT MAP ( xin => expP_uid52_fpHypotTest_q, xout => ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0(REG,202)@4
reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0_q <= expQ_uid53_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--expDiffQP_uid63_fpHypotTest(SUB,62)@5
expDiffQP_uid63_fpHypotTest_a <= STD_LOGIC_VECTOR((10 downto 10 => reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0_q(9)) & reg_expQ_uid53_fpHypotTest_0_to_expDiffQP_uid63_fpHypotTest_0_q);
expDiffQP_uid63_fpHypotTest_b <= STD_LOGIC_VECTOR((10 downto 10 => ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b_q(9)) & ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b_q);
expDiffQP_uid63_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(expDiffQP_uid63_fpHypotTest_a) - SIGNED(expDiffQP_uid63_fpHypotTest_b));
expDiffQP_uid63_fpHypotTest_q <= expDiffQP_uid63_fpHypotTest_o(10 downto 0);
--mux_uid64_fpHypotTest(MUX,63)@5
mux_uid64_fpHypotTest_s <= expCmpGtePQ_uid61_fpHypotTest_q;
mux_uid64_fpHypotTest: PROCESS (mux_uid64_fpHypotTest_s, en, expDiffQP_uid63_fpHypotTest_q, expDiffPQ_uid62_fpHypotTest_q)
BEGIN
CASE mux_uid64_fpHypotTest_s IS
WHEN "0" => mux_uid64_fpHypotTest_q <= expDiffQP_uid63_fpHypotTest_q;
WHEN "1" => mux_uid64_fpHypotTest_q <= expDiffPQ_uid62_fpHypotTest_q;
WHEN OTHERS => mux_uid64_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--expDiff_uid65_fpHypotTest(BITSELECT,64)@5
expDiff_uid65_fpHypotTest_in <= mux_uid64_fpHypotTest_q(9 downto 0);
expDiff_uid65_fpHypotTest_b <= expDiff_uid65_fpHypotTest_in(9 downto 0);
--reg_expDiff_uid65_fpHypotTest_0_to_shiftedOut_uid67_fpHypotTest_0(REG,204)@5
reg_expDiff_uid65_fpHypotTest_0_to_shiftedOut_uid67_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expDiff_uid65_fpHypotTest_0_to_shiftedOut_uid67_fpHypotTest_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expDiff_uid65_fpHypotTest_0_to_shiftedOut_uid67_fpHypotTest_0_q <= expDiff_uid65_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--shiftedOut_uid67_fpHypotTest(COMPARE,66)@6
shiftedOut_uid67_fpHypotTest_cin <= GND_q;
shiftedOut_uid67_fpHypotTest_a <= STD_LOGIC_VECTOR("00" & reg_expDiff_uid65_fpHypotTest_0_to_shiftedOut_uid67_fpHypotTest_0_q) & '0';
shiftedOut_uid67_fpHypotTest_b <= STD_LOGIC_VECTOR("0000000" & cWFP2_uid66_fpHypotTest_q) & shiftedOut_uid67_fpHypotTest_cin(0);
shiftedOut_uid67_fpHypotTest_o <= STD_LOGIC_VECTOR(UNSIGNED(shiftedOut_uid67_fpHypotTest_a) - UNSIGNED(shiftedOut_uid67_fpHypotTest_b));
shiftedOut_uid67_fpHypotTest_n(0) <= not shiftedOut_uid67_fpHypotTest_o(12);
--expXIsZero_uid14_fpHypotTest(LOGICAL,13)@0
expXIsZero_uid14_fpHypotTest_a <= expX_uid6_fpHypotTest_b;
expXIsZero_uid14_fpHypotTest_b <= cstAllZWE_uid12_fpHypotTest_q;
expXIsZero_uid14_fpHypotTest_q <= "1" when expXIsZero_uid14_fpHypotTest_a = expXIsZero_uid14_fpHypotTest_b else "0";
--ld_expXIsZero_uid14_fpHypotTest_q_to_excAZero_uid74_fpHypotTest_d(DELAY,318)@0
ld_expXIsZero_uid14_fpHypotTest_q_to_excAZero_uid74_fpHypotTest_d : dspba_delay
GENERIC MAP ( width => 1, depth => 5 )
PORT MAP ( xin => expXIsZero_uid14_fpHypotTest_q, xout => ld_expXIsZero_uid14_fpHypotTest_q_to_excAZero_uid74_fpHypotTest_d_q, ena => en(0), clk => clk, aclr => areset );
--excBZero_uid75_fpHypotTest(MUX,74)@5
excBZero_uid75_fpHypotTest_s <= expCmpGtePQ_uid61_fpHypotTest_q;
excBZero_uid75_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
excBZero_uid75_fpHypotTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE excBZero_uid75_fpHypotTest_s IS
WHEN "0" => excBZero_uid75_fpHypotTest_q <= ld_expXIsZero_uid14_fpHypotTest_q_to_excAZero_uid74_fpHypotTest_d_q;
WHEN "1" => excBZero_uid75_fpHypotTest_q <= ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b_q;
WHEN OTHERS => excBZero_uid75_fpHypotTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--oFracBFlushToZero_uid76_fpHypotTest(LOGICAL,75)@6
oFracBFlushToZero_uid76_fpHypotTest_a <= excBZero_uid75_fpHypotTest_q;
oFracBFlushToZero_uid76_fpHypotTest_b <= shiftedOut_uid67_fpHypotTest_n;
oFracBFlushToZero_uid76_fpHypotTest_q <= oFracBFlushToZero_uid76_fpHypotTest_a or oFracBFlushToZero_uid76_fpHypotTest_b;
--oFracBPostExc_uid78_fpHypotTest(MUX,77)@6
oFracBPostExc_uid78_fpHypotTest_s <= oFracBFlushToZero_uid76_fpHypotTest_q;
oFracBPostExc_uid78_fpHypotTest: PROCESS (oFracBPostExc_uid78_fpHypotTest_s, en, ld_oFracB_uid69_fpHypotTest_q_to_oFracBPostExc_uid78_fpHypotTest_c_q, zerosWFp1_uid77_fpHypotTest_q)
BEGIN
CASE oFracBPostExc_uid78_fpHypotTest_s IS
WHEN "0" => oFracBPostExc_uid78_fpHypotTest_q <= ld_oFracB_uid69_fpHypotTest_q_to_oFracBPostExc_uid78_fpHypotTest_c_q;
WHEN "1" => oFracBPostExc_uid78_fpHypotTest_q <= zerosWFp1_uid77_fpHypotTest_q;
WHEN OTHERS => oFracBPostExc_uid78_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--oFracBPostExcG_uid80_fpHypotTest(BITJOIN,79)@6
oFracBPostExcG_uid80_fpHypotTest_q <= oFracBPostExc_uid78_fpHypotTest_q & GND_q;
--X24dto24_uid151_alignShift_uid81_fpHypotTest(BITSELECT,150)@6
X24dto24_uid151_alignShift_uid81_fpHypotTest_in <= oFracBPostExcG_uid80_fpHypotTest_q;
X24dto24_uid151_alignShift_uid81_fpHypotTest_b <= X24dto24_uid151_alignShift_uid81_fpHypotTest_in(24 downto 24);
--rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest(BITJOIN,152)@6
rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_q <= zerosWFp1_uid77_fpHypotTest_q & X24dto24_uid151_alignShift_uid81_fpHypotTest_b;
--reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5(REG,210)@6
reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5_q <= rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage0Idx2Pad16_uid149_alignShift_uid81_fpHypotTest(CONSTANT,148)
rightShiftStage0Idx2Pad16_uid149_alignShift_uid81_fpHypotTest_q <= "0000000000000000";
--X24dto16_uid148_alignShift_uid81_fpHypotTest(BITSELECT,147)@6
X24dto16_uid148_alignShift_uid81_fpHypotTest_in <= oFracBPostExcG_uid80_fpHypotTest_q;
X24dto16_uid148_alignShift_uid81_fpHypotTest_b <= X24dto16_uid148_alignShift_uid81_fpHypotTest_in(24 downto 16);
--rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest(BITJOIN,149)@6
rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_q <= rightShiftStage0Idx2Pad16_uid149_alignShift_uid81_fpHypotTest_q & X24dto16_uid148_alignShift_uid81_fpHypotTest_b;
--reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4(REG,209)@6
reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4_q <= rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--X24dto8_uid145_alignShift_uid81_fpHypotTest(BITSELECT,144)@6
X24dto8_uid145_alignShift_uid81_fpHypotTest_in <= oFracBPostExcG_uid80_fpHypotTest_q;
X24dto8_uid145_alignShift_uid81_fpHypotTest_b <= X24dto8_uid145_alignShift_uid81_fpHypotTest_in(24 downto 8);
--rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest(BITJOIN,146)@6
rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_q <= cstAllZWE_uid12_fpHypotTest_q & X24dto8_uid145_alignShift_uid81_fpHypotTest_b;
--reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3(REG,208)@6
reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3_q <= rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2(REG,207)@6
reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2_q <= oFracBPostExcG_uid80_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--z_uid71_fpHypotTest(CONSTANT,70)
z_uid71_fpHypotTest_q <= "00000";
--expDiffShiftRange_uid72_fpHypotTest(BITSELECT,71)@5
expDiffShiftRange_uid72_fpHypotTest_in <= expDiff_uid65_fpHypotTest_b(4 downto 0);
expDiffShiftRange_uid72_fpHypotTest_b <= expDiffShiftRange_uid72_fpHypotTest_in(4 downto 0);
--reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2(REG,205)@5
reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2_q <= expDiffShiftRange_uid72_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--shiftValue_uid73_fpHypotTest(MUX,72)@6
shiftValue_uid73_fpHypotTest_s <= shiftedOut_uid67_fpHypotTest_n;
shiftValue_uid73_fpHypotTest: PROCESS (shiftValue_uid73_fpHypotTest_s, en, reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2_q, z_uid71_fpHypotTest_q)
BEGIN
CASE shiftValue_uid73_fpHypotTest_s IS
WHEN "0" => shiftValue_uid73_fpHypotTest_q <= reg_expDiffShiftRange_uid72_fpHypotTest_0_to_shiftValue_uid73_fpHypotTest_2_q;
WHEN "1" => shiftValue_uid73_fpHypotTest_q <= z_uid71_fpHypotTest_q;
WHEN OTHERS => shiftValue_uid73_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest(BITSELECT,153)@6
rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_in <= shiftValue_uid73_fpHypotTest_q;
rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_b <= rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_in(4 downto 3);
--reg_rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_1(REG,206)@6
reg_rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_1_q <= rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--rightShiftStage0_uid155_alignShift_uid81_fpHypotTest(MUX,154)@7
rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_s <= reg_rightShiftStageSel4Dto3_uid154_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_1_q;
rightShiftStage0_uid155_alignShift_uid81_fpHypotTest: PROCESS (rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_s, en, reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2_q, reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3_q, reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4_q, reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5_q)
BEGIN
CASE rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_s IS
WHEN "00" => rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q <= reg_oFracBPostExcG_uid80_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_2_q;
WHEN "01" => rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q <= reg_rightShiftStage0Idx1_uid147_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_3_q;
WHEN "10" => rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q <= reg_rightShiftStage0Idx2_uid150_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_4_q;
WHEN "11" => rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q <= reg_rightShiftStage0Idx3_uid153_alignShift_uid81_fpHypotTest_0_to_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_5_q;
WHEN OTHERS => rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest(BITSELECT,161)@7
RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_in <= rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q;
RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b <= RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_in(24 downto 6);
--ld_RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_a(DELAY,424)@7
ld_RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_a : dspba_delay
GENERIC MAP ( width => 19, depth => 1 )
PORT MAP ( xin => RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b, xout => ld_RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest(BITJOIN,163)@8
rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_q <= rightShiftStage1Idx3Pad6_uid163_alignShift_uid81_fpHypotTest_q & ld_RightShiftStage024dto6_uid162_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_a_q;
--rightShiftStage1Idx2Pad4_uid160_alignShift_uid81_fpHypotTest(CONSTANT,159)
rightShiftStage1Idx2Pad4_uid160_alignShift_uid81_fpHypotTest_q <= "0000";
--RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest(BITSELECT,158)@7
RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_in <= rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q;
RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b <= RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_in(24 downto 4);
--ld_RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_a(DELAY,422)@7
ld_RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_a : dspba_delay
GENERIC MAP ( width => 21, depth => 1 )
PORT MAP ( xin => RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b, xout => ld_RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest(BITJOIN,160)@8
rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_q <= rightShiftStage1Idx2Pad4_uid160_alignShift_uid81_fpHypotTest_q & ld_RightShiftStage024dto4_uid159_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_a_q;
--rightShiftStage1Idx1Pad2_uid157_alignShift_uid81_fpHypotTest(CONSTANT,156)
rightShiftStage1Idx1Pad2_uid157_alignShift_uid81_fpHypotTest_q <= "00";
--RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest(BITSELECT,155)@7
RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_in <= rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q;
RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b <= RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_in(24 downto 2);
--ld_RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_a(DELAY,420)@7
ld_RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_a : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b, xout => ld_RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_a_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest(BITJOIN,157)@8
rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_q <= rightShiftStage1Idx1Pad2_uid157_alignShift_uid81_fpHypotTest_q & ld_RightShiftStage024dto2_uid156_alignShift_uid81_fpHypotTest_b_to_rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_a_q;
--reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2(REG,212)@7
reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2_q <= rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest(BITSELECT,164)@6
rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_in <= shiftValue_uid73_fpHypotTest_q(2 downto 0);
rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_b <= rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_in(2 downto 1);
--reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1(REG,211)@6
reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q <= "00";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q <= rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_b(DELAY,426)@7
ld_reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 2, depth => 1 )
PORT MAP ( xin => reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q, xout => ld_reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--rightShiftStage1_uid166_alignShift_uid81_fpHypotTest(MUX,165)@8
rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_s <= ld_reg_rightShiftStageSel2Dto1_uid165_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_1_q_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_b_q;
rightShiftStage1_uid166_alignShift_uid81_fpHypotTest: PROCESS (rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_s, en, reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2_q, rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_q, rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_q, rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_q)
BEGIN
CASE rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_s IS
WHEN "00" => rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q <= reg_rightShiftStage0_uid155_alignShift_uid81_fpHypotTest_0_to_rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_2_q;
WHEN "01" => rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q <= rightShiftStage1Idx1_uid158_alignShift_uid81_fpHypotTest_q;
WHEN "10" => rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q <= rightShiftStage1Idx2_uid161_alignShift_uid81_fpHypotTest_q;
WHEN "11" => rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q <= rightShiftStage1Idx3_uid164_alignShift_uid81_fpHypotTest_q;
WHEN OTHERS => rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest(BITSELECT,169)@6
rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_in <= shiftValue_uid73_fpHypotTest_q(0 downto 0);
rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b <= rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_in(0 downto 0);
--ld_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b_to_reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_a(DELAY,475)@6
ld_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b_to_reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_a : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b, xout => ld_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b_to_reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1(REG,213)@7
reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_q <= ld_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_b_to_reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_a_q;
END IF;
END IF;
END PROCESS;
--rightShiftStage2_uid171_alignShift_uid81_fpHypotTest(MUX,170)@8
rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_s <= reg_rightShiftStageSel0Dto0_uid170_alignShift_uid81_fpHypotTest_0_to_rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_1_q;
rightShiftStage2_uid171_alignShift_uid81_fpHypotTest: PROCESS (rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_s, en, rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q, rightShiftStage2Idx1_uid169_alignShift_uid81_fpHypotTest_q)
BEGIN
CASE rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_s IS
WHEN "0" => rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_q <= rightShiftStage1_uid166_alignShift_uid81_fpHypotTest_q;
WHEN "1" => rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_q <= rightShiftStage2Idx1_uid169_alignShift_uid81_fpHypotTest_q;
WHEN OTHERS => rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--highBBits_uid83_fpHypotTest(BITSELECT,82)@8
highBBits_uid83_fpHypotTest_in <= rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_q;
highBBits_uid83_fpHypotTest_b <= highBBits_uid83_fpHypotTest_in(24 downto 1);
--reg_highBBits_uid83_fpHypotTest_0_to_sumAHighB_uid84_fpHypotTest_1(REG,214)@8
reg_highBBits_uid83_fpHypotTest_0_to_sumAHighB_uid84_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_highBBits_uid83_fpHypotTest_0_to_sumAHighB_uid84_fpHypotTest_1_q <= "000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_highBBits_uid83_fpHypotTest_0_to_sumAHighB_uid84_fpHypotTest_1_q <= highBBits_uid83_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--oFracA_uid68_fpHypotTest(MUX,67)@5
oFracA_uid68_fpHypotTest_s <= expCmpGtePQ_uid61_fpHypotTest_q;
oFracA_uid68_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oFracA_uid68_fpHypotTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE oFracA_uid68_fpHypotTest_s IS
WHEN "0" => oFracA_uid68_fpHypotTest_q <= ld_ofracQ_uid59_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_c_q;
WHEN "1" => oFracA_uid68_fpHypotTest_q <= ld_ofracP_uid56_fpHypotTest_q_to_oFracA_uid68_fpHypotTest_d_q;
WHEN OTHERS => oFracA_uid68_fpHypotTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--excAZero_uid74_fpHypotTest(MUX,73)@5
excAZero_uid74_fpHypotTest_s <= expCmpGtePQ_uid61_fpHypotTest_q;
excAZero_uid74_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
excAZero_uid74_fpHypotTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE excAZero_uid74_fpHypotTest_s IS
WHEN "0" => excAZero_uid74_fpHypotTest_q <= ld_expXIsZero_uid30_fpHypotTest_q_to_expCmpGtePQ_uid61_fpHypotTest_b_q;
WHEN "1" => excAZero_uid74_fpHypotTest_q <= ld_expXIsZero_uid14_fpHypotTest_q_to_excAZero_uid74_fpHypotTest_d_q;
WHEN OTHERS => excAZero_uid74_fpHypotTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--oFracAPostExc_uid79_fpHypotTest(MUX,78)@6
oFracAPostExc_uid79_fpHypotTest_s <= excAZero_uid74_fpHypotTest_q;
oFracAPostExc_uid79_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
oFracAPostExc_uid79_fpHypotTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE oFracAPostExc_uid79_fpHypotTest_s IS
WHEN "0" => oFracAPostExc_uid79_fpHypotTest_q <= oFracA_uid68_fpHypotTest_q;
WHEN "1" => oFracAPostExc_uid79_fpHypotTest_q <= zerosWFp1_uid77_fpHypotTest_q;
WHEN OTHERS => oFracAPostExc_uid79_fpHypotTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_oFracAPostExc_uid79_fpHypotTest_q_to_sumAHighB_uid84_fpHypotTest_a(DELAY,331)@7
ld_oFracAPostExc_uid79_fpHypotTest_q_to_sumAHighB_uid84_fpHypotTest_a : dspba_delay
GENERIC MAP ( width => 24, depth => 2 )
PORT MAP ( xin => oFracAPostExc_uid79_fpHypotTest_q, xout => ld_oFracAPostExc_uid79_fpHypotTest_q_to_sumAHighB_uid84_fpHypotTest_a_q, ena => en(0), clk => clk, aclr => areset );
--sumAHighB_uid84_fpHypotTest(ADD,83)@9
sumAHighB_uid84_fpHypotTest_a <= STD_LOGIC_VECTOR("0" & ld_oFracAPostExc_uid79_fpHypotTest_q_to_sumAHighB_uid84_fpHypotTest_a_q);
sumAHighB_uid84_fpHypotTest_b <= STD_LOGIC_VECTOR("0" & reg_highBBits_uid83_fpHypotTest_0_to_sumAHighB_uid84_fpHypotTest_1_q);
sumAHighB_uid84_fpHypotTest_o <= STD_LOGIC_VECTOR(UNSIGNED(sumAHighB_uid84_fpHypotTest_a) + UNSIGNED(sumAHighB_uid84_fpHypotTest_b));
sumAHighB_uid84_fpHypotTest_q <= sumAHighB_uid84_fpHypotTest_o(24 downto 0);
--lowRangeB_uid82_fpHypotTest(BITSELECT,81)@8
lowRangeB_uid82_fpHypotTest_in <= rightShiftStage2_uid171_alignShift_uid81_fpHypotTest_q(0 downto 0);
lowRangeB_uid82_fpHypotTest_b <= lowRangeB_uid82_fpHypotTest_in(0 downto 0);
--reg_lowRangeB_uid82_fpHypotTest_0_to_soSPreNorm_uid82_uid85_fpHypotTest_0(REG,215)@8
reg_lowRangeB_uid82_fpHypotTest_0_to_soSPreNorm_uid82_uid85_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_lowRangeB_uid82_fpHypotTest_0_to_soSPreNorm_uid82_uid85_fpHypotTest_0_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_lowRangeB_uid82_fpHypotTest_0_to_soSPreNorm_uid82_uid85_fpHypotTest_0_q <= lowRangeB_uid82_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--soSPreNorm_uid82_uid85_fpHypotTest(BITJOIN,84)@9
soSPreNorm_uid82_uid85_fpHypotTest_q <= sumAHighB_uid84_fpHypotTest_q & reg_lowRangeB_uid82_fpHypotTest_0_to_soSPreNorm_uid82_uid85_fpHypotTest_0_q;
--FullSumAB25_uid86_fpHypotTest(BITSELECT,85)@9
FullSumAB25_uid86_fpHypotTest_in <= soSPreNorm_uid82_uid85_fpHypotTest_q;
FullSumAB25_uid86_fpHypotTest_b <= FullSumAB25_uid86_fpHypotTest_in(25 downto 25);
--soSRangeHigh_uid87_fpHypotTest(BITSELECT,86)@9
soSRangeHigh_uid87_fpHypotTest_in <= soSPreNorm_uid82_uid85_fpHypotTest_q(24 downto 0);
soSRangeHigh_uid87_fpHypotTest_b <= soSRangeHigh_uid87_fpHypotTest_in(24 downto 1);
--soSRangeLow_uid88_fpHypotTest(BITSELECT,87)@9
soSRangeLow_uid88_fpHypotTest_in <= soSPreNorm_uid82_uid85_fpHypotTest_q(23 downto 0);
soSRangeLow_uid88_fpHypotTest_b <= soSRangeLow_uid88_fpHypotTest_in(23 downto 0);
--resFracNorm_uid89_fpHypotTest(MUX,88)@9
resFracNorm_uid89_fpHypotTest_s <= FullSumAB25_uid86_fpHypotTest_b;
resFracNorm_uid89_fpHypotTest: PROCESS (resFracNorm_uid89_fpHypotTest_s, en, soSRangeLow_uid88_fpHypotTest_b, soSRangeHigh_uid87_fpHypotTest_b)
BEGIN
CASE resFracNorm_uid89_fpHypotTest_s IS
WHEN "0" => resFracNorm_uid89_fpHypotTest_q <= soSRangeLow_uid88_fpHypotTest_b;
WHEN "1" => resFracNorm_uid89_fpHypotTest_q <= soSRangeHigh_uid87_fpHypotTest_b;
WHEN OTHERS => resFracNorm_uid89_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--normCatFracSoS_uid93_uid93_fpHypotTest(BITJOIN,92)@9
normCatFracSoS_uid93_uid93_fpHypotTest_q <= FullSumAB25_uid86_fpHypotTest_b & resFracNorm_uid89_fpHypotTest_q;
--reg_normCatFracSoS_uid93_uid93_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_1(REG,217)@9
reg_normCatFracSoS_uid93_uid93_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_normCatFracSoS_uid93_uid93_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_1_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_normCatFracSoS_uid93_uid93_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_1_q <= normCatFracSoS_uid93_uid93_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable(LOGICAL,505)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_a <= en;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q <= not ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_a;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor(LOGICAL,506)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_b <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena_q;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_q <= not (ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_a or ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_b);
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg(REG,504)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg_q <= VCC_q;
END IF;
END IF;
END PROCESS;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena(REG,507)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_nor_q = "1") THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd(LOGICAL,508)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_sticky_ena_q;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_b <= en;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_a and ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_b;
--expA_uid70_fpHypotTest(MUX,69)@5
expA_uid70_fpHypotTest_s <= expCmpGtePQ_uid61_fpHypotTest_q;
expA_uid70_fpHypotTest: PROCESS (expA_uid70_fpHypotTest_s, en, ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q, ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b_q)
BEGIN
CASE expA_uid70_fpHypotTest_s IS
WHEN "0" => expA_uid70_fpHypotTest_q <= ld_expQ_uid53_fpHypotTest_q_to_pGTEq_uid60_fpHypotTest_b_q;
WHEN "1" => expA_uid70_fpHypotTest_q <= ld_expP_uid52_fpHypotTest_q_to_expDiffQP_uid63_fpHypotTest_b_q;
WHEN OTHERS => expA_uid70_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_inputreg(DELAY,498)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 10, depth => 1 )
PORT MAP ( xin => expA_uid70_fpHypotTest_q, xout => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt(COUNTER,500)
-- every=1, low=0, high=1, step=1, init=1
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_i <= TO_UNSIGNED(1,1);
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_i <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_i + 1;
END IF;
END IF;
END PROCESS;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_i,1));
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg(REG,501)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux(MUX,502)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_s <= en;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux: PROCESS (ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_s, ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q, ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_q)
BEGIN
CASE ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_s IS
WHEN "0" => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q;
WHEN "1" => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdcnt_q;
WHEN OTHERS => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem(DUALMEM,499)
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_ia <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_inputreg_q;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_aa <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_ab <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_q;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 1,
numwords_a => 2,
width_b => 10,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_iq,
address_a => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_aa,
data_a => ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_ia
);
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_reset0 <= areset;
ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_iq(9 downto 0);
--cstAllZWF_uid11_fpHypotTest(CONSTANT,10)
cstAllZWF_uid11_fpHypotTest_q <= "00000000000000000000000";
--expCatRndBit_uid91_uid92_fpHypotTest(BITJOIN,91)@9
expCatRndBit_uid91_uid92_fpHypotTest_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_mem_q & cstAllZWF_uid11_fpHypotTest_q & VCC_q;
--reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0(REG,216)@9
reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0_q <= "0000000000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0_q <= expCatRndBit_uid91_uid92_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--expFracPostNorm_uid94_fpHypotTest(ADD,93)@10
expFracPostNorm_uid94_fpHypotTest_a <= STD_LOGIC_VECTOR((35 downto 34 => reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0_q(33)) & reg_expCatRndBit_uid91_uid92_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_0_q);
expFracPostNorm_uid94_fpHypotTest_b <= STD_LOGIC_VECTOR('0' & "0000000000" & reg_normCatFracSoS_uid93_uid93_fpHypotTest_0_to_expFracPostNorm_uid94_fpHypotTest_1_q);
expFracPostNorm_uid94_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(expFracPostNorm_uid94_fpHypotTest_a) + SIGNED(expFracPostNorm_uid94_fpHypotTest_b));
expFracPostNorm_uid94_fpHypotTest_q <= expFracPostNorm_uid94_fpHypotTest_o(34 downto 0);
--expRPreSqrt_uid96_fpHypotTest(BITSELECT,95)@10
expRPreSqrt_uid96_fpHypotTest_in <= expFracPostNorm_uid94_fpHypotTest_q(33 downto 0);
expRPreSqrt_uid96_fpHypotTest_b <= expRPreSqrt_uid96_fpHypotTest_in(33 downto 24);
--ExpRPreSqrt0_uid102_fpHypotTest(BITSELECT,101)@10
ExpRPreSqrt0_uid102_fpHypotTest_in <= expRPreSqrt_uid96_fpHypotTest_b(0 downto 0);
ExpRPreSqrt0_uid102_fpHypotTest_b <= ExpRPreSqrt0_uid102_fpHypotTest_in(0 downto 0);
--expOddSelect_uid103_fpHypotTest(LOGICAL,102)@10
expOddSelect_uid103_fpHypotTest_a <= ExpRPreSqrt0_uid102_fpHypotTest_b;
expOddSelect_uid103_fpHypotTest_q <= not expOddSelect_uid103_fpHypotTest_a;
--fracRPreSqrt_uid95_fpHypotTest(BITSELECT,94)@10
fracRPreSqrt_uid95_fpHypotTest_in <= expFracPostNorm_uid94_fpHypotTest_q(23 downto 0);
fracRPreSqrt_uid95_fpHypotTest_b <= fracRPreSqrt_uid95_fpHypotTest_in(23 downto 1);
--fracXAddr_uid106_fpHypotTest(BITSELECT,105)@10
fracXAddr_uid106_fpHypotTest_in <= fracRPreSqrt_uid95_fpHypotTest_b;
fracXAddr_uid106_fpHypotTest_b <= fracXAddr_uid106_fpHypotTest_in(22 downto 16);
--addrTable_uid107_fpHypotTest(BITJOIN,106)@10
addrTable_uid107_fpHypotTest_q <= expOddSelect_uid103_fpHypotTest_q & fracXAddr_uid106_fpHypotTest_b;
--reg_addrTable_uid107_fpHypotTest_0_to_memoryC2_uid175_sqrtTableGenerator_lutmem_0(REG,220)@10
reg_addrTable_uid107_fpHypotTest_0_to_memoryC2_uid175_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid107_fpHypotTest_0_to_memoryC2_uid175_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid107_fpHypotTest_0_to_memoryC2_uid175_sqrtTableGenerator_lutmem_0_q <= addrTable_uid107_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--memoryC2_uid175_sqrtTableGenerator_lutmem(DUALMEM,196)@11
memoryC2_uid175_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC2_uid175_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC2_uid175_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid107_fpHypotTest_0_to_memoryC2_uid175_sqrtTableGenerator_lutmem_0_q;
memoryC2_uid175_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 12,
widthad_a => 8,
numwords_a => 256,
width_b => 12,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_hypot_s5_memoryC2_uid175_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC2_uid175_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC2_uid175_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC2_uid175_sqrtTableGenerator_lutmem_iq,
address_a => memoryC2_uid175_sqrtTableGenerator_lutmem_aa,
data_a => memoryC2_uid175_sqrtTableGenerator_lutmem_ia
);
memoryC2_uid175_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC2_uid175_sqrtTableGenerator_lutmem_q <= memoryC2_uid175_sqrtTableGenerator_lutmem_iq(11 downto 0);
--reg_memoryC2_uid175_sqrtTableGenerator_lutmem_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_1(REG,222)@13
reg_memoryC2_uid175_sqrtTableGenerator_lutmem_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC2_uid175_sqrtTableGenerator_lutmem_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_1_q <= "000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC2_uid175_sqrtTableGenerator_lutmem_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_1_q <= memoryC2_uid175_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_inputreg(DELAY,509)
ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => fracRPreSqrt_uid95_fpHypotTest_b, xout => ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a(DELAY,360)@10
ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a : dspba_delay
GENERIC MAP ( width => 23, depth => 2 )
PORT MAP ( xin => ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_inputreg_q, xout => ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_q, ena => en(0), clk => clk, aclr => areset );
--FracRPreSqrt15dto0_uid108_fpHypotTest(BITSELECT,107)@13
FracRPreSqrt15dto0_uid108_fpHypotTest_in <= ld_fracRPreSqrt_uid95_fpHypotTest_b_to_FracRPreSqrt15dto0_uid108_fpHypotTest_a_q(15 downto 0);
FracRPreSqrt15dto0_uid108_fpHypotTest_b <= FracRPreSqrt15dto0_uid108_fpHypotTest_in(15 downto 0);
--yT1_uid176_sqrtPolynomialEvaluator(BITSELECT,175)@13
yT1_uid176_sqrtPolynomialEvaluator_in <= FracRPreSqrt15dto0_uid108_fpHypotTest_b;
yT1_uid176_sqrtPolynomialEvaluator_b <= yT1_uid176_sqrtPolynomialEvaluator_in(15 downto 4);
--reg_yT1_uid176_sqrtPolynomialEvaluator_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_0(REG,221)@13
reg_yT1_uid176_sqrtPolynomialEvaluator_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_yT1_uid176_sqrtPolynomialEvaluator_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_0_q <= "000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_yT1_uid176_sqrtPolynomialEvaluator_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_0_q <= yT1_uid176_sqrtPolynomialEvaluator_b;
END IF;
END IF;
END PROCESS;
--prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator(MULT,188)@14
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_a),13)) * SIGNED(prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_b);
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_a <= reg_yT1_uid176_sqrtPolynomialEvaluator_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_0_q;
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_b <= reg_memoryC2_uid175_sqrtTableGenerator_lutmem_0_to_prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_1_q;
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_pr,24));
END IF;
END IF;
END PROCESS;
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_q <= prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator(BITSELECT,189)@17
prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_in <= prodXY_uid189_pT1_uid177_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_in(23 downto 11);
--highBBits_uid179_sqrtPolynomialEvaluator(BITSELECT,178)@17
highBBits_uid179_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_b;
highBBits_uid179_sqrtPolynomialEvaluator_b <= highBBits_uid179_sqrtPolynomialEvaluator_in(12 downto 1);
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_a(DELAY,485)@10
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_a : dspba_delay
GENERIC MAP ( width => 8, depth => 3 )
PORT MAP ( xin => addrTable_uid107_fpHypotTest_q, xout => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_a_q, ena => en(0), clk => clk, aclr => areset );
--reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0(REG,223)@13
reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_a_q;
END IF;
END IF;
END PROCESS;
--memoryC1_uid174_sqrtTableGenerator_lutmem(DUALMEM,195)@14
memoryC1_uid174_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC1_uid174_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC1_uid174_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid107_fpHypotTest_0_to_memoryC1_uid174_sqrtTableGenerator_lutmem_0_q;
memoryC1_uid174_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 21,
widthad_a => 8,
numwords_a => 256,
width_b => 21,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_hypot_s5_memoryC1_uid174_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC1_uid174_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC1_uid174_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC1_uid174_sqrtTableGenerator_lutmem_iq,
address_a => memoryC1_uid174_sqrtTableGenerator_lutmem_aa,
data_a => memoryC1_uid174_sqrtTableGenerator_lutmem_ia
);
memoryC1_uid174_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC1_uid174_sqrtTableGenerator_lutmem_q <= memoryC1_uid174_sqrtTableGenerator_lutmem_iq(20 downto 0);
--reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0(REG,224)@16
reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0_q <= "000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0_q <= memoryC1_uid174_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid180_sqrtPolynomialEvaluator(ADD,179)@17
sumAHighB_uid180_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((21 downto 21 => reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0_q(20)) & reg_memoryC1_uid174_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid180_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid180_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((21 downto 12 => highBBits_uid179_sqrtPolynomialEvaluator_b(11)) & highBBits_uid179_sqrtPolynomialEvaluator_b);
sumAHighB_uid180_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid180_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid180_sqrtPolynomialEvaluator_b));
sumAHighB_uid180_sqrtPolynomialEvaluator_q <= sumAHighB_uid180_sqrtPolynomialEvaluator_o(21 downto 0);
--lowRangeB_uid178_sqrtPolynomialEvaluator(BITSELECT,177)@17
lowRangeB_uid178_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid190_pT1_uid177_sqrtPolynomialEvaluator_b(0 downto 0);
lowRangeB_uid178_sqrtPolynomialEvaluator_b <= lowRangeB_uid178_sqrtPolynomialEvaluator_in(0 downto 0);
--s1_uid178_uid181_sqrtPolynomialEvaluator(BITJOIN,180)@17
s1_uid178_uid181_sqrtPolynomialEvaluator_q <= sumAHighB_uid180_sqrtPolynomialEvaluator_q & lowRangeB_uid178_sqrtPolynomialEvaluator_b;
--reg_s1_uid178_uid181_sqrtPolynomialEvaluator_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_1(REG,226)@17
reg_s1_uid178_uid181_sqrtPolynomialEvaluator_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_s1_uid178_uid181_sqrtPolynomialEvaluator_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_1_q <= "00000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_s1_uid178_uid181_sqrtPolynomialEvaluator_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_1_q <= s1_uid178_uid181_sqrtPolynomialEvaluator_q;
END IF;
END IF;
END PROCESS;
--ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor(LOGICAL,636)
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_b <= ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_q <= not (ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_a or ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_b);
--ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena(REG,637)
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_nor_q = "1") THEN
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena_q <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd(LOGICAL,638)
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_a <= ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_sticky_ena_q;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_b <= en;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_q <= ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_a and ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_b;
--reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0(REG,225)@13
reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q <= "0000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q <= FracRPreSqrt15dto0_uid108_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_inputreg(DELAY,628)
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_inputreg : dspba_delay
GENERIC MAP ( width => 16, depth => 1 )
PORT MAP ( xin => reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q, xout => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem(DUALMEM,629)
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_ia <= ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_inputreg_q;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_aa <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdreg_q;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_ab <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_replace_rdmux_q;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 16,
widthad_a => 1,
numwords_a => 2,
width_b => 16,
widthad_b => 1,
numwords_b => 2,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_iq,
address_a => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_aa,
data_a => ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_ia
);
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_reset0 <= areset;
ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_q <= ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_iq(15 downto 0);
--prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator(MULT,191)@18
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_pr <= signed(resize(UNSIGNED(prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a),17)) * SIGNED(prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_b);
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_component: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a <= (others => '0');
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_b <= (others => '0');
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_s1 <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a <= ld_reg_FracRPreSqrt15dto0_uid108_fpHypotTest_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_0_q_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_a_replace_mem_q;
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_b <= reg_s1_uid178_uid181_sqrtPolynomialEvaluator_0_to_prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_1_q;
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_s1 <= STD_LOGIC_VECTOR(resize(prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_pr,39));
END IF;
END IF;
END PROCESS;
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_q <= (others => '0');
ELSIF(clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_q <= prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_s1;
END IF;
END IF;
END PROCESS;
--prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator(BITSELECT,192)@21
prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_in <= prodXY_uid192_pT2_uid183_sqrtPolynomialEvaluator_q;
prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_b <= prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_in(38 downto 15);
--highBBits_uid185_sqrtPolynomialEvaluator(BITSELECT,184)@21
highBBits_uid185_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_b;
highBBits_uid185_sqrtPolynomialEvaluator_b <= highBBits_uid185_sqrtPolynomialEvaluator_in(23 downto 2);
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor(LOGICAL,649)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_b <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_q <= not (ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_a or ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_b);
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_mem_top(CONSTANT,645)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_mem_top_q <= "0100";
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp(LOGICAL,646)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_a <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_mem_top_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q);
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_q <= "1" when ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_a = ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_b else "0";
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmpReg(REG,647)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmpReg_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena(REG,650)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_nor_q = "1") THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd(LOGICAL,651)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_a <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_sticky_ena_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_b <= en;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_a and ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_b;
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_inputreg(DELAY,639)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_inputreg : dspba_delay
GENERIC MAP ( width => 8, depth => 1 )
PORT MAP ( xin => addrTable_uid107_fpHypotTest_q, xout => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt(COUNTER,641)
-- every=1, low=0, high=4, step=1, init=1
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= TO_UNSIGNED(1,3);
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i = 3 THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '1';
ELSE
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_eq = '1') THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i - 4;
ELSE
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_i,3));
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg(REG,642)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux(MUX,643)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s <= en;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux: PROCESS (ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s, ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q, ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q)
BEGIN
CASE ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_s IS
WHEN "0" => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q;
WHEN "1" => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdcnt_q;
WHEN OTHERS => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem(DUALMEM,640)
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_ia <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_inputreg_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_aa <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdreg_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_ab <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_rdmux_q;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 8,
widthad_a => 3,
numwords_a => 5,
width_b => 8,
widthad_b => 3,
numwords_b => 5,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_iq,
address_a => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_aa,
data_a => ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_ia
);
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_reset0 <= areset;
ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_iq(7 downto 0);
--reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0(REG,227)@17
reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_q <= "00000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_q <= ld_addrTable_uid107_fpHypotTest_q_to_reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_a_replace_mem_q;
END IF;
END IF;
END PROCESS;
--memoryC0_uid173_sqrtTableGenerator_lutmem(DUALMEM,194)@18
memoryC0_uid173_sqrtTableGenerator_lutmem_ia <= (others => '0');
memoryC0_uid173_sqrtTableGenerator_lutmem_aa <= (others => '0');
memoryC0_uid173_sqrtTableGenerator_lutmem_ab <= reg_addrTable_uid107_fpHypotTest_0_to_memoryC0_uid173_sqrtTableGenerator_lutmem_0_q;
memoryC0_uid173_sqrtTableGenerator_lutmem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "M20K",
operation_mode => "DUAL_PORT",
width_a => 29,
widthad_a => 8,
numwords_a => 256,
width_b => 29,
widthad_b => 8,
numwords_b => 256,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK0",
outdata_aclr_b => "CLEAR0",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "fp_hypot_s5_memoryC0_uid173_sqrtTableGenerator_lutmem.hex",
init_file_layout => "PORT_B",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken0 => en(0),
wren_a => '0',
aclr0 => memoryC0_uid173_sqrtTableGenerator_lutmem_reset0,
clock0 => clk,
address_b => memoryC0_uid173_sqrtTableGenerator_lutmem_ab,
-- data_b => (others => '0'),
q_b => memoryC0_uid173_sqrtTableGenerator_lutmem_iq,
address_a => memoryC0_uid173_sqrtTableGenerator_lutmem_aa,
data_a => memoryC0_uid173_sqrtTableGenerator_lutmem_ia
);
memoryC0_uid173_sqrtTableGenerator_lutmem_reset0 <= areset;
memoryC0_uid173_sqrtTableGenerator_lutmem_q <= memoryC0_uid173_sqrtTableGenerator_lutmem_iq(28 downto 0);
--reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0(REG,228)@20
reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0_q <= "00000000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0_q <= memoryC0_uid173_sqrtTableGenerator_lutmem_q;
END IF;
END IF;
END PROCESS;
--sumAHighB_uid186_sqrtPolynomialEvaluator(ADD,185)@21
sumAHighB_uid186_sqrtPolynomialEvaluator_a <= STD_LOGIC_VECTOR((29 downto 29 => reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0_q(28)) & reg_memoryC0_uid173_sqrtTableGenerator_lutmem_0_to_sumAHighB_uid186_sqrtPolynomialEvaluator_0_q);
sumAHighB_uid186_sqrtPolynomialEvaluator_b <= STD_LOGIC_VECTOR((29 downto 22 => highBBits_uid185_sqrtPolynomialEvaluator_b(21)) & highBBits_uid185_sqrtPolynomialEvaluator_b);
sumAHighB_uid186_sqrtPolynomialEvaluator_o <= STD_LOGIC_VECTOR(SIGNED(sumAHighB_uid186_sqrtPolynomialEvaluator_a) + SIGNED(sumAHighB_uid186_sqrtPolynomialEvaluator_b));
sumAHighB_uid186_sqrtPolynomialEvaluator_q <= sumAHighB_uid186_sqrtPolynomialEvaluator_o(29 downto 0);
--lowRangeB_uid184_sqrtPolynomialEvaluator(BITSELECT,183)@21
lowRangeB_uid184_sqrtPolynomialEvaluator_in <= prodXYTruncFR_uid193_pT2_uid183_sqrtPolynomialEvaluator_b(1 downto 0);
lowRangeB_uid184_sqrtPolynomialEvaluator_b <= lowRangeB_uid184_sqrtPolynomialEvaluator_in(1 downto 0);
--s2_uid184_uid187_sqrtPolynomialEvaluator(BITJOIN,186)@21
s2_uid184_uid187_sqrtPolynomialEvaluator_q <= sumAHighB_uid186_sqrtPolynomialEvaluator_q & lowRangeB_uid184_sqrtPolynomialEvaluator_b;
--fracRPreInc_uid110_fpHypotTest(BITSELECT,109)@21
fracRPreInc_uid110_fpHypotTest_in <= s2_uid184_uid187_sqrtPolynomialEvaluator_q(29 downto 0);
fracRPreInc_uid110_fpHypotTest_b <= fracRPreInc_uid110_fpHypotTest_in(29 downto 5);
--reg_fracRPreInc_uid110_fpHypotTest_0_to_fracRPostInc_uid111_fpHypotTest_0(REG,229)@21
reg_fracRPreInc_uid110_fpHypotTest_0_to_fracRPostInc_uid111_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRPreInc_uid110_fpHypotTest_0_to_fracRPostInc_uid111_fpHypotTest_0_q <= "0000000000000000000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRPreInc_uid110_fpHypotTest_0_to_fracRPostInc_uid111_fpHypotTest_0_q <= fracRPreInc_uid110_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--fracRPostInc_uid111_fpHypotTest(ADD,110)@22
fracRPostInc_uid111_fpHypotTest_a <= STD_LOGIC_VECTOR("0" & reg_fracRPreInc_uid110_fpHypotTest_0_to_fracRPostInc_uid111_fpHypotTest_0_q);
fracRPostInc_uid111_fpHypotTest_b <= STD_LOGIC_VECTOR("0000000000000000000000000" & VCC_q);
fracRPostInc_uid111_fpHypotTest_o <= STD_LOGIC_VECTOR(UNSIGNED(fracRPostInc_uid111_fpHypotTest_a) + UNSIGNED(fracRPostInc_uid111_fpHypotTest_b));
fracRPostInc_uid111_fpHypotTest_q <= fracRPostInc_uid111_fpHypotTest_o(25 downto 0);
--fracRPostIncMSBU_uid113_fpHypotTest(BITSELECT,112)@22
fracRPostIncMSBU_uid113_fpHypotTest_in <= fracRPostInc_uid111_fpHypotTest_q;
fracRPostIncMSBU_uid113_fpHypotTest_b <= fracRPostIncMSBU_uid113_fpHypotTest_in(25 downto 25);
--reg_fracRPostIncMSBU_uid113_fpHypotTest_0_to_expRPostInc_uid114_fpHypotTest_1(REG,230)@22
reg_fracRPostIncMSBU_uid113_fpHypotTest_0_to_expRPostInc_uid114_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_fracRPostIncMSBU_uid113_fpHypotTest_0_to_expRPostInc_uid114_fpHypotTest_1_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_fracRPostIncMSBU_uid113_fpHypotTest_0_to_expRPostInc_uid114_fpHypotTest_1_q <= fracRPostIncMSBU_uid113_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor(LOGICAL,520)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_b <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_q <= not (ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_a or ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_b);
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_mem_top(CONSTANT,516)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_mem_top_q <= "01000";
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp(LOGICAL,517)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_a <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_mem_top_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_q);
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_q <= "1" when ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_a = ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_b else "0";
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmpReg(REG,518)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmpReg_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena(REG,521)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_nor_q = "1") THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd(LOGICAL,522)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_a <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_sticky_ena_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_b <= en;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_a and ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_b;
--biasP1Signal_uid99_fpHypotTest(CONSTANT,98)
biasP1Signal_uid99_fpHypotTest_q <= "1111110";
--reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0(REG,218)@10
reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q <= "0000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q <= expRPreSqrt_uid96_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--expOddSig_uid100_fpHypotTest(ADD,99)@11
expOddSig_uid100_fpHypotTest_a <= STD_LOGIC_VECTOR((11 downto 10 => reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q(9)) & reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q);
expOddSig_uid100_fpHypotTest_b <= STD_LOGIC_VECTOR('0' & "0000" & biasP1Signal_uid99_fpHypotTest_q);
expOddSig_uid100_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(expOddSig_uid100_fpHypotTest_a) + SIGNED(expOddSig_uid100_fpHypotTest_b));
expOddSig_uid100_fpHypotTest_q <= expOddSig_uid100_fpHypotTest_o(10 downto 0);
--expROdd_uid101_fpHypotTest(BITSELECT,100)@11
expROdd_uid101_fpHypotTest_in <= expOddSig_uid100_fpHypotTest_q;
expROdd_uid101_fpHypotTest_b <= expROdd_uid101_fpHypotTest_in(10 downto 1);
--expSumOfSquaresUnbiased_uid97_fpHypotTest(ADD,96)@11
expSumOfSquaresUnbiased_uid97_fpHypotTest_a <= STD_LOGIC_VECTOR((11 downto 10 => reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q(9)) & reg_expRPreSqrt_uid96_fpHypotTest_0_to_expSumOfSquaresUnbiased_uid97_fpHypotTest_0_q);
expSumOfSquaresUnbiased_uid97_fpHypotTest_b <= STD_LOGIC_VECTOR('0' & "0000" & bias_uid47_fpHypotTest_q);
expSumOfSquaresUnbiased_uid97_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(expSumOfSquaresUnbiased_uid97_fpHypotTest_a) + SIGNED(expSumOfSquaresUnbiased_uid97_fpHypotTest_b));
expSumOfSquaresUnbiased_uid97_fpHypotTest_q <= expSumOfSquaresUnbiased_uid97_fpHypotTest_o(10 downto 0);
--expREven_uid98_fpHypotTest(BITSELECT,97)@11
expREven_uid98_fpHypotTest_in <= expSumOfSquaresUnbiased_uid97_fpHypotTest_q;
expREven_uid98_fpHypotTest_b <= expREven_uid98_fpHypotTest_in(10 downto 1);
--ld_expOddSelect_uid103_fpHypotTest_q_to_expRMux_uid104_fpHypotTest_b(DELAY,354)@10
ld_expOddSelect_uid103_fpHypotTest_q_to_expRMux_uid104_fpHypotTest_b : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => expOddSelect_uid103_fpHypotTest_q, xout => ld_expOddSelect_uid103_fpHypotTest_q_to_expRMux_uid104_fpHypotTest_b_q, ena => en(0), clk => clk, aclr => areset );
--expRMux_uid104_fpHypotTest(MUX,103)@11
expRMux_uid104_fpHypotTest_s <= ld_expOddSelect_uid103_fpHypotTest_q_to_expRMux_uid104_fpHypotTest_b_q;
expRMux_uid104_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
expRMux_uid104_fpHypotTest_q <= (others => '0');
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
CASE expRMux_uid104_fpHypotTest_s IS
WHEN "0" => expRMux_uid104_fpHypotTest_q <= expREven_uid98_fpHypotTest_b;
WHEN "1" => expRMux_uid104_fpHypotTest_q <= expROdd_uid101_fpHypotTest_b;
WHEN OTHERS => expRMux_uid104_fpHypotTest_q <= (others => '0');
END CASE;
END IF;
END IF;
END PROCESS;
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_inputreg(DELAY,510)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 10, depth => 1 )
PORT MAP ( xin => expRMux_uid104_fpHypotTest_q, xout => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt(COUNTER,512)
-- every=1, low=0, high=8, step=1, init=1
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,4);
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i = 7 THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_eq = '1') THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i - 8;
ELSE
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_i,4));
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg(REG,513)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg_q <= "0000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux(MUX,514)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_s <= en;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux: PROCESS (ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_s, ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg_q, ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_q)
BEGIN
CASE ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_s IS
WHEN "0" => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg_q;
WHEN "1" => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem(DUALMEM,511)
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_ia <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_inputreg_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_aa <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdreg_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_ab <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_rdmux_q;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 10,
widthad_a => 4,
numwords_a => 9,
width_b => 10,
widthad_b => 4,
numwords_b => 9,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_iq,
address_a => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_aa,
data_a => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_ia
);
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_reset0 <= areset;
ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_q <= ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_iq(9 downto 0);
--expRPostInc_uid114_fpHypotTest(ADD,113)@23
expRPostInc_uid114_fpHypotTest_a <= STD_LOGIC_VECTOR((11 downto 10 => ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_q(9)) & ld_expRMux_uid104_fpHypotTest_q_to_expRPostInc_uid114_fpHypotTest_a_replace_mem_q);
expRPostInc_uid114_fpHypotTest_b <= STD_LOGIC_VECTOR('0' & "0000000000" & reg_fracRPostIncMSBU_uid113_fpHypotTest_0_to_expRPostInc_uid114_fpHypotTest_1_q);
expRPostInc_uid114_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(expRPostInc_uid114_fpHypotTest_a) + SIGNED(expRPostInc_uid114_fpHypotTest_b));
expRPostInc_uid114_fpHypotTest_q <= expRPostInc_uid114_fpHypotTest_o(10 downto 0);
--expRPreExc_uid139_fpHypotTest(BITSELECT,138)@23
expRPreExc_uid139_fpHypotTest_in <= expRPostInc_uid114_fpHypotTest_q(7 downto 0);
expRPreExc_uid139_fpHypotTest_b <= expRPreExc_uid139_fpHypotTest_in(7 downto 0);
--ld_expRPreExc_uid139_fpHypotTest_b_to_expRPostExc_uid141_fpHypotTest_d(DELAY,404)@23
ld_expRPreExc_uid139_fpHypotTest_b_to_expRPostExc_uid141_fpHypotTest_d : dspba_delay
GENERIC MAP ( width => 8, depth => 2 )
PORT MAP ( xin => expRPreExc_uid139_fpHypotTest_b, xout => ld_expRPreExc_uid139_fpHypotTest_b_to_expRPostExc_uid141_fpHypotTest_d_q, ena => en(0), clk => clk, aclr => areset );
--cstAllZWE_uid12_fpHypotTest(CONSTANT,11)
cstAllZWE_uid12_fpHypotTest_q <= "00000000";
--ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor(LOGICAL,624)
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_b <= ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena_q;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_q <= not (ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_a or ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_b);
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_mem_top(CONSTANT,529)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_mem_top_q <= "010101";
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp(LOGICAL,530)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_a <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_mem_top_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_b <= STD_LOGIC_VECTOR("0" & ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q);
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_q <= "1" when ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_a = ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_b else "0";
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg(REG,531)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena(REG,625)
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_nor_q = "1") THEN
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd(LOGICAL,626)
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_a <= ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_sticky_ena_q;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_b <= en;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_q <= ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_a and ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_b;
--fracXIsZero_uid18_fpHypotTest(LOGICAL,17)@0
fracXIsZero_uid18_fpHypotTest_a <= fracX_uid8_fpHypotTest_b;
fracXIsZero_uid18_fpHypotTest_b <= cstAllZWF_uid11_fpHypotTest_q;
fracXIsZero_uid18_fpHypotTest_q <= "1" when fracXIsZero_uid18_fpHypotTest_a = fracXIsZero_uid18_fpHypotTest_b else "0";
--expXIsMax_uid16_fpHypotTest(LOGICAL,15)@0
expXIsMax_uid16_fpHypotTest_a <= expX_uid6_fpHypotTest_b;
expXIsMax_uid16_fpHypotTest_b <= cstAllOWE_uid10_fpHypotTest_q;
expXIsMax_uid16_fpHypotTest_q <= "1" when expXIsMax_uid16_fpHypotTest_a = expXIsMax_uid16_fpHypotTest_b else "0";
--exc_I_uid19_fpHypotTest(LOGICAL,18)@0
exc_I_uid19_fpHypotTest_a <= expXIsMax_uid16_fpHypotTest_q;
exc_I_uid19_fpHypotTest_b <= fracXIsZero_uid18_fpHypotTest_q;
exc_I_uid19_fpHypotTest_q <= exc_I_uid19_fpHypotTest_a and exc_I_uid19_fpHypotTest_b;
--InvExc_I_uid23_fpHypotTest(LOGICAL,22)@0
InvExc_I_uid23_fpHypotTest_a <= exc_I_uid19_fpHypotTest_q;
InvExc_I_uid23_fpHypotTest_q <= not InvExc_I_uid23_fpHypotTest_a;
--fracXIsZero_uid34_fpHypotTest(LOGICAL,33)@0
fracXIsZero_uid34_fpHypotTest_a <= fracY_uid9_fpHypotTest_b;
fracXIsZero_uid34_fpHypotTest_b <= cstAllZWF_uid11_fpHypotTest_q;
fracXIsZero_uid34_fpHypotTest_q <= "1" when fracXIsZero_uid34_fpHypotTest_a = fracXIsZero_uid34_fpHypotTest_b else "0";
--InvFracXIsZero_uid36_fpHypotTest(LOGICAL,35)@0
InvFracXIsZero_uid36_fpHypotTest_a <= fracXIsZero_uid34_fpHypotTest_q;
InvFracXIsZero_uid36_fpHypotTest_q <= not InvFracXIsZero_uid36_fpHypotTest_a;
--expXIsMax_uid32_fpHypotTest(LOGICAL,31)@0
expXIsMax_uid32_fpHypotTest_a <= expY_uid7_fpHypotTest_b;
expXIsMax_uid32_fpHypotTest_b <= cstAllOWE_uid10_fpHypotTest_q;
expXIsMax_uid32_fpHypotTest_q <= "1" when expXIsMax_uid32_fpHypotTest_a = expXIsMax_uid32_fpHypotTest_b else "0";
--exc_N_uid37_fpHypotTest(LOGICAL,36)@0
exc_N_uid37_fpHypotTest_a <= expXIsMax_uid32_fpHypotTest_q;
exc_N_uid37_fpHypotTest_b <= InvFracXIsZero_uid36_fpHypotTest_q;
exc_N_uid37_fpHypotTest_q <= exc_N_uid37_fpHypotTest_a and exc_N_uid37_fpHypotTest_b;
--yNaNxNonInf_uid127_fpHypotTest(LOGICAL,126)@0
yNaNxNonInf_uid127_fpHypotTest_a <= exc_N_uid37_fpHypotTest_q;
yNaNxNonInf_uid127_fpHypotTest_b <= InvExc_I_uid23_fpHypotTest_q;
yNaNxNonInf_uid127_fpHypotTest_q <= yNaNxNonInf_uid127_fpHypotTest_a and yNaNxNonInf_uid127_fpHypotTest_b;
--exc_I_uid35_fpHypotTest(LOGICAL,34)@0
exc_I_uid35_fpHypotTest_a <= expXIsMax_uid32_fpHypotTest_q;
exc_I_uid35_fpHypotTest_b <= fracXIsZero_uid34_fpHypotTest_q;
exc_I_uid35_fpHypotTest_q <= exc_I_uid35_fpHypotTest_a and exc_I_uid35_fpHypotTest_b;
--InvExc_I_uid39_fpHypotTest(LOGICAL,38)@0
InvExc_I_uid39_fpHypotTest_a <= exc_I_uid35_fpHypotTest_q;
InvExc_I_uid39_fpHypotTest_q <= not InvExc_I_uid39_fpHypotTest_a;
--InvFracXIsZero_uid20_fpHypotTest(LOGICAL,19)@0
InvFracXIsZero_uid20_fpHypotTest_a <= fracXIsZero_uid18_fpHypotTest_q;
InvFracXIsZero_uid20_fpHypotTest_q <= not InvFracXIsZero_uid20_fpHypotTest_a;
--exc_N_uid21_fpHypotTest(LOGICAL,20)@0
exc_N_uid21_fpHypotTest_a <= expXIsMax_uid16_fpHypotTest_q;
exc_N_uid21_fpHypotTest_b <= InvFracXIsZero_uid20_fpHypotTest_q;
exc_N_uid21_fpHypotTest_q <= exc_N_uid21_fpHypotTest_a and exc_N_uid21_fpHypotTest_b;
--xNaNyNonInf_uid129_fpHypotTest(LOGICAL,128)@0
xNaNyNonInf_uid129_fpHypotTest_a <= exc_N_uid21_fpHypotTest_q;
xNaNyNonInf_uid129_fpHypotTest_b <= InvExc_I_uid39_fpHypotTest_q;
xNaNyNonInf_uid129_fpHypotTest_q <= xNaNyNonInf_uid129_fpHypotTest_a and xNaNyNonInf_uid129_fpHypotTest_b;
--excRNaN_uid130_fpHypotTest(LOGICAL,129)@0
excRNaN_uid130_fpHypotTest_a <= xNaNyNonInf_uid129_fpHypotTest_q;
excRNaN_uid130_fpHypotTest_b <= yNaNxNonInf_uid127_fpHypotTest_q;
excRNaN_uid130_fpHypotTest_q <= excRNaN_uid130_fpHypotTest_a or excRNaN_uid130_fpHypotTest_b;
--ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_inputreg(DELAY,614)
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => excRNaN_uid130_fpHypotTest_q, xout => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt(COUNTER,525)
-- every=1, low=0, high=21, step=1, init=1
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i = 20 THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_eq <= '1';
ELSE
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_eq <= '0';
END IF;
IF (ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_eq = '1') THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i - 21;
ELSE
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_i,5));
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg(REG,526)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux(MUX,527)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_s <= en;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux: PROCESS (ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_s, ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q, ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_q)
BEGIN
CASE ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_s IS
WHEN "0" => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
WHEN "1" => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdcnt_q;
WHEN OTHERS => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem(DUALMEM,615)
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_ia <= ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_inputreg_q;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_aa <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_ab <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_iq,
address_a => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_aa,
data_a => ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_ia
);
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_reset0 <= areset;
ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_q <= ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_iq(0 downto 0);
--reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1(REG,231)@23
reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q <= "00000000000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q <= expRPostInc_uid114_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--sqrtOverflow_uid117_fpHypotTest(COMPARE,116)@24
sqrtOverflow_uid117_fpHypotTest_cin <= GND_q;
sqrtOverflow_uid117_fpHypotTest_a <= STD_LOGIC_VECTOR((12 downto 11 => reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q(10)) & reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q) & '0';
sqrtOverflow_uid117_fpHypotTest_b <= STD_LOGIC_VECTOR('0' & "0000" & cstAllOWE_uid10_fpHypotTest_q) & sqrtOverflow_uid117_fpHypotTest_cin(0);
sqrtOverflow_uid117_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(sqrtOverflow_uid117_fpHypotTest_a) - SIGNED(sqrtOverflow_uid117_fpHypotTest_b));
sqrtOverflow_uid117_fpHypotTest_n(0) <= not sqrtOverflow_uid117_fpHypotTest_o(13);
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor(LOGICAL,533)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_b <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_q <= not (ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_a or ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_b);
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena(REG,534)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_nor_q = "1") THEN
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd(LOGICAL,535)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_a <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_sticky_ena_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_b <= en;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_a and ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_b;
--InvExc_N_uid22_fpHypotTest(LOGICAL,21)@0
InvExc_N_uid22_fpHypotTest_a <= exc_N_uid21_fpHypotTest_q;
InvExc_N_uid22_fpHypotTest_q <= not InvExc_N_uid22_fpHypotTest_a;
--InvExpXIsZero_uid24_fpHypotTest(LOGICAL,23)@0
InvExpXIsZero_uid24_fpHypotTest_a <= expXIsZero_uid14_fpHypotTest_q;
InvExpXIsZero_uid24_fpHypotTest_q <= not InvExpXIsZero_uid24_fpHypotTest_a;
--exc_R_uid25_fpHypotTest(LOGICAL,24)@0
exc_R_uid25_fpHypotTest_a <= InvExpXIsZero_uid24_fpHypotTest_q;
exc_R_uid25_fpHypotTest_b <= InvExc_I_uid23_fpHypotTest_q;
exc_R_uid25_fpHypotTest_c <= InvExc_N_uid22_fpHypotTest_q;
exc_R_uid25_fpHypotTest_q <= exc_R_uid25_fpHypotTest_a and exc_R_uid25_fpHypotTest_b and exc_R_uid25_fpHypotTest_c;
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_inputreg(DELAY,523)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_R_uid25_fpHypotTest_q, xout => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem(DUALMEM,524)
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_ia <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_inputreg_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_aa <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_ab <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_iq,
address_a => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_aa,
data_a => ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_ia
);
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_reset0 <= areset;
ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_iq(0 downto 0);
--ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor(LOGICAL,585)
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_b <= ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena_q;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_q <= not (ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_a or ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_b);
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_mem_top(CONSTANT,568)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_mem_top_q <= "010100";
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp(LOGICAL,569)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_a <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_mem_top_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_b <= STD_LOGIC_VECTOR("0" & ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q);
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_q <= "1" when ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_a = ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_b else "0";
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg(REG,570)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg_q <= "0";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmp_q;
END IF;
END IF;
END PROCESS;
--ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena(REG,586)
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_nor_q = "1") THEN
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd(LOGICAL,587)
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_a <= ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_sticky_ena_q;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_b <= en;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_q <= ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_a and ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_b;
--InvExc_N_uid38_fpHypotTest(LOGICAL,37)@0
InvExc_N_uid38_fpHypotTest_a <= exc_N_uid37_fpHypotTest_q;
InvExc_N_uid38_fpHypotTest_q <= not InvExc_N_uid38_fpHypotTest_a;
--InvExpXIsZero_uid40_fpHypotTest(LOGICAL,39)@0
InvExpXIsZero_uid40_fpHypotTest_a <= expXIsZero_uid30_fpHypotTest_q;
InvExpXIsZero_uid40_fpHypotTest_q <= not InvExpXIsZero_uid40_fpHypotTest_a;
--exc_R_uid41_fpHypotTest(LOGICAL,40)@0
exc_R_uid41_fpHypotTest_a <= InvExpXIsZero_uid40_fpHypotTest_q;
exc_R_uid41_fpHypotTest_b <= InvExc_I_uid39_fpHypotTest_q;
exc_R_uid41_fpHypotTest_c <= InvExc_N_uid38_fpHypotTest_q;
exc_R_uid41_fpHypotTest_q <= exc_R_uid41_fpHypotTest_a and exc_R_uid41_fpHypotTest_b and exc_R_uid41_fpHypotTest_c;
--yRegOrZero_uid123_fpHypotTest(LOGICAL,122)@0
yRegOrZero_uid123_fpHypotTest_a <= exc_R_uid41_fpHypotTest_q;
yRegOrZero_uid123_fpHypotTest_b <= expXIsZero_uid30_fpHypotTest_q;
yRegOrZero_uid123_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
yRegOrZero_uid123_fpHypotTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
yRegOrZero_uid123_fpHypotTest_q <= yRegOrZero_uid123_fpHypotTest_a or yRegOrZero_uid123_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_inputreg(DELAY,575)
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => yRegOrZero_uid123_fpHypotTest_q, xout => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt(COUNTER,564)
-- every=1, low=0, high=20, step=1, init=1
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i <= TO_UNSIGNED(1,5);
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_eq <= '0';
ELSIF (clk'EVENT AND clk = '1') THEN
IF (en = "1") THEN
IF ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i = 19 THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_eq <= '1';
ELSE
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_eq <= '0';
END IF;
IF (ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_eq = '1') THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i - 20;
ELSE
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i + 1;
END IF;
END IF;
END IF;
END PROCESS;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_q <= STD_LOGIC_VECTOR(RESIZE(ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_i,5));
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg(REG,565)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q <= "00000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_q;
END IF;
END IF;
END PROCESS;
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux(MUX,566)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_s <= en;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux: PROCESS (ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_s, ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q, ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_q)
BEGIN
CASE ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_s IS
WHEN "0" => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q;
WHEN "1" => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdcnt_q;
WHEN OTHERS => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q <= (others => '0');
END CASE;
END PROCESS;
--ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem(DUALMEM,576)
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_ia <= ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_inputreg_q;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_aa <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_ab <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 21,
width_b => 1,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_iq,
address_a => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_aa,
data_a => ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_ia
);
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_reset0 <= areset;
ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_q <= ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_iq(0 downto 0);
--yRegOrZeroXRegOvf_uid124_fpHypotTest(LOGICAL,123)@24
yRegOrZeroXRegOvf_uid124_fpHypotTest_a <= ld_yRegOrZero_uid123_fpHypotTest_q_to_yRegOrZeroXRegOvf_uid124_fpHypotTest_a_replace_mem_q;
yRegOrZeroXRegOvf_uid124_fpHypotTest_b <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_q;
yRegOrZeroXRegOvf_uid124_fpHypotTest_c <= sqrtOverflow_uid117_fpHypotTest_n;
yRegOrZeroXRegOvf_uid124_fpHypotTest_q <= yRegOrZeroXRegOvf_uid124_fpHypotTest_a and yRegOrZeroXRegOvf_uid124_fpHypotTest_b and yRegOrZeroXRegOvf_uid124_fpHypotTest_c;
--ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor(LOGICAL,546)
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_b <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena_q;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_q <= not (ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_a or ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_b);
--ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena(REG,547)
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_nor_q = "1") THEN
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd(LOGICAL,548)
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_a <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_sticky_ena_q;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_b <= en;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_q <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_a and ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_b;
--ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_inputreg(DELAY,536)
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_R_uid41_fpHypotTest_q, xout => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem(DUALMEM,537)
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_ia <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_inputreg_q;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_aa <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_ab <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_iq,
address_a => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_aa,
data_a => ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_ia
);
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_reset0 <= areset;
ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_q <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_iq(0 downto 0);
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor(LOGICAL,572)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_b <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_q <= not (ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_a or ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_b);
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena(REG,573)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_nor_q = "1") THEN
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd(LOGICAL,574)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_a <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_sticky_ena_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_b <= en;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_a and ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_b;
--xRegOrZero_uid121_fpHypotTest(LOGICAL,120)@0
xRegOrZero_uid121_fpHypotTest_a <= exc_R_uid25_fpHypotTest_q;
xRegOrZero_uid121_fpHypotTest_b <= expXIsZero_uid14_fpHypotTest_q;
xRegOrZero_uid121_fpHypotTest: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
xRegOrZero_uid121_fpHypotTest_q <= (others => '0');
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
xRegOrZero_uid121_fpHypotTest_q <= xRegOrZero_uid121_fpHypotTest_a or xRegOrZero_uid121_fpHypotTest_b;
END IF;
END IF;
END PROCESS;
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_inputreg(DELAY,562)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => xRegOrZero_uid121_fpHypotTest_q, xout => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem(DUALMEM,563)
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_ia <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_inputreg_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_aa <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdreg_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_ab <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_rdmux_q;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 21,
width_b => 1,
widthad_b => 5,
numwords_b => 21,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_iq,
address_a => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_aa,
data_a => ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_ia
);
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_reset0 <= areset;
ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_q <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_iq(0 downto 0);
--xRegOrZeroYRegOvf_uid122_fpHypotTest(LOGICAL,121)@24
xRegOrZeroYRegOvf_uid122_fpHypotTest_a <= ld_xRegOrZero_uid121_fpHypotTest_q_to_xRegOrZeroYRegOvf_uid122_fpHypotTest_a_replace_mem_q;
xRegOrZeroYRegOvf_uid122_fpHypotTest_b <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_q;
xRegOrZeroYRegOvf_uid122_fpHypotTest_c <= sqrtOverflow_uid117_fpHypotTest_n;
xRegOrZeroYRegOvf_uid122_fpHypotTest_q <= xRegOrZeroYRegOvf_uid122_fpHypotTest_a and xRegOrZeroYRegOvf_uid122_fpHypotTest_b and xRegOrZeroYRegOvf_uid122_fpHypotTest_c;
--ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor(LOGICAL,611)
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_b <= ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena_q;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_q <= not (ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_a or ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_b);
--ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena(REG,612)
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_nor_q = "1") THEN
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd(LOGICAL,613)
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_a <= ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_sticky_ena_q;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_b <= en;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_q <= ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_a and ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_b;
--ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_inputreg(DELAY,601)
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_I_uid35_fpHypotTest_q, xout => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem(DUALMEM,602)
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_ia <= ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_inputreg_q;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_aa <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_ab <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_iq,
address_a => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_aa,
data_a => ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_ia
);
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_reset0 <= areset;
ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_q <= ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_iq(0 downto 0);
--ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor(LOGICAL,598)
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_b <= ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena_q;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_q <= not (ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_a or ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_b);
--ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena(REG,599)
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_nor_q = "1") THEN
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd(LOGICAL,600)
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_a <= ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_sticky_ena_q;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_b <= en;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_q <= ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_a and ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_b;
--ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_inputreg(DELAY,588)
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => exc_I_uid19_fpHypotTest_q, xout => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem(DUALMEM,589)
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_ia <= ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_inputreg_q;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_aa <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_ab <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_iq,
address_a => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_aa,
data_a => ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_ia
);
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_reset0 <= areset;
ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_q <= ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_iq(0 downto 0);
--excRInf_uid125_fpHypotTest(LOGICAL,124)@24
excRInf_uid125_fpHypotTest_a <= ld_exc_I_uid19_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_a_replace_mem_q;
excRInf_uid125_fpHypotTest_b <= ld_exc_I_uid35_fpHypotTest_q_to_excRInf_uid125_fpHypotTest_b_replace_mem_q;
excRInf_uid125_fpHypotTest_c <= xRegOrZeroYRegOvf_uid122_fpHypotTest_q;
excRInf_uid125_fpHypotTest_d <= yRegOrZeroXRegOvf_uid124_fpHypotTest_q;
excRInf_uid125_fpHypotTest_q <= excRInf_uid125_fpHypotTest_a or excRInf_uid125_fpHypotTest_b or excRInf_uid125_fpHypotTest_c or excRInf_uid125_fpHypotTest_d;
--sqrtUnderflow_uid115_fpHypotTest(COMPARE,114)@24
sqrtUnderflow_uid115_fpHypotTest_cin <= GND_q;
sqrtUnderflow_uid115_fpHypotTest_a <= STD_LOGIC_VECTOR('0' & "00000000000" & GND_q) & '0';
sqrtUnderflow_uid115_fpHypotTest_b <= STD_LOGIC_VECTOR((12 downto 11 => reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q(10)) & reg_expRPostInc_uid114_fpHypotTest_0_to_sqrtUnderflow_uid115_fpHypotTest_1_q) & sqrtUnderflow_uid115_fpHypotTest_cin(0);
sqrtUnderflow_uid115_fpHypotTest_o <= STD_LOGIC_VECTOR(SIGNED(sqrtUnderflow_uid115_fpHypotTest_a) - SIGNED(sqrtUnderflow_uid115_fpHypotTest_b));
sqrtUnderflow_uid115_fpHypotTest_n(0) <= not sqrtUnderflow_uid115_fpHypotTest_o(13);
--excXYRUdf_uid119_fpHypotTest(LOGICAL,118)@24
excXYRUdf_uid119_fpHypotTest_a <= sqrtUnderflow_uid115_fpHypotTest_n;
excXYRUdf_uid119_fpHypotTest_b <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_mem_q;
excXYRUdf_uid119_fpHypotTest_c <= ld_exc_R_uid41_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_c_replace_mem_q;
excXYRUdf_uid119_fpHypotTest_q <= excXYRUdf_uid119_fpHypotTest_a and excXYRUdf_uid119_fpHypotTest_b and excXYRUdf_uid119_fpHypotTest_c;
--ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor(LOGICAL,559)
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_a <= ld_expA_uid70_fpHypotTest_q_to_expCatRndBit_uid91_uid92_fpHypotTest_c_notEnable_q;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_b <= ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena_q;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_q <= not (ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_a or ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_b);
--ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena(REG,560)
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena_q <= "0";
ELSIF rising_edge(clk) THEN
IF (ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_nor_q = "1") THEN
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena_q <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_cmpReg_q;
END IF;
END IF;
END PROCESS;
--ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd(LOGICAL,561)
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_a <= ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_sticky_ena_q;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_b <= en;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_q <= ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_a and ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_b;
--excXYZ_uid118_fpHypotTest(LOGICAL,117)@0
excXYZ_uid118_fpHypotTest_a <= expXIsZero_uid14_fpHypotTest_q;
excXYZ_uid118_fpHypotTest_b <= expXIsZero_uid30_fpHypotTest_q;
excXYZ_uid118_fpHypotTest_q <= excXYZ_uid118_fpHypotTest_a and excXYZ_uid118_fpHypotTest_b;
--ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_inputreg(DELAY,549)
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_inputreg : dspba_delay
GENERIC MAP ( width => 1, depth => 1 )
PORT MAP ( xin => excXYZ_uid118_fpHypotTest_q, xout => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem(DUALMEM,550)
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_ia <= ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_inputreg_q;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_aa <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdreg_q;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_ab <= ld_exc_R_uid25_fpHypotTest_q_to_excXYRUdf_uid119_fpHypotTest_b_replace_rdmux_q;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_dmem : altsyncram
GENERIC MAP (
ram_block_type => "MLAB",
operation_mode => "DUAL_PORT",
width_a => 1,
widthad_a => 5,
numwords_a => 22,
width_b => 1,
widthad_b => 5,
numwords_b => 22,
lpm_type => "altsyncram",
width_byteena_a => 1,
indata_reg_b => "CLOCK0",
wrcontrol_wraddress_reg_b => "CLOCK0",
rdcontrol_reg_b => "CLOCK0",
byteena_reg_b => "CLOCK0",
outdata_reg_b => "CLOCK1",
outdata_aclr_b => "CLEAR1",
address_reg_b => "CLOCK0",
clock_enable_input_a => "NORMAL",
clock_enable_input_b => "NORMAL",
clock_enable_output_b => "NORMAL",
read_during_write_mode_mixed_ports => "DONT_CARE",
power_up_uninitialized => "FALSE",
init_file => "UNUSED",
intended_device_family => "Stratix V"
)
PORT MAP (
clocken1 => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_enaAnd_q(0),
clocken0 => '1',
wren_a => en(0),
clock0 => clk,
aclr1 => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_reset0,
clock1 => clk,
address_b => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_ab,
-- data_b => (others => '0'),
q_b => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_iq,
address_a => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_aa,
data_a => ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_ia
);
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_reset0 <= areset;
ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_q <= ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_iq(0 downto 0);
--excRZero_uid120_fpHypotTest(LOGICAL,119)@24
excRZero_uid120_fpHypotTest_a <= ld_excXYZ_uid118_fpHypotTest_q_to_excRZero_uid120_fpHypotTest_a_replace_mem_q;
excRZero_uid120_fpHypotTest_b <= excXYRUdf_uid119_fpHypotTest_q;
excRZero_uid120_fpHypotTest_q <= excRZero_uid120_fpHypotTest_a or excRZero_uid120_fpHypotTest_b;
--excSelBits_uid131_fpHypotTest(BITJOIN,130)@24
excSelBits_uid131_fpHypotTest_q <= ld_excRNaN_uid130_fpHypotTest_q_to_excSelBits_uid131_fpHypotTest_c_replace_mem_q & excRInf_uid125_fpHypotTest_q & excRZero_uid120_fpHypotTest_q;
--reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0(REG,233)@24
reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0: PROCESS (clk, areset)
BEGIN
IF (areset = '1') THEN
reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0_q <= "000";
ELSIF rising_edge(clk) THEN
IF (en = "1") THEN
reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0_q <= excSelBits_uid131_fpHypotTest_q;
END IF;
END IF;
END PROCESS;
--outMuxSelEnc_uid132_fpHypotTest(LOOKUP,131)@25
outMuxSelEnc_uid132_fpHypotTest: PROCESS (reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0_q)
BEGIN
-- Begin reserved scope level
CASE (reg_excSelBits_uid131_fpHypotTest_0_to_outMuxSelEnc_uid132_fpHypotTest_0_q) IS
WHEN "000" => outMuxSelEnc_uid132_fpHypotTest_q <= "01";
WHEN "001" => outMuxSelEnc_uid132_fpHypotTest_q <= "00";
WHEN "010" => outMuxSelEnc_uid132_fpHypotTest_q <= "10";
WHEN "011" => outMuxSelEnc_uid132_fpHypotTest_q <= "01";
WHEN "100" => outMuxSelEnc_uid132_fpHypotTest_q <= "11";
WHEN "101" => outMuxSelEnc_uid132_fpHypotTest_q <= "01";
WHEN "110" => outMuxSelEnc_uid132_fpHypotTest_q <= "01";
WHEN "111" => outMuxSelEnc_uid132_fpHypotTest_q <= "01";
WHEN OTHERS =>
outMuxSelEnc_uid132_fpHypotTest_q <= (others => '-');
END CASE;
-- End reserved scope level
END PROCESS;
--xIn(GPIN,3)@0
--expRPostExc_uid141_fpHypotTest(MUX,140)@25
expRPostExc_uid141_fpHypotTest_s <= outMuxSelEnc_uid132_fpHypotTest_q;
expRPostExc_uid141_fpHypotTest: PROCESS (expRPostExc_uid141_fpHypotTest_s, en, cstAllZWE_uid12_fpHypotTest_q, ld_expRPreExc_uid139_fpHypotTest_b_to_expRPostExc_uid141_fpHypotTest_d_q, cstAllOWE_uid10_fpHypotTest_q, cstAllOWE_uid10_fpHypotTest_q)
BEGIN
CASE expRPostExc_uid141_fpHypotTest_s IS
WHEN "00" => expRPostExc_uid141_fpHypotTest_q <= cstAllZWE_uid12_fpHypotTest_q;
WHEN "01" => expRPostExc_uid141_fpHypotTest_q <= ld_expRPreExc_uid139_fpHypotTest_b_to_expRPostExc_uid141_fpHypotTest_d_q;
WHEN "10" => expRPostExc_uid141_fpHypotTest_q <= cstAllOWE_uid10_fpHypotTest_q;
WHEN "11" => expRPostExc_uid141_fpHypotTest_q <= cstAllOWE_uid10_fpHypotTest_q;
WHEN OTHERS => expRPostExc_uid141_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--NaNFracRPostExc_uid133_fpHypotTest(CONSTANT,132)
NaNFracRPostExc_uid133_fpHypotTest_q <= "00000000000000000000001";
--fracR_uid112_fpHypotTest(BITSELECT,111)@22
fracR_uid112_fpHypotTest_in <= fracRPostInc_uid111_fpHypotTest_q(23 downto 0);
fracR_uid112_fpHypotTest_b <= fracR_uid112_fpHypotTest_in(23 downto 1);
--ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_inputreg(DELAY,627)
ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_inputreg : dspba_delay
GENERIC MAP ( width => 23, depth => 1 )
PORT MAP ( xin => fracR_uid112_fpHypotTest_b, xout => ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_inputreg_q, ena => en(0), clk => clk, aclr => areset );
--ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d(DELAY,401)@22
ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d : dspba_delay
GENERIC MAP ( width => 23, depth => 2 )
PORT MAP ( xin => ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_inputreg_q, xout => ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_q, ena => en(0), clk => clk, aclr => areset );
--fracRPostExc_uid136_fpHypotTest(MUX,135)@25
fracRPostExc_uid136_fpHypotTest_s <= outMuxSelEnc_uid132_fpHypotTest_q;
fracRPostExc_uid136_fpHypotTest: PROCESS (fracRPostExc_uid136_fpHypotTest_s, en, cstAllZWF_uid11_fpHypotTest_q, ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_q, cstAllZWF_uid11_fpHypotTest_q, NaNFracRPostExc_uid133_fpHypotTest_q)
BEGIN
CASE fracRPostExc_uid136_fpHypotTest_s IS
WHEN "00" => fracRPostExc_uid136_fpHypotTest_q <= cstAllZWF_uid11_fpHypotTest_q;
WHEN "01" => fracRPostExc_uid136_fpHypotTest_q <= ld_fracR_uid112_fpHypotTest_b_to_fracRPostExc_uid136_fpHypotTest_d_q;
WHEN "10" => fracRPostExc_uid136_fpHypotTest_q <= cstAllZWF_uid11_fpHypotTest_q;
WHEN "11" => fracRPostExc_uid136_fpHypotTest_q <= NaNFracRPostExc_uid133_fpHypotTest_q;
WHEN OTHERS => fracRPostExc_uid136_fpHypotTest_q <= (others => '0');
END CASE;
END PROCESS;
--RHypot_uid142_fpHypotTest(BITJOIN,141)@25
RHypot_uid142_fpHypotTest_q <= GND_q & expRPostExc_uid141_fpHypotTest_q & fracRPostExc_uid136_fpHypotTest_q;
--xOut(GPOUT,4)@25
q <= RHypot_uid142_fpHypotTest_q;
end normal;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_range_table1.vhd
|
10
|
66434
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_RANGE_TABLE1.VHD ***
--*** ***
--*** Function: Single Precision Range Reduction***
--*** Component ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_range_table1 IS
PORT (
address : IN STD_LOGIC_VECTOR (8 DOWNTO 1);
basefraction : OUT STD_LOGIC_VECTOR (36 DOWNTO 1);
incmantissa : OUT STD_LOGIC_VECTOR (56 DOWNTO 1);
incexponent : OUT STD_LOGIC_VECTOR (8 DOWNTO 1)
);
END fp_range_table1;
ARCHITECTURE rtl OF fp_range_table1 IS
BEGIN
pca: PROCESS (address)
BEGIN
CASE address IS
WHEN "01101110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(83443,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(42,8);
WHEN "01101111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(166886,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(41,8);
WHEN "01110000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(333772,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(40,8);
WHEN "01110001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(667544,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(39,8);
WHEN "01110010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(1335088,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(38,8);
WHEN "01110011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(2670177,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(37,8);
WHEN "01110100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(5340354,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(36,8);
WHEN "01110101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(10680707,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(35,8);
WHEN "01110110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(21361415,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(34,8);
WHEN "01110111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(42722830,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(33,8);
WHEN "01111000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(85445659,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(32,8);
WHEN "01111001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(170891319,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(31,8);
WHEN "01111010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(1,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(73347182,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(30,8);
WHEN "01111011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(2,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(146694364,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(29,8);
WHEN "01111100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(5,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(24953271,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(28,8);
WHEN "01111101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(10,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(49906542,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(27,8);
WHEN "01111110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(20,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(99813085,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(26,8);
WHEN "01111111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(40,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(199626169,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(25,8);
WHEN "10000000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(81,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(130816882,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891319,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(14297640,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(24,8);
WHEN "10000001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(162,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(261633765,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(23,8);
WHEN "10000010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(69,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(254832074,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(22,8);
WHEN "10000011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(139,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(241228692,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(21,8);
WHEN "10000100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(23,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(214021927,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(20,8);
WHEN "10000101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(47,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(159608398,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(241345352,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(19,8);
WHEN "10000110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(95,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(50781341,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(18,8);
WHEN "10000111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(190,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(101562681,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(237340088,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(17,8);
WHEN "10001000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(124,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(203125362,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(16,8);
WHEN "10001001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(249,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(137815268,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(15,8);
WHEN "10001010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(243,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(7195081,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(14,8);
WHEN "10001011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(230,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(14390161,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(13,8);
WHEN "10001100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(204,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(28780322,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(12,8);
WHEN "10001101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(152,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(57560644,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(11,8);
WHEN "10001110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(48,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(115121288,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(10,8);
WHEN "10001111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(96,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(230242576,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(9,8);
WHEN "10010000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(193,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(192049697,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240015480,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(8,8);
WHEN "10010001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(131,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(115663937,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(7,8);
WHEN "10010010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(6,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(231327875,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(6,8);
WHEN "10010011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(13,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(194220293,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(5,8);
WHEN "10010100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(27,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(120005131,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(4,8);
WHEN "10010101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(54,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(240010261,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "10010110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(109,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(211585066,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "10010111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(219,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(154734677,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10011000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(183,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(41033897,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(170891318,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(240010256,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10011001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(110,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(82067795,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(146694363,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(154734672,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10011010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(220,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(164135589,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(146694363,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(154734680,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10011011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(185,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(59835722,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(199626169,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(59835760,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "10011100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(114,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(119671445,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(199626169,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(59835712,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "10011101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(228,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(239342890,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(199626169,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(59835736,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10011110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(201,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(210250324,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(199626169,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(59835728,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10011111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(147,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(152065192,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(261633764,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(239342888,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10100000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(39,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(35694928,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(261633764,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(239342888,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10100001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(78,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(71389856,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(254832073,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(210250312,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10100010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(156,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(142779712,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(241228691,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(152065192,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10100011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(57,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(17123967,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(214021927,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(35694928,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10100100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(114,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(34247934,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(159608398,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(71389856,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10100101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(228,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(68495868,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(203125362,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(34247944,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "10100110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(200,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(136991736,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(203125362,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(34247920,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10100111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(145,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(5548017,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(203125362,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(34247944,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10101000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(34,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(11096033,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(137815268,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(68495872,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10101001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(68,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(22192066,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(230242576,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88768104,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(5,8);
WHEN "10101010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(136,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(44384133,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(230242576,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88768184,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(4,8);
WHEN "10101011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(16,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(88768266,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(230242576,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88768288,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "10101100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(32,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(177536532,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(230242576,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88768264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "10101101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(65,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(86637607,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(230242576,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88768248,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10101110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(130,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(173275215,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(230242576,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88768264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10101111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(5,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(78114973,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(192049696,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(177536536,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10110000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(10,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(156229947,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(231327874,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(173275224,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10110001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(21,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(44024437,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(231327874,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(173275224,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10110010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(42,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(88048875,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(194220293,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(78114968,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10110011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(84,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(176097750,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(240010261,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(44024424,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10110100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(169,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(83760044,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(240010261,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(44024440,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10110101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(82,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(167520088,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(211585066,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(88048872,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10110110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(165,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(66604720,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(154734676,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(176097752,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10110111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(74,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(133209439,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(164135589,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(66604720,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "10111000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(148,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(266418879,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(164135589,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(66604728,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10111001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(41,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(264402301,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(164135589,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(66604728,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10111010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(83,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(260369146,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(239342889,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(264402312,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "10111011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(167,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(252302836,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(239342889,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(264402288,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "10111100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(79,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(236170217,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(239342889,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(264402296,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10111101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(159,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(203904978,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(210250323,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(260369144,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10111110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(63,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(139374500,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(152065191,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(252302864,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "10111111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(127,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(10313544,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(142779711,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(139374496,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11000000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(254,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(20627088,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(142779711,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(139374496,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11000001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(252,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(41254175,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(142779711,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(139374496,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11000010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(248,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(82508351,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(136991736,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(82508384,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "11000011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(240,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(165016701,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(136991736,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(82508344,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11000100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(225,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(61597947,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(136991736,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(82508368,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11000101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(194,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(123195893,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(136991736,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(82508360,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11000110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(132,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(246391786,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177536531,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(180260912,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(5,8);
WHEN "11000111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(9,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(224348117,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177536531,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(180260792,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(4,8);
WHEN "11001000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(19,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(180260778,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177536531,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(180260792,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "11001001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(39,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(92086099,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177536531,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(180260792,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11001010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(78,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(184172199,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177536531,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(180260792,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11001011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(157,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(99908941,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177536531,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(180260776,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11001100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(58,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(199817882,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(173275214,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(184172200,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11001101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(117,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(131200309,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(173275214,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(184172200,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11001110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(234,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(262400618,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(156229946,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(199817896,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11001111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(213,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(256365779,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(156229946,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(199817880,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11010000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(171,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(244296103,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(176097749,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(256365776,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11010001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(87,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(220156750,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(176097749,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(256365792,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11010010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(175,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(171878044,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(176097749,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(256365776,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11010011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(95,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(75320631,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(167520087,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(220156768,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11010100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(190,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(150641263,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(167520087,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(220156760,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11010101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(125,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(32847070,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(266418878,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(150641264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11010110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(250,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(65694140,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(266418878,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(150641280,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11010111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(244,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(131388279,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(266418878,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(150641264,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(232,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(262776558,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(264402301,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(32847064,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(209,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(257117660,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(260369146,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(65694136,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(163,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(245799864,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(252302836,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(131388288,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(71,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(223164272,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(236170216,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(262776560,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(143,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(177893088,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(203904977,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(257117656,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(31,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(87350721,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(139374499,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(245799872,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11011110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(62,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(174701442,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(165016701,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(80967568,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(4,8);
WHEN "11011111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(125,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(80967427,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(165016701,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(80967448,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "11100000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(250,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(161934855,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(165016701,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(80967448,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11100001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(245,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(55434254,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(165016701,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(80967448,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11100010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(234,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(110868507,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(165016701,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(80967424,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11100011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(212,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(221737015,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(246391786,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(110868472,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11100100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(169,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(175038574,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(246391786,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(110868512,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11100101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(83,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(81641691,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(246391786,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(110868528,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11100110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(166,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(163283383,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(224348116,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(221737016,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11100111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(77,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(58131310,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(180260777,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(175038576,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11101000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(154,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(116262619,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(184172198,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(163283376,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11101001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(52,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(232525238,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(184172198,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(163283384,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11101010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(105,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(196615020,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(199817882,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(116262632,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11101011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(211,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(124794585,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(199817882,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(116262624,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11101100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(166,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(249589169,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(262400617,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(196615040,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11101101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(77,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(230742883,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(262400617,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(196615016,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11101110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(155,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(193050309,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(256365779,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(124794584,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11101111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(55,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(117665162,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(244296102,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(249589176,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11110000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(110,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(235330325,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(220156749,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(230742864,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11110001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(221,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(202225193,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(171878043,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(193050312,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11110010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(187,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(136014931,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(150641262,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(235330336,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11110011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(119,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(3594405,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(150641262,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(235330328,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11110100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(238,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(7188811,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(262776558,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(7188776,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(3,8);
WHEN "11110101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(220,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(14377622,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(262776558,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(7188816,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(2,8);
WHEN "11110110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(184,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(28755243,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(262776558,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(7188816,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11110111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(112,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(57510486,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(262776558,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(7188816,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11111000" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(224,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(115020973,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(257117660,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(14377624,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11111001" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(192,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(230041946,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(245799864,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(28755224,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11111010" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(129,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(191648435,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(223164272,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(57510496,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11111011" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(3,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(114861414,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(177893088,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(115020976,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11111100" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(6,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(229722829,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(174701441,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(191648432,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11111101" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(13,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(191010201,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(174701441,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(191648440,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN "11111110" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(27,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(113584946,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(161934854,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(229722832,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(1,8);
WHEN "11111111" => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(54,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(227169893,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(161934854,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(229722824,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
WHEN others => basefraction(36 DOWNTO 29) <= conv_std_logic_vector(0,8);
basefraction(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
incmantissa(56 DOWNTO 29) <= conv_std_logic_vector(0,28);
incmantissa(28 DOWNTO 1) <= conv_std_logic_vector(0,28);
incexponent(8 DOWNTO 1) <= conv_std_logic_vector(0,8);
END CASE;
END PROCESS;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_rsftcomb32.vhd
|
10
|
3786
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_RSFTCOMB32.VHD ***
--*** ***
--*** Function: Combinatorial arithmetic right ***
--*** shift for a 32 bit number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_rsftcomb32 IS
PORT (
inbus : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
shift : IN STD_LOGIC_VECTOR (5 DOWNTO 1);
outbus : OUT STD_LOGIC_VECTOR (32 DOWNTO 1)
);
END hcc_rsftcomb32;
ARCHITECTURE rtl OF hcc_rsftcomb32 IS
signal levzip, levone, levtwo, levthr : STD_LOGIC_VECTOR (32 DOWNTO 1);
BEGIN
levzip <= inbus;
-- shift by 0,1,2,3
gaa: FOR k IN 1 TO 29 GENERATE
levone(k) <= (levzip(k) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(k+1) AND NOT(shift(2)) AND shift(1)) OR
(levzip(k+2) AND shift(2) AND NOT(shift(1))) OR
(levzip(k+3) AND shift(2) AND shift(1));
END GENERATE;
levone(30) <= (levzip(30) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(31) AND NOT(shift(2)) AND shift(1)) OR
(levzip(32) AND shift(2));
levone(31) <= (levzip(31) AND NOT(shift(2)) AND NOT(shift(1))) OR
(levzip(32) AND ((shift(2)) OR shift(1)));
levone(32) <= levzip(32);
-- shift by 0,4,8,12
gba: FOR k IN 1 TO 20 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k+8) AND shift(4) AND NOT(shift(3))) OR
(levone(k+12) AND shift(4) AND shift(3));
END GENERATE;
gbb: FOR k IN 21 TO 24 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(k+8) AND shift(4) AND NOT(shift(3))) OR
(levone(32) AND shift(4) AND shift(3));
END GENERATE;
gbc: FOR k IN 25 TO 28 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(k+4) AND NOT(shift(4)) AND shift(3)) OR
(levone(32) AND shift(4));
END GENERATE;
gbd: FOR k IN 29 TO 31 GENERATE
levtwo(k) <= (levone(k) AND NOT(shift(4)) AND NOT(shift(3))) OR
(levone(32) AND (shift(4) OR shift(3)));
END GENERATE;
levtwo(32) <= levone(32);
gca: FOR k IN 1 TO 16 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(5))) OR
(levtwo(k+16) AND shift(5));
END GENERATE;
gcb: FOR k IN 17 TO 31 GENERATE
levthr(k) <= (levtwo(k) AND NOT(shift(5))) OR
(levtwo(32) AND shift(5));
END GENERATE;
levthr(32) <= levtwo(32);
outbus <= levthr;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_lnlutpow.vhd
|
10
|
19605
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_LNLUTPOW.VHD ***
--*** ***
--*** Function: Look Up Table - LN() ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 22/02/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_lnlutpow IS
PORT (
add : IN STD_LOGIC_VECTOR (7 DOWNTO 1);
logman : OUT STD_LOGIC_VECTOR (23 DOWNTO 1);
logexp : OUT STD_LOGIC_VECTOR (8 DOWNTO 1)
);
END fp_lnlutpow;
ARCHITECTURE rtl OF fp_lnlutpow IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "0000000" =>
logman <= conv_std_logic_vector(0,23);
logexp <= conv_std_logic_vector(0,8);
WHEN "0000001" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(126,8);
WHEN "0000010" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(127,8);
WHEN "0000011" =>
logman <= conv_std_logic_vector(333202,23);
logexp <= conv_std_logic_vector(128,8);
WHEN "0000100" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(128,8);
WHEN "0000101" =>
logman <= conv_std_logic_vector(6147742,23);
logexp <= conv_std_logic_vector(128,8);
WHEN "0000110" =>
logman <= conv_std_logic_vector(333202,23);
logexp <= conv_std_logic_vector(129,8);
WHEN "0000111" =>
logman <= conv_std_logic_vector(1786837,23);
logexp <= conv_std_logic_vector(129,8);
WHEN "0001000" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(129,8);
WHEN "0001001" =>
logman <= conv_std_logic_vector(4694107,23);
logexp <= conv_std_logic_vector(129,8);
WHEN "0001010" =>
logman <= conv_std_logic_vector(6147742,23);
logexp <= conv_std_logic_vector(129,8);
WHEN "0001011" =>
logman <= conv_std_logic_vector(7601377,23);
logexp <= conv_std_logic_vector(129,8);
WHEN "0001100" =>
logman <= conv_std_logic_vector(333202,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0001101" =>
logman <= conv_std_logic_vector(1060019,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0001110" =>
logman <= conv_std_logic_vector(1786837,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0001111" =>
logman <= conv_std_logic_vector(2513654,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010000" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010001" =>
logman <= conv_std_logic_vector(3967289,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010010" =>
logman <= conv_std_logic_vector(4694107,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010011" =>
logman <= conv_std_logic_vector(5420924,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010100" =>
logman <= conv_std_logic_vector(6147742,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010101" =>
logman <= conv_std_logic_vector(6874559,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010110" =>
logman <= conv_std_logic_vector(7601377,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0010111" =>
logman <= conv_std_logic_vector(8328194,23);
logexp <= conv_std_logic_vector(130,8);
WHEN "0011000" =>
logman <= conv_std_logic_vector(333202,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011001" =>
logman <= conv_std_logic_vector(696611,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011010" =>
logman <= conv_std_logic_vector(1060019,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011011" =>
logman <= conv_std_logic_vector(1423428,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011100" =>
logman <= conv_std_logic_vector(1786837,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011101" =>
logman <= conv_std_logic_vector(2150246,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011110" =>
logman <= conv_std_logic_vector(2513654,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0011111" =>
logman <= conv_std_logic_vector(2877063,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100000" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100001" =>
logman <= conv_std_logic_vector(3603881,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100010" =>
logman <= conv_std_logic_vector(3967289,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100011" =>
logman <= conv_std_logic_vector(4330698,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100100" =>
logman <= conv_std_logic_vector(4694107,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100101" =>
logman <= conv_std_logic_vector(5057516,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100110" =>
logman <= conv_std_logic_vector(5420924,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0100111" =>
logman <= conv_std_logic_vector(5784333,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101000" =>
logman <= conv_std_logic_vector(6147742,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101001" =>
logman <= conv_std_logic_vector(6511151,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101010" =>
logman <= conv_std_logic_vector(6874559,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101011" =>
logman <= conv_std_logic_vector(7237968,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101100" =>
logman <= conv_std_logic_vector(7601377,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101101" =>
logman <= conv_std_logic_vector(7964786,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101110" =>
logman <= conv_std_logic_vector(8328194,23);
logexp <= conv_std_logic_vector(131,8);
WHEN "0101111" =>
logman <= conv_std_logic_vector(151498,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110000" =>
logman <= conv_std_logic_vector(333202,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110001" =>
logman <= conv_std_logic_vector(514906,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110010" =>
logman <= conv_std_logic_vector(696611,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110011" =>
logman <= conv_std_logic_vector(878315,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110100" =>
logman <= conv_std_logic_vector(1060019,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110101" =>
logman <= conv_std_logic_vector(1241724,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110110" =>
logman <= conv_std_logic_vector(1423428,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0110111" =>
logman <= conv_std_logic_vector(1605133,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111000" =>
logman <= conv_std_logic_vector(1786837,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111001" =>
logman <= conv_std_logic_vector(1968541,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111010" =>
logman <= conv_std_logic_vector(2150246,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111011" =>
logman <= conv_std_logic_vector(2331950,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111100" =>
logman <= conv_std_logic_vector(2513654,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111101" =>
logman <= conv_std_logic_vector(2695359,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111110" =>
logman <= conv_std_logic_vector(2877063,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "0111111" =>
logman <= conv_std_logic_vector(3058768,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000000" =>
logman <= conv_std_logic_vector(3240472,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000001" =>
logman <= conv_std_logic_vector(3422176,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000010" =>
logman <= conv_std_logic_vector(3603881,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000011" =>
logman <= conv_std_logic_vector(3785585,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000100" =>
logman <= conv_std_logic_vector(3967289,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000101" =>
logman <= conv_std_logic_vector(4148994,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000110" =>
logman <= conv_std_logic_vector(4330698,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1000111" =>
logman <= conv_std_logic_vector(4512403,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001000" =>
logman <= conv_std_logic_vector(4694107,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001001" =>
logman <= conv_std_logic_vector(4875811,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001010" =>
logman <= conv_std_logic_vector(5057516,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001011" =>
logman <= conv_std_logic_vector(5239220,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001100" =>
logman <= conv_std_logic_vector(5420924,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001101" =>
logman <= conv_std_logic_vector(5602629,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001110" =>
logman <= conv_std_logic_vector(5784333,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1001111" =>
logman <= conv_std_logic_vector(5966038,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010000" =>
logman <= conv_std_logic_vector(6147742,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010001" =>
logman <= conv_std_logic_vector(6329446,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010010" =>
logman <= conv_std_logic_vector(6511151,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010011" =>
logman <= conv_std_logic_vector(6692855,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010100" =>
logman <= conv_std_logic_vector(6874559,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010101" =>
logman <= conv_std_logic_vector(7056264,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010110" =>
logman <= conv_std_logic_vector(7237968,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1010111" =>
logman <= conv_std_logic_vector(7419673,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1011000" =>
logman <= conv_std_logic_vector(7601377,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1011001" =>
logman <= conv_std_logic_vector(7783081,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1011010" =>
logman <= conv_std_logic_vector(7964786,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1011011" =>
logman <= conv_std_logic_vector(8146490,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1011100" =>
logman <= conv_std_logic_vector(8328194,23);
logexp <= conv_std_logic_vector(132,8);
WHEN "1011101" =>
logman <= conv_std_logic_vector(60645,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1011110" =>
logman <= conv_std_logic_vector(151498,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1011111" =>
logman <= conv_std_logic_vector(242350,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100000" =>
logman <= conv_std_logic_vector(333202,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100001" =>
logman <= conv_std_logic_vector(424054,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100010" =>
logman <= conv_std_logic_vector(514906,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100011" =>
logman <= conv_std_logic_vector(605759,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100100" =>
logman <= conv_std_logic_vector(696611,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100101" =>
logman <= conv_std_logic_vector(787463,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100110" =>
logman <= conv_std_logic_vector(878315,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1100111" =>
logman <= conv_std_logic_vector(969167,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101000" =>
logman <= conv_std_logic_vector(1060019,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101001" =>
logman <= conv_std_logic_vector(1150872,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101010" =>
logman <= conv_std_logic_vector(1241724,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101011" =>
logman <= conv_std_logic_vector(1332576,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101100" =>
logman <= conv_std_logic_vector(1423428,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101101" =>
logman <= conv_std_logic_vector(1514280,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101110" =>
logman <= conv_std_logic_vector(1605133,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1101111" =>
logman <= conv_std_logic_vector(1695985,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110000" =>
logman <= conv_std_logic_vector(1786837,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110001" =>
logman <= conv_std_logic_vector(1877689,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110010" =>
logman <= conv_std_logic_vector(1968541,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110011" =>
logman <= conv_std_logic_vector(2059394,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110100" =>
logman <= conv_std_logic_vector(2150246,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110101" =>
logman <= conv_std_logic_vector(2241098,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110110" =>
logman <= conv_std_logic_vector(2331950,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1110111" =>
logman <= conv_std_logic_vector(2422802,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111000" =>
logman <= conv_std_logic_vector(2513654,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111001" =>
logman <= conv_std_logic_vector(2604507,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111010" =>
logman <= conv_std_logic_vector(2695359,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111011" =>
logman <= conv_std_logic_vector(2786211,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111100" =>
logman <= conv_std_logic_vector(2877063,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111101" =>
logman <= conv_std_logic_vector(2967915,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111110" =>
logman <= conv_std_logic_vector(3058768,23);
logexp <= conv_std_logic_vector(133,8);
WHEN "1111111" =>
logman <= conv_std_logic_vector(3149620,23);
logexp <= conv_std_logic_vector(133,8);
WHEN others =>
logman <= conv_std_logic_vector(0,23);
logexp <= conv_std_logic_vector(0,8);
END CASE;
END PROCESS;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/hcc_castftox.vhd
|
10
|
6574
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_CASTFTOX.VHD ***
--*** ***
--*** Function: Cast IEEE754 Single to Internal ***
--*** Single ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 06/02/08 - divider mantissa aa to aaff ***
--*** 13/07/09 - if zip, then zero '1' in frac ***
--*** ***
--*** ***
--***************************************************
ENTITY hcc_castftox IS
GENERIC (
target : integer := 1; -- 0 (internal), 1 (multiplier), 2 (divider)
roundconvert : integer := 1; -- global switch - round all ieee<=>x conversion when '1'
mantissa : positive := 32;
outputpipe : integer := 1 -- 0 no pipe, 1 output always registered
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (32 DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip : OUT STD_LOGIC
);
END hcc_castftox;
ARCHITECTURE rtl OF hcc_castftox IS
signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1);
signal aaff : STD_LOGIC_VECTOR (32 DOWNTO 1);
signal ccff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
signal fracnode, fractional : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal expnode, exponent : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal satnode, zipnode : STD_LOGIC;
signal satff, zipff : STD_LOGIC;
BEGIN
-- ieee754: sign (32), 8 exponent (31:24), 23 mantissa (23:1)
-- x format: (signx5,!sign,mantissa XOR sign, sign(xx.xx)), exponent(10:1)
-- multiplier : (SIGN)('1')(23:1)sign(xx.xx), exponent(10:1)
-- divider : "01"(23:1) (00..00),exponent(10:1) (lower mantissa bits ignored by fpdiv1x)
gza: IF (roundconvert = 1) GENERATE
gza: FOR k IN 1 TO mantissa-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
END GENERATE;
pca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO 32 LOOP
aaff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
END IF;
END IF;
END PROCESS;
gro: IF ((target = 0 AND outputpipe = 1) OR
(target = 1 AND outputpipe = 1)) GENERATE
pca: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa+10 LOOP
ccff(k) <= '0';
END LOOP;
satff <= '0';
zipff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
ccff <= fractional & exponent;
satff <= satnode;
zipff <= zipnode;
END IF;
END IF;
END PROCESS;
END GENERATE;
-- if exponent = 255 => saturate, if 0 => 0
satnode <= aaff(31) AND aaff(30) AND aaff(29) AND aaff(28) AND
aaff(27) AND aaff(26) AND aaff(25) AND aaff(24);
zipnode <= NOT(aaff(31) OR aaff(30) OR aaff(29) OR aaff(28) OR
aaff(27) OR aaff(26) OR aaff(25) OR aaff(24));
gexpa: FOR k IN 1 TO 8 GENERATE
expnode(k) <= (aaff(k+23) OR satnode) AND NOT(zipnode);
END GENERATE;
expnode(9) <= satnode;
expnode(10) <= '0';
--*** internal format ***
gxa: IF (target = 0) GENERATE
fracnode(mantissa) <= aaff(32);
fracnode(mantissa-1) <= aaff(32);
fracnode(mantissa-2) <= aaff(32);
fracnode(mantissa-3) <= aaff(32);
fracnode(mantissa-4) <= aaff(32);
--fracnode(mantissa-5) <= NOT(aaff(32)); -- '1' XOR sign
-- 13/07/09
fracnode(mantissa-5) <= aaff(32) XOR NOT(zipnode); -- '1' XOR sign
gxb: FOR k IN 1 TO 23 GENERATE
fracnode(mantissa-29+k)<= (aaff(k) XOR aaff(32));
END GENERATE;
gxc: FOR k IN 1 TO mantissa-29 GENERATE
fracnode(k)<= aaff(32); -- '0' XOR sign
END GENERATE;
gxd: IF (roundconvert = 0) GENERATE
fractional <= fracnode;
END GENERATE;
gxe: IF (roundconvert = 1) GENERATE
fractional <= fracnode + (zerovec(mantissa-1) & aaff(32));
END GENERATE;
exponent <= expnode;
END GENERATE;
--*** direct to multiplier ***
gma: IF (target = 1) GENERATE
fracnode(mantissa) <= aaff(32);
--fracnode(mantissa-1) <= NOT(aaff(32)); -- '1' XOR sign
-- 13/07/09
fracnode(mantissa-1) <= aaff(32) XOR NOT(zipnode);
gmb: FOR k IN 1 TO 23 GENERATE
fracnode(mantissa-25+k)<= (aaff(k) XOR aaff(32));
END GENERATE;
gmc: FOR k IN 1 TO mantissa-25 GENERATE
fracnode(k)<= aaff(32); -- '0' XOR sign
END GENERATE;
gmd: IF (roundconvert = 0) GENERATE
fractional <= fracnode;
END GENERATE;
gme: IF (roundconvert = 1) GENERATE
fractional <= fracnode + (zerovec(mantissa-1) & aaff(32));
END GENERATE;
--***??? adjust ???
exponent <= expnode;
END GENERATE;
-- never register output
--*** direct to divider ***
gda: IF (target = 2) GENERATE
fracnode(mantissa) <= aaff(32);
-- 13/07/09
fracnode(mantissa-1) <= '1' AND NOT(zipnode);
fracnode(mantissa-2 DOWNTO mantissa-24)<= aaff(23 DOWNTO 1);
gfb: FOR k IN 1 TO mantissa-25 GENERATE
fracnode(k)<= '0';
END GENERATE;
fractional <= fracnode;
--***??? adjust ???
exponent <= expnode;
END GENERATE;
--*** OUTPUTS ***
goa: IF ((target = 0 AND outputpipe = 1) OR
(target = 1 AND outputpipe = 1)) GENERATE
cc <= ccff;
ccsat <= satff;
cczip <= zipff;
END GENERATE;
gob: IF ((target = 0 AND outputpipe = 0) OR
(target = 1 AND outputpipe = 0) OR
(target = 2)) GENERATE
cc <= fractional & exponent;
ccsat <= satnode;
cczip <= zipnode;
END GENERATE;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_del.vhd
|
10
|
3579
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_DEL.VHD ***
--*** ***
--*** Function: Generic Bus Delay ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_del IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_del;
ARCHITECTURE rtl OF fp_del IS
component fp_del_one IS
GENERIC (width : positive := 64);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
component fp_del_var IS
GENERIC (
width : positive := 64;
pipes : positive := 1
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (width DOWNTO 1);
cc : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
end component;
BEGIN
genone: IF (pipes = 1) GENERATE
delone: fp_del_one
GENERIC MAP (width=>width)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,cc=>cc);
END GENERATE;
genvar: IF (pipes > 1) GENERATE
delvar: fp_del_var
GENERIC MAP (width=>width,pipes=>pipes)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
aa=>aa,cc=>cc);
END GENERATE;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/hcc_normfp1x_sv.vhd
|
10
|
12224
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** ALTERA FLOATING POINT DATAPATH COMPILER ***
--*** ***
--*** HCC_NORMFP1X.VHD ***
--*** ***
--*** Function: Normalize single precision ***
--*** number ***
--*** ***
--*** 14/07/07 ML ***
--*** ***
--*** (c) 2007 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** 28/12/07 - divider target uses all of ***
--*** mantissa width ***
--*** 06/02/08 - fix divider norm ***
--*** 21/03/08 - fix add tree output norm ***
--*** 16/04/09 - add NAN support ***
--*** 08/11/10 - +0,-0 mantissa case ***
--*** ***
--***************************************************
--***************************************************
--*** LATENCY : ***
--***************************************************
--***************************************************
--*** NOTES: ***
--*** normalize signed numbers (x input format) ***
--*** for 1x multipliers ***
--*** format signed32/36 bit mantissa and 10 bit ***
--*** exponent ***
--*** unsigned numbers for divider (S,1,23 bit ***
--*** mantissa for divider) divider packed into ***
--*** 32/36bit mantissa + exponent ***
--***************************************************
ENTITY hcc_normfp1x IS
GENERIC (
mantissa : positive := 32; -- 32 or 36
inputnormalize : integer := 1; -- 0 = scale, 1 = normalize
roundnormalize : integer := 1;
normspeed : positive := 2; -- 1 or 2
target : integer := 0 -- 0 = mult target (signed), 1 = divider target (unsigned), 2 adder tree
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
aasat, aazip, aanan : IN STD_LOGIC;
cc : OUT STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
ccsat, cczip, ccnan : OUT STD_LOGIC
);
END hcc_normfp1x;
ARCHITECTURE rtl OF hcc_normfp1x IS
type expfftype IS ARRAY (2 DOWNTO 1) OF STD_LOGIC_VECTOR (10 DOWNTO 1);
signal aaff : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
signal ccnode : STD_LOGIC_VECTOR (mantissa+10 DOWNTO 1);
-- scale
signal aasatff, aazipff, aananff : STD_LOGIC;
signal countaa : STD_LOGIC_VECTOR (3 DOWNTO 1);
-- normalize
signal zerovec : STD_LOGIC_VECTOR (mantissa-1 DOWNTO 1);
signal normfracnode, normnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal normfracff, normff : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal countadjust : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal exptopff, expbotff : expfftype;
signal maximumnumberff : STD_LOGIC;
signal zeroexponent, zeroexponentff : STD_LOGIC;
signal exponentmiddle : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal aasatdelff, aazipdelff, aanandelff : STD_LOGIC_VECTOR (5 DOWNTO 1);
signal countsign : STD_LOGIC_VECTOR (6 DOWNTO 1);
signal normsignnode : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
signal aaexp, ccexp : STD_LOGIC_VECTOR (10 DOWNTO 1);
signal aaman, ccman : STD_LOGIC_VECTOR (mantissa DOWNTO 1);
component hcc_normsgn3236
GENERIC (
mantissa : positive := 32;
normspeed : positive := 1 -- 1 or 2
);
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
fracin : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1);
countout : OUT STD_LOGIC_VECTOR (6 DOWNTO 1);
fracout : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1)
);
end component;
component hcc_scmul3236
GENERIC (mantissa : positive := 32);
PORT (
frac : IN STD_LOGIC_VECTOR (mantissa DOWNTO 1);
scaled : OUT STD_LOGIC_VECTOR (mantissa DOWNTO 1);
count : OUT STD_LOGIC_VECTOR (3 DOWNTO 1)
);
end component;
BEGIN
--********************************************************
--*** scale multiplier ***
--*** multiplier format [S][1][mantissa....] ***
--*** one clock latency ***
--********************************************************
-- make sure right format & adjust exponent
gsa: IF (inputnormalize = 0) GENERATE
psa: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa+10 LOOP
aaff(k) <= '0';
END LOOP;
aasatff <= '0';
aazipff <= '0';
aananff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
aasatff <= aasat;
aazipff <= aazip;
aananff <= aanan;
END IF;
END IF;
END PROCESS;
-- no rounding when scaling
sma: hcc_scmul3236
GENERIC MAP (mantissa=>mantissa)
PORT MAP (frac=>aaff(mantissa+10 DOWNTO 11),
scaled=>ccnode(mantissa+10 DOWNTO 11),count=>countaa);
ccnode(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + ("0000000" & countaa);
cc <= ccnode;
ccsat <= aasatff;
cczip <= aazipff;
ccnan <= aananff;
END GENERATE;
--********************************************************
--*** full normalization of input - 4 stages ***
--*** unlike double, no round required on output, as ***
--*** no information lost ***
--********************************************************
gna: IF (inputnormalize = 1) GENERATE -- normalize
gza: FOR k IN 1 TO mantissa-1 GENERATE
zerovec(k) <= '0';
END GENERATE;
-- if multiplier, "1" which is nominally in position 27, is shifted to position 31
-- add 4 to exponent when multiplier, 0 for adder
gxa: IF (target < 2) GENERATE
countadjust <= conv_std_logic_vector (4,10);
END GENERATE;
gxb: IF (target = 2) GENERATE
countadjust <= conv_std_logic_vector (4,10);
END GENERATE;
pna: PROCESS (sysclk, reset)
BEGIN
IF (reset = '1') THEN
FOR k IN 1 TO mantissa+10 LOOP
aaff(k) <= '0';
END LOOP;
FOR k IN 1 TO mantissa LOOP
normfracff(k) <= '0';
normff(k) <= '0';
END LOOP;
FOR k IN 1 TO 10 LOOP
exptopff(1)(k) <= '0';
exptopff(2)(k) <= '0';
expbotff(1)(k) <= '0';
expbotff(2)(k) <= '0';
END LOOP;
maximumnumberff <= '0';
zeroexponentff <= '0';
FOR k IN 1 TO 5 LOOP
aasatdelff(k) <= '0';
aazipdelff(k) <= '0';
aanandelff(k) <= '0';
END LOOP;
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
aaff <= aa;
normfracff <= normfracnode;
--might not get used
normff <= normnode;
exptopff(1)(10 DOWNTO 1) <= aaff(10 DOWNTO 1) + countadjust;
exptopff(2)(10 DOWNTO 1) <= exptopff(1)(10 DOWNTO 1) - ("0000" & countsign);
--might not get used
expbotff(1)(10 DOWNTO 1) <= exponentmiddle;
expbotff(2)(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1);
-- 08/11/09
maximumnumberff <= aaff(mantissa+10) XOR aaff(mantissa+9);
zeroexponentff <= zeroexponent;
aasatdelff(1) <= aasat;
aazipdelff(1) <= aazip;
aanandelff(1) <= aanan;
FOR k IN 2 TO 5 LOOP -- 4&5 might not get used
aasatdelff(k) <= aasatdelff(k-1);
aazipdelff(k) <= aazipdelff(k-1);
aanandelff(k) <= aanandelff(k-1);
END LOOP;
END IF;
END IF;
END PROCESS;
nrmc: hcc_normsgn3236
GENERIC MAP (mantissa=>mantissa,normspeed=>normspeed)
PORT MAP (sysclk=>sysclk,reset=>reset,enable=>enable,
fracin=>aaff(mantissa+10 DOWNTO 11),
countout=>countsign, -- stage 1 or 2
fracout=>normfracnode); -- stage 2 or 3
-- 08/11/10 - also where exponentmiddle is used
-- '1' if true : if countsign 0, then "111...111" (-0) or "000...000" (+0) case, zero exponent output
zeroexponent <= NOT(countsign(6) OR countsign(5) OR countsign(4) OR
countsign(3) OR countsign(2) OR countsign(1) OR maximumnumberff);
gen_exp_mid: FOR k IN 1 TO 10 GENERATE
exponentmiddle(k) <= exptopff(2)(k) AND NOT(zeroexponentff);
END GENERATE;
gnb: IF (target = 1) GENERATE
gnc: FOR k IN 1 TO mantissa GENERATE
normsignnode(k) <= normfracff(k) XOR normfracff(mantissa);
END GENERATE;
normnode(mantissa-1 DOWNTO 1) <= normsignnode(mantissa-1 DOWNTO 1) +
(zerovec(mantissa-2 DOWNTO 1) & normfracff(mantissa));
-- 06/02/08 make sure signbit is packed with the mantissa
normnode(mantissa) <= normfracff(mantissa);
--*** OUTPUTS ***
ccnode(mantissa+10 DOWNTO 11) <= normff;
ccnode(10 DOWNTO 1) <= expbotff(normspeed)(10 DOWNTO 1);
ccsat <= aasatdelff(3+normspeed);
cczip <= aazipdelff(3+normspeed);
ccnan <= aanandelff(3+normspeed);
END GENERATE;
gnc: IF (target = 0) GENERATE
--*** OUTPUTS ***
ccnode(mantissa+10 DOWNTO 11) <= normfracff;
gma: IF (normspeed = 1) GENERATE
ccnode(10 DOWNTO 1) <= exponentmiddle;
END GENERATE;
gmb: IF (normspeed > 1) GENERATE
ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1);
END GENERATE;
ccsat <= aasatdelff(2+normspeed);
cczip <= aazipdelff(2+normspeed);
ccnan <= aanandelff(2+normspeed);
END GENERATE;
gnd: IF (target = 2) GENERATE
gaa: IF (roundnormalize = 1) GENERATE
normnode <= (normfracff(mantissa) & normfracff(mantissa) &
normfracff(mantissa) & normfracff(mantissa) &
normfracff(mantissa DOWNTO 5)) +
(zerovec(mantissa-1 DOWNTO 1) & normfracff(4));
END GENERATE;
--*** OUTPUTS ***
gab: IF (roundnormalize = 0) GENERATE -- 21/03/08 fixed this to SSSSS1XXXXX
ccnode(mantissa+10 DOWNTO 11) <= normfracff(mantissa) & normfracff(mantissa) &
normfracff(mantissa) & normfracff(mantissa) &
normfracff(mantissa DOWNTO 5);
END GENERATE;
gac: IF (roundnormalize = 1) GENERATE
ccnode(mantissa+10 DOWNTO 11) <= normff;
END GENERATE;
gad: IF (normspeed = 1 AND roundnormalize = 0) GENERATE
ccnode(10 DOWNTO 1) <= exponentmiddle;
END GENERATE;
gae: IF ((normspeed = 2 AND roundnormalize = 0) OR
(normspeed = 1 AND roundnormalize = 1)) GENERATE
ccnode(10 DOWNTO 1) <= expbotff(1)(10 DOWNTO 1);
END GENERATE;
gaf: IF (normspeed = 2 AND roundnormalize = 1) GENERATE
ccnode(10 DOWNTO 1) <= expbotff(2)(10 DOWNTO 1);
END GENERATE;
ccsat <= aasatdelff(2+normspeed+roundnormalize);
cczip <= aazipdelff(2+normspeed+roundnormalize);
ccnan <= aanandelff(2+normspeed+roundnormalize);
END GENERATE;
cc <= ccnode;
END GENERATE;
--*** DEBUG ***
aaexp <= aa(10 DOWNTO 1);
aaman <= aa(mantissa+10 DOWNTO 11);
ccexp <= ccnode(10 DOWNTO 1);
ccman <= ccnode(mantissa+10 DOWNTO 11);
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_delbit_one.vhd
|
10
|
1709
|
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_DELBIT_ONE.VHD ***
--*** ***
--*** Function: Single Bit Delay ***
--*** ***
--*** 01/12/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_delbit_one IS
PORT (
sysclk : IN STD_LOGIC;
reset : IN STD_LOGIC;
enable : IN STD_LOGIC;
aa : IN STD_LOGIC;
cc : OUT STD_LOGIC
);
END fp_delbit_one ;
ARCHITECTURE rtl OF fp_delbit_one IS
signal delff : STD_LOGIC;
BEGIN
pda: PROCESS (sysclk,reset)
BEGIN
IF (reset = '1') THEN
delff <= '0';
ELSIF (rising_edge(sysclk)) THEN
IF (enable = '1') THEN
delff <= aa;
END IF;
END IF;
END PROCESS;
cc <= delff;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
Erosion/ip/Erosion/fp_div_lut0.vhd
|
10
|
37328
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_DIV_LUT0.VHD ***
--*** ***
--*** Function: Look Up Table - Inverse ***
--*** ***
--*** Generated by MATLAB Utility ***
--*** ***
--*** 31/01/08 ML ***
--*** ***
--*** (c) 2008 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_div_lut0 IS
PORT (
add : IN STD_LOGIC_VECTOR (9 DOWNTO 1);
data : OUT STD_LOGIC_VECTOR (20 DOWNTO 1)
);
END fp_div_lut0;
ARCHITECTURE rtl OF fp_div_lut0 IS
BEGIN
pca: PROCESS (add)
BEGIN
CASE add IS
WHEN "000000000" => data <= conv_std_logic_vector(1048575,20);
WHEN "000000001" => data <= conv_std_logic_vector(1046531,20);
WHEN "000000010" => data <= conv_std_logic_vector(1044495,20);
WHEN "000000011" => data <= conv_std_logic_vector(1042467,20);
WHEN "000000100" => data <= conv_std_logic_vector(1040447,20);
WHEN "000000101" => data <= conv_std_logic_vector(1038434,20);
WHEN "000000110" => data <= conv_std_logic_vector(1036429,20);
WHEN "000000111" => data <= conv_std_logic_vector(1034432,20);
WHEN "000001000" => data <= conv_std_logic_vector(1032443,20);
WHEN "000001001" => data <= conv_std_logic_vector(1030461,20);
WHEN "000001010" => data <= conv_std_logic_vector(1028487,20);
WHEN "000001011" => data <= conv_std_logic_vector(1026521,20);
WHEN "000001100" => data <= conv_std_logic_vector(1024562,20);
WHEN "000001101" => data <= conv_std_logic_vector(1022610,20);
WHEN "000001110" => data <= conv_std_logic_vector(1020666,20);
WHEN "000001111" => data <= conv_std_logic_vector(1018729,20);
WHEN "000010000" => data <= conv_std_logic_vector(1016800,20);
WHEN "000010001" => data <= conv_std_logic_vector(1014878,20);
WHEN "000010010" => data <= conv_std_logic_vector(1012963,20);
WHEN "000010011" => data <= conv_std_logic_vector(1011055,20);
WHEN "000010100" => data <= conv_std_logic_vector(1009155,20);
WHEN "000010101" => data <= conv_std_logic_vector(1007262,20);
WHEN "000010110" => data <= conv_std_logic_vector(1005375,20);
WHEN "000010111" => data <= conv_std_logic_vector(1003496,20);
WHEN "000011000" => data <= conv_std_logic_vector(1001624,20);
WHEN "000011001" => data <= conv_std_logic_vector(999759,20);
WHEN "000011010" => data <= conv_std_logic_vector(997900,20);
WHEN "000011011" => data <= conv_std_logic_vector(996049,20);
WHEN "000011100" => data <= conv_std_logic_vector(994205,20);
WHEN "000011101" => data <= conv_std_logic_vector(992367,20);
WHEN "000011110" => data <= conv_std_logic_vector(990536,20);
WHEN "000011111" => data <= conv_std_logic_vector(988712,20);
WHEN "000100000" => data <= conv_std_logic_vector(986894,20);
WHEN "000100001" => data <= conv_std_logic_vector(985083,20);
WHEN "000100010" => data <= conv_std_logic_vector(983279,20);
WHEN "000100011" => data <= conv_std_logic_vector(981482,20);
WHEN "000100100" => data <= conv_std_logic_vector(979691,20);
WHEN "000100101" => data <= conv_std_logic_vector(977906,20);
WHEN "000100110" => data <= conv_std_logic_vector(976128,20);
WHEN "000100111" => data <= conv_std_logic_vector(974357,20);
WHEN "000101000" => data <= conv_std_logic_vector(972591,20);
WHEN "000101001" => data <= conv_std_logic_vector(970833,20);
WHEN "000101010" => data <= conv_std_logic_vector(969080,20);
WHEN "000101011" => data <= conv_std_logic_vector(967334,20);
WHEN "000101100" => data <= conv_std_logic_vector(965594,20);
WHEN "000101101" => data <= conv_std_logic_vector(963861,20);
WHEN "000101110" => data <= conv_std_logic_vector(962133,20);
WHEN "000101111" => data <= conv_std_logic_vector(960412,20);
WHEN "000110000" => data <= conv_std_logic_vector(958697,20);
WHEN "000110001" => data <= conv_std_logic_vector(956988,20);
WHEN "000110010" => data <= conv_std_logic_vector(955286,20);
WHEN "000110011" => data <= conv_std_logic_vector(953589,20);
WHEN "000110100" => data <= conv_std_logic_vector(951898,20);
WHEN "000110101" => data <= conv_std_logic_vector(950213,20);
WHEN "000110110" => data <= conv_std_logic_vector(948534,20);
WHEN "000110111" => data <= conv_std_logic_vector(946862,20);
WHEN "000111000" => data <= conv_std_logic_vector(945195,20);
WHEN "000111001" => data <= conv_std_logic_vector(943533,20);
WHEN "000111010" => data <= conv_std_logic_vector(941878,20);
WHEN "000111011" => data <= conv_std_logic_vector(940229,20);
WHEN "000111100" => data <= conv_std_logic_vector(938585,20);
WHEN "000111101" => data <= conv_std_logic_vector(936947,20);
WHEN "000111110" => data <= conv_std_logic_vector(935314,20);
WHEN "000111111" => data <= conv_std_logic_vector(933688,20);
WHEN "001000000" => data <= conv_std_logic_vector(932067,20);
WHEN "001000001" => data <= conv_std_logic_vector(930451,20);
WHEN "001000010" => data <= conv_std_logic_vector(928842,20);
WHEN "001000011" => data <= conv_std_logic_vector(927237,20);
WHEN "001000100" => data <= conv_std_logic_vector(925639,20);
WHEN "001000101" => data <= conv_std_logic_vector(924046,20);
WHEN "001000110" => data <= conv_std_logic_vector(922458,20);
WHEN "001000111" => data <= conv_std_logic_vector(920876,20);
WHEN "001001000" => data <= conv_std_logic_vector(919299,20);
WHEN "001001001" => data <= conv_std_logic_vector(917727,20);
WHEN "001001010" => data <= conv_std_logic_vector(916161,20);
WHEN "001001011" => data <= conv_std_logic_vector(914601,20);
WHEN "001001100" => data <= conv_std_logic_vector(913045,20);
WHEN "001001101" => data <= conv_std_logic_vector(911495,20);
WHEN "001001110" => data <= conv_std_logic_vector(909950,20);
WHEN "001001111" => data <= conv_std_logic_vector(908410,20);
WHEN "001010000" => data <= conv_std_logic_vector(906876,20);
WHEN "001010001" => data <= conv_std_logic_vector(905347,20);
WHEN "001010010" => data <= conv_std_logic_vector(903822,20);
WHEN "001010011" => data <= conv_std_logic_vector(902303,20);
WHEN "001010100" => data <= conv_std_logic_vector(900789,20);
WHEN "001010101" => data <= conv_std_logic_vector(899281,20);
WHEN "001010110" => data <= conv_std_logic_vector(897777,20);
WHEN "001010111" => data <= conv_std_logic_vector(896278,20);
WHEN "001011000" => data <= conv_std_logic_vector(894784,20);
WHEN "001011001" => data <= conv_std_logic_vector(893295,20);
WHEN "001011010" => data <= conv_std_logic_vector(891812,20);
WHEN "001011011" => data <= conv_std_logic_vector(890333,20);
WHEN "001011100" => data <= conv_std_logic_vector(888859,20);
WHEN "001011101" => data <= conv_std_logic_vector(887389,20);
WHEN "001011110" => data <= conv_std_logic_vector(885925,20);
WHEN "001011111" => data <= conv_std_logic_vector(884465,20);
WHEN "001100000" => data <= conv_std_logic_vector(883011,20);
WHEN "001100001" => data <= conv_std_logic_vector(881561,20);
WHEN "001100010" => data <= conv_std_logic_vector(880116,20);
WHEN "001100011" => data <= conv_std_logic_vector(878675,20);
WHEN "001100100" => data <= conv_std_logic_vector(877239,20);
WHEN "001100101" => data <= conv_std_logic_vector(875808,20);
WHEN "001100110" => data <= conv_std_logic_vector(874382,20);
WHEN "001100111" => data <= conv_std_logic_vector(872960,20);
WHEN "001101000" => data <= conv_std_logic_vector(871543,20);
WHEN "001101001" => data <= conv_std_logic_vector(870131,20);
WHEN "001101010" => data <= conv_std_logic_vector(868723,20);
WHEN "001101011" => data <= conv_std_logic_vector(867319,20);
WHEN "001101100" => data <= conv_std_logic_vector(865920,20);
WHEN "001101101" => data <= conv_std_logic_vector(864526,20);
WHEN "001101110" => data <= conv_std_logic_vector(863136,20);
WHEN "001101111" => data <= conv_std_logic_vector(861751,20);
WHEN "001110000" => data <= conv_std_logic_vector(860369,20);
WHEN "001110001" => data <= conv_std_logic_vector(858993,20);
WHEN "001110010" => data <= conv_std_logic_vector(857621,20);
WHEN "001110011" => data <= conv_std_logic_vector(856253,20);
WHEN "001110100" => data <= conv_std_logic_vector(854889,20);
WHEN "001110101" => data <= conv_std_logic_vector(853530,20);
WHEN "001110110" => data <= conv_std_logic_vector(852176,20);
WHEN "001110111" => data <= conv_std_logic_vector(850825,20);
WHEN "001111000" => data <= conv_std_logic_vector(849479,20);
WHEN "001111001" => data <= conv_std_logic_vector(848137,20);
WHEN "001111010" => data <= conv_std_logic_vector(846799,20);
WHEN "001111011" => data <= conv_std_logic_vector(845465,20);
WHEN "001111100" => data <= conv_std_logic_vector(844136,20);
WHEN "001111101" => data <= conv_std_logic_vector(842811,20);
WHEN "001111110" => data <= conv_std_logic_vector(841490,20);
WHEN "001111111" => data <= conv_std_logic_vector(840173,20);
WHEN "010000000" => data <= conv_std_logic_vector(838860,20);
WHEN "010000001" => data <= conv_std_logic_vector(837552,20);
WHEN "010000010" => data <= conv_std_logic_vector(836247,20);
WHEN "010000011" => data <= conv_std_logic_vector(834946,20);
WHEN "010000100" => data <= conv_std_logic_vector(833650,20);
WHEN "010000101" => data <= conv_std_logic_vector(832358,20);
WHEN "010000110" => data <= conv_std_logic_vector(831069,20);
WHEN "010000111" => data <= conv_std_logic_vector(829785,20);
WHEN "010001000" => data <= conv_std_logic_vector(828504,20);
WHEN "010001001" => data <= conv_std_logic_vector(827227,20);
WHEN "010001010" => data <= conv_std_logic_vector(825955,20);
WHEN "010001011" => data <= conv_std_logic_vector(824686,20);
WHEN "010001100" => data <= conv_std_logic_vector(823421,20);
WHEN "010001101" => data <= conv_std_logic_vector(822160,20);
WHEN "010001110" => data <= conv_std_logic_vector(820903,20);
WHEN "010001111" => data <= conv_std_logic_vector(819650,20);
WHEN "010010000" => data <= conv_std_logic_vector(818400,20);
WHEN "010010001" => data <= conv_std_logic_vector(817155,20);
WHEN "010010010" => data <= conv_std_logic_vector(815913,20);
WHEN "010010011" => data <= conv_std_logic_vector(814675,20);
WHEN "010010100" => data <= conv_std_logic_vector(813440,20);
WHEN "010010101" => data <= conv_std_logic_vector(812210,20);
WHEN "010010110" => data <= conv_std_logic_vector(810983,20);
WHEN "010010111" => data <= conv_std_logic_vector(809760,20);
WHEN "010011000" => data <= conv_std_logic_vector(808540,20);
WHEN "010011001" => data <= conv_std_logic_vector(807324,20);
WHEN "010011010" => data <= conv_std_logic_vector(806112,20);
WHEN "010011011" => data <= conv_std_logic_vector(804903,20);
WHEN "010011100" => data <= conv_std_logic_vector(803699,20);
WHEN "010011101" => data <= conv_std_logic_vector(802497,20);
WHEN "010011110" => data <= conv_std_logic_vector(801299,20);
WHEN "010011111" => data <= conv_std_logic_vector(800105,20);
WHEN "010100000" => data <= conv_std_logic_vector(798915,20);
WHEN "010100001" => data <= conv_std_logic_vector(797728,20);
WHEN "010100010" => data <= conv_std_logic_vector(796544,20);
WHEN "010100011" => data <= conv_std_logic_vector(795364,20);
WHEN "010100100" => data <= conv_std_logic_vector(794187,20);
WHEN "010100101" => data <= conv_std_logic_vector(793014,20);
WHEN "010100110" => data <= conv_std_logic_vector(791845,20);
WHEN "010100111" => data <= conv_std_logic_vector(790678,20);
WHEN "010101000" => data <= conv_std_logic_vector(789516,20);
WHEN "010101001" => data <= conv_std_logic_vector(788356,20);
WHEN "010101010" => data <= conv_std_logic_vector(787200,20);
WHEN "010101011" => data <= conv_std_logic_vector(786048,20);
WHEN "010101100" => data <= conv_std_logic_vector(784899,20);
WHEN "010101101" => data <= conv_std_logic_vector(783753,20);
WHEN "010101110" => data <= conv_std_logic_vector(782610,20);
WHEN "010101111" => data <= conv_std_logic_vector(781471,20);
WHEN "010110000" => data <= conv_std_logic_vector(780335,20);
WHEN "010110001" => data <= conv_std_logic_vector(779203,20);
WHEN "010110010" => data <= conv_std_logic_vector(778073,20);
WHEN "010110011" => data <= conv_std_logic_vector(776947,20);
WHEN "010110100" => data <= conv_std_logic_vector(775825,20);
WHEN "010110101" => data <= conv_std_logic_vector(774705,20);
WHEN "010110110" => data <= conv_std_logic_vector(773589,20);
WHEN "010110111" => data <= conv_std_logic_vector(772476,20);
WHEN "010111000" => data <= conv_std_logic_vector(771366,20);
WHEN "010111001" => data <= conv_std_logic_vector(770259,20);
WHEN "010111010" => data <= conv_std_logic_vector(769156,20);
WHEN "010111011" => data <= conv_std_logic_vector(768055,20);
WHEN "010111100" => data <= conv_std_logic_vector(766958,20);
WHEN "010111101" => data <= conv_std_logic_vector(765864,20);
WHEN "010111110" => data <= conv_std_logic_vector(764773,20);
WHEN "010111111" => data <= conv_std_logic_vector(763685,20);
WHEN "011000000" => data <= conv_std_logic_vector(762600,20);
WHEN "011000001" => data <= conv_std_logic_vector(761519,20);
WHEN "011000010" => data <= conv_std_logic_vector(760440,20);
WHEN "011000011" => data <= conv_std_logic_vector(759364,20);
WHEN "011000100" => data <= conv_std_logic_vector(758292,20);
WHEN "011000101" => data <= conv_std_logic_vector(757222,20);
WHEN "011000110" => data <= conv_std_logic_vector(756156,20);
WHEN "011000111" => data <= conv_std_logic_vector(755092,20);
WHEN "011001000" => data <= conv_std_logic_vector(754032,20);
WHEN "011001001" => data <= conv_std_logic_vector(752974,20);
WHEN "011001010" => data <= conv_std_logic_vector(751920,20);
WHEN "011001011" => data <= conv_std_logic_vector(750868,20);
WHEN "011001100" => data <= conv_std_logic_vector(749819,20);
WHEN "011001101" => data <= conv_std_logic_vector(748774,20);
WHEN "011001110" => data <= conv_std_logic_vector(747731,20);
WHEN "011001111" => data <= conv_std_logic_vector(746691,20);
WHEN "011010000" => data <= conv_std_logic_vector(745654,20);
WHEN "011010001" => data <= conv_std_logic_vector(744619,20);
WHEN "011010010" => data <= conv_std_logic_vector(743588,20);
WHEN "011010011" => data <= conv_std_logic_vector(742560,20);
WHEN "011010100" => data <= conv_std_logic_vector(741534,20);
WHEN "011010101" => data <= conv_std_logic_vector(740511,20);
WHEN "011010110" => data <= conv_std_logic_vector(739491,20);
WHEN "011010111" => data <= conv_std_logic_vector(738474,20);
WHEN "011011000" => data <= conv_std_logic_vector(737460,20);
WHEN "011011001" => data <= conv_std_logic_vector(736448,20);
WHEN "011011010" => data <= conv_std_logic_vector(735439,20);
WHEN "011011011" => data <= conv_std_logic_vector(734433,20);
WHEN "011011100" => data <= conv_std_logic_vector(733430,20);
WHEN "011011101" => data <= conv_std_logic_vector(732429,20);
WHEN "011011110" => data <= conv_std_logic_vector(731431,20);
WHEN "011011111" => data <= conv_std_logic_vector(730436,20);
WHEN "011100000" => data <= conv_std_logic_vector(729444,20);
WHEN "011100001" => data <= conv_std_logic_vector(728454,20);
WHEN "011100010" => data <= conv_std_logic_vector(727467,20);
WHEN "011100011" => data <= conv_std_logic_vector(726483,20);
WHEN "011100100" => data <= conv_std_logic_vector(725501,20);
WHEN "011100101" => data <= conv_std_logic_vector(724522,20);
WHEN "011100110" => data <= conv_std_logic_vector(723545,20);
WHEN "011100111" => data <= conv_std_logic_vector(722572,20);
WHEN "011101000" => data <= conv_std_logic_vector(721600,20);
WHEN "011101001" => data <= conv_std_logic_vector(720632,20);
WHEN "011101010" => data <= conv_std_logic_vector(719666,20);
WHEN "011101011" => data <= conv_std_logic_vector(718702,20);
WHEN "011101100" => data <= conv_std_logic_vector(717742,20);
WHEN "011101101" => data <= conv_std_logic_vector(716783,20);
WHEN "011101110" => data <= conv_std_logic_vector(715828,20);
WHEN "011101111" => data <= conv_std_logic_vector(714874,20);
WHEN "011110000" => data <= conv_std_logic_vector(713924,20);
WHEN "011110001" => data <= conv_std_logic_vector(712976,20);
WHEN "011110010" => data <= conv_std_logic_vector(712030,20);
WHEN "011110011" => data <= conv_std_logic_vector(711087,20);
WHEN "011110100" => data <= conv_std_logic_vector(710146,20);
WHEN "011110101" => data <= conv_std_logic_vector(709208,20);
WHEN "011110110" => data <= conv_std_logic_vector(708273,20);
WHEN "011110111" => data <= conv_std_logic_vector(707339,20);
WHEN "011111000" => data <= conv_std_logic_vector(706409,20);
WHEN "011111001" => data <= conv_std_logic_vector(705481,20);
WHEN "011111010" => data <= conv_std_logic_vector(704555,20);
WHEN "011111011" => data <= conv_std_logic_vector(703631,20);
WHEN "011111100" => data <= conv_std_logic_vector(702710,20);
WHEN "011111101" => data <= conv_std_logic_vector(701792,20);
WHEN "011111110" => data <= conv_std_logic_vector(700876,20);
WHEN "011111111" => data <= conv_std_logic_vector(699962,20);
WHEN "100000000" => data <= conv_std_logic_vector(699050,20);
WHEN "100000001" => data <= conv_std_logic_vector(698141,20);
WHEN "100000010" => data <= conv_std_logic_vector(697235,20);
WHEN "100000011" => data <= conv_std_logic_vector(696330,20);
WHEN "100000100" => data <= conv_std_logic_vector(695428,20);
WHEN "100000101" => data <= conv_std_logic_vector(694529,20);
WHEN "100000110" => data <= conv_std_logic_vector(693631,20);
WHEN "100000111" => data <= conv_std_logic_vector(692736,20);
WHEN "100001000" => data <= conv_std_logic_vector(691844,20);
WHEN "100001001" => data <= conv_std_logic_vector(690953,20);
WHEN "100001010" => data <= conv_std_logic_vector(690065,20);
WHEN "100001011" => data <= conv_std_logic_vector(689179,20);
WHEN "100001100" => data <= conv_std_logic_vector(688296,20);
WHEN "100001101" => data <= conv_std_logic_vector(687414,20);
WHEN "100001110" => data <= conv_std_logic_vector(686535,20);
WHEN "100001111" => data <= conv_std_logic_vector(685659,20);
WHEN "100010000" => data <= conv_std_logic_vector(684784,20);
WHEN "100010001" => data <= conv_std_logic_vector(683912,20);
WHEN "100010010" => data <= conv_std_logic_vector(683042,20);
WHEN "100010011" => data <= conv_std_logic_vector(682174,20);
WHEN "100010100" => data <= conv_std_logic_vector(681308,20);
WHEN "100010101" => data <= conv_std_logic_vector(680444,20);
WHEN "100010110" => data <= conv_std_logic_vector(679583,20);
WHEN "100010111" => data <= conv_std_logic_vector(678724,20);
WHEN "100011000" => data <= conv_std_logic_vector(677867,20);
WHEN "100011001" => data <= conv_std_logic_vector(677012,20);
WHEN "100011010" => data <= conv_std_logic_vector(676160,20);
WHEN "100011011" => data <= conv_std_logic_vector(675309,20);
WHEN "100011100" => data <= conv_std_logic_vector(674461,20);
WHEN "100011101" => data <= conv_std_logic_vector(673614,20);
WHEN "100011110" => data <= conv_std_logic_vector(672770,20);
WHEN "100011111" => data <= conv_std_logic_vector(671928,20);
WHEN "100100000" => data <= conv_std_logic_vector(671088,20);
WHEN "100100001" => data <= conv_std_logic_vector(670251,20);
WHEN "100100010" => data <= conv_std_logic_vector(669415,20);
WHEN "100100011" => data <= conv_std_logic_vector(668581,20);
WHEN "100100100" => data <= conv_std_logic_vector(667750,20);
WHEN "100100101" => data <= conv_std_logic_vector(666920,20);
WHEN "100100110" => data <= conv_std_logic_vector(666093,20);
WHEN "100100111" => data <= conv_std_logic_vector(665267,20);
WHEN "100101000" => data <= conv_std_logic_vector(664444,20);
WHEN "100101001" => data <= conv_std_logic_vector(663623,20);
WHEN "100101010" => data <= conv_std_logic_vector(662803,20);
WHEN "100101011" => data <= conv_std_logic_vector(661986,20);
WHEN "100101100" => data <= conv_std_logic_vector(661171,20);
WHEN "100101101" => data <= conv_std_logic_vector(660358,20);
WHEN "100101110" => data <= conv_std_logic_vector(659546,20);
WHEN "100101111" => data <= conv_std_logic_vector(658737,20);
WHEN "100110000" => data <= conv_std_logic_vector(657930,20);
WHEN "100110001" => data <= conv_std_logic_vector(657124,20);
WHEN "100110010" => data <= conv_std_logic_vector(656321,20);
WHEN "100110011" => data <= conv_std_logic_vector(655520,20);
WHEN "100110100" => data <= conv_std_logic_vector(654720,20);
WHEN "100110101" => data <= conv_std_logic_vector(653923,20);
WHEN "100110110" => data <= conv_std_logic_vector(653127,20);
WHEN "100110111" => data <= conv_std_logic_vector(652334,20);
WHEN "100111000" => data <= conv_std_logic_vector(651542,20);
WHEN "100111001" => data <= conv_std_logic_vector(650752,20);
WHEN "100111010" => data <= conv_std_logic_vector(649965,20);
WHEN "100111011" => data <= conv_std_logic_vector(649179,20);
WHEN "100111100" => data <= conv_std_logic_vector(648395,20);
WHEN "100111101" => data <= conv_std_logic_vector(647612,20);
WHEN "100111110" => data <= conv_std_logic_vector(646832,20);
WHEN "100111111" => data <= conv_std_logic_vector(646054,20);
WHEN "101000000" => data <= conv_std_logic_vector(645277,20);
WHEN "101000001" => data <= conv_std_logic_vector(644503,20);
WHEN "101000010" => data <= conv_std_logic_vector(643730,20);
WHEN "101000011" => data <= conv_std_logic_vector(642959,20);
WHEN "101000100" => data <= conv_std_logic_vector(642190,20);
WHEN "101000101" => data <= conv_std_logic_vector(641423,20);
WHEN "101000110" => data <= conv_std_logic_vector(640657,20);
WHEN "101000111" => data <= conv_std_logic_vector(639894,20);
WHEN "101001000" => data <= conv_std_logic_vector(639132,20);
WHEN "101001001" => data <= conv_std_logic_vector(638372,20);
WHEN "101001010" => data <= conv_std_logic_vector(637614,20);
WHEN "101001011" => data <= conv_std_logic_vector(636857,20);
WHEN "101001100" => data <= conv_std_logic_vector(636103,20);
WHEN "101001101" => data <= conv_std_logic_vector(635350,20);
WHEN "101001110" => data <= conv_std_logic_vector(634599,20);
WHEN "101001111" => data <= conv_std_logic_vector(633850,20);
WHEN "101010000" => data <= conv_std_logic_vector(633102,20);
WHEN "101010001" => data <= conv_std_logic_vector(632357,20);
WHEN "101010010" => data <= conv_std_logic_vector(631613,20);
WHEN "101010011" => data <= conv_std_logic_vector(630870,20);
WHEN "101010100" => data <= conv_std_logic_vector(630130,20);
WHEN "101010101" => data <= conv_std_logic_vector(629391,20);
WHEN "101010110" => data <= conv_std_logic_vector(628654,20);
WHEN "101010111" => data <= conv_std_logic_vector(627919,20);
WHEN "101011000" => data <= conv_std_logic_vector(627185,20);
WHEN "101011001" => data <= conv_std_logic_vector(626454,20);
WHEN "101011010" => data <= conv_std_logic_vector(625723,20);
WHEN "101011011" => data <= conv_std_logic_vector(624995,20);
WHEN "101011100" => data <= conv_std_logic_vector(624268,20);
WHEN "101011101" => data <= conv_std_logic_vector(623543,20);
WHEN "101011110" => data <= conv_std_logic_vector(622820,20);
WHEN "101011111" => data <= conv_std_logic_vector(622098,20);
WHEN "101100000" => data <= conv_std_logic_vector(621378,20);
WHEN "101100001" => data <= conv_std_logic_vector(620660,20);
WHEN "101100010" => data <= conv_std_logic_vector(619943,20);
WHEN "101100011" => data <= conv_std_logic_vector(619228,20);
WHEN "101100100" => data <= conv_std_logic_vector(618515,20);
WHEN "101100101" => data <= conv_std_logic_vector(617803,20);
WHEN "101100110" => data <= conv_std_logic_vector(617093,20);
WHEN "101100111" => data <= conv_std_logic_vector(616384,20);
WHEN "101101000" => data <= conv_std_logic_vector(615677,20);
WHEN "101101001" => data <= conv_std_logic_vector(614972,20);
WHEN "101101010" => data <= conv_std_logic_vector(614269,20);
WHEN "101101011" => data <= conv_std_logic_vector(613567,20);
WHEN "101101100" => data <= conv_std_logic_vector(612866,20);
WHEN "101101101" => data <= conv_std_logic_vector(612167,20);
WHEN "101101110" => data <= conv_std_logic_vector(611470,20);
WHEN "101101111" => data <= conv_std_logic_vector(610774,20);
WHEN "101110000" => data <= conv_std_logic_vector(610080,20);
WHEN "101110001" => data <= conv_std_logic_vector(609388,20);
WHEN "101110010" => data <= conv_std_logic_vector(608697,20);
WHEN "101110011" => data <= conv_std_logic_vector(608008,20);
WHEN "101110100" => data <= conv_std_logic_vector(607320,20);
WHEN "101110101" => data <= conv_std_logic_vector(606634,20);
WHEN "101110110" => data <= conv_std_logic_vector(605949,20);
WHEN "101110111" => data <= conv_std_logic_vector(605266,20);
WHEN "101111000" => data <= conv_std_logic_vector(604584,20);
WHEN "101111001" => data <= conv_std_logic_vector(603904,20);
WHEN "101111010" => data <= conv_std_logic_vector(603226,20);
WHEN "101111011" => data <= conv_std_logic_vector(602549,20);
WHEN "101111100" => data <= conv_std_logic_vector(601873,20);
WHEN "101111101" => data <= conv_std_logic_vector(601199,20);
WHEN "101111110" => data <= conv_std_logic_vector(600527,20);
WHEN "101111111" => data <= conv_std_logic_vector(599856,20);
WHEN "110000000" => data <= conv_std_logic_vector(599186,20);
WHEN "110000001" => data <= conv_std_logic_vector(598518,20);
WHEN "110000010" => data <= conv_std_logic_vector(597852,20);
WHEN "110000011" => data <= conv_std_logic_vector(597187,20);
WHEN "110000100" => data <= conv_std_logic_vector(596523,20);
WHEN "110000101" => data <= conv_std_logic_vector(595861,20);
WHEN "110000110" => data <= conv_std_logic_vector(595200,20);
WHEN "110000111" => data <= conv_std_logic_vector(594541,20);
WHEN "110001000" => data <= conv_std_logic_vector(593884,20);
WHEN "110001001" => data <= conv_std_logic_vector(593227,20);
WHEN "110001010" => data <= conv_std_logic_vector(592573,20);
WHEN "110001011" => data <= conv_std_logic_vector(591919,20);
WHEN "110001100" => data <= conv_std_logic_vector(591267,20);
WHEN "110001101" => data <= conv_std_logic_vector(590617,20);
WHEN "110001110" => data <= conv_std_logic_vector(589968,20);
WHEN "110001111" => data <= conv_std_logic_vector(589320,20);
WHEN "110010000" => data <= conv_std_logic_vector(588674,20);
WHEN "110010001" => data <= conv_std_logic_vector(588029,20);
WHEN "110010010" => data <= conv_std_logic_vector(587386,20);
WHEN "110010011" => data <= conv_std_logic_vector(586744,20);
WHEN "110010100" => data <= conv_std_logic_vector(586103,20);
WHEN "110010101" => data <= conv_std_logic_vector(585464,20);
WHEN "110010110" => data <= conv_std_logic_vector(584827,20);
WHEN "110010111" => data <= conv_std_logic_vector(584190,20);
WHEN "110011000" => data <= conv_std_logic_vector(583555,20);
WHEN "110011001" => data <= conv_std_logic_vector(582922,20);
WHEN "110011010" => data <= conv_std_logic_vector(582289,20);
WHEN "110011011" => data <= conv_std_logic_vector(581658,20);
WHEN "110011100" => data <= conv_std_logic_vector(581029,20);
WHEN "110011101" => data <= conv_std_logic_vector(580401,20);
WHEN "110011110" => data <= conv_std_logic_vector(579774,20);
WHEN "110011111" => data <= conv_std_logic_vector(579149,20);
WHEN "110100000" => data <= conv_std_logic_vector(578525,20);
WHEN "110100001" => data <= conv_std_logic_vector(577902,20);
WHEN "110100010" => data <= conv_std_logic_vector(577280,20);
WHEN "110100011" => data <= conv_std_logic_vector(576660,20);
WHEN "110100100" => data <= conv_std_logic_vector(576042,20);
WHEN "110100101" => data <= conv_std_logic_vector(575424,20);
WHEN "110100110" => data <= conv_std_logic_vector(574808,20);
WHEN "110100111" => data <= conv_std_logic_vector(574193,20);
WHEN "110101000" => data <= conv_std_logic_vector(573580,20);
WHEN "110101001" => data <= conv_std_logic_vector(572968,20);
WHEN "110101010" => data <= conv_std_logic_vector(572357,20);
WHEN "110101011" => data <= conv_std_logic_vector(571747,20);
WHEN "110101100" => data <= conv_std_logic_vector(571139,20);
WHEN "110101101" => data <= conv_std_logic_vector(570532,20);
WHEN "110101110" => data <= conv_std_logic_vector(569926,20);
WHEN "110101111" => data <= conv_std_logic_vector(569322,20);
WHEN "110110000" => data <= conv_std_logic_vector(568719,20);
WHEN "110110001" => data <= conv_std_logic_vector(568117,20);
WHEN "110110010" => data <= conv_std_logic_vector(567517,20);
WHEN "110110011" => data <= conv_std_logic_vector(566917,20);
WHEN "110110100" => data <= conv_std_logic_vector(566319,20);
WHEN "110110101" => data <= conv_std_logic_vector(565723,20);
WHEN "110110110" => data <= conv_std_logic_vector(565127,20);
WHEN "110110111" => data <= conv_std_logic_vector(564533,20);
WHEN "110111000" => data <= conv_std_logic_vector(563940,20);
WHEN "110111001" => data <= conv_std_logic_vector(563348,20);
WHEN "110111010" => data <= conv_std_logic_vector(562758,20);
WHEN "110111011" => data <= conv_std_logic_vector(562168,20);
WHEN "110111100" => data <= conv_std_logic_vector(561580,20);
WHEN "110111101" => data <= conv_std_logic_vector(560993,20);
WHEN "110111110" => data <= conv_std_logic_vector(560408,20);
WHEN "110111111" => data <= conv_std_logic_vector(559824,20);
WHEN "111000000" => data <= conv_std_logic_vector(559240,20);
WHEN "111000001" => data <= conv_std_logic_vector(558658,20);
WHEN "111000010" => data <= conv_std_logic_vector(558078,20);
WHEN "111000011" => data <= conv_std_logic_vector(557498,20);
WHEN "111000100" => data <= conv_std_logic_vector(556920,20);
WHEN "111000101" => data <= conv_std_logic_vector(556343,20);
WHEN "111000110" => data <= conv_std_logic_vector(555767,20);
WHEN "111000111" => data <= conv_std_logic_vector(555192,20);
WHEN "111001000" => data <= conv_std_logic_vector(554619,20);
WHEN "111001001" => data <= conv_std_logic_vector(554046,20);
WHEN "111001010" => data <= conv_std_logic_vector(553475,20);
WHEN "111001011" => data <= conv_std_logic_vector(552905,20);
WHEN "111001100" => data <= conv_std_logic_vector(552336,20);
WHEN "111001101" => data <= conv_std_logic_vector(551769,20);
WHEN "111001110" => data <= conv_std_logic_vector(551202,20);
WHEN "111001111" => data <= conv_std_logic_vector(550637,20);
WHEN "111010000" => data <= conv_std_logic_vector(550073,20);
WHEN "111010001" => data <= conv_std_logic_vector(549509,20);
WHEN "111010010" => data <= conv_std_logic_vector(548948,20);
WHEN "111010011" => data <= conv_std_logic_vector(548387,20);
WHEN "111010100" => data <= conv_std_logic_vector(547827,20);
WHEN "111010101" => data <= conv_std_logic_vector(547269,20);
WHEN "111010110" => data <= conv_std_logic_vector(546712,20);
WHEN "111010111" => data <= conv_std_logic_vector(546155,20);
WHEN "111011000" => data <= conv_std_logic_vector(545600,20);
WHEN "111011001" => data <= conv_std_logic_vector(545046,20);
WHEN "111011010" => data <= conv_std_logic_vector(544494,20);
WHEN "111011011" => data <= conv_std_logic_vector(543942,20);
WHEN "111011100" => data <= conv_std_logic_vector(543391,20);
WHEN "111011101" => data <= conv_std_logic_vector(542842,20);
WHEN "111011110" => data <= conv_std_logic_vector(542294,20);
WHEN "111011111" => data <= conv_std_logic_vector(541746,20);
WHEN "111100000" => data <= conv_std_logic_vector(541200,20);
WHEN "111100001" => data <= conv_std_logic_vector(540655,20);
WHEN "111100010" => data <= conv_std_logic_vector(540111,20);
WHEN "111100011" => data <= conv_std_logic_vector(539569,20);
WHEN "111100100" => data <= conv_std_logic_vector(539027,20);
WHEN "111100101" => data <= conv_std_logic_vector(538486,20);
WHEN "111100110" => data <= conv_std_logic_vector(537947,20);
WHEN "111100111" => data <= conv_std_logic_vector(537408,20);
WHEN "111101000" => data <= conv_std_logic_vector(536871,20);
WHEN "111101001" => data <= conv_std_logic_vector(536334,20);
WHEN "111101010" => data <= conv_std_logic_vector(535799,20);
WHEN "111101011" => data <= conv_std_logic_vector(535265,20);
WHEN "111101100" => data <= conv_std_logic_vector(534732,20);
WHEN "111101101" => data <= conv_std_logic_vector(534200,20);
WHEN "111101110" => data <= conv_std_logic_vector(533669,20);
WHEN "111101111" => data <= conv_std_logic_vector(533139,20);
WHEN "111110000" => data <= conv_std_logic_vector(532610,20);
WHEN "111110001" => data <= conv_std_logic_vector(532082,20);
WHEN "111110010" => data <= conv_std_logic_vector(531555,20);
WHEN "111110011" => data <= conv_std_logic_vector(531029,20);
WHEN "111110100" => data <= conv_std_logic_vector(530505,20);
WHEN "111110101" => data <= conv_std_logic_vector(529981,20);
WHEN "111110110" => data <= conv_std_logic_vector(529458,20);
WHEN "111110111" => data <= conv_std_logic_vector(528937,20);
WHEN "111111000" => data <= conv_std_logic_vector(528416,20);
WHEN "111111001" => data <= conv_std_logic_vector(527897,20);
WHEN "111111010" => data <= conv_std_logic_vector(527378,20);
WHEN "111111011" => data <= conv_std_logic_vector(526860,20);
WHEN "111111100" => data <= conv_std_logic_vector(526344,20);
WHEN "111111101" => data <= conv_std_logic_vector(525828,20);
WHEN "111111110" => data <= conv_std_logic_vector(525314,20);
WHEN "111111111" => data <= conv_std_logic_vector(524800,20);
WHEN others => data <= conv_std_logic_vector(0,20);
END CASE;
END PROCESS;
END rtl;
|
mit
|
Given-Jiang/Erosion_Operation_Altera_OpenCL_DE1-SoC
|
bin_Erosion_Operation/ip/Erosion/fp_cordic_start1.vhd
|
10
|
3284
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.