repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/impl/vhdl/project.srcs/sources_1/ip/convolve_kernel_ap_fadd_7_full_dsp_32/hdl/xbip_utils_v3_0_vh_rfs.vhd
7
171224
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kc2PdcHWvKBvv8mF2Q7gMcs2r7sbuOlNKSI8qDT6EnmqUwBDYMV3+UQANI+nsi6J8vxoEQCfp+wH EDhmkbsucw== `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vQEvwOPasAzllB+2bxum6PbpO36+EoSOo6q8rra5eDIjv9k5n/+dvzPjeEj2uMy3Su2BsD2Bli8I fP2C1SwWXA8Jp5o8ksMQipKji+JBuvpkB+0TKVXvHjyNyGMBaYJaQ04XoUlssXodXUyvrmE5pvhb jvQ0rNp3EkiKhKBAcJk= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNTFve9Sti6+2+7OE/eRVwZOk8txCE0dFzWKi+i4ZCNr1+EIOcPe+xKYSDaXqzDq892JaQiLbPKp jWwBEfhU6WGS90YWw90POkQyAnS1ZIcWwrulqQNF2zzNBJEQUv2Yjg485lW/UaNphNuWCZxXkAZ1 QwHZntGJRvfBJHYGdQDf1asbj7iUc6qFcyEIl6BZ6fCFVsp052mLqRDp4Ozdz2yJzMqSB1pO7Jh1 mUjeJ15I/+NVKn18brSpDdKDzLEi3ybQzcIg7HA/GlVqtTaqGw7RyLJrS5qfk/wfOWwKxhBGVQPZ 7Nl+FVssNHidku1PpZP2ee84MnjdNWecojJ8ZQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R3xYRJWf42nmpef7c5/pjYiOil/CmB+k0UmPO3yWG7CzY68Ms4BpLodVeJpK0m7Rr0sKh31wA/SX 2a7nCk047YIXeQwACHllzDPLWEyK4KmBXoL8r5bXW5cmwH9yRJhrtUq4/eGG19fS0Nik70fY2zAn NvzctKshApcnVcmF6HSutEqMFhrpOsp3cOTxMCYFIR1dfBj7AIG/hWM85/YrXhPri0/tE6IDJCVC /QGynbalO1aU9zmbvrLH3SIjTV8+GFBxoBZPNk3BD3asKNemaDwNRwz5Y4ddQTvAfK5LvnE/hthU W2hDy2zBmgbtbKZg384q10iVMk8tqjLnnaMfug== `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G+50ItTsTNapBVCBRs96T983hc7omCY2zDjWo/5jcSmGKQBIC1Vfd5ma72RHGlsf99/V4r5bAtQ3 apFZ7fmrc1NVOUA2AMlCmJIrjhUTz1G+aHhJZggA4JN2mu0mhoP9a1P958gWPLAbSv1w75xCI4TL RA5ivlLLEqRG52MssgSYj202szd7XOWDp5UG8Rh3OkX+bVU8ptJgWf8KmZNUVhKmDvBp7le9VcyO Rl8vO2kkaDWCtjm4JybAZvEmnObWRwqdLyqrDOq3x5ih+LFt3iwBSlqXrJ91qLIsrTQWP5l1OAyh TB6M2qw/du2p2dapttP3wbiSHgzgcc4dnvmW0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KiKGCrgPhecJnllcDeqZ3b6ZafiVijJwYcE/OM+6P67ltDkcB4+CyUVBXWxQAvc+1qxURkuVdmkB AVf6EHT/2oQsSv7c9LSp7mulKKf7c4WE9qGWbr2zj68GxU2cIgeUix5VVEvu+xmCcgFx2UzvI/9a K+voFahrqOH1k4LwS0I= `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nHpoXkM4AGshTpJCuKMrcmkbZWQbvou/tZbeEBJuvkZgk1AKGJoT0Hkwfmp6BLcpeehB/V8wZD+p eZGa8CNrhKIRBXckPc+v/IWkKvgOysbtHCsBS4eVSnej0euXEnVkmg0EPeAb5axHoUoVCNxPdNOA cSwZt29K4l8QHbLg+GSWqXEtNSPrzyRldebKank7LC6p+5N9qvLGZ4DsIRU19AoPtwcfmfNm3s7e UCNmwBAJU+dQup6Or77sy5DH4csAVDyNPUop8VrRc4vDNSxQ5EuIt80a0rvMvZTpEYuh3aru7JvP qtTlxvT6XPAD2ErM26jPGijqSPHcavQGU6V/AQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 124208) `protect data_block 879rD+I/kN7N743YCOqIFUfnjdabT2Dy/pq7xTVfcZPYdwfdCKmqfWKM/pfo9wLyrsPak45V/q+V JX6qLq3w+gbVxZF0G2VlpjlY5sVGR56GF0Sfi4LMVUBiIvhg2DuaDmlbCCuAvPHoOT/HsjbOM/K2 QpUdWor/cCqm94+4e2XeAixenwIbNmOGXRSyomQMLSLuoBkQBvIcSyFWuJXUMY/5eBuRLedQqxnL 82O2aLjTJVuAT/Pof5eDS9uLSKGIi1Jxsf1w4SikZF0SU0s0Hhofd0MVTd3YEH5XaOfSlLboPWbl LXGg4DZ0C4obqP70sO+49X03EnOBwRIP2XoVCKO+h22W//LdoyfPcNbxtqi9W43jUL/yrdC2oxes 0tZ72LLVWlHRTCp0e5NYFN/6/kK5/rMRiHR2UTzU4CFDLanyro0Cuj2ZRppUfx26QIsMzibbuqFL que2KrRs10f9nvqGoFPenIfdGMEARlVm6Ktfn2PPEqcFOtFe6D8WBkdvIw0XrDPkF2d9LluVEgit op74RNSNafcjpSZ6LfeTjmzYL/RCgFIyBKomWhjq67+6Nm9dCAFRQzaV96BvsPM9NaII4LpAi1E+ vFaOiRresdvtbHGu8CWJldz7JeuH3HF8K4VehrdvyNaby8zNQK2n4qhMZkr85x+bpkOvGuq+DYmO YkVzDYTXhxZqphvPbutgwvgfzVx+y6D9A1kEgKdkW4jLO1JY3cVGZGxATY2FLUWnRCkPvrLJuXtP m7fhkazQwhlcDjSDbNc7YbduDPsDyCAkmVMtRFk62JIEPzGHYNJ561e9zIgprXO2duuSccQK6Hkt iRDFp/LW40pkyrY6pho8pF5sfJto5obJHZd/nbpV79LTv//+54JDkp0rjg5uqMPJ/J02Cytc/tZ/ H25r8+MCMEsANNmZGI7BB/xTFZNK1dcQARparoh7pSa9DHhM2NppHAfh+1yE7Tlmv4xrcsWr/9FG 9NPXqWVeLEWGFLWnBso2CvO/KftEiJE953Nt/U5A/yM/lUwazL2HagXTnq92kwULudQ5N6VOGBkM UoamnxqBfVlL02i4lnxLlwLtogkjC6vgVJ7Gz9QETNoLVwOdrE87tYX38PmihJOxHM3NQ9ElOPlT KULbZ5JhZpu5UBc81Kh9F4zHNaYslzIwCJJb+WpVi6m8N4sjQS9Z/Nb/nfK/a0N9c11yOezfk4MT 8IWbFdOdTWD5lS2bZjax5vPyo3LZf3m5YE9oYbdHMEeynMiQelV06Lm4bFfWjjF5WuuIbEg+liuF L+babyQYWzMQcIhOTQyUpRcC8LKke+XRiTyfPBTXO3L8yjcqHM+FpaWk/Yswblnj9p5dJPngAD32 9/jjUutLcYxU9p313lwelxQdixgaki2wYfS4CLfmde54m2O9fgADZPrsZ/zQq9yPNGAQ6tDTAw3D qYkw2AeDKxm0dg6EbyjHM4Mhmr2IfydWWDK839dheYlh7EvqldO62k/ug9AhDFf4GObnvkiLQN7I IhiKx3DF9kqzU4QjPT3SXibAId3R7plr9pho0dCUgYOLIUwrZuvBoDeuNI1MvBAVZkE+bcFMFx3n FoR5TtRy6LfohDSIFpIoHjYcoXiM5H1531nMEEc4NroMNVd5syMe1FLATXj+TtV/T6gGtGtBQOZ2 RBMlcnjwtZz2n91/skVOhxIyI9A/wRK/sLimo6v93gawZWYgQFuFe9j5+QxT7joKAJDhUNMS+Kn6 EEnfG2xnR3knLmXZYOm8kZdGYLgOfGnTsOsa3IZVsjSynXvbJh3p2ldjwx0tSXFU7qPrhJx5WuGc HLB1VT6v/a156EhiT0J9Yb0+EtZz0TU+qvNjWnpJ7L4DinFMqfqM2b/DRxqV4BEljAqE7SHK1JjS waAH+mnjZh/EoOo6E8zAl8WaXwGtzWa/3qitmCTEKuJidADn8QqZYS4tWe1jp2UYD8FGHB8+QBzF sfBWntSC0STmYn+K39p5DNmwsehhp3uGzwuPneF/lHp/iXDuQ9Oha4BtH2lJjwP+9nkqS5UonX1n 0iLMw79pm+Qee1dd3acLwby0cq270mdGvQzZ4G7DIQ61QPzgc+UcFCp89dInaRpG14SJA233oVO3 cWuTVPhzbF4GSzqS6IZWibPbS6WcZ60STyIktZBnnA2KCK+Aj/npzw+c+MtvYV6VIODalg0uW3tZ q7JdiDFIb8eYPv92NjZTASEffjr3U1CYIxxKb6nvXE4OZniLZkHnNMtfaIpEDR1A0VpPAijerVaf ya7/t2zBuLaHt7l55qczwfZm8BjrhK8ssBBgq34+eImi03QwAPmbgAXlKT5eDT6EUR5Z+uhS5YZG XDd4WydfxxNUVxNo8ovD6ofXU5E6r7yYOUTLauj25+/0maI6b3Jd2Ri6cnpNl7h225lmfRk0yJ8I miHWY3/xd/ZatCBu0GjoBCRIqcL2QhRqZxc9pv6Oq+doGR1S/cGY1ZSuSto+5qNxDaJrau65TkFl 5vCKHXqcW5RRDhPrk0PZZ7RzMjWh0EDCcl5YcwkHelJr335sKYCapDob0xnkTjmGw5g2jB7Frk/R 97lcZNfKGoKYpy2Nd/UYQQ62bBIOckNMH65vS4tertNSwY83EPaB0IGuef4jwCn3O3fvrvEBnY9h V2T386CPSdPGsXGnApQ+KE8VE83Wug9cp+33vexuHHudFhcVSrxTfOwcYGNqAJoa5Np+RUJT7bcq ye0TUZT2vdt1LsvM9NqaBWeHFWF5IRqVCLz46vqWFZhEdkKZG6cjI0Zye3PWtv2gqnuHZf7ijXC9 yYr/gH3e1ys98vSMxbQZJ5CHWJb9Lt5Fh2vo8Zi8iNsO2S0vcGq0+0pqIvy9AbLbYZ6aL9cedsNW l7gb7AH4UDn+bBVczTgNIQ89EDJHXLdVwrCzmu7Ubo+/RecSHotx6xXTcLEqVoQKN0lOladk6fPs /MfWoHlpdoGFNz/V0lQG8G14SIPekgr2fI3l1tq2hYDbqb6eEpw1ylhrTg/6pmCG7xhyzNHga6Hf JK35HdVdpAYFTpgOUkbqGX3ZGob3OvsuHAoby1plaOdSip9I4WitI+9F0PPa5fEsNPMYM2c0XunQ 3w9b8VuGs0smEeEYc6yLPBVoM/+iw1VGHs0RtK2DJj+GHWoAm8/PiXFi6M6EAjbTAFk+3NnIoOpJ 92G6rLqvlxLZ0ncrSSVCUKKk4Tf5qy0nPlZ2AYLkXmBJkdQLxMx9fJG5c1WUvPwiZL7XB04oDVZd lHIkNEaHy7GHyK/lLxLSUHQ8ZmCuh9FjLqG7/tsB5P2BkiHnR/v7OEoJEimr/ZnwXSgXyCouto1I dUMTnb/iUQWfR6LPxRDtqFOqPpUMYCdwznF+HcI/t66ZF0OU31aLUZGua9jQJ3MJCPsz76+vKsYs 063t4oXVSkd+p5fO572GbopJVXNyC1kem+CQA+F2VdI8X4WHOTaz7SRvB5olMoa3SUqXa+lzX19l z0Y+m6wW3Y78oGjxlp9VjG0uy+SRfPu9eEt+PKeWD3fg8xhleFCtQFmu+ZA4tvVJioclv4MJzndO g8iMOp4iJ4XiIMee/+LA7HH7Jsl4p+FT4NDebWoKGgN1N2FDDaNziH6zJEvbw0107ZKUwYLSEA3i HHCWlDT1zvKyXN9dwNC85LIUjP0cO9Tk/1WahJiB7206oDi4t91akiEMZ0TIk3Fx4mFaKYINYaS/ rJJVWajWYHjrqOP0dFTiVDrMh1NHn5DTbX+8vC0SgUdvk4r9Lbm9DCIU56JERHC/fFwvF8LqQwP4 hHW/GSid8XBcfY2PvuRWaeYLy2fmBqX8Fy0nJa+2ClDlye6FdRG9T5cle9oQ1xeHrS5eSilsBG7S 4h4oyq4wLbZ8h9Do46z1T0g2cVMHiz+6VirqxcWyliGK6e1BirVeS3ikfDzt/OQuP8khrhmzxh26 U4Nzbzioksm6fkRn+4ZHM5dyq1HcmdgfYzly6D2bgAg3CZsJuNtAjPzdxK9LdlSEx8VA7AQjuAOi fM5jbJk5SwpVe3XHDZSuYvYCmRYI56gawtyS2fZ23AvjToGEte3gW/bhfl+AL3imQ6LvbZJo8OPY pX/4V/934vWLoWJEB4p6DVbUq8/XtOVZAjBDv+T/OhsWbpIOph3A1qI5D2Nx/OMEEsrg+cfaOQKr CNRAPTwkc3Ay6FXy9BjnNnR3BLwJr6G2jmCVmkdZ1FugkXSgSPqe3kMWLKsFYDJgBzglj5tenEeC GSUqidMUlC0i0cSQM2HN3BcuJLwZMIFwDSjFkIK7OKuErGxggyR5Qf0QfK/xVVUmv+nvhn5R6Jws bYgnhF5rMnm2wx2Zwx8xmlwN62qKYsCLXpMrIXprPk5Z1Ozgofge0mE7RmRIPHHo0bNK6YV9sdK/ B1quWYcNi5NhdkbhtHew/PMY7BXlYHS8Kl70iigLQaG9ozDqkNSFii8+BsQryWOEAarkO7gmtsT2 yEZFOY8JqAF6ppbhuFbvF75g4wswSkM21R/QeIK7WOXRN/5D9UKTUz+H+tAOEcp5Z/rBCCTINBqQ SF9f6dUW/+eTfjGnLJ0WHezDfzQI9N3dT8k3wyotEMOfl5PSmJPxY94yPJogYRtD9TnceRsDlsMH gEY6Or6A7Bu4VAsPtZ9eXBin9nJW2v5RCd4jxjKxb32ONjwSR8L51u296re2+faRvHdtHJWGDDMn ZOVFmBCAFy/W/hFNnGM0kNZsgXoeyS3DMPDvMuXnOLljz79qhDtBIT5qKWixvjkJ/ZzuxLjgpLOK PU1D7yl5jkOa4kKVKHRTmQOfsErdo75AaleMgDrao7nY80Q2bf9fN79f5EE7WIXPqyC5jBpA6AlJ RWNj6meGl7K5AP78s/2mUlFRfQE0FAm1r7tWBXiAniA5dmPVkRsNKGvC7WjsoQiAD3VsgWtwkHd+ ZMekTj8WI8cDz1oFEFSmsMY8ey6XGEQQNQCvHMwqXABBFDm8vOWz8ArTwI5r81iXoGvBGhQUSKB7 a1k0MOj4TZMWjoQrckgAYlxzYYux2UMyieRFrd35YIAgsi60RBVOYm0biSmv4RRlDIBliBQ89DQM Oq1S6/OMUmmf/PSnkLunrc/QP/tax/fddAIH9g2Bodwu6NLsp/c6UHoH43j2C1QFz/gPrKL2/L/W 0Vkepr1RG5qcDABG5h9wUhjANqv8oILk0LVwxn39kwoN/DAURTZB6AzCoQyCIiuVqXW3sE+ThMco 15iE0QYLIue8jQ6LZGYB6x2UDpVo0IkxZknf5QynJ2J4qJ2zY8M77/njs8VaxS1674apkmVnI+jE nTE4G462XqwoAvglT0yaZEAC0VGfLWhqRipRSp0ztTN+No8MjpwaYZM3ZD3cGqNKPKeo+DaNyqVz 3tKmqL/Od7fpxbpvozaHs4NVZs2KUaA4Bs/VmEvc9bYn2kCGv15j2UGq9FlOY5Vk84JLBDTcRSJX JNPAS30GaBGoKlZ+TxTyhqtkuV60Jj/oKAqZTs7p/Vk0QAVO6aKo2jXcrjGgefGSGYwy7Nin0lGm dpiyr9VEbQfVuVMtZ9BWjI5DhmLmKYcAILlPp31iQMqrQilr+vtxSqxG/qpiBs+xAORhmLvd2Atg JWYsZL1Le/w8w3Dm5FduoFOXPM5KqeCSIMfaSDxT0bC9KZcIWacuCpZonWn+cj3/Arh+K/nOGceF xCDOhdnE2PsqDYLuOQCXMILozZ0lCFjwmti10V/AbTfeCfAludu9Rh+aRb62OA0f+zXfd3PrzxGN rdEyo1c8wTL+On65k0pm8tiWqLVfDwPFm3HSYVbdSr4rw1J1/fI8gopjL2tpf5Wp/Xb0tNVezB6j J+JetYjuAH43XCIbX3sHUA4qYHhi6G+fbffCSSWTFfgwyQ57UAp6L3CLCXEmfolnbjjg/tNn4xA2 SgrUqOQbZIZil61TQ+Xf5WiHopNDVNuu1nEkXiHx9TrKtRdcJHMgqYjzU/MCXQ1Rf3BHDoeoGSFF Ej+o/LW5/4wBsif0tnybw5eo05P43+7m2E4T5NapDA1VYvBodRT28jKtdVBFXTLM0dEMTeStl3Um MdebxXIIA4Vo4gYC8bU76i/rgyRTp3J94nBOk88V7o3RJfj6C85/y1b9oJ7QyFFv8rlmgrFKA+fQ KxiEo0fyCPSMc2hxQ194XEvaFU8EizpSfT5z244Vh12Ni3m36Taf/AWp5lbJcEtwlkdKV3IyzB3Y qyYdHCvxZBNzgNqdVcQ6h0U9fYtFV2An8rREHUtJzeMub+cG9WcQATY43rxYARjpBWYDCfxviL0D Z5zUMP03LVcFvuvhN+I3iNMjy2f1UWEcpD4Kuzq9Ol/Oh9ggrREDbAcoccPSBZ7n641Kk4MB//Rj iqr0zjg5E13pmgkhZkQs13z32wxgeDIujhWtZ40NykIQLBl6mEnaRQBxSAp7UPnnXQzJ4xmwUddK Rz/k2mjiYUCmLeI9jfoE2uHsyGEfxV1/Ok+Ck2KfdPhs6qeuKsXzM4/lUKOF7d5lpUe955D3uZL4 TTkcOsrYO0B+APMflYxUCR/GL6ENuvYZju2LWpipA4vU9a7hpjH4r3rH6foYY8yUr4NmnOzdRL3a C7UpO8s0CTEZkqxlSkkVVdYpwRnTSWPvMlKMtixvy810kNcMFWCzHUN6thF1HOAsCJH641Ph3Smm ZJhHUz0TvqPZ1ymM0hcDvc+77lHjrBD+TEuIgoLWWhHDT6gqk7eft8yHtmbNBlT/iGcDfsU/58tM U1iLBcSMSgv1s0pZvK9pEsD2mcOMKIcpiQ8dyATMFOYkzOscrop/dcf7vOX+oSr5O/wOix6lj+FQ VtHwvVPg+E7VnxtWBfgPB2bhfDDbw+finirP8WbdneOSQ6jpa/FFh9dNDRpJpMA78AfknfJuYJPy MswuOXmXcN9w22C2XQXYLWK8tt0uBBgaLWgQAIeAHlz4HeqkvPc+v3kPsQ8d9fgawJc9No+3VpYL /fTJcbXIdccWGRuGbCfw6IK9aoDmtwrTWk08F/a5dhOIvvxImvj4OD9UcV9SOvDqXWyFVPD82qMI LFeUGQ6C4Td0JgYNTF22IZ2QTmpvC0UcOIU0q6Fh4/HMqeMomStExJxLEtCYeWzOOsxgISjriUe0 D5E9n2Ptu2LOYVAxHIOkepVNOTaxHA80jbV1anNaVoSK2fTxL91oRriNAVqeK5RU77O/ldOrLhFS MV/Cf8CPPmWZPRgk8ZXk2YfSb51NLAzQcw42i9IH/3404rz+nXOQS7e4wkrinmWfvdUfvY4WdI+U vy3AaWstqfjI6PWP8bTzk6RLK2iGAGMtavktESzX6OOX0HnOEswPKIlnM5gqu2r67IXrnRBcYTlY HFbNSh819NnLVoAu7uPM9kXALOUopiRk/JJy7N03GgBbpzPRkW/AOlCo7Bi4DQsctnxWHLinVHSp aMlDDVjz6Y6bNHhtUA2UDQY1eIp89GjlY8Hgl125d+WnXQPxqlgQz5jT3XqzhvOlMP6dTdEk63Tz zq6eiPVxzgRbAAp8fKEm6IeNkiOOZL5/JKe9ZOYVOUikCDfQgl+HNDXJGp818Sq1O0P671UagfqF xDo7p0j1PmdMIUNBSUjx85Z48V2OejK4psyBr3mbAOQHByrjkyDZdlTDRzvsRj/8GrbI5aJXi/mO /LXhrk4lpyBU9ydppTznFYg0KW66CbsTT+lRDJkzGrydC8N46cZkO5vv88MPm1Hm+YzMIEvhS0mN NYRol70jj4t5gxbr7IEGI0hamJXNfjc3Mf7oNA8ug+tLZhHUAcW4QlyUk+fSSNySSFN6VNLpf0WR 5xdifqFffi0c83el91VSYuAoqzCTaq3ZNvXeRgbpLEgLlkJQI8GvdRNwNSgyC0dTToDms+Iy/qi1 QgDKOgjTmRKSR5gdjfh6Rz9I0RZQEtPNurpZFwfP6Pus//HVUS/P6gsZAro9X9nOH17iDIh5le0H 6PjuurP8MsqHVYuRcs5Pp90zJUESAqAhuB1qYyndcdG0KYIcbVztVQnCTEHZz8PKt9C2jWrZ6Tdu GC3heyPfgzsc+PNxPxHdwv16feQw66SdDtAg4xCFIieSfVtYkMYLdGdX5wpHud0Hw3Ar+4WQak7i M7GA+HIWvY208XHexZOovW4ehCXNsXElOPk1zH+YjMdmhox1GZr3dBeh1/sCq+HF1FeRwRsKuoBy 5xt16HI79GyovswuDLUhrJQyls6DdC/PKwL4giH1pH8SMHG6prGnzPbHeF1GnnSuTx3lVdKUFMd/ xU1yRngzKEcQerNnW5ti1D0ouZuUCX8pRSnZhWalHZIHPTHz1DaabK42h7EhSTGas8XopbxXvnH8 MMq25E3zXnxhbM9yJQq8ypa0XIL6Tk0wUwfCOJnX12DU88/FiEdMyUyYK6ezEHL1pFJZk8VJuCQc 7CGzC46hrr6nnMH/QOXYbzsefLY5hNoVY5LCN7v5ZVOHQF4Q54JZOZ8W4+wvCL0QA3WsUoVD0dHf f5L1hgArTaZu0HZC0O+DjUx9aPxamUU+xuviDEBO+WQGV95WcE0qSogmHdOqmJe7m1SO0p1V+2ZB FQN9sUCJ/NPmFz4lbK9UFhxvNJEG39c3vQRanBc4jje+expoiO+orX+wX6Buc4XOLqhkeSAJ9CxO aWW/Mbz1gAi3UgrNR3Il0tIJAHZ6Z5/3z2ER0pXHtH89vgY09dverxbP8I8PSVT30TXcw7G/TV2p djElG6k1K7+ol5LnnL/2uOBw6lzOjNdj9wA+QqjuB5qXVK2j5rmi9NIn0NHh6kB2zqvP3QeBVNEk JHHNQCAWiIupSiMyUnK/uzfCxLDhzspnw9AJbPQgSOlp2bCicCkQg4mDetiH3OHLb+wy0jlh9aq7 S0fr0H18AJnKXimeESM9oP80zUH2rrkxp8FfWrQH7GDPtalXvYUzUfLuymWbUeIw5rl/YvHN+zPG Jaw1ibMdjvG9krpZpLQzDh92eJx/3H7TkmIuKGzhdDdP+3OHL2Cg4k8UFPYiREepQMkYlkCcYjHw V0knd341gSvf14ckQwa8Z5UtYoKIdum7itlM04sWFvsuaT2DhY4a0U6GYrCYp6dvq+v8Bc/zZTkV ZG8VfNL0vgvk7Qziy3v2WfMoO9z0tR0ZlX0M9xYt2uQlnWhOYQZ+9DLap6Fc6odIdJFqQO1c5trT nQBqUJ6eiX2+icgItgm+eHxA0IACE/UbmtOaBxXoJOJiavmsjOwhucVzEZ1s2v4eOVfbe+67nxK2 y3RqTO9rc3l3dfHDh6KCNT9w0jsOcaCzvs7OxAvNV+yZ3V8VEh7TPgx9et5U6AWklNsPJ83qrYWw l6BVtKT7mAZaUKq4eW1Z+gAbMK6C66vqTw0N+MUVWcWTqPhmX4unhFS/Md+DKNU9RzjjlFH0uMIu QV1JddTzhhxjjsvTE9djBdrivNPTLOm5s4v7ku90z6gsOe9eXOlcFds5lTQ7uuyPgDjhoYKEtixi n4oviDf4mQ3MsZA9yaKrqKXcyOzXpjKDrkQm6l+FlvhqyUW1UUwRubpCH/9m7I7LjegdQk2flO3X oZF5yvhnes1LgCABavGTt4f1ZhwA/JJ/iV+qIK0OmkOMOCKDNmxIDdVunEhMQW/IWgmrQS/8K3Ac fbncxxq/JX1lJ4TttRfVORfVsKqefrYXFgwhMM5NG9n7autEKPFvNp9TPOQLP4znQn3AdT8Xp0C8 qpcMOYizjHg4hXqhTP+yMR0NxVep48R7St2TL5Y353G/DBtxHpmKKqe6ZKeYwgNNGab8qzP0+Ofr qkE+6Ver6DQhDxLVdvoJ/fmreTM3K3BVvdN4Foybfcpjtvj3ZS8A2Ja0bgo95SepCVAJmRdkO5Up 1DTYrX2jkwQeOzKjM73YngIkLgLQWMcS8BCB5zb1O3R0lktBhLMiQQhs0rb+FFTnWavmyUrnCSiN jIgbbxouXXKBjrQfygooBAlD137Q78Vo+VviTiExoLJYv3/MfCgm/3eTe30ToD/TqW3M+1VTxNL6 zVAI9Yb0JLBXNmb2nRxcdUEXMhRsmQFq4PvUr3Vx2WR7IrzWZZS6w+f1EadaApRwf85fGbMOU21H l7BSbMiAVYCtLiwPOKldrgULBWeIDLRkJPWj/wAGFNwdawJNq87wwJJFAuNWXEYyn11CleC7UVpE NNdxJ0Gt/iVhlw+b+D/tDlT/D03gu2tjV2kWfX+lHqn2h69H20Gy68yz8e64D4Ktkh8h3c1QPZ/y vYLjO4z/ddNfXwc93zD0TKTYqhie4g0xawwVhvHBWqvHd0xP3bXOVhA+d3fHBiLWDARde5u96mxZ Sp0XVl2T/B3IziYCl6fPqLbLjmjmXyw34qP8fhzDhyLEwERNcuKoSNXDZmLk0cMTcyCP2BJsIWLV iLA4sQEpfNrFfmo4BTwMIojIrNvfMaSRrX9Heur8TNzBJvnztDKx21QZCrnp+KDx6aedsDzQOzSc 4BBhqks+agZ9YosWuxgoZkT/66AFNrMaabK2xGr+Ago6c3GJFMiTyu1l1uCJXZy5yPN28mVwhC5B Zwh8pP1iuacib0NgOSy1O3VXV1M5+iqIIs0XrpcRubte2zrOE94ZDf30+0RqFdAWNBIE0uIPFKFc Vmr7mO7Ro83vZY1gj/kHVr1X4Mg8PSSQVn6KMtgyTzlIqhT6K6DoVRJ6CnuilYVVGeGwW0UUmpQU gmkVz7Rbxl+jOqmqtSwGU9bqR/M0es0DZiKe/dc1lxDpoCSY4Ff+qpwtNL9ik7anrP1lLzo2UgFk azB1TAUsE0j60fquyV97bbA6s83A71edWRV9gHiYbSJvpxXVBa2vl/DcfuPOBDD7l0Lr5RS7xtQe lV3ejlSwmJGQYe2Z/0BKgoiXwgW/rUyPTs3SbMv6ZbX40xKqpwZT7TR6HUHz7fvcXo3WRTTwuqim GOoKF0REx/g0MzZj7vHHi7Hwo1qwi6BLaOm+ZmapDU6gUu53DWYTHp1DzjsJwOHUWZdDG5GAAVjr GQT10veQp9Q2Ao27zaIDcS2CCr64pr3feX5mLJQFrgSwDUhbAjyTRAT7mtQMQR/j/ZYNOjW4DxDZ aVh8s7GUSy+NCKfukRC8T3aWV0+BmB48G7dLnYqcXiB5LS6zh1QoQzfucb+RiKP347f9W5m6Oh7F hS1WGXQMkTIopo8PBOFqgPQsV4g4k3Zu9lNnVIX0pN37ex5AJcfMnqD/O8Mr5i/f/tw9jzMFJv8c snoNIm9zkJkSbOwc1j5LBWDX521FFR+6U3/RKHo+/1mchk7IZGmonM2yWD09H+lkWIjewV7qszi5 VbNFlPsdOB0bKKYm5cDLyfXk1VZBN58nHhVx31sg10gYUyaoAmQgl4hTcNGdkfD24BOK1C38EeVM nc7WF8ww7IxJ+ob6K7dFmKVAznbzyIDcbKAIlxmw5qCkv55M7A/SfrbH/uL93mxJMDBCq0nyM97Y DLbBN50UtyfKBLhpSrEaXmgZC7kXuikoofwRLAJRhbhZFqEVNCzmiHxReqzi7s8QNGGcyaVuLt81 Xv4zi7rYZosX8W8AbV7EdUA4LPBZoOZvueg9pjHU1TE5HPUmFiZNNhSTZGQkjjF5ONMm0iVLmIQd 294MItzP2ZxJ8vqoWTC8kGEGbvTYGD0GgYWDlMe5XFYR241hP+sMg+Eht5vL1vKjM2yHnrur0sDu 1rGZut85kwpMp6Eep0cv4Q3Qlbm9GC9LS49p/ZhYAIez40cEoJF9QyPdbsSh3o+ox7TUUGMxP8wR KUievjzc6zCWd7ebj12MKwRfAiqI5Q3z8bdGPVCJ+8ggs3t32Tsjj6NpWrD5NSQUCUCOolxGG0Y2 vGhJL/upjjDFuO1MbQ70g+wOF/rk3NCdh1XulBDagRx8dx/GCWFjd1bP03TpcOIop3Zqxty3osCX D5w9RkpZ1pYFH5Qg7NZ7wUZfLOWhL2WeUCqDJHCOQCH0AXIFg9XDmUR1+iLVVZxCLXHN4UIl/SZW Ek2m1q4kFiJVFge83hLRKRb8Z5bqG89h2wJTEwkuoOLvn50s35xJmrjLFx/pMErRfFhMCcziMLlO Sh+kKcxxdsZgCbb9xFIzO7G4C7kuWktQsAwtCQm+tdLtyEbQuMoP897fFm6cxgWObu/edb9IFuWZ Eog59yUhI/cuMR8H6EUzMHWlNcN+Y1C593QVgVfIZGhH6zpUvubzR0YV/AwHUnHZfPnikcdpr6YW OF1rxOWbgZgNaeAPH3TlG96hH3mqDQ9UpB83gwdBKQUvpm5nLQdBP+q6vfTYH5WVhtIuVDNn+1ru 44Bm4HGKajiQ3GTH3JUSIigLpQX+XDtzPAwoefXJsDV+K4/XatHL/JRn7Qtx1VE2fziKsYxfnMda goX3M68sTcMywvPYSb2heklT015UrxqNNwY8W0X/kjBnWOLOdOPtoPvIV3l87HKxXNs+1JyrbyZ8 PAVmfYetyiUVe23lIWNbQ2TIiKBDlf7kwNtwC1j62C0+IqxQ1uYNi949vw/6ltlZJQae5g5FY+Ck 0yCaP8haRIT9H2kQ3i/wd7nkh9VoLKAiq9Wxm1vmQVNZ61GpN/O9dzlPCJ4zwmpwxMP1Y9BbSwkX 2NXQ7tXyOgrfcBhlYqQZnbE5VjvFNNSZja+pOkS8bRK+Tbd/ocvtVn7pogIb8I9vdpD82c9NUe45 wzUgl/9UApCngTpYdXSGLPUaWUZGeOBeLhZrnrLkrj78UgNeF6jK+2m5ep3zj0HOqm15Sp+Gx6WZ kTxQaVYc5GuVBj4bmvqCgzDLUNBrJrOh8+M2ihmUBRf/pdmhih7LSKZAOa1Nune6WoWmLp2zTxZZ o0+gAL5qdSaF5wQJBqkibienzkmO8++u4gTYQOwy2XV6sB8KWu/RtJhpdC5NA/0osWl7gcAxZ922 fJLrmGmAio4XnhO8/hr6BoHf5dIOne6//WBRw1jsvvvNyF6cP3jyj42mM5wL9fe2lZGdSxmVyo2Y 9vSdnwLsTiJqPBOP2lOdadyEZw6NUglT5icbhLcuItqCWYoIsQLSOGr5vc3An7x+l+FbAHO1Qxiv 7zJ2W/djtJTM123El89S8bqvbm45xoZDp2/vTaUaL+ttV3ddQZDRr1c5eV7yWk4sYKSCto7UAqzt CxKzLm/zwD+FmIxsRmnrRTySFN0VQxw9O6XfGXD2bo3rflWvl7sD03z1jpv38SPaUGBh8aT504M+ kueFNss5vkCQWQEINWgAhEx92hIRK3Ko1/2av0EiCheI9arOhwQKjh3qz8xnfYkSAHa2UMU/0/WW ln+1Q/mL3z2fW9cezTJyx/+czwhv+esbwdWZrl6U8jc7oILb01+p3oDs8sVCEXdcQzsDHf4UnFTV EBKANaB8rsuOrJaMp0kXwnZpZ5YdNOJ02pab83LCbZycWtZqdWRHucANkIzAH9Y8v5JDVPzhlP// T2XwrKjhEEuD58UtxVo3+yY4ywLVJE5u0rrp0TeJduHUquczD7tcWV3na46QroCNZ/xJQNrKdEW+ pQ3XUXCicZWFymlnlFQM7LF8Wzo1xlSWiQinmiPdk08+TQu8wV/bsKuKeTbkj+LaRlbIZy1z4J5P w0L3m1tWikJrvs9Dvc+He967o9xguDOQCno8egncGnDMbJ/cXpch9oAWNy61yWV/VmmkMeFmRgdW X5I9rBjdBEtmWGP0y3NTCmbByzBbPzBIoRneTfD4Hj6+g+LNGGWkX6/3RqUcS3Ho0vOWnLGvQNzI J4iPJcssxTUolF4RmIWVTjVa8OuEH1wPqqYBFO3H4rXgam2MhhJClJu+/B/JJqU9yTqIDpnSomBG OECzzMqKCezTTu2v5Ff6fFCuOqFLpG9IAfeFP6vKY3XskRauA+plUCiK4PSev/TkvjObn9r+RNSc eL671DeoI3Pj1niBxsf+gWM7B5FqAkW04Ed3elKZFx7tcpZF3nhhlS+u9SPxuqn40/4zUxzYOZiz MZ0bRNgSthOaVz/Br1YtAsp26wvju2W5TNi39Dam/EDQSPzcLe5TL41UX5NIACeyM165G0g0NFkf 6uaoxF+TM+JIZW8JxWFt8GDaTdMzL+kDV4cytRpD2JnOqezFnBnqW2NStcHmQ5TaBuLv7letINz4 Ec/7keb645Qnqh94zYfVQFNqMjNBndccbsbtsl07L0becbe4VsfhxdgN8G3L6heDxFZlfhYlKopF /3o8P6uvmgX4fCW3ro5bPobMDQIRRXFRL+9Wy5sVjiuiMPTylD+2Ah2DS2JmC3QDN9OjNq3Q1HSZ B7TuHMZvYf17mwWePwYvVK5hlQrSrTl8611KEmTCPG3KrMoOg7AR4WX027oLXub7UrdxCbqmaVj4 sypv1mqLJ9ONFp8Wfcivd+cXVeymsyiWTXL0BV5DpBmbNFrIxeuzrhuu4juwTAa8sYdmiTZGI1Df 0u4txp2fcLfng8kBaSuWWHj8oRfCL18x2clB8xbb4EjcLbxtSHXhOviWMs1K6/a+3Mx9w7DVQGcs nvY1BfevAm3IRnv55cgoEgOzf+G1HSFDvVXvK4t413AIcj3PoGb7JD+76vYmfCkQa4yhubx5ZpPo EQLCMiibL1FP6LwrpdCK0dNzcrMK5GZz9pNHqx1YcHT+Z9YULdoUNXWip+80+tgHPTUIwnteRUX9 gmn/PdlTeVUD8LItMpa+jiY54zxLmWTxFd7FIk4bCeP9MWCFqzKKv9CRxwnr9kOLQYoWeY0jHhjB /fDN11+rYCpJkv609i50U90tex6H1RQECzo7AeDPzXuy4QiERpilr/pna2+6S+R+IEs3h3CmiHC3 E/k5wQVo686IF84SXXRJ6EMHGnURdNFoBHuI+xUlwnB0hqsKmdAw/ihASV/AjVrWk2Vy5U608zvo CQGsT68fIqwBLXGP7+E7rGxOYq9vFW5m181wWT3rStFVKDbbSYEQhQh/bdH86royzZsMBbV0gFWo tg3hOzK6z7rI/fgdW/qeOdII8ktOp2UjPIELAgrFfmWJpZCCoCr5dAeS8S/Lc3nowscAUVhtoNmc 5jGJxcJVZ6YzLHEDU1fCTuVehPeDiv/l9/FNN6PYsVzo/YAD/6Doz5CDRJmtIWBBoAspYy/5s6sE LpzFwsg0OSGVx4+G4y97s8Km94+x46JNTCF5DAK4fvQOL7w4ewotaX3K0IsWTVDzpKe/lyurDvCe M7VS61BxtMuoiZ/cVP5LyM8lnW8eSSQ5qHQAxhkwp4faWZiJIkGPLV1ieu+ij57uVZadMayi7RKn KTQ+2yhuI+OrdThR/zoyBJWUkaBtNLl02r7Pp3y2TsLNKrP03iTHe6lLwygiUpa1na37pzDtHzN2 wF7n8MDUVvxrSG5sECu6PjfdFxF0DLRhyi0Hfi2c4DJa6Wl+IZABzZZup8Hhbjr+T+atO7T9cKMc T3qGn0AzQQYvHv2JuifOYWaWDJONQrfr1o3buaYzkuIZf3wwA8YdOdgoQgAMuyaHhphqrrYGECoy TNGVvAD4rlXznGVi5fgpj764SXFLfh/zEXE7uIgZnlvdGodrRgwsIC62fYHW0m1QXvLTP7tCvINV Meza38YzZpNCRK7Vd1XWtNDvoCAMzRbw6TycE2OKJiWsNawKrPhm3YM9GAe2g8ReR5cESvaHqAfF lcG//+1Z4ijb57moiHtFGfVLVATDSkm8JD6BWUzQXbUX41Cr7qeYSu0N8odONYTT4gfzhypywv4P CuJJ52YITtgVUZbF1BhnxkmNNQg8gKwi102UT+cr2pq8XGI5Pq9XBvU5aoFtUmNQmRPeBvIyUvKS pfEr6Hsh3zokwD6PvCJzSYfOjQtUFvY4ifU7zAzZkc6dFnHVpEWVoj0oSN00ABz6TCnzE79nAgfQ HkudGITpMepKQ4yUvdJf0+hkJ3ZPptvkURcoEJCULjmKC65xSuPA9mSnldv6JtP6PFCTy0aZCjfR P05r/XCARn88tzw1Wq8f2Ks19MYHiC62g5oEfkq9vkyK/X1DLUkfB+5/5EFNjfWq5xKu0pOCw/jX 5u0s9vo+VvsqZrBiKT9eWvPRMSqJPUt0zPPp0J0XhWL3iSu7oiZmbDtWZe443dQn9DU7tMTXfmJs FRVAdXItC8Ea/LN252v33wyHMnCVUJsDLGOLD7k9rU3N9XUN3wTaB5abW5im9+O4L9KYcROzMDrS 8SLfZ10Frk4/GLDjguGBhRMf9KIaykzJ5udHhUcZfo23vEtruOJr/xxRKfTUvftn5XmWOY/oeqjX tkfGkHl3q0CTkf4Zw4XSnvqJx4fQXPecThdUPtf02oEdv18wODTJly8ywpAG3YtH8MWXMkZ7gFrp u1VJO+GiSvqJEZ+HloCY9d+VDnM1c/IbD38Q4Uc+uQz5+yZATedmRDQ/6ByxK5ojxKIMimlT/mdV AlcRi48TUsI1kYBvhizcAlNjEo3DJbHZgLrXzpKAhcQkNcOv1S9K0EcRQLYCR9mpzAKaJ5g9xLh5 5MTi+jUVHFFK31GiFo1qPsFMEbrhnEvysxkMRqE5y4cORiyJqHvIk9DwEBnry+cjSU9/uM3m+Xmf r5EW8qmkyliKnEDR3HsfHkRO8AMamcDk5ghBRctOjN+7c0FXtBaEH5fc0Ha9G9Ky7FBm0hLe/yMR YwhZdZrcLJnee5BnIPYodBxp182fGMfDy95dIKamkacYb97LPGHrTd/97FMXVzgn4cHPZUAUo37Y F7pv0Cs9V/QoB5jCy5xJyQk3BH7U6G6Mm6zTTNdsRjYyHtcN2u85/3OZUQcKwq1xzHKtlhWNJzPf peNTePmJC/ZWdPSxvuaxUt7Qqgj3EX0VOVJgde5+GZolQRDa4GxX1/1Uff1/d0Y5Okr9dMf0qd6Z KUl4J7O3fATjxveE+l3owfbzCrgW+bYBHu0h9UsNPHe41FWV6vLtLoX+Yr5mIXJq55xkFhdZoYTr C96vJVf9XP0ojuIbB917UazlEmwpBXZCUIwu582vcl25ob0FtVB0kx0X0vSzvNInZrivCq1l5FOy t2ZXZcZEjvpzhEiYOc+RHNk3L67Rk5Wgo9KrYa5PqDZlZ6cUE9yBoGMdA7tIpCPyPe+vucJlVOOs JY8euoURYWIkCQRw+ZJ1fa1eQ/U3b87Nvrwip6wQPKepjv5OQX6nHBUoyhls5kKcKFT/FqtvwxmW 9XsXTqmJg9IQR8t1AKVHkJLBfTstiqxXsE5ketDf6p+IZvaL5HDPHDW6G3QV4IbIsLJbAUBIoksH h4yfryxUlL9QH3hXiwqPAvCs0ahqQuXfuX/xl20tkF4Yv2d77ctZR/3Ul/5BYenGJkXpZHg6IsyP jySUNpdyQr79aFHZCmZMUGVw6zoKNVl9I9RgBP/QXe17pA6T/jnbH0EfP6wRJ5LmHpUojanO91RW YPhZN8XoqBBx/1RA5utXkVO2OYTRIc4XXHn6pdZCoQYMY4QgxhwU+pfQtuyREjhZRbzXPEDj9Mw4 RnrDzGEfF121hiBAoTjpq7BHxikRDZ3ScWohexHH4Z8RY1+q4QbQbiJEaSiH4mV+9nLnS7rr8kW6 juA1f/WpfS8OcXifIK/Z4Y4H9dFn/+ijms68RcfuBcXq/hkFnR+ycodrdymCCJwGfFgcd4SHq/Kw l0MlJmoqOWC7k/V37iM1LFPzakLoEckIOfLBjA05MN9DZG2/mU7+kH5tMZ4yQrLxzBpcRvlvQqPr KVUvGBf4Kg5j9F/AACHtjKNa2yTmjTyPiSkpEMjU6NsHi+3hax8dbZ5oZIDt6p1Bu2rQ+mRBf4bw muOHRAqU1c7uD54nq38PKLybJf37C4xOmnaxfcuMkDHI0xpxqFE4LWukLlqLwZBegs5/yr420ho4 5m2XIKYA+/n9f8Ny+xXdEaXJtHGjs5xAtub7G4i+H1AGwregsfXSxUD9lN59uRJEsS3fG+UTfOfo IjCUfALJGWCR9r/6Dy35QAKvAfMNtbF92qi/4PJr83rRZF4qpTglWe/kIqs9zgF6ydXEF07lSQkh QJ9OfWdzY/5jxz7lFMBgOfcY06TG+GTMFQThJ5vzF5ZzPBma1n5sztDkGR8ExzShHEH+B5yIkjyD g+/45vclrK7dPOjB+jsJKgwfsl7FnBskZB1bR+8AEYrtVGdYwLi+NRu2p6NtA4yX/MmsE3lUc3Vl RKKBFu4Ob5uY/AtpxThBFcJ3oOCBIz3pv8P5Rg4X0ri6Qc8q3MttOe0C2etNLs7Op2hOBUKjlkZs Zo4tYs0w2y9ScebAJK+PvYi5cBk3C1KDEgw66KXIJGjHXaNKErMp5+F8eUvs8LIkzlLJGQI3n1hJ v5G6pm/2EKJB90pm81cPI7Xz5TQFQzLP2RgXCMftqMdqVhoQj22fcPwnzuuIH4WeH+ywh+jkzP73 0gnVVwygJ6eBFiWk0H1rDnmCUTXXgPZ47znw5ue7CZG53uKZ3aHouxMwOS6zgmc2QtiekqJoFGS1 vcWEuDXlom3kzNnJvdGaMriG0ukRvpFBYyDDbi4+PT/aQQMldrVLdUhnNjiI/wsqn8kbaKUhKsg+ 5qIQ/yJlsFuNdMBq+zLe2KytZhoEXz5TEzfkLpOETUE3gFV8kTtgloPUFXspJTdLP5dr7G+l4kI9 WZs+oSFd0DhCIHzjs2j7eATlZapAPFJh1AIG44iTvfU1MbWxmGtyIkuMVsuw6+SzheXHPWS8ZQ6T R79O+ux5+4FMe3qJWL2rX7sy8oir7qXXjdES3kkJQmiG5/Qdk4lJY+HSzHKFTaOhW5oo35RWtign n6KxW3H4c7b4dZQNwoLTA3+JVXNMnabKpRHSo+Rw5P0iMDB+gfNXJenJYmEN0dq1pMERqAaxC6TG cLSCeI6kiTTAMNMf3LeXYzeBazs+rgWWlHyac53H5UmLLHrLgCzR+kpoLDTrPau4vCHliJJOG3pA OLYq4pUFw0+xM4uIkX66hqExo7nyUBO9UUn4wSS4vjrUBN8v+KMXCJxsrUmZhGx4GLrGIcV2eNuZ s7qLGSpY2kNh6Hpa4en0IkFC6RAgJI93vMPpSWj9BsDyMJv+zv9raFfEa17SRiKIa7QAGC4Qg84t esRWlLoHE4TmK5nDf1bcIVqdysRtrrbq35F37YGn2J+bYW8VRR0gklef2LboGufypaCpvWEq/vk9 z15YPL3SGhVF1nSdqmCd27I1Iz7342/l7keWtKTfjYpOuN8h2EqUnbv8FIJ9+ChaE2L1CFnpcXco KDpEYe3D+p8nCd7eWng4s9oRKe95t92MBkLKHQVyrcwpPQspn7bUTXD63+6IfWS3SYS17mZyBpUU U61yGolPHuAS5/bv+jOj5C2lqTeWuGmVmorFcvYWKngkRrJ6EqwB4z4MRyBZAnEP7lcdUyp2sZxI FeYIuuXKVndkroLA6eKrYWMVabVq4YQc+NGLaXX4HqQAlWLs7SK0OrYcfQqNYZlvV9nqg+X995CH ZpK/BjUswdX7fDDXQiZaOY+HYdioVKMY2iygUZlp6oug53FmcFESfbGA+kueAXxBLSkWwHPQ+bZh s2Lxp7XY3dZhKjyI3UTVPgT/+yXINLcoQXkbVAymA7bPRRKjJ6dwi3HKHG8EqA3+tLmEawn6nyp5 MTMekMfKebPErV1Q7xTRqXF+mYePakdkG+hm9r+fRlEomYghylQDd3QJg6JxZAJhBCym3mV9dH0/ 8mVTHqDej7bDev1tXl60GsSUwwNdOEBDBgNNag04eDhHvFM476x8YsurLpzv5+cllo+TVmTSewro m9j9aSB9rsOZzz5EI9fVkeSEjoDXQh8vdtzJMG9AQ5IY0Y+8p4Jy9hTLXN3Cn53zC+seYOZDPDln CC9Ss+Zm9DiMgILJMpKqbcFnH+fchYg0N7udlzO0AR3ofPzl/o6IfuFtzKo/aST857zFmweHmD0m zz3PEdYDffFcbWY3jf2Yr9fvwz1mIXuGEQDyoUN0E12IpTTuiX++xjmu1YJmZY0W4OOMjjI/bjoH xlTGP240j1iT9bgxU2QuJT6pdmLoDE+aMGmQxRY1pEw/rP6uq79jQCOm4qmozWwuybDvxuEv6apu TXrVh1xRP0Bbc5VNcHnrP3mASv9AsfUX4pJj1Lv/HxdCZ7nOj5TevJGCtS1o6GpAlomBU0YuL2zu 6c5+3dbTgv6jkO5mQtQTkIbPXWePAXShcflqD1LdmsiKCpQXZ26AqkpWbcLqrBFQzW7eD6bxZITy bz8UZCU1R4EbqyKc+PpoLQRR4I5UTx9Chvzeh6XN7BbILlPddqbFJn4PsRH+Kp3vO+uqc24z/Ngz TCHPOsxrTpyvLXBcCzmUNTobUK5CswQTTl5yWKFJeYJFubT8k11tnYYeyXZ4jdqAaD4SIFhD+hj0 PslS0YrCYrfsLRgeh5hCEYNAipL7aZLWPpQ6rYq23W5Z4fhtDxwKaYu/mxTMq5kp6Mj1Q10Zy4Ww OIIfcFvyxTnu4KhfTpwF06tnB9seAx/NUlX8zY27iF102MS3ugeWAUmL936tqhJhF+4eXUSd2wAE 0Auz5g+rHDnRkgVlejWYxP7OyAIQiPltBXuq3CsFqXw1dvma2hUFR+NWOVCLtNd2GC3VmGzKaaIu RCG0Wh/Jx1AmKAcT1YRJy9dR9sMudbLNnRsowwx3VXwX6E4ZqaRXgyUukGxfurqNMe9ISgm6aM8Y YfUJ8/k8IGOCXJSvMmSjoWelFnN79lA7ceiY95CBaXlR9KUHllEwAezs5tQvgjSiiNYH/quB3A8R hTYOtuF9Oo48ztUwQso1at+aj983mvATrOK6D3gLUBx9bh3afN2C5odUn6VQlKwmT33jATBi2U2A n3twoOfLsKvAhR3C7VZ3jEdM/zfttXRHryQonSaki/PdUtGhpWIDjZGhnG2x+TUXf8N0rdavOHbd 1aNiCmMscfRKhhcXhLwbj3cRX1P/duXikWJ29neaL7Dy+mHTG6iDtLo9/jZeL1Uwj4K4HQqo02xD 1dmwYn2rRLs9o49fyklzHHj3Mo31CCwKnj/WUfG+Y1jZoT39vKj1paneXrWa01mirBOYewdi79JO xBzKJIn6e7Hknr3KMRFXGmRlJTLH7K2+J4oi7FwRh/n4V6HbNYxYqg4FhdRB1kCoHrElJjPKO35o R+yTDRhbtjhuRRkd+B+Ok2wn6sMfsgiNwmjVv6xM6UCrJ3eBeGbHQXX1NgPxkpd4yriSUflTNdKL KNmNhCT10L7CTola6libzPC6CJ/aUIuqYcWkAg0+wHQEdXXQEyB6TU1posD/38xFwvuag2myQpBY uD8v/Dw4PoWaHFHA414G1cCKiiWkfaVwmMv3R8IgHK+QYg0EjywzEmI7iyHAyyUCA7al0r67IsoK Ews7mUha++Mxbwtdt7UvQxstL4s7Shju5lpEkBJ8SBpD2pNt8m3H/mICnDEuo2M+2cuSrPnJCZjQ PRa3QgUSnEdTy/G44g9N/zh1w2RzvCqz0CF9HXFemx1wuSlA+3CB2I+U6wkxr80blRibOo97sT2V Jk7S2lsawrtbiFflVPSTY3pm3W+cjLqZYKQCtXxwxy8cH3vITx8hECucQndWhknwUD95S+OZSfwK dwZJgQkeKnxbh0OWZzz2npMFbCoSutMfn3vHwgIMIaANKq7OJNfwU6sWDiAphM01ZSL3UKnWkJWZ XGT9Veb+NNq6TxFR/1GosJhndQ6Pd+d0Svcv5kld2+vbgGvGsZM31jzdqhpXSTQYcCOKM7vmEjcZ kCSkNcInEo+BkuXd//gYLNlC2Buc8UGq6hFkNpHwxn+IcoQ0ygyHRTpc1uNeMkBh4Jg6q26UTwUS 5NhX7ppv6pwzGpjMQpIhQ1kCSJLYZWuiEzS4yxN3xJ8DV8s1TtQ/SbM/Qtc7r0lSNTcwkNsS03pW 4y79J6a9eA8Mt+7ZLc4mmmMwZV8In573VnVHG0qVfjEmvUJ6pkKhCaD1mHHUMgCTKQzkwKK6ChWa PXfHBoNegaCmSKH7dJYz9xnSr2ERiDv8BK7NK4lt/oPn4MOZ0nSqE4+9HYzSXHBf6QKJcg0yQs9o diRROZ7LvkuINWQgRX5zGMOsXeqbijVBrs67xofyZqYSL4wiZnSHHYEGbxWjcsjfplRYzOTFDjwm zfpHwD6YcVZ/ABm5PLsgjbQ8ufVY36zWN/vSItIXAVZ9gfTQRhnAPdw+7/b+d8JuaK9pG8bBYFik 8GUjne6rJqrPvUHmBL10OEYkWzbmK2rqqoNg/ApXJEQp0Ga28oXrxbzo05yLecuszm5EV/z/sSmZ eum9rcBsI8hmifadrovs9NeiCD8PkYcwwL/gCCKaVWBClSv1MEENZZWxTc0EqWZpLRpG5OLu8m6K UGSRa6qvQbSZizuUUoc4HPGOuMLe7F0ACu/ZA/LyRtin5TdT4BeHNgbSaLhevXdsewaEACqlnTTd AjlzT4+sMcpAnsF8fipUqJY3cx0vL7OFKsR+MBhTQ4L/nMZnC0KpUxCWC+WrE+rNH0fjPibOQflR gNuV2ERx0nXfXMvM4ZmluEGNGhtphderr0Dc+P/+wDgIgHYM4b6j/JjK9Vet8XHxsK/gFGV+20Wd KcaNUNJJqeYlyIpIvZ0zaHsxkfafaH/KcW1WxybIfs47izJqfOOIWDDxtCwGfaE5gxgIuilt7lMf YKF3XaKsGJLrluJTZtr+1X/agpWS3Crtbc6+okgbN20EXJQQJ6KQc5dQk7+/bN7E0uxymHkzMzZC tAsi5i1rhXYzb+XeOEWf5S7sNiRrG5IvAy2AZtQV1g715jHtFABs2DNpiXtru88T/DZ9FhWqy50x RhnarS1KrXv0oB/qavNIDahHTb1eumwfatsTEB0XqzOJ+YukVtWDXz7kw9W/lMkhwlGNSHsac/lw rSCmngRqvkOVgdodPsVqchBCjMe8ZSIuFPfvYcfP56H6KWFOm6zllAmywp/cEWcVvmp8qz9qdS8M tOr3Zr/Og3Kr52Dswoi3BEaQmpxE4OWJlHvZZmJFkeblQlWpK+fCzq4nLeljZTyCZv69VzSQZeqX HrlCGWKhp6A3dxsQ1FPeF/3C6g3CKmG37fTHO0Btr7XyiaDRE1r3uicjHHBqe00RUy84DAT4ZcNa 98XUrEkIR7DBjhsqxnTPJ8qTadOEC4egAhgJkdo+lf2gTMnj2hVZFAmQ8p8D16fqiGYqLO0PElek EOkSQ6Yc1ni3LwP/6A045WNWVBCctTFuE9kJwbWIqCxgdYUJ6Rs1D5MD+eEnuZFDTUV8v/ERBqTY 0CnfEwW5u36XnomNJnLFoBTZDNFsoxGTlx4lO9ObC2/FhRr5ukeJN4Tl56oyHBbRUEaKI7kbFSMQ GfqiFGGX9uSJyNYxP0kot6LZOv6OmPWqkZidnIV5aC/46A660263wwwDd419UlaQJuYIDRzH0Orz eIRe3aKhiE7NGgT2950h9r9lSxtVbgWQXvYH6lpbu7yfwfZCloy7eLh56w9egFzKbphsZqwrHl6Y Woin/C4h4VWRT6ymT/6wJSwb/N+gJYKZ60vfz8GiguQlk/fhfA0tZ5RiDFcbi1c0QmDAWXbPXjcM 8Ol4Z8HASrgs+7fVGN/esyBvQd66jaje1TLAhXgrW1VgJDEXB1vCl0eTwvSXe6NwUF7IRWqAauTE bCFAiNsiMDL9Bf6lFXLUzDYuiiVRdcTm9os5Ie+z50Seoj+Rd6nXGG7whjFaxdq+bIYMQq+A+Lkw 13yN8VLEwZLzNJeKdgPTGMya7JEezQT4iEgNBB59PZLC2hXKZxEDKu39WP88J02RAA+bJ6Bf0GqV 4UAbQ0GLA/oXMkLbD4xuPU6Vq9tc7Jxf855oLtUAAD5AVraGHChQISL20VHKi200qmGfpYW6zpee GTqDJwCA3SKQfJ9TLVPhgbPVLhMxsgia3ph+5AkzghKvsT8HouTaFXR9T8o/XJq93Gt+0ncImwyi Yp//I7UAWxFhjaE1HgSXrkCxbfOAJIt18BZTZK5jFQJL9x7ZcDM++hN28eTR5hMfB32BaNQBHvAP sp93Q0w9P+kkRMWkVCaG2qyRZBq3+6O8WMXviqBoDIBcxQ6wHHhDK4l59xVkuAPVaqUd5Xz7evRA T3PX/tobRtJE+kY5hseUnQ4SRVl13JU3wk/8+IEjoTd6fh11UarXy9gHA7VE6bzgZCybsakEVT8n KaOejc8dro00X+IRNEmKu3uCqNzmGKnvZI5hg3s2swGhvPplvJKr+RzjoDeBRzz6SjIP3KISOgn6 J29TWXBedS+WMIv9idP4GRTDjmlrS4qrSveuwnUzGAA4Sw+tnGRNIze6lsEf4H9lfXSbTNmNwcYe RRpr9Bazjumhyq3azHb9d3qYu8C5JarLL91tYotfCAAPHsdWrj5ULy5RTQgs+W7EX+3ql4KC6PuQ gXT74g6/aLEX0xV8jEuYIP/XfQk6LVVvVqE07C3Ld/GHjIeCub3hfwUb27mlCzVPySYuWAdDsXXp KsRWpqq79AFqq/BSditT+HwfgzJOe39SJVnXwXbLmW2iCJOIkEg9JQtGEu54d/tjJgaqG55gKIzY fQmZM8pbFBYtMkxsmSLKZJKzZloI62kxW2DszoawEpWiLH3SMAdTFQYEiyL7DLvqb/VpeJVZ1FWp kEXTgq7RipsrmSB4FCgBuCoCDoYtafFU7X3rU4Xem3DMfJgyNF00GbdaL0ab1PsTyCE/RLl3OvuQ RlEBKdpz9Y9OqPKyuZm/Eq+gK8USz9GCDW2UsBdh+piVVVXW0XeAqeXWSGBezUdcI51YuVCT5FPL 59b89RLQtkJH79PztfVOKq3B6mmK75rN9OPScDeTiMa20dKfoPoZBTndrnXM9gRO8H/8dMASQ44r o0o4AbBtOwKwsMfnOdpWpnNH8KAK6gLXGD9F5kKPLLzba5Diiqitn5ahEtZRQIE8QNa4fCeb0tdo aEvVQZm3dD0bJQADiMZWVhZsh7mvsqxSjLqtBsevdiZqKBtlafl9xRRncd4rFDj1yLyzGd8VSDJ9 Yy8pQmVHwqT/JS1+RXjx15lmKelZAXHal5PWfWO1dK0ISH0IWnveyJISAV/+/mOutxOeBYuvofJS 0S6/NYgjgSgfq1i5r1/Jc/G8z4oVNSmICvAJKM287bg6xEjbp/a0dGb8gxc8G+43ltVINMHhG9sV DjML/u8G+mq77TXzxab9gdyW/8ug5hQFS/w09sDka9zJeR7DRz+zJaMUQy6Ld+LlJuGd2A6pkrik UbLKiAeSb7cYTe+R+mm6rDcvNb3wig01BDwmo13q58W/S3suZ6zXcEcxR5asCy/t5SLdjsVWS03o xBDM2KOszF81zTQQc9s4qxI2cbu90Mp6Osvcy71mcuZ3X6wrmTIAlG0++HPPynzhRTfh1botxId2 z4urX47mT1TBnvsOQEPEYRjFEsh26wZsYbFRO+sFdwkOYKsbIttQG4BoAdgJkZ/z/LX5UbnSX2QC 7JESOgI9rPhLGun61qfo6RoLEkMbIftAYhXwr2VpDwpE5vAZyzpiALVUhoU2FManliMdkPG+cN7B dbhWQ8eu2ZJpldBC5WYdu1el3HGsCqtPmOekTf0iZJ4EQqsCgX6U2EzmkWSkOM61vcwNRt3/loD0 PtdslmCpM/bW57xUwvXwYmx1WgUCI83pqTc0btlxgp1WOFSY/GVAX7IElvV4p4ZB7eLu4QyW1YzK x0UagjYHI9x+j82/+psMbndaawVVE1jkXY7oirk8/j1oJPXWYNofPF/4aWjZCF0EERudMDq/+8fi 6z81w29Oi3+6Yy7mhYKSPDTd/KqzeCBIjRCKWX4sfwaIdxdT9H1xKIOWh81E7JKpPmBpeO8BnuG5 GBhgr05rX0P9gAl7ZQZK54eV5n02/jCPsbz8kbqmC2fbnkwI+vv64dbE6LPtF1K82kQfyMFNXlbU dGS9op5NvLthTdJq0+DaoGgXgp/d0/AdcppS4RVZYf6WbhphkT7xswbye4sK0SDPrKf+irl/Zjj4 oaiuo7sKRdGegRO5jgcY71NJn6llllnCRWnpN+mAXLkS6q96lgb6U9voCa6J/s61MO7XDg3Px6FH ZYvJCdsKU/XFOXuVCwrONFklZv/FJCMbjOCMFFGM2IksegrGWA6K3sbLu2hB7mBs1Sww5Q6D+xm0 Ag8bdgbAzRwKzK/b4vrgbYv2V+KpcwzZcEWh79P8bNNGuxP6VhrfkTSD4RSsnkvNxZyth9AQkWw1 t8aIrsSAR0o/+lKZMD8eq0As7E0Bj7N1XkjUq9b26rJjJUUqlYfJd3ndiYNHn22sXXQ/j9uqoKVX v3MwDG0yE/pCjdbxBJdOkRmq7kDkKeB86xpNZ/XpM4H1TNWTzHHIlgdKsAn+174lMRqzJTDsJq5c uij8N6qx0n37up6cH6ENB/Y1JWFdypJh9VEy5NqUGptVeRFVfwVH3QhAhHSDts36pigrlXuYvdax ZuiQQJhcZQ3tDbkMfThOmrRmNTWBIPlfnpnCqXjQUQ4qgNF+7EKNu680GISVTq+Oocuw7cvbF3WO 1zYiw/LcdvxVh8FD8cY1OIepdt3vBYNamlFPsdIRPOE746G1auGnkExq6vI8ZoBSafUNFDxBoCbW g79hydZ8as5YQcKC6ENFgcjktQGdGNz3NRVXcdhABlvWKC1+F6CwKfBsmYaORqnyADTtERKRgOo6 LfEEHrhQsMv2cPQc98+wf+VtpFCDEcDB1nz8XojYfR+4dk/sU+FPtJZs0C3BCMBLLRZz/vfliBm1 1kbiPiIYhei6J7evWwu08jmr+bGtVns5hqMDWVw3WHx4AK0kqtIYWmftW9KSMtsRWf3JgOtlLK9a CtzgZHxZwWwCHl+/ipD6/1vKWRANWDhYd1SidO5NEqgFnVH1jZThg3tOz/kJBkDNCN296q7CFuMA AUQZQaFkHsQtYFiEZDFVIKU3KvZ66y8lWpQo4BtrZCmmI0nCERhJEsJq9vPu0ZPh8p/yx7HDYsK/ AeaRdQq+RTQ9iZcEAF93YCdkHM6oz0Yg3dbQlWDK+LoUwtFJkDnVyeTp4/Yq1d30wjd4mHXDQRpI UQvCi/NIl73Zn00bXkbTT6SCxCwReh3w3OzeTJExQwQoDkcZ3qRZePJISS3yGebhbcVQnifc0Smz oCz74HkNes/le9c+v/71hpxyriXFiCUyoNRpClzk967aD6I/UuC4WSfZktF2WRZgkAMMOMCNEJzH uVQpjTKYsMqD0zajIhO1qz7vqM7Vytrdv+95h6NNIDsn9ryQ/S4hnqradSp9v7TQCC0a8VobKo9K rY/PUY2ljA4fkzRkP847KoSFO2K4voxQ9VyqhiaLd/4m45swDydACRwNavoh6L6MWu7nEka8ZZ0z S9eIN8YcCcnGoJAOtLv/VXeBxmHSVOPr9l4mqS/x65Si+g3mnB6VWEo2mhjAyHqFAfhqVACgDOsJ RcBinQUGefQ2haG3bD1rUyL4evcjmHNsFJC+TI28Mgu8TaA0eQ3tveLCA2lsI2SVwm5LeBx1efUb ofzg4Ue1GfObUsp1lGbaY2Gqk0jytpIUJkEOkPRH/7dZ/YhBIPN97oPaodGo/aqMI3o9sUOhlS2r NPptiShCNhBUp3rM2zX/6AG8d39lo+/EHr7/1tVG3lTtnPc3WXzxXNQaZgzRXlj3FqMqvTqUL9fl CqZkPuO1oi6o4erNfJQiO4eDNsg9IM5pLmCzzz+fxZxk0+kR9+6neEeDrAoZCbVV22QtB6/joOza OnbNN5zBY0Dk7BndGbzXyxoJqtubcKaeLVmUROYxuBHTw4QGsZBZHt+972yFN6mM66VHu7y6t5iV YYK0982zp9PNyYAQQ43YAivdC3IhMc3yOPMsVjNopCl5f8UIENgu2PnMV3+TkUVUQ8kwPtSp/VWK uynyPMN5h3rVnMM1bob2tB7UUYgPL8/60MEeaPUYGPHnG4B1H8W4t6+h2+lXLF26GUMcL1oe4mXN dR7OdZtSUgLY+SGGpnlnhoMtPMP0kwAV7rdCuR5ePxSms76v78JlSzdJ1cn/2ZAc6ZHvM4zmcLJZ /mBgzUUdveMUxaa36i66fF3FiPwTea4zMA+hcm6Ilbkvm5B/VlRzzoYCd7FZ5JA8KqGSGGZughhL k+JdqN5kBspYS18qMnwW0Ix2yZ6ntKmqlITBbe1uJDoUkuS/jqMXZFA3blGhBuB9Sga783tzUlfU i9sICQkVh9FQT77Mq+tPYySaF/VbjJdmF/91B8eFtxLPGOPNi3COnvK3uy13TrvWriEN5WQArE/7 uNoTC8TauxJ0xdaBOSKgxUDhTemasjPCOUtkcE4xI6gH79E2RHaH+V9k7fdB3Opgdbwgc6x7ihA+ W5jOLQhbNdfnSX/uOUSsjhodOjpjCy7F0dDZ6JgNcR/cqc9ec3lSi+RbcjByjALaSrtI4rfS7iUU tAVBxPsubRAkfJe66FgLkecVqtt7iJG/hYCqmEilW+4ifCnOZeWpK/uVxBZrS0poWRhp4yki8L9p ytIrHceiXElk2qBBD2fJckSNUbwmz3inGcTtgZGrbgGJAmLQrMM5xqJKOntycv4hmo0bA0WwRJqb W4hSq9HJLvQa55gV5R8KanWS66AchBU8QhZl57E4BRnoNlomXpzYfn1xv2Uiz/9+TQlX2F2VFpdJ j6Be072qBgYulTESGj8k1QUEET+SmqkmlWAQkezEdl63Oxy/UOrOerDU8GAIGTSlkYGvWWjFB+0G lYDP4Erdtxa/QNRnScOVC9pETZLHgy95M4BUKoHt/ycMNRnFZiuDH9dJRTxZ59RtzamQ51fqyB9a V8EY3OpmbiK8/Vx3JdqweT7x4hYRhg/WCKZTbk7wp4MKvLMvQESJLtZ4zA/z5j9e1SeclYZF/Sv7 IwxnLkts/iFJipqToxZr214+Hu/HhdRJ25q4mQjtjth7awVa0iHGT+bmJoj7E4RZLjvmndoepH1a 9+eTPsH9DZp3YKxidfNA8jMxMM5tU5H1iCGbCW8iWWmHHGZDOIuLQ8zh0QZCfdw/TDaePpsYHkJe bnJdyttlxo/VSDzVZ5OolrtHx0tBt4BgOH3JID2Cfhzmg2WeymUjgypTAKIh7mGMnVqhy2ikrlP6 4gEX2iLWGi1648HS3sJK0NMbHBfmBt03aGlcIxofom+IpVHisaGYKoitQSH0k2/5DoANZnwXDD1f 2b2netPbM5ZhOERiu4ibkqpl3PDDFKZ5MuSxdBopANdFnmMwceUolweTZISUT54hHZ9ZHWaz2eb3 fopN/6+LytdXAC3w+ar8oafKyUfhjE0b3k0D/ZZ5HW0eHZqYDEXfwusAUl+q/6pIk8TteVQKTvEA jmfEqXE/tu7KFDw24dtzCrdOvSojqYsV2il3rZW4kbOc2jEbBRBO3egupjf11lC6w0MtqhwOiFux WfdbUXmW2mlstfr+xymRAzXQOLtflmDD825vjBEsaYEwM59M/pn8rVNhhkLe3YgVNyb+8HoEzPOP I1BfKZLHh0junOtHu0P4VznKpprAAxzeXiSg0GwRIBv8rc5B0pGuU3hYlm8Nh2JFoUibW13lUbKO D/XimQxG48d4ifiAvVZLsICbeArBx5rqZ5iXpQbBIeG6pCPhVwP9JtrBAhpvUeT5kUkWitwvmjE5 X3wPwinHPzmHa8MAweKPE01n42u0ygn7k3OmhaB5JMVoB4RQIOxFoI2srBse0d4oetppzPabsl51 8GogKVK89W4829fr9bWPCHcK93bEnXsfuaj8XW6m+6ETE7AE1+alnqb0VbmruGGyfYQeaiR7CajW aLmXwUgwUG4610tHKRTircgv+M73iO4jxvTcOyQ3kBgTdXM21VouwaOYU9+GHubvV+eYRjiIWLsY UedaDCFfmYk5HNPFDUGsmWOT/IAKT0tu9UmnBaxT/pk5mmnCpkBPxtwzzvXlTaqLb8+5nA/pNbtg rHzQW6AWqQ16Iqmhwpxi3wPcKqQqxWfWi4xUTG9yr+8YBB8/S2kBWT0+4FI5RC9NMA+BnKsdgW41 u46MKOfOwUTqPFB3PhvzYj71cRsDyJmB2OKczh4tcJ5XfASr5RJibTB+2/nzO5Rd76KgPweCebxB fjuuSg94uepgKr2qq62bUXV5zVnOPvFjUGXVRxFDWQwSN20V+c1VR3JItrgeJazxKnhifnpVH+ky jjE72Cq8mYLFkqAKLsVFr0dE/Onz4Sje8EmlGS7lWUTxqtdHfKe5ehp+GsCaJgewEyTT2GAPvTDP jqlZPXNHnHb8IRSsvZPo5AUd2zeV2t24Eiw0CVu/3gfvbOflmHLyJL5OvNd40RjCmW+86DvXRCKC /27TZh9voo7eB4yfvkEav4/gAV7eJpWHDyOSQaNf0HptGBQ/Tdhh593wVAVsaxX5Xf2Qd70ohjXG XE8MFXlgmuPlm63DDJjV2QNDN88gUycrxx0ZpSDON6Ao1ZuIaedWUu4l6juCp5LHp6PcJA5p4zJr Xmojq+8Mw9DmJ0mc1m8NCF696wqzpdq6kFdFsSjVvhXvz6ZPs8N9DHauNBjk5zXq7ItI+tb9yuQo h2h4+Cww8mqjNHCfS19mJ0X1lUi4V5Pea2ckSAtoXm11sI26vBu5PQgeXje8TF5VsZS6uMwTMZVP 0QY/mdIgruaHi7hziSE9fVpMUo9Z2j1OAv/+hCXFMYuXYxQcLkyCyI5Vi2a4DG7thfsjfYt0RpuR fw/nWmW5Yn/WxzKeXHvgHN2lgFjbVF5LsfsTLTliTDgpPtG7QcL34SV/OKEUt2QJqfoezh1aWVm4 1Bm369uRk0CFU2hZaRBv1QTC6Wa3Nj7EfKUBf/fRlIzPJkvX/UFAQW3XB5ISQIukWeeHXeyvNYy1 s7HMNlkKtiSNRokClbp5ONBe7nouBlDmTPXZwDwrNXxA+Chyhsl4oU2pGUS+i8T86lNh9WIFiRZE OkSnEdt/fzo+vxci9Foz52kAZclBzZ+XrrVZT0395WtcJ7bE8llaQu6e9oPkgSjwCzXuQi6bot54 T1dr4bkniRPdqjZB0uvKd01SjOR8g8w5qQw2myaUi8pMz3dUgXc5c+p0NopAQXxR1yXgO3GTbhTk PDhNoeUc2N45ZH/ZT+jkdolSQjNWbUfTHUQaEg4Ew0HxaeTPgeco3Ovzut5WOxtWJI1YBD+C6OXf PEdBOKY20t+kSXBW0zi7NQ772skYqovXl+hZhFFkalphZh+aOTOjMkoNPuGa4IgxJE0qkpuS2prw AzbRfiztq/IPfR4bjhpzR3ippcapy/TtYCM1YStYSUYN6ee2OO9gH07VcJHJExDS5bnwknOb0pAw 2lvDpX23a9aCETIkKcFuPDKK7yE26WAc+c4QRPM7Xhz1eOEy9NBNoMYnrwI3j0qftiAlb9WmpMf6 +wOvmkPF1NfuLImPPRKQht8qsqafj7m+EK58CzxvpYramYQ/bw/RhfgAG3/27mSLoxtuujkTLOdh VdwtC7c3Jb8gILhWiTwvMtprsS3TEWR4h434W/li8lq0oO7vckI8GaE8DYBnscU0lJzbFD4tct7i F13fU73CoN0FDc4OAmwtqh69avXmioF25EwYfMukl6wboIP88VD0ynSycO2zTGZ2UaiMCExaVpQM 5mJxInscbeJxAL3I/BuKTYe90i9xoD0F23y7icraNriWK0zM0akIgC18u9veMREp8rKGr/cxpvRm tqwyz5fRZ93uXFax6bB23YjSNwP7m9qDYXSfkfG/L2g31DQ2LKgfgx5DZ3r8UouEmto6fW4uG5M5 vVgOcRoxb2oH3rI4UEGHGJp9QlPuXSC+4cDHuVVi1iXGDglEaS2x4tvSyM8VjEJDd25dRw5y+vyV 8BbGP6QuxPdCnBKRgeuBNuBiXMRYePyp3UCTLDlqwkHVE7iCI/HQh914mwFB+ShoNaLhIiHyFbs1 BVHJaJDy0WWHzzDI2/DugP5grCO6fpXINynymcNG0edvbIbz3imW+WCZTZ64xsQOnOBPwfbBJIsq 0AB3MO9/EzCZk7EhWRwvgUm8wVnlVls1GfnOhcAq83CzFRVJ8nsjrYjwybSL9ubSaN6il4A/Ksa1 MXLxvBV87OpWB3hFElKo0zpzoTuKVDuQhkydo30NI4ONYGiMSwNn41Iap0vBChkikcccwF+JIp8Y fylYz9U6u4iVL1uSM3HtobaSjKNCDjcODlMwywpszl6suud1Gudd4NnOyywiiOXh2MXlrq7DG7ec 88LWb0B4CQfcSeq3g1t/nJPAunCPQT94vKybLKge9RNV+Q4D4No6jtvLeQ/hn+90YoioXt4ELrSI 1ZhW+7+g6KsSkbon0Cwztk9k4F5hjTBgrkOrWDoJDQuazdwthcHBxnrv4cas/4AkYWm2ccP9MGt3 bl8ujJMAqXE7MLEIVXQ4nGhLj30xD/qJ2YaA/1iHAWNBa8AOSmI7bAE7IEZOZdafu89WLzJq1bpW 9dH9hoM8pT+hC9jVEM9zEjkKeyBgGcacamQ85ywMVFuBw9DEAwajIiM4MkvN4+uYeXMbgpm42doy VVOYpzm3Sc9GkRpzMIsGfhxEF5BBUEQ3cMu+Gk9cx0bsaUbuhtq8yVIpBsCU9oxu1jRgWuXSlCn/ uCy0RB4EsuN5Ygx7AUoar8vUc/RUObsTCOmmmSBuGpBqYrlaNL3dwhYruj6cgb5SyzXwoBXyj5JC w7vLBQaK97EDcLnCn3PE8FGTB0TywTEg2sEvRyctBw/pn3zPCa98tMUPSqxJ1BrEKOGaSUANshn/ ehy+nbghjHBmrohre87IYLNff0mNji85TVLG94S0RQm3vs/7vDL+P17LYRSQLDYWMxv5s8oTUIzW A51OOQNn63ejIiJ7DlMdSISnNl0wulAe2KHSW81Urm1fD97JZ5jDGB9wBEvfy3fOhipBV62i5EiL yCgkhQNb1DWUcMwrvIWRbr2rIpPl0ZgedUEmu8NIwnWYkUWbElAo6DBqJyPKRxp5+5cWGOXXxOT1 quNyI8VM/V+UwB2bxr1bPFwhrJSuGDpBNioL/zJ8AV3aBmP+oIP6QXkN5l62T7ly+OjTSLFpJAvt dOb4kFM62RJ0DivDqddIEckUMZcPXes5U1W3Mra1GOv2ibpGt9eVI1jKrPbWoaNM/Nse+ck0tOtS As3RbDpwkE8C7S7u3ULiWQsXAdAg8Jkk+3YNeuSaea6uYUjPk/ezra09ZnmteVBhVpZHaDqfejOr 0UzhXtqS6PFDmJwen2GcXHCNa2EltSKCIaqHpsYbQ1fRZ/EfIvHIYFWfjJ3jOAtK/dZttM4ZUNs/ EQ2HmEmsmPLr5Sc4LgxX7efTpOJVakEpOkzzGpUE2gJx0G5GRx4mxIZ6zVA6kTNjtXQGrMPaezUA R3bccA/crrJ3kdVH0HTURxd7WyFKndJ98C0j8rnFK8jOaLLicNispbPaSQW4qWHBLQGFnZMubPdm 4s9olLJHAKq4yk9vm+386zqvP9m80NlmaVYG87/D1P0QdA5fNYpxQX8aI0i6uO+WNVwPzKSIRuq6 LmL1n/yBfZ9NRpsKCiCI/qdPYUlIDoUInKebNsas4YNxX0rjhN7YJ6YPEy3fC6I31ZiiOGay1EEK b1gXwAH8MqdYgaYak+BzYTxVUQF+EeftNSdvlN4KMoL/RAvFCY1lUcxJ3NDwFZHyWzQfqKMWrad3 7S5EV9jW2vPQuaBUPiJegXmoj9cKPOQNZNQwKJ8vRtQhOJpYMayENVzptkkZymlvEOJI7oahUnkx Vxn0XvbDCXSTyLTX1/7j3CXNluLo5CK4DtR4+wbgv4LKPR11zAXjUXyan5hcMCONjPkgxiBpObSZ f51gsn06ILi8oksnPq20deYjFxCz8KWky8cvBNOMv8K/ivwTRJw6Ky3EZwl/2tAtdQEnVVJzL7om L1CRyxGipXmzErmbqGIxylaRyqw79fpyZWjGa0RFIgw08NnhzfyAF29EWsf5R1fIjqDbsceNeel1 n6lTx6XJvStei3+dztgLEoISJYaJY/U+lz6czQbj47tLBNTiEoeEsADJzNOJK91W068yuMkcZR3F eKNV2Fd37on+KPIlc1702keBlogQJIyAD649HqIOOqr75eNOSHJ7GVVITgm6LkxAjY5tFfSeZ0At OlTOOzoMO4Cx2EfHKDAW4q/imZx0oqhlvOezX45BPXoid12XfSoQXs7RR9jXCaB0Pie6zMmSqFT0 p443gdGc6qgboN7cJt/WTD2gc6F1v027x/a8AqATte9cLhO14RNx1hiRnfuzFoKVc9sLTsDg59dj aDwwOkDEA0qMNcrhVoF3SOJ5ukNamWLptYyb5gR6pQpB77XkxuKSZ6RvPO+rf26RdiejD4ZIcYvp DMrDbXJAD5s1xiqjk0WrJzLd2UfvnYifgqBwO/Y+SrD/yiye+PYuLgbBHTNJ3J5BhmfiKQM/I5dZ PtORX7j/n/NWIa1K49+4yFFuI3X2nKBgRWTQ55953kcZAjtX7s9hcSEZFIPf2KHV2X9bPFsKASAc D6Y2giFO2vTCHuULImCvljZOfGtY5PfRhSsiJsbjdLBLq2wtsVrbZFzJ30nD8tHVhgUiIEmIWW9B J0kuxzhuPwa3dnf6ONHouXLy8JJ9SUyTNX47EByY/114OcQLIUGLhpNjvXFmLBXIKowwYCwZp0s8 WAtAtb0W2K8G7o5HSKQFIgVyM3y7wWjq91fBMLwb6FXfQt1kzA1jZ78mdT5PyRZ4IxfRirnrvX8o yWbuR8xSGo3Ns8L2TaupqzaHqcAILQtB1YQbkHRlzv5iDOmoSDQg2xwG6mymB0mSECq9Rp317/jn R5/lBQg8+LaXOA1GNeAIBt9WHZTlTLy5QH5Rb/JF7sPtnOPRnT4f0+QtAiPcA0ma/V/z+9DxgY92 zZU+tFevGbrP/SCpfe29Nr2lMRe5nWnv2vMhqz55gRHySSUIFAk/W1PgPSsh7+YrYIOj0IsSne1Q sbECTt3nGn/HMYITSOjdBeE4DEoNIkn58xlzuKhPmcZTFBQ2Gb2PJSnP6wW+FgRwZYf9wj30BL9M 9a1/pc5HVuOd5sNocwITuX8SMLoeURy1rVuWlRZyia0mrKCQD0bIXc0MAjgpspMisthDdU/A5Hb9 +8prb5uEWStyYj1L4kf9FM1N75ijTKQHK7KVh6XOuL6jCD4U09lvYcqlzS8KZNP/11aM3myXLQt2 CuCv7FqaA/yAKM6PrttsLBaN+OWo9GL4zEZJS3mH0KcduhroNRypMbyCdT9juX0ddvIo8gsTSeS4 g2uqjiO0WgjFk56+ZFi9M1VWHPyDB0rKgLxOV8g3mVT6IbrfICJ73ZWiQciAplG9un1NbYPsISTf iezCHuji2bVGAt77k7vS3WF7nM1UH641Bf3YQ8d4DiX3k+6YJinsK4/q91HqdvvZmQS++cgslZA8 taTSVpy2x+0zSJT1kOS+IgtcAty0ATRc+mE0wQpaErh13SMtPeqdUixUEKodunZMBpgkwSHujWgi pcY1eSyct4PBhpUKJnikSSfQPEX2MQRGIDEJQvreA9il1XC7FA5bdZNX5tA1RYryTEUzqNAzDKXj +H9gzRX/Aw4NyDWZFwyN71lz7nGiswmJuJimGBkaikYCuVUwI0EafbgtpdXOY8LiJ2yMj2XMBSMe 1T1HXRgYpQ6BcXYVn87h13/0u6B6fCIZ/zi/YmU8/fVca+wR02h54xYTx36tVOatJCMxPve6Sjrn WhPjzcOZfDXgFBxBnITiPOou6mtRRD1QYH5o5D5rvjKT7BwAWcytwndGXpLqU/O/lmK9YflpdhFh +uNVq/jPQNsIhXjcPlyZztsYCEAROttOCdIA1Jg2UOBe/VPo8BhcZnKJKK/TOc2mkv8ZbvIERcws evektxyc3N6coyNOZweZITtU7XFiM4YyBC1G7l8LfC4wnlxvgg0h2Ys8sXH769OOkGgnlJEamm22 Hnw8H1h0QFb8Q6hapg0zO2xlRJoUcv4sYJN6SAu6q2jHLlZQx+uubW3kRcMS4YD35TLgY0DNrHIZ Z58/7ApsrjoBy7hQicpGZJyhzDJty3LVTOamjFaNrH1IJm1H/4QBMsdMgZzmy/hrWc1tXM4QMfHc ZC5+J4H8huaWxvIoap5LZ0pz0DMXAxAl3f9NUlt1rTbwu+rUvuTdiTW1kVjpJLol9tAO/3/tYdB9 5lpCTXVolEKze3wVVC+kbyQAhPtF7to53HzUK0TtlGv93S927bk0mha449qdba8/UHWO9W3XBgf6 35QW501xWDfb7tmQTS9aLy9MsQQzBrw+EDDM0C7mVLD8bKVCMsyY7xkTUeWkreBv5VwHrSFXmdQ8 TXCjuSpEOhgvuwvgo/IiaNv/hFHSv0bRq6BrPnky6sDytmgw8uh9a6CqLbtp+fefkvF7UuUZQrVM +/7vy0nr7CuXG4wi0dFz5xs9yTdepGHaTnLkh/5RpyRdtwWkJUwasbmaK9GLtNnUqvjkQZjItp2n 5NK+0qsw2IaWEbYg8HETqxWXBItejfLnuGrxphXaAfAIyzRP9f4PQS2q16G+sGbVui5n6OOcuhli BxcPlWUNAJQoBQ2mY02vHScO9svNT9zeZx87ny08a3HO8fhEUfjeBtPKjr7xM9cVMF0N/Hgoi8Rw cu/D4cGGD6TIdipB0qYBElWing5sKfR420FF2kWSWdGeLK3JnjixkWultADOcMlsD6vzZQSRWQAS 1hRT87rOx1t9Zw6YHESMx+KSCUv87Th1FKcWjmx+GC42SNrtt/pkecK+QCgm5WMA252VAcDlMFl7 CibEmmgeq/MD99hBN/gfulhWoMEsrtz3mL/bv6SdD46cdkIB3kQ1b0JKBuUzWmTa1WMPIfDctPRp nTF0ovX7g69LWFzIQQZpBDytflcHdHOPyJSEdxbjrVzlw2E3w5VUsv0HXWshvGJu9GhmY+ozYyV4 tqUwXxpIQ+aadHCp1EG6CHvaHuL5S3EFuZTr5Vy240NTAZd+j7RQLvDb7K2afMWzYmZVLdxI0C/X tDxruvVcVefXbor+RgkG1iopM1TiDG3ja24j7DOnq2c1tj5034rpvh0ha2f3VgAJ13pc8cWYAzyM 52+GMgHGuXBk8V2s1NNQiM456fbc3yM3h1dSmPKyYfnyHS8Hz2Y9pTUbOJ37QYwg1bgH37ymbBcN NEIZ3nXfIXC7jM6UWV/uU9ThJkdFIR1rssRlpJMB/iO5sIKr76ibtHXY0S6VjucEZdBfQzktfdXk Ad3TAI8tMsrso0w9egzFksXdBYbCODk63nyqHzpnEB3r+oTqQWaV44QFtwILTF9/4IscDZIO5yiC zbgBJga/QkDV1YfGbpW1DyydKAUvNoSDDx8rXBHl0qVoT1UfJEBzUkxV84NzPA7cs1gFAEHRKir6 HY3zbPRJGOnRTtCigxLy6S+ozxIr/Ok0V7LYH9CBeKcbgUPW9FAv8I1bKSLJMCjRbqYaebofVvsO 5tGjbQdOUYmSrP9Zi97nOVz8I+ClIQ7MMMNFJ/GnRJKbTAv24EJANfZ2IwJtKl2mItw0IcxUqQry pNVa84W6vkx6mh88c1v2Ilr4qQxQgbggeuFWHvy39Ff1Xn8no1HErj00sbTyiMhVyFSA2WZXjAYr 8scj1epJDoISgfyHfarBi9UHJklbOA9TllnA8gzzvluqiRqgxYeh5H1hJ8PQR2du0k41ZJ3w+rPT ZfsVc9uKvR3fzXBO44Bza2VPgsyiIZeUqRnYE//90rnZDc3JGvuSOG2hqdgTyTtLax/J01UXm+FD 4fUUiwadGdnbgWrK2c1uelXYKmDrX9kUHcZ/kUsajVVyCMnc1mjOO0WdYWbkSoA1fja39dKlcfad 1SK5Zy6iUXu1HhXXmXfvy07+eXPYtpml5UN0wxJI2lAOMuUMmulo65j/x+piLWRNQez0i9iz+esU V+Jvl42MYqniqQ7fha0SGzkefUbk9ZQnb/xeDGGiQjUt37zhZI2BBlUWVzZcAYLzurlKBbrgCDXT BGNa/3MAoaKjxek32hMTUGU5nxnVt95ZqBzQbNUW3FiHMY52YXQjbfJyDUIHGElPFxhL08SwRm4A ow36KSjxKMoiv8cLgKL89RERsfEWKio4b0gpGfrpFo0QsLh7GAMhl5CyjTyd4hJYxIC47NO9rsHH lHlCH2+S0jhgF8/wkKqPx8Ed5K7I1IybQ2FZj6+3+JwPGuLkNyuVPylniK7xMMTp4SGYFKvOk/Xv 6he4s1bybONgoYjugvZAShG13V+0u3VmKJb4F3m0d1UyhFVfb34gTN65lu+PpxGgLzj1Q2aR039A u1as/qTxtuH2KxOYmgG+7eTuwuMhK97PVPeS3ClbuOsY/3MPK457tii3gcUO0awXuVWWyQpyteXP NXlOYQQDzfZPFkKgvXlBj6ufBB3WvTl7VSl8UounkoZ/4/vwneslPtlvoCm525is7noRUn2NsIlR NhzfRPony8zDIfy9+hSlLm/BAa7nFyd8snLIsUPRRC229U9fEQPgIEE3xz7RpRO4xo0dFk7azMM2 w5FfIcey/x/V2LwlVy7NdSFmybD9CoqwqQBKz7hYW+T7Ng+CJIdpbbPebZDFlSPVWthwfmgFVplt l8JBql6dnZANgz4u7vrP99BlKD+5mjfNHAyALZL6mvLUbJbShV2KvXTruAuFc+gUd5+P7nRpqkuL 31EMrqF4C7tVPEuY85HJMRzilEitLQyzXpPaeGcOFVb0pt7ynxBlaEO47OTrvyQbESmk/hwwHHgB 26o6pwfLVsjr+D4Psx5sFaQG3Zbmgv6tnWbR7KTwglG4HwoBUjHEMYWOGn0zUsPLYKSi/tqyN4Yj OJuao8NdFqLOfoHebRCs0vMXo574nRBud07yrEsSaHYAulUoneZiZqH2byie7gev5rxSxitTbalA DDiC2X/KCwFst+B1KOf4hcgxz0s42p/5mTxidN9l2oARmz+7ZdErs3SnsuLvANHCQbyu1n1FEulI zCIQWcqVSGY3y/54CYiwAvNh85IJeVbGYN0F3g7ax9eGXyrxmllgQ1sJr07/YlHkvv0t0MMWPLVe eYMvDzRpcZSy9fU5iz8UNNMQpsMG7DwC8cWAfnmf15rtMgNstRzhH03PkIDX1bQN+eQjanNEniBS Yskpw2rZ4qm1MgjLALOvxwV5t4cuKzpwhCGGQ4isTF31eLZcCXSGgExsbftMUqmkpA9TZcfxvhXJ J04IBvA98bs6WEOJZqJC3PrwgWWVJffGsNvkcIq3JzEWxu05xWUKRnVMkDm4PrVko13SC81eyjlx 2HD6tmGeTxyP2SipqG1KIY0YFXUliJtGK+Gk/TMQ8clLo88mXuz4/PcxQltq/s4b6W6bBuVIYZ/Q itPBTddTM4UoGHw93WYdQh5tV07NvBtPexpRZSNDz88e7VKidLkUDhWerbOwEbXcEv19RY3C8iq7 wxw9mkkBq7SFdGVOZFDe0Sw5KrpKrMygNRX70NtMiUc6I+zG0icmImnQ7bjwwOK9B1MKZOllZop/ jkIaDB5P2sXycreniTYL6a9xY4KTKiokPXWRcFGdr4qvLgBo7W7rVGNEt7QXUmWeK+dCmSBciSDc GDVbcyPTKoovrDOlxuSuKnKJpuAzZMpolKaUp/lvPGT8iedxDQLkhfkpliryRzOTqBrVO4Y0Y7v/ 8+/2em8nL1ePM8sdzF3UgtcNAGArr4m5GcbCAPopuPEzMqhMIU3zYfb6cvXTIMow2znHNr/Wb2Nl vnkg20AFoIMRGNL6rkzywbIW4V9sNQShC07cpBIjXdExGJpZHO1KMSPYE4EbqjV74w+V46RVALA3 xTy5FnY31TjM9iSUdBTbJ2092/ZnQN/ey+Ogx/hwkmW4z8Pk9jfIosMiUnrNNWx8xC68iJZuFyqi 3oRJ9loRiDxA85hmhS6W7SfdGwEIL9tnG97ebn1yHXAk0CLWAdfJbZkeMZVKJRsp3RlILwjoZS0R ojVapO/p7RiD2AW+9GfsxUM5kJWti1/vCDbECYUEq7KNHxOQTHF9lByLCcLhhW72FBx3fifXp118 AIl8MKUqlPonA1Jdti5pudaCimLne+QBbp35V2EzqadhksFSOer20UzkqQps06uZrnXYbXfy1T/g Mo//f34JcJgV1dwxTo2lC66PFZ/p3Jdhx4BvmiD2W9Fk3J3jr6dMdon1wk7PrXLCXA4O4Ob1x8pR emFM3TS3l2jfgTijfC09hEShQDUneOcdSpdtw/LlVMBT3E8uURrfgOPZI+IC1zSmjAlW5CLgPg0B ylvAIy8GhRKuvwFC23bDvFioi0HntOKDddP1fzuhENjItFCv4rQy4QDlb5GsZK57Ydbubb89hNME IeKEfb6oqANbC7tvZl1ySKwytJlSAHmKFJ5b0cfSH6Iu8ZIqz01ekMQHGaCVe+L6PxNhbDXnNiAn mI2u6AYweEAlJwDTzB0vHl8anUvGUitB3084+uKsuzP0nV6dJ0ygsG9zf27GLxtVf0ez48nobE3W AQVRl1Pj1TGS3rKqfL5IahvWQtSy1P/ALUv+rxE01BTNx4GzwjHksuyyfUj07vccoklxRm0wbI16 EcD7ADaEbWipH8dPn5m55BTfAYra4qdM7s9U5ByM8BifazlT9nAddIfDTYwPxHN1IX0isWS9d0Iy Tqh2XDVWU2HaJYxibYxqpnWv73Iu91SDalzLzWh/33gKIFiJFm/HwwJmZBY0FasfD58avWzT+/la fsSWBHfh0HpkP7P1duewUvJoiiv5RcVY2wumzveP53LEjylS+o3DIB8G60nFfmHwRwWbMmc4SkVA IU5g0KF/4eAxWpMpkWQEPhXbGkwSpkcTGwNXQULF9ns9zToUtUBidpRDJLo79QW9iszmUFt8MuOB GylunFX8/VDIHN3YAndqUpaYdIBj2IVLsvYtw6fhgqMxekA42I6HfAUG9bTCGmOf0oQIpZDOHZv4 3lYZ4FlyEVA7WcrlaxTZqjUNPTosj2ii7FTjSErtiPYW7ySZFL3shx40I3S97orRBgH1UxlHklOw /LKMYzOtf/xZG2hjk7ELOuXMqtn9JN0HQKz906+tSaT9dhrYLl6Y8OS/99+TljMwSjku/U7RBXhe /qZ79s9xwsb2G9PrqeovX0gnDIs5IXv8xcw1FG4i2viw/6GJXxfHxTEOGOqzp1jOcJe+apRq5HOL qiBcT/Gi+N0zgM0WwJmV9/pPL8XY2jLWTto00w6cBT9G6U9Vj+iQpoyIJrTLykC5xe/xvzaSQ5vG zcodFTtM6tsPK+GOSLcvHLp+ojB7PS1y9R8u5jdjwlP4wTEuvM7VvHGJyV3EZnib7reXyj8ssRAt mLCY0KVKYgKT/X2UVxkMGZpZVQi6cGRb4h02B1VhN7SvRppZAPdO9i3hYkQDfL1RwUnrMNE2MW3h +/ZNaYUQORVeLryuJMlaKxHdB1in3wI4p+iZ9O9+BMQt7lzdAeGz4njisV9sLlPhGBIR4DpXYBnS lL0aPXONTTAfN/iYyDj+169rXxpJ6Eclq/DpjAWRZqucbh4YwDDx7QcL5tL5Z+fpXco2uKr7a8hX NhjFvziWSg6hLExqWMrjNzYe6cWbHvGLEYBBgCRhUQMm+0KN0jH2WVEwtJs2+H1a6t3lLHK3qYw7 SqpABt4aTRCsGZDaVYq6AYLvj16XBw9SGmmzkLgX1qMdFy4UJ0Cc1QiE0M2n8oN6BJpFvGUXOl9n 8KCfhQ1In8gulM+4DwleyH2PXQ/5ThvUc1VRmBGtfFeTFjXRfv2dFMlEDm+M7LMT7sfm2XkC9OIC rJKWWdjEDWcNwvbGQWTjKIGHcaDHcxRcG+y3FL1/NxxhiCF1NBU7pQo1KPpqnFEtaW2ZgsMsLzMa hCGZ3R3WtO2Q+f3hbum1WLxSs8/8OV+fui3GnNM/Bwb4cBuPOz0KbcSms2U90hVRhUFMG9/AbFj5 Dwroqt8fwUqpg8N1h4FuUGS1OhK4ooVYMcKPnR0uY4D67Z9khQ4SwmPJGqWth+vFwSpklOL0iy3+ vjps4DvPoUehFmb8rY/uewUEP78WPr9f9oBsXQhQ+TkY26op1HZ55BmUIDUyjdyzxgC8kKINCYG0 gP0dOUXUe/e2ALJWZR/7ozGge6vvpJb38tgINRxVjOAcLHdFPLEtT7HRxybwfI0yrbSiPUvYUnoq 1vPccEna40Aa3AqSgK2XozkBJZp/PdYwuGiAWVSgzm7/7VwwGJ8PwIuBoECRq8aVqXmjUq76g6td G0PQsVbqiyAx1p0piDiRnwfgefjZrRCWp1iYnEWpYl+8fUhCTg6mrGCValnBeAWKqTOxdtAdCGep qHGKZjwUMOIZrQd4noeL7pDEp1drFDzGnMbzDVD0LM6itgjGOHoHRifzsZlRML6rhV52iiIP1sNH 8V1fVs7z5iNUx1P8Op9jpFmstlDJw0h9kSmrDgXL+xtJXyXu8LBui+lRxWA7FUHsgorRBOdm0dNV VAVbamvLl3rN+/EMRfJaGpJndLk8Gc6/2QdKpFsz3xPfaGDYA90dw4kyqcwJvgbdJ99juxhjBvFM sUgeJASyELTVT4RuGMRXbCQv/6seKSzU8G/s2/M6HJOWWIdLV6zYJPSxbMK8X02iJkiKvcqnStF5 vmMmZr8rtoyESC37lYSREWKFRWgaSxF2EwBaiNPPiCHyXK9Wf7n2Y3YpPxeveo7IwnUg1ASsiyis iHZUg31DPg59pFP4vTBLRDL4TaqRgjQip043IDVAB7l2aTiJ0a1XTR7ZwvzLXGH5X18Htri+nxYi jQi168iHyo+NfY97g/o+jY8T75NYQHZkc1xeJICPpTQWiXRCqaeXed/FcWKCoGsXtgwq4715kse9 UZaJkhTcU3YRAIIY1TJUNYQkV7ub4nljCrqE2c4FWa0zpSaqOV5YiuiXoO2N/qVbMf6LznptH7WP d0Lg6pSxWRDSTPE8c359+u5CQJdHoAGKwE/VDpP6ass7jSEWr4h+lBvpZwyzGIWawg23njwaYY2C GlY6CXHEiLbTppOt1Sip3FR5+oeZ0DfvFKsJmhqZ0bpRuKlUw7oK6wm6PXBH8nDALmlJ8j3EfTML KQyebpgni+YZjdHGxc/MGaz1Ozco/JR4/6zmpnM5qFc2ICYoPAshYzB68UBiMWrwQBThzdtfbBMP XgrS9uUl8BANnRGo7DFUCQqNiXBk7e72ZSHn2v7/lOY+burTi+0Z9mfUO2iRVarouG4Q8wKpY0/T qJtsyolmo0DLNBVsLEe1Oa/1Csb0xmWAK8gOGRvjy5Mi0iyypOu4y04MhlzNHF+8RIUXc24fjkpC hKhCXPzj/zPDrRZwqjlJjyE0SirFIJXy+i/dTSWNOriUSWxS6gZh+45e9aSCz5s3H72AFW3fhybI izksO5OETGb4weRdpVPCufZdsVxEEPYlPvwhfAdj2ingE5BZBm/CHhehFaISG1aIpkzpwMU7o+oh Bj/wHhApV5Q1XKfDZyLUsr5mWSp/LBk1EORmxI12A0+UxMwwhKzeiI7d29/9VheD4/9RhJGBVgva QWRTl3UGsoitu5d9XFhhgD+aUBuNBLLRmKtFMvONh16J81lXx+/CfuLuwbzp2RN59adfiQig86PS NLY8pO+rHw9nqrUg2fb9agVUNOZW+UdG80HG8XPdtkn/t3V5r1yiNNoJL/YvEFD/gUsMj+sSPiMp DVtGBaQr+WTXke++DCATeUN/MVLFdpxIEHDscr8p2BRV3ecID8jIAeQSN0wZ6372DPjrbzBhcwdB jm6uht/hfiqg0lOCGvQwfErUfyQP7JRJ5c5/E3kzy6omyEuuLTeXoHLAVpmWH4ZTREfHcz88zXls PNabiupKCJmGTaC45uULUo7HUyPUcmpj6eWeXWa+mkAG/alAPnN0C/ZtRa2cwh/EqLlw1wVfonEa AYMDOir251VxfghIeGndbOzBtMBtOzRWZDQVYoDRWxlRqpcyR76yUxWD0RZLBSamroYx4LJuRSzH h/0RxXqaNr+sU9/tnM0RsFAeGiZMS43HIxcAh4gNMbKBLMyZchIoofy+/9rSOt4yRYJvWpwd7DHe Q+gzLFSir2xwSflJGkape4toYXHRzdmiENUdHHqxEzlGhaIYQSFFHR7DlG937Sd+0BUGjhm9Q2rs lyvOmEiCx+kj1K29oEFlIiwKna84mJytzmeRPTDxN5kyOb7VgJGSs0ScAgzgJ7uZUCLTjK6Y6N3/ YwqZln0KjSHLENqI3vrtff7FG18hWjqo/88uhADN8itd69xh8seDM0WSUsWzW4xT/69aDWx7jTqT hnMIRV5IRAIed/vGDG8IPtC5vQ0w9TDdAVVu35n/CJaUO2aM7K/mjoFYiHT0AUZKgWULJAH3uCLA gwDB35NB/2cr3yqNoPn1hPHBt9hsNeIpHboBKqu+0NLOEmeIVM7g0aqSjCboGp08sv9QUtycEnPt eym7EjgsP42qufS8XpYex7rmsxI39i0r+E7wMwJhi/PWil55nmdRCJQZUiJjwPtcG1vvup2v9E0h Evb0JzwQzTRWqRPBCzzxW1pozSTVZ7gzlf1ZlBvSezk/up/+/7XXslQlfKUWP/Wau1rHkCsOixlj 4OH+xWk7mqttt3ZM0Xo6PEwtW0YzD4Za6lFOMtNhljgSlpL4Q7OwKxWA9PoJ6ou+mFKBeFEjtRDA 0rE1VkeIheBAC2VsCh4tTwVhASBNfXcuJeBKTBdBvaJn1nKgJOVky1GhDTryuaG5RqubrZeTmG+x gy52AaGSYO2nE1APKpJtZYLu15aCt0qxrzs7q/Y0qo7nDeXUWDdq/AODdyLQrMeZAsGDZ6Aph3D3 /YklWIl4Sf6X/r5dGhFhVyzYDxf4T0+L/EY1n3mIfoyLn1J7+RLe1CuMWDh8oL0kdTH1ENVENfuy nv4ccrslNIOsl77853pbyDAqs+m06LKqUGYWQSBesKNCuu45zdiG23EqSiZaSKc3j9pQpuYHimy/ doy15/NhN65xcyLV3DtAlwz6JEGJTtvIBkK8dqnjFhH63JYNpsUdY5nkTH7eo1GKKHsviPG2hsk7 uqucwAFwO+sL8SgtSx/O9Y86UMpY3wEXo9fGKRBn/xNEA4VpwpJJ6OfEWRSENOgwIPnp1QHv3be3 RIcwqiFXGBvYfr7bDNB/i1TH2z7HszWGCzHkzKIgxVNNN+rgNE3bmBS9UlQk/PPdYpxxH5yWHpzE ZV9lj0EqnrCvkVGDe9WTZ9ukkDQrEjWKiy6A0isVuFK7FgPB+CcQnPRjxMZpphHpeLEkZjKdht6/ tybe4Vox4e3PMRkeg50IMxXt0XzNDaSNVpHinsC/lw5kPeBXPNzLKUQBKRWF47+V6KtGe5OPPUTD HktyXOPaTZlLOeM8g311ejnOjX4taI9FqWZVee8RqZAnOSjGlttm2+k8bFk5cGQipYYNhChqNo3n 7hPcxhWf/tWuovtvz5TV5022VXIrQsSM+A7C8T1qLA/ZNuWMLEIiFDFLlmFtCm9fkpfbuzqhwIYh iY+dhQiFOGOkVJp2OCYDeNoxYlydhM0OJtnkXWg/BlMWoU+BYYNJOWl0V5+NkbRNQB/4WQyFhEjX KBryRU5MTuxVOhf7E2LsIYgXZkS6OvQ3yFp0I3/Og+8N4W45GUONhRPCqHE6oZWku35z1iy3FKuW p6PFlsTN7cbrlYDk9GwVYMJjrM5mF/hByLwWyLyoKFvh406NI42vX9PA8IyeMBTJWYuhGWbISraj 4ORaQTBJCBHGe7hMHObeLAwqk3QA3q6FV9ViH7GrkfuFVhndtvLAM/7ks2+5yW3nuTeq0Q29Vq2Q ruwW9CvQOWrS9xoDTD+ElzK1WHNGUkiUaDYfFFiwv6Ov0ySPDpzQn02EIk2OCWUTQN4s3lNRlgH3 eIWargugav1qfUFvWaYqShL740HBNoC1pAq1iZxoCq6fL++/Nl2S6LJU6wbLAFpb/925PS+PaHUK X1ydqFW+g3lIPbjGpy9XV+Rr55t/nMou3SJEq5/zQdVpd0VH0CEQNUziwGv0xRKdr0CmYZruHllp GUyrMCDgmWi/zBZf9WGUDhRHNUGTaHTNeTJu2qpj5oDqS/caEbSO4cNCxv/pn7+7nonzIi5KPQ1L +nFjbM9BMqPi0kdDyVAusKceRfyP+chfr9wCHaXAZkA31WrR09lNEF5NDBP6/hqgzptOu2mm8Dfc 15cJqaFTlqcORfnvS/GZPH5wi8frMEGmdnizdHj0v1uqRdrFaZMLVYHHlzSDG9KSulgjWjGUp0qJ n+K2Mnn0qF6VHwthuFIlhtbQUvlvmDsUHKmDizdhg7+mcYFUOxSsWnGGaG5Dda0zCvJzJTYAgpZy prfdB8vvvHJz1QOUImIwBbM8KqqV0n/dr4tidiZlLCC5jWk285ENfbDNCWXAw2mRcCmblp2fJ22p LniVxSZdPS4wsLNY6GC2jkOF27frMq9Rp9EUNtQr3TQkhHqvxLaesfWd4WdkNgMMppg1xEAG55HR W4M7gwhHs5WJfVxZ9cxoGLwaYTt7BFDteznffkQJjzWNRguNyOjHvF1P71iL75QNf8NhQuziDCJm Md+feE5j4/CfK90I7V2Z4aMlSuXZ0zh/ohze/bDyTPG46krlyscYZx7hLoEr4N3ytZyRD4gp2EZh W87L1lIQiAV0GkYTTN51NYzh++5vKsVyXe7P1bRVH0VuEkE37+KZnXh6KMgaJEfDO41cpa8YCPXi uGOm0JT7pNIP46B5bY64SS87N6Re4MZ+E5GuSaaekxjkS1q0bbl9TH2NbQjuXHB7w2DPPJRUbB5E +75pcot4unHniIqaaqJOeO4j4axis7gPfvG6mQJIBseMAm08PEDaTp/waCS9Tg9ChEbCBXpHw4wx G0mKX/G1o3dFgF79Ec8oeLgcByx8Ibs4px1XkTZ68YpaZXBhttq+yOxEBMSy9tK+bl6iRzvdGB69 tfatPAp3lMwCK97dfIAzEsj3Tt7w4qjcWGtbordqT2oBiw4x6BqswL+BAJoKJdkvdqQ2KNnerSjx LThb38Mr/U1SfTDO/jKWYnv071E29Lvn9R41q9jzqcgG3xkj5aXHdqgzYCEXlYpZCWVlvgBl7cFC 0vO9AuXJ0hINBGOZAoC1+kTghUjUufHv6clmqNlcafUQ/GM01BQOBI/oeVj9BsxhM9gr8lFClLg2 1Ysdkrmm1/JQ9XQUqNl6Lt4tUuyfSOl0dXsGGyDNnXlqFhKCeSsJoLuYbyJ1HlKBbMDaB7sQVlvt uepslLkUqCfu9dbNOoc0jjsa7TdC57ObRwV5P5Zgw2TqfW5ZNc6nBkJT382DPiWIC3+s5IfoIwxD utojkIWUW0fT5lUqUxtN4GBi+i3iSuGmKFAvK7gFVG+26csXsdr8XshTdnUhH/zv3mfesrbPolPf sYWNe+5R8dSNLkGDloPqzW5pc0zAso0NfFqXzNZGZBbYq4rI9yWGuajMOrmkLnzLYtqAh+6qq/7E BvZxqZxqKsH3KdGpPdz0X4cYCz/zH9Rkgq/HsSeSc5JyQfuamsKYD3db7hpyPcR7n8WhY7ALnDbs g6PR2nWywx5y26KiFO9RLIvlFihLfVe6r8Ak7EwdyrTFbV9vkodcI6BlevKRNsxJw9x67yb24D/0 lnRca1T5WgFh2HljkJ9gPgeu4EtFZpbAtltqfAbaiWICUrEfipNjO/MD2PgDNsgHNT3TwIRN1Faq V27vv7LS23al7RjPHHvMY8k3qE9lfWhb4ZA91JCZO0I5tk/g9UP2RDO8TvwpqqSkFeErz9jp1B98 BE+EdrSqW0NfVeP4x/A2AiuVeLt5Y+DuD90DrA/lUuDQYLt8pZ/WzhnmecCSj4eAEkzbhNm3jbhs K3V7la0pGyxQrISdswWDMC4vdy9RsGOC77mb2/F0TYL/ITxJYz8FY0Yu41b/0kut2pjlOrMuVqWb CZ69MV+dO+1ajJFbR+I2Tj+1+OwHOgkK2U4E5f8W1ooJ/OCMZxavkKwR9GQA6PcypYGvrmKhHheU q/LSp1KfaPs0SaBwchdKXZ3B9QoOEngKzerLOinnJyA8tD4odPo4nK0eAcPccBg5DlkFpIrRYAHH Xlx51q5kl1NdnkcAb81go7pJBBUBelxKD1XEu6TR3nu+yQizn9codfUJrDuuclfmfGbmrPpqy/9h IYJmZxl4EYuhfKKUn7iAzXBoy2kuDLUgec6dDZDLFTLbjnsuUtpR7DpUsuXubPkoNH6dsmfibzHd r6Yd1L5H8mVy/dL61kIEPx3LxFYUirQsY1qZBfn4hi1g8kIrPnXcUxTk7GlNEnWy5UyZg24WonCd 56b2kZSej6vkk55MiZLmOzaguQaixkUB/3DVpPb2ZjYIx029f8yQ3IPrrrstuSwbWlrP7ij+1MQs mfMJ2SR4ba3zCKMdXJKcnQS9WGsFbNm4o+1cDu0c5gDqum0ligz440J6wDnqE6iJe1pxLZmGYLSF eAGwNK3nb2Wgd81xLHJ5bEmV5jW7iQS8SaSC2a73DLhWWq453EosrpnlRuyna13uZIWC01KX8O/H 90olrOu9rEAsbCU4r0FR3lV0va2OsiW33Je4NpzgsXI9tpa8IQRoeKtFO5dl7f/46KH8KeFBhMfM PPxrkqjnjXJN8P7yHjqOw10X6beo5ZsCPntJXqwTV+O3Q4wFZsQ6x11sQ5r6yAUdWi3W4/dCYHmg q3nqbohwfunG0a3xY/5FH9R1llJZLuf9BkCZcGjQYCJ8agjfcO+NzPdd15b0CLJnic/55yLcYnk/ Z/tVk5R/kRd4jIZbhCEayDXo8ckt5U6zL40gx9qJtPtef2LFmRIcULQyc2xpKzzDIWNFPchhRkY/ mFpJShO46RA2vTOygNzaFRmSKf5Pw+uZfixSqk6EYjBBOf88UflpRjnwy30SPEwRw/QzQqdjZASp H6sVbf2wiJyI1V+EAZrNtvfR/fTYb9yYJXp3EkKDUgqRShamiYBXsQ5DcybVTelle5qq/6EIJ9W2 oShDB2z57TDZFalxaOsSGdCyKhpZs0j3S4pmzLAwPIKLkzTyhd1hFFSWX+c91ZJCOqM/EVhVqMe/ 87KClt9iekANYCDLmVvlbikQL0qxVEiIfRHF+Ytwqqa557jhpW9kIZfV/4BmpHveHni8CNkkP2N8 NyBB6nxXMUFkcVg9zMgSPsOsm9bwpo+ChkOfzPAQEThugp3YSllJIvDZdm+XHNeSabMgT+rVPWHs ZLEC4H0hrxpiZoicvdhRdQ83qEWS4TppFUmocVeXrTG+0stfA4HSNthE4Pb4BniNKTE0ul0q1lMm 1iAzV5Plf6MTj/ywhzLP8orMttbH4R6AL2whG79lnnmV3VfXEubhFYhuZoZWe/V7BnZXix8GXxz7 YcyRw72TZyEtghHXUJnJmD8Q9Z2bgmYQH8cVjV3ekizgKj4/wPjmrjiTa+KaltGFEUZgUs0Powwy Yi6fnlwqoqeUAV0ns6d5e5h6FXmuH1SXf3f8V7/QkdsLmDHEAMNcK09CYSWcDecZgQYElKxeE0qZ Y1qn9cM13muNnFSOmw5lig45cHyk7VHZRvQGpoB2DTN/hSvuX4dKK7LXyL8JRp+aWurw3DvajSIo JdyiJ4ecMNzFbvXSDmbm5JCDOq97dO/yq2u+SPrlbo7S3y+MoCWltvtuCns++QRFe3WWavPOnRCF e2WoH3jQFOM6M/RvGo/WJQyHoPulQC8i3kRetQiWsOeXHa3PGhJIhHkRqVyFcgZvABw8NqxS5OPR cKGSL5HzYhaqWsFWBXO0wK1oQ8zN7mdzUegDBGEgURJTgvwjZ9RtRBsjK9eDP++tkfjHOew0cJ38 Ri+A+1jeuEiOx7POkkoBP7JaEaoTBWHOIIHLD3kQN3BU14olBwJcU/dXoRvrgn5mD/hNqNaTi1Kc yQe8YIFgTJojd4/4XKJPC2cygd34JWrtdCxp18nzTDC+kDc82PTLrNG1uHO2bIuy669jlc9Igv+B S3HHFcnjAIj+DDmmFOq1VTl0083e0A95tRvqqtuQjTh8kzXMIyLZL6BCABpr+srtZi++60C2dkod YtkkKACdos2PfEBgYDsXy1h+1T3ZHkSAYJ2NhgQ0/AvodXgnnJtEd3pBHxv8J1NtF9/5gP+U6RwX u+hINNKEj8kL0RKnS4k7uhuoZLyItp/gMxQazW1vdD4ws3pWnjeerk5IEzcmWa+tQ2Q1W/ZMat4D AFfFL30V577JEqi49Y359iU6hvBIbtrz9avrdnPp60Nwdb/+kDTMWXefAl6NK3juaxMn+cxV9aHi lc/H/PDRxyLgA4S0Npl/W/AdevvgtTtCOuC7CPdLr5dFfSsCd9SbcXt+tzGl0SFjrgUP1PupcMlr GDkliCXMVvBevcZyIACANTcVYxLNZA25NOs1POZmrDIIYoo4jtU7GQzgXHXOQb+soEKszmnsx7vc 4ME/Car2HTE1nYDtXUduOad+zyeI9v/0c2Hwr7GAYtgXvt3FRgGsI86UGlQymdBFP36AFHD/Xb/9 C3mCfaqpVf2jD41vDEeoxPKD7HtemnK7NXJI76zVtrZ+JF6jUgHPAvd6iSBuze4vitY+vx2vJrF4 0wgrwC9HOej8wgp4jh+vcel2pAn3t67MIV4J0ypn22d0uKommf7jQcA9sgu951b0f03W40vWhlRl 9LJLHE9zjmPjy9DdPfwioBh5KWcqWLxxVvRvyVbHC3dhDwd9SfPJGzgj396foodf74icUpCNtDy1 2aRRMdb/CUua7TP+pjPnZV/eBWD0ft46wRMxXXVCrkdZ3cER3KqDVmwUdaiVRX6bDH5ugKkwsxdw 4/vooUDziCCUXsTEoju3HAQwPlRmSNCAAQWInl42Bh10s3yy8fbkjVyCfJgDaYgDdD1B6LDxAZKL Va1Iu++B3BKSgyVYe9oM9B5ruQebaAV0GsKYQy47mx4Ur794nIaK69562QsyHUNWqPAX4h/ScJXp ZBdBd++v9DxvR4Tn+kJfl9YikS7QuhAoLB6LSo1GYiTfSs/83fvUHt+yVbIWqNi3REwJ05kbeNLr +wn6AxVjwSSpItiwXzL+dthdx7LxQiBke9oIwQqkj+yXj20wMiyrGbJnQ+4AZOOT4v/If8wLi93R YMZFuDJ/N9vha8P3yLAjrPLTPghVJxf6hZ4wbh/k+b28GgzZp6SoLsLship2WyooOt8yYlbcycC/ 0SQwCj9PhMIFMPWiTqVwrtnTL1ewpCP/tt0EicLdJOgCycwz8RzrL1BFtTQd9vkjB6npwobBhpwe GNMMO9mjQyfRFMrF+K7c/eUai2dATY5kb983JzWuxPheBbhV79LU59mfcsBbjpK3+Jo0FHBthcsc W87o1MpTyV3da3ernt2Xv+ZgtiL11i76sGWtd3wl2NWuU7ffrJ53gD+TIGdS+IDcw75rcDQO98KX PhSzH8pHkI6IYY/APjOPNdYBug31kat76omlBs4NarfllQPbPoUxCz1pmXhzNTs7WMxsmnx3YV2R gPQycfLgUpfjTiiXpjz2Ks/DOlfNa8vj5aI7eeSDfU8SmEbyMbg+T5rbNqFqf9SDLTWKBFg/OQm/ KIVrQ/tLYn62B6ZaLtBsL9vQ65BSh8ZGwCwzCZ5HbqOlIrjE8hHpEv57wbWMVjczkBSD9OknxQOH dgIHOuUaVHovd7bgHq8tvreDIv3ez/jaiXnAKUOhZF1RW+wGsptGGwdGF6DTHK/jilTx93VpZ3ls WYYgD+Crzh+eGfwK7RXDJiAvzoAPK7ZZc1GxDzRlfwAoWUxnjUNCzfEHjg9Te3wOVLgGS+FgsTxl nN07DJjAFlfSKbQPOlLhF8LBbRYXfmw+NcqRJ++L2DclADA3l2qAY/b5kN4Kve0DIwFLgbYQgB+7 Kjjz4X1kzCKYDQagPszv1TYoPvyq93y8tO/NdUi/00QEvpC8/XJflTmuuIfr87k/zmC/2+wb7eR4 CLeRtI0L/RT3ZUXoJaopqLk5XpJfL1DKGpm+WirvFU1zoGzK1J9JJkplqrobOTFJxj0/paJvZ11K tO/mvnZ9R7M/4rnplZXc5FXHTQ89PLT8NWVH9kbQ5hO886GRVgxXGfehczzWxrYyjsFX7Y/mPrzs TddaKEPhnNYiTW3ZnuLk8gdXPw1Tay0tkA22hTbpVE67yWO9l7BOivjuOE12GCsk4LlZQ7WwgVuo p++7Vpu5P2IrvZ3m9TalMswgd8Unt8k3tfsGx+C6QRdKniiM0YqLpvKQAEgDLzlTffDLIeko6LYB W8oCeoTYy/YCHLDToj64WGqKesC8YVsjY3Tps3ZmUgSfdeAoTdF9YjQ65z/R4Sozaa/+2NBZhUY7 JDZL4ZOP1DB0QVkUTCbO1o0dXMgtxvBooDBLc+1zyC5rmV4h7XyGY9waKWrlcgyUCQ0z0ER0hWHy DZ5nqEweDLIg1cQp48qZpdESNcl2EaR8PlZo6ec2O0ozjyu+61qpG3mMZaXf3CGIB4GQBnWb3fKp R/OIn+sdpBjDSSxbIx2VO+bYvccwPmvAW8v143OlNY3EUMoIXGJJqxzeje/hwvQ8cgiOMMI93PiT x5heQeY2IoKIEFFUCRx3DuYGvL+OL/B7pjA45l2Z+TLySIGfY8VuZePV5NL4f1yLOUi6NCk+XVEk vvJeCmpTaY1SfF2zsGdohEIWxRN3XsDG9VPJiaJTIEdeB/jnwhxKh66SQA9e7fKKUU9THeLmCMas llIDi99razHc/J0IL+nyV2fjVB8UZm0TlN55z0jb+rcMn6uzri2WnK8/gNOpoQpNL1h+qLgHoQTP iWGIqvIu5G5pJaRnXCjXjn6WjX9uwFffbgwy7uvNu2uhoR+Fyw6WsgWwNz89oS++nA/xpeBjcH4i w+evndgIRyenR6o6Y+CIPAHF96FcMwsAmS1s6F9f5/dezA/SlOBQtIENBKlqR7rYX9NKrEN9GOnC KOi4fTmJNEWl3n2kbV5tyoi4XxmKjSISRm6YzkAq7IIrGkSzvM19EZYb7slOmwuLwVEU+fWZJQFw yzXnzYiPx1HtbCGD/s8hWsNP/Qv19VTe53/BJeVomenxHbWApmqhh19x6PmEl5/4Zz/+pCB76S1P bQy1saZBwuZRkRRsw0MAk4ZUZCJTQDRdu0WcMgsrjBxc8GPxKpzTUcH5Zqw2eQgjWHPmCh6Xx10n kyRgTcmy3RX0YJIjKF7K2Pz2GiahI7vA+7acJ4pmszULWIWXxX91qddGp2CfaJw2AahMWHc6fG9f FCEa8SSFg5Olkgdc9HTmNyqNMGAPCRZW/XjK67gGK/zuSvS/h8RSXPZ9fgHGyARJ5p1iqRSr657y Z8O/N5qrw7szNefBZFuL5MvbBZRrQq3Xaj2tTRacgv4R40sNAuATl7VqTm9Ya05QMZ/si/qRGMhk cpqiUfEYv4j8F2AAQnnbqeTQfCGjE2enqNcmKNXi9Jb1cwiAPsaAfq/afeboWsj4BIlY6aiXhOHj UurBhBd/JRK4zqDq8QmqQ5fxxGae1RKQulprirE2Rl+riWNRZvsV5wtXcZkpF5JnjfSaizuurgH2 Iy9dUvNrlllNnD8UqGfhdrXvFvREwWn1T/Y3fqqq72/mGxzufojGA7YxukbiGG+MYtQkBgL8v+AE OwOqpJzOAx91fA+egapCnvYEFWImr5pegtynmoFYhZ0vAkmnwbqsslXSkVpvFfSYFIk0WW1neyqf DOCL+Txrph2TKdHdbgURyLJ0QP7OE7CCRAFV5oYTzh5bgug7l7adkNczz/JYG+7LwqdXwH1xCkGd rdT36oaD9R0j01nTElPXedlKzhsCduXADTuvJGXB2WuomanyJTOfoaMMqYezzQV37fmtv9/77fud Jqk6THQkGXtEbq5FqC7Vh8M76zcqk/QId3jwxhMKfz1mrzNIjO2YDJYD0SiX8DiKH7hkZm7K+4fL pQfsnxVX5ydfy/misUokkfxKxyO6gfpXbMxXjmQalVyuDFPexYjPlAV3JVelT345EjaZsqTK86TO L2lh1hpOaOpn7kMgXBozQDz7c/K6LuTe0nfl4veQpjRy1OBWrNlLcai2wyjJHA0XoKgPdtONdzBH UUFxv73zIVsFts22I5bJLT9ab46gshQvSrKm2WAcqdeYl020kky55wmRNF//OfUjUWpuLEGyAkmH xnToipyVjuXoF99EuDxpJlcuSgu6uZQM4w3PBxgT6TzCliErdmoIk86mbKqdiR47r6dsPslAM+Nt AxG7PtXicmPKOPCAXNJ9f6p0HA7LF1XxVN/KZOHpWAkCnOx1/ZgDppDw6yqSuZNFn6YxZGhtViz1 uXRLBUSXusikxaiYpaBHvIuVo4jUe5a/HJdGPncpAJ9+qDtVun64IEETB5LIza6xWinQ44MUtB0t zHEoig3ed+ZQ0KDmcPoRC47fpjeQi5E0Jm/Q7W1THMMDty6wZumvmi0Kpfn+lsXNVQibUTNJhJF0 bDo+3cXtMOY5F54GIDxUKZHKoJvlzhLCS6PIvd5knrpGbPrOSq4Lx7wrV0D3lZyjaDbCwYh/9Abt UjNOJ3nXylLt7/cHs4Flpro3mgn4lliqhbQSfQS0LEC2AONF+iKeewTiRR66crRTX01qz4jh5gih snA22Np7l5n2ywvKfQzx5VJpNpr4UlUr5uHBTqCB923YzW75eOqaju43qXCWPApw3fIsDBiqCvuZ nFXJHPr04DW786Gw/ab3xYHevrCxg4fsINkC1OQ5LC6qWMDFgUcdiwqdjPy8pkbZAZwYZQz8oXIv gGvqzbMP2FdWq13eA0LzSnCr79TcqI2D61mjXmv08wGu5j7FlSr5hfcGD5PUG2gveHbB4f0L+cA6 IO8t159PcT4SYiQ/gIAR+2Ut1wJDW0T3P+zAE+E8WJnG449mF9eojr7lJ3fScKSuswOE8Xb0yzuJ TDqJrcenZu2ybUesJa+ruGDY3Jbfi3eY4AtPH+elIDS4FAU3+ZNJJVPEOUV0scvIObGuobwYNmQE mFKEVVpvgL1PKhQC7k0fqNcBLfYDJCIEuoCKn+oL604zfgFhU8JdTcgl9qiv0NrdcpXovAm+mxNp DvRk5BgNN5w70Gg+/Rj2Vb4YdZloqr3+BHZhJxm5sye99ztRfyrGdODrTj5CbHfEEPVrQ52HDaEE elSJ6bljkAXGSUc2v3IjLSk85HiHZVB/21I7/Dc9r2cZWahBR4WhrNrWokZwqJDIDzEnuImXv+u5 Kk5zExSmFiOexL/sLDManB9mAO6VZ6qsn1wnncq5frD1hCAvfoO3O4KETZnDUlal8bwi0W+F5g7W cjH2lvMqneLTivhQC1lkkR53/71ZK0ac4cb4/SZbGfTw8QEugQW/81VALXLWxrr5tpo3lE9Jf6ae nj4UegUlWgbUNXZHl/gznSMJ3T1rFbZlU+gixjruZgnWPaRISDUTfEI7HUYvl7MKkLIY43oDseGv 2VJvAbuZH4qrRFoJUemksB7X4BrQlnSu1suxtdBQYYIlus9U+clxeYmYXjseo00kLwSy8WcFotAG CuWv2rh6tvGt+k3rhPxsKVs62h8o/+DfJoU4MCOQViKiW3y0zu4+NBfK6UkXVVSa3SBP7ZJvSAQ4 WpranpgBH743PdZKKdslTpCOEWNUIqPuns/nSJaw25MXN69yxcfT9FQ++X6SNk3eVQvuDcY26f9k HMSNA4MfQADo82D+bl750/uWFx/zQ4B2+v793Q92tuXniy1HRjHGzUjPyXFvHg4bhMtyufxkv8Ik ThXQjMiuh1gTTSKGIEXDkS3gqZFA1X+1euyLh7/fouhVf/+WvIz4mvp8DuykPmb/8PSqbezlfxoX XHhXXxvJrtUM1ZugNyzdFWoN2P7XPUapNwGulp1jCUEaqM5ESNAhUDeiIrI+D2fNHZU3tJ1MXOIG 7L7J1xbjS0D3fimH9AeDeIo0inVvYB/ACgro8E48T1+go8YcrsWyF0B81zts6DDYyuH2Pl3UhRrI fqMr+nF19k52XXRLRFisshFOp4VH8dLej+ELvBBtvWV8+GtGVMwsZKz996GMaSKlJZ6xnNMAluJN y5quMj5AAFs6kJGDyQox+9dkaPpSKWUpR71CsG6rG5HVqUbdwdiPBhHaGEMrNUrx2AysYpEYikJ5 n8ThIn6IwPW4coju5SiZZWDiy0g/ZaFbAihZ/Jv8RZ6jL1ES2W7Yh1FIkrIMlNxzqDdPneQNjx4T JV16B2IV5cEezksCf5DhZyQYuf0/lRxCnGafKt6iV4wUjsSEgNHI8PySKNG679LtCGgIpMqDUxHr ZjE1mU3LpFd8PRvvkCGLss+azcJgpRIiIl70BUJUDbNLiMz/RYq0hLTBiqzMhYDyBtA7nE8bqSMm h1e9O0X/hXy79JYmtRzPWkPYo82Z082JViQVahMVUQ+TPFVHZLgXe+4vI2cRBl78LAKWRftm9Hqq s6b95udkTqKmXnv3verdrpnmkpUpDBaL6lGgrhJEekfFeQsUEAmZrVTYpGF/b7VTlmMUEkNkgcuw vU03X3MP52oHPiK4VpXpB1fAnClDICWXrPJZ5UsX9sjWOFYyUUabOBrsyRYYwhIHBOxTXrdjjQCd oPjoduBhcx8bN7NrUD/QBrlU2aJ1dvfg/hBXKDbfz9HCddi5rnQCrTCOeEWBuqEsViuF/YBAKVH0 7qip2rETR4u/x7feWQx6LtKPCxrkjxuAtafGrrVxfS7UpqnjO+5n8Yjk5r0RmUuqXJZ+Pc8XBXQC uA8/1o6cY9YJWje+bWjnd43PvsOxpuPa/0lK8RbgCNIekpSR9karYoiwgjqsLzaSlzyRR1hJZ3NM CuCn+8WROgDybPHStF7oZiVMw8ET10mi6bKge3EImOi3rD1Kv6pbsrp1emngxgIChR2HkQPE/k/Q 63fIBhG+3wmD/arWu10HBovmQQFZPL/QMEXcs3MvuNV00kOo5gOLZ3iCd0ttBupJTFeO0RJhgM4n 4ln09tNyw/eYOU+Hekd4M9ZsivnS8kzlNH5y2CKZhlFPkgOk8nEC3bD/EYg1mbGDRYm62JgAN5hy q6FzNOFhUPbiQZKZI3yuxZ/NGimU9dVhA3qfp0Gr+l2TSu4YVJ/1JWVuZt+EKyOMjALI485bJ889 8lEVAtV3oOMQb1v/EcrrgDKdCSwj0XMXe8qXBzlgghBzGnC0lzlbsQJGuS54krNwFEaxgiaH/k+t 9/n8yPyW871hEe2Gu/pFflolImb1u2cZyCUQe0Kj+c829dvNVgaLTpvgmL708ZXsmcgtcETUr7SV +mK+2AhSkCDKTZU0Mfn9GlXKPF6hxolqx8qB4lLxi/3yHP3Lin3XimHGNhFtnuV8VjJjO4Lpcbn5 wejE9j0JkVdPpjm9VKWOR2wbhHo9LTCna7AvSlL7OKDsvF4Elf1oHzZ05xL9o+hH2nQERAUBs1hw aDsMJxDEdRH2VRkblvdN+ppFrQ8Qb+NMwEYGZjoFLw+MOG32SwRzJL/+hKUqmWaK5KJWpXCo7aZ0 yTdnE8zAdDuaG+t6zpOqT1dsPbF4zIor72eGdfx2CZ+5NGrlMSLhKjQcDSWC7bCX5rqXhzAVI3ri 4vlcMJOFwDrUAX9Z/aNdTub7ql0xuUtHVpmRQp0E3fjXhPVKgGAOqD+i/npzHptMAYGXqNHwQNT2 nzsPuUiwIstrQGIYmJOZO3UwTZMfrkdBjf8atbpOwi+JzRfm9Z1E3lD3Xs3E7hq6LGQ8hi7npBPr XSPE6aukEgIsp/PF6EHFf4jQBXmjSQZaq46v7e/hOB+voy0RRANTPA5eMuiZrvLJTxYuag+z3XY8 1Iri2LR+6g/Bko2y6GwjSQshFi11VsX5YlGwZS19a4zLi4hT0mapblZ4U0+sysZ/TvEOCd/aMcDl vUviWDwLOYCO5CLo63r7vBIHj4XEghcf39LUXF1i3FOlImkro1u6mK7irAGtA4APW28s+MHI+d2T 4DKcwoWi4qEGjh3OPMALESciUf9z4uVtpdi96qTXDMa0GHB+qtNV+MNJJpXz8DqATVq9SZaxiK1V TBidDu+pc3AAycj5ck+HEhSl23KjJuavLQfon/TqPJhGtuM02GXC7Jwml3q+x6GY2iVlXsKAdRYP B0I9mnFQ/LD3A5zgWjiMQZfKBJCzoHgfTsx+HMseWtbhu7gCE3tQyydQf7R/BRRcPS0psXgv5STz +YnuDLhn4WmolI3e6/hNbga3agv5dOYCvphne87sips7FEsKZGoi7pI5ygXk90UKN09c6tqu+zMT 3+cwFb5fOT5gmMzLbX2qXu/FPe4tWrfVRv6AKFIhdIefI7a5Oqhrvu1nqehjh8FylwB4hm8fC2sY P/e1iVnq/xOT+jyL1A4LfcgVX7tvQQPgVF8UL1H3fHH7pr0LejGnC/gXzhlgIan8oaGR45nKtfFJ iw5LRTdA4BJNCBRhjImQatT21REKtPoRkAgOEGvuWRmuUbEv1H6Rs5EhOFtM0L6YyzVwU90vTGQn g1qrT2kBbpYpW+N/Yol3KnB2EUy0vkhEHpzn1BrPIbQ2qW8EzSNAgQFsjzfIm0X05fJJOBnlkMyD 7T4Ot3keOps7a4Ii2A/tfazRyMYqx//PNEx/PqkH2IJLsqb3P7qD1swpGZaHXcwvbG5AvKhSWci6 VmcA71mzsfwsvmOabsFDHo3E6xxtil7XqKzQlXMF2G4uHyksK90ukEuhAgjOKDR1TgD5CXMFOQFP qApRkAxbtDSi4D3sUVd8HSSFLcritK4DW2wUVgGsgGmUMrSpLJ+habKQZDXTX0nasMvrDlyhCiR3 uiiCvw4S2Zzy7OLliAw/5rA0LnPYh1dyZ8hlg6lbPYPnsXF++d8LiRzr61XgTFtWlSF3WKxfqnLN l+P0jzkjpA0TdZBJxhwUiCh4w/VNynku3zrPNceNgWgvpprcEwzXpqfx2b8ij8vfnlSZMUZoF8pl wIcElE6sPJDG/Sw/0NIvjLYTdqcjm74O+/FuxUSffaoSLuJZkVNCWhuOkxwX5bukUHQ4alKgHoRZ EWhipSqRXdH3PKrJcy3ijupXz/Aoww8WgCWEIbukPAJo2xfekSQF7Ps899OilHsSagv26QLhqbRp 4Msv4XHSyTiZgGZRtU7Fv2sF3Rod6Gn18IZgZNTuv5MBKhYDuCcRU1ko7hdExsNRuv4s2C+1lCwr E1f3hJtvma3IPcSL8GD27s1rw0JUsvUdqi1VP6xrHMCqAHmJd2k+oizLbUlgmh+KF4bSNuLTkQCy Bjwff4R5W9JgdJ1aQuZ6GGyurt3O8YPviL2nrOiRNSRaO7lPFJiD3uQdQ6It6J4IpM0nEj6XFCPk H3Up90w7719NzYKK2asVZMNDXXnJCybtLC/E6GAizFHhc62YxAzqWnmwQM1y01/nUI40wzeDF7uk XQaWnPsST61QLG8aYj3UosS7YsixXCCy20l3bw/a8hfCwWbsrWcWKAM9HTW5wUouyjuE3DRQ4nT3 WdrdyDc1SULVuVOLRrbFSVDdvVqw1pIzTZmsXbhEi0Z3sXkvsR9NAA49zeGQ+bfDAG40Edx3Pspv BO3R0U6DO5gtst7iwPdJk0DVbD7Yges3kL8G6+is9AshBTtSE+8+1ZJ27VQY3ubrxS1GnuFcA93l djmxRUYiJU2PcmNs1zEHocKrb4GBMRmJ+JeXbnYZ6rNq4R3vl0r77JIb3yaakU0zEOyXeFOQjfxr 2rOOEE+7KBJssjO7ZrvCjPu7TcGaCKn/H+meUess5P7hMy6i+FFnQk22+jCEhEkqDOzeofqamWRX fdO9SWuYYfpX7kTV+rg/PjbdzMvK4DCjvv5XludS1URWWDjAkjF1oQGK9d3LcLCgYwW/s/IqicGn 7N9rzJ4QqX0Ep8uBCfn0dGWEAAPkrzfkfIrQiVCbo0Sk8P9WwU+92gEldUjgf00tIwtuhrmiYHbF A55WHTMlYs/jWrQSwCwjxDgKIGHalsREWSs9jwMwMIdwQ+xn8zt/abtQKgxqr+iFJz18GUh5lizx cTqVFVIjcypw3o3huJauxrq9Gr7QBYO+yycwh8h7Y1n85c/nBECZMDITRsAI5nX0x8QphsjVTf/j Cwd1R6nWsSNpzZ4o2mOJ6O6ROANlK3TisYTXiHmkzk/pe3Jxxy1JnciCW2RpZBtbWk++BZotyToR 2+K0dsI21omY9UQjK/mh5A9OfpIocAk6VCpCMDFF8w93hYBXpUaCCaEE8Gfrkt/R43pQPeorqE8i m0KtdGu9uS/sbn7D5pOnWO7VxP2cMmiTNbC9Z814GIUyAilgn8o5PH+M9Vs27YfJMU5Ad+BonqYK MjsTpeSc/pbJ56coKoiU1Oqa/Xa0GJAha9LD5hSQ1D7vCilxAWaER8nRRhQjk86ryT7CWkLy+xQ/ oxROm1E2wIrTNcUYe8cy86nM/ZUt4Q1eDuIUU2cpQ1MncCgrrW6Ks/LIIXternrHREnjml30Z7Zd USihsw4+3CYcHJH9rGDFF+8SoNQec61T+Ea+w19ShSHTdcEOkzQLLgo7Bxi+1onBhlitHqut6ksl arvW8M2JK7MKZ3ya+kjs2Jot4XrwD+aC14koN3HAHSt/zhoR2oGJRrFKBd8bRjj5QTPUox1fr15O K18WlN6wuzV27gHkhko3TGRp8rFbUGmtQTmjCVm3BfmW0UxDx1b30pWpeeQ8CzjS4N2C47puhDNe MaZ1L3Hear/vWQsmzpS41iejAOovUB2HCk2Rc+Cz+bmsaKSrmN0yLhfT/WBbLNAp6PkFXXXhbNA7 rIZHX9FFHgu6d1KKjWPufIp2pKJNMxTOYrCCHNbSkXyqb5o75+kwYBdoaKJ4yUqgnzQemE0dVL8m vo8JyD6nNelk9a+JyeIwic3uDblhETjuGfGzdYU6MsZ0QWg+aAOHMyxtVaetpyBI93EibJIylHag OVX9JB2Y3Dk43YcrHxAsl+quLJbOEhTm6AIWMOXWEk2KYst1VcAJSpoeM9VYe91/9xxVdST1TFXp g0JyWIlVz0ZRrlwdIIfzBR3JykILhGQH7klzD3ZJtIkyrm63yuox1wSbFJCQmioV1i8r48BkEA7Q izP7Ew7f+u221Uj/iv873yrJCrL2ZC+T9tLDbCyxbDWaKJCDqtHDwxj88IaNLItlICyy+0lci5Hu tVvDBg+HKTHq4/2eTu8C9EgNnuFW1rmwA+vfcnJaTRJ6C/F6IHjUosI72j8smBlBj0XtosE8Z8k1 dALgLmg1XVgZRYd9qjtCSii2nWcFYZlziNnrtQv4MEg/NAVbxgxIJ7fEKet2hfBKIpvpfiMawa6b S2ErUyDhjPdnddG5H+bOzG0RfZCoeWmZ0ymz7aOPMl6/Ss5m+NCOLiZi+Rf8a9cwGTBlQz7wvWRS 9hqh8RTFbtGk/RaXTQnMPOPtdmhmRnQQfJfOGR+J+CU5Lluoi7X+Pbv0faSJCYtyI8tDnGxUp1tk sie3Nk2qieBao5gatx3Xt9Rdz6wu9q/+6ELo6V93NhfLmFHh/KLV5JOXb9Mstk+KOZcTgN82SOAN TSVE++a+905BmpGRUjBnFn4XLQXuIoD1FC1iLF1jB2ZjngtBPSAsjSzErV2RX3q2qf+XlsOqKFO0 xk1uScEt2EiklpklXbuKolSAJmXTBkRyVIEQtU9aQ8rt78P2HFGFpQSh1CCisJ1o0IDpgusJ4hpV uW9YV20Wc9uUS16lCzkRk6ORvxPiGaADIIZYLxLqDje268Y83KlKLZvpp8kw2VAiuMCpQaVW/+zR uSIJgnNSl02aYDkzyDMnCZCQT7/EnhgjlpzPtIFyV7RV2J9j/GcV6qSmagEm0DAB8dDVj0qgGf3X Conq4fHK6fzH0j+BPTmqsxyfMmhDUevAgUfir2C9dquf9gd2CH4wCtYlf9yej2eqoNDUfF4wiwnK 03hleCMtaA+xjbKGVJcbz4wq85nDFwe3tYP8D8v7ZI2+Nnc59AzbAQXa5kEvBRZ+XPJZIDvxkPPz AoX2R+K3sEvkU/hfaL9u4N4uVHGd2zfzqUXIMeJ6UN4jeiUeudHGn6JQYOsbldeteYzwRjjk4Nqq dneihliSVg2qdRjC1RfuupTCg90vp0K0GzlQf58z4/4RtflgTU/o24Do2d/7LUeIH8vPGFvJzQkz a6E2fTn8LfOuSpVBDtqhB7bfAf9EwvUvmXE1kCygN0P/cGRMG1NsS0/6otpDRoik3eZ3eR36ZKvA lpPXRY0U6Ll6GiqAi8GHzjD/COhv86RS+5cFkhA2GkdHq/P2zxvauMKOyFfxCBsUiD4kZ13VIgrZ MYyN+o9kszYe6NEgTQQIBUcdnej8UMhf68eHAHSuSKWSNXF7zf7MtqHewGhDTyYy5jtsfejoBxa4 8VsWzt1reLnArO83NlA1HIPbRvtt7xzscv3378Trweo3q6AKFGUUf0iT+3ZiGauxKHTS+pNOn/Vp FiBIa8OgfuRxjpzbB7HB1lZw+YyOQdGgkrAOz/4uFqj2GFRaH784MOnA2443whCkNVfzFSqKDPyI Kuw/iy4T4NFKeAkvzd6i0Sf9TMiUCUwC0YGrz/KseHoptlxkERNkjNCgjJQPRLtuQmF6kaxulZ25 RZuLbyYWXOMOpSOsTZlzxhwHx7m9WLwHfRA0/91sX/vAi72qmRVuNZR+uqOkZrWoi1Am1EoXpHMv h0y5RWW9udDqyzGoZNEwP8MRIf7G3Y9+IU9DRroSPwoaxbfHTtD26A6XlhfS9lnDDQ9hjHkkaXVm MlarTnky4HCzddD8fzTYlYEA8PR05adNTdCHhcEqwS6KCt/S+uj3beAyhw2R4qud87nrOkDCeyil pihvKZxtiqZ86ED0oetZK1xH18auAO6K72fvVxmNo7vcPZce7g94id0ryyDjy6J2ei+AqyLdrdNl I3d9m0S0Z39hPl7rSdR/l0Hs8++qdZ/3a0Hta0zCh+i5NEiNiOXljdGGOxoyoW3n7othGTcaBvpO kzu41YrdPqZ9B2AOylgFa4iklXYovFxX8bQcfA5Jg9olM/wDR1t2fNi1R2Uc2I5ZXbEzFzWATs15 FdN530PJhuQNmlQMP4foQ5EIbZuf9V85JxWdVXOd8Ox331YAT84q9ny6v7kLnj8UZvqefxYrykz9 rSnekhzD92PIzAgpsJHBSvs7ME4loR7DZp0JgjvkvmqosX5iYQv4WJl2F7W2/tuj8rlQrF3ZvGAU 7zRLJtNfnl4QykZWbKpBS4wyEFR9jS5KVHATp7Z5GLxpLEXh1IKzHTZSqjv8kq00akCa5A5EO20M cQDjiJp73KcFrDhbi/mwZIOIDXq6NYeanurrlUjWF4+ojkmEEYvKTkwPc2w7K/De+9p+lwvgeGLi vD7fu4E/Iq17nQDs56zAF3xrGYJPkqsxh10fJ72reTmZsw+jA9rkcVYCaTZWa7WNevSdLE2i3CXR K1meJBVg3pWp7zkPwfgaRcJRVSsVr5hvT591Uu206Y9quXlB1qA4WwxIjVjHEmql2FE/Rgvqk+Go bQwT+nf7a1rrrcKAY4nTgcRHqwerIGyJWgSIiZAIUpn4hPtQkJhWsp2lJe4yhyyeMAg5/nDmzDUI pfcrhuLPyz9aF1iJ2OgO4ZqvfiwNHybJGdRXcBDrdzDB9U76Wf/r6iz92a3yUFZULGj2tEDQiKez ropTZ8h4aD9OG0TYx6lvwRL5bHRyOEFPmgXMQEVWiZrfOwz3F+VWIc8Jn3ad6xfJquV70B15Zkgz +/KMhzPRTRDmsD3Zrc1JQFV/kn4NMnYaGpM55s9kIVuZ/G4n8V91wq14bY+1uv3RBQmJ4dbumqyW LhighpEzah29raYssMOg63BgAPmYJtciNMYEnqL14jyuwnOxY8Xjv6PwQr4Fcso3Ot9/XK3n4MT4 wqMfVUx3YRXVplpuWPSQy7ci1QHxjSRFGxp96JfmTxc/PDftLYprIjgw9pIlcmrjDvcvWKclq/5B 5HP+yrIYMMaj+ASyPj9swFNtb0ZZEp4ChGkg5T9mFNzZ+l6+QChM933FqzKvRqZMh8t+/7GNmeHR gPLrYYBfrGeLHvT7ASWn8W6o/+BMvIBo5aNnyibMxr/5IOeAEq39dZalbpIktYEmkFIZeJA1M1Ah OkCcUC0YFzKWcJm+1pn09drOTI3t6vjOxNeemMK99I9qIuWJ2SR0jEAKHRKA3MIfL5GCI5I3/HaL SQVYW87EXKhEcSH5q16YSOqsQNF12K8ZBuf7U93d419PGgJhV2i8JF0mQTOiu02r+32k8vORxFEZ 3NqNazGOonCu6xTMl55KFsVlDDt1KDD6WL5+CyjCYQ2qGE9w8qOU2EbEnAlON6g5MwV+wmh17Bky fa6mfYWz4CYsEXkPREwe4h2X6T7OscFkSL5AjVZoTSaqVQCMtCpgmrse5zKXH7FHigFQUPxKVASS Hmh78AXuAEyDxlLpP33fWfCcfPmutonXT+nXw/e+GKE76cMsRfA/eTrsoCEkd042v3cPhdT2iTe5 LMStViaIfL1kVOAFaNqRJN0hImuxu5jt6pA7vWOicGsrx1CMWUfDYJC5UA3PIshovuBpySU6THf8 hio8sD9XScRMNgluRGk6oXrCgVkqqyuT7MKapDiE3GVWHFb56iRbCrLkM2IEp0f0z5+FgnaqSB6i Hus1sBsueBthSnusix14/E41Az/Rf3XOQzQAQSV8vmmgxjkpTRam2Vgc3huZ5oAryIbgUgdENlRQ K03khGkvM4qpTyejNDOQ67bbLnITlzMRoVJ7NOKxL8JE8kusO/lA9/J/+I1jhwGYFLUshDIl9TeN L6Xv1zSTMNj+QcPYrMsKtmlpVFaxqFk7RDStSrOLZ5/RIHHibrRy8rvWyvRU+PK5prVOiJpmgeUC SpoHN5u4MKHVHeffl4WGHxnSCaMVi3yvfXEzPdXEDUuNFHUDC4c2B/maBPtt76RMAgqg8r+4ZT3f nga42H6JHJwffLXCD2FwOGw89uYqVMOMc7dBtd75sxGRh7/MKMfPdQAckXLmGxU9tyzHdllC8xzT wxUgEJlfifiauyukhhH5VzVVA6ygwaD1zZR49TZbvZRvbPzE9C34+kJlWT/LHE3Hqdbi6GSomLjX WJKIprQ7Er5s2TH0aG6+eIR2nS2d9TvW4GirgG94r9LRqhpdxTbUvdK3RfGPilf7B6oi4JGDCPue 57JS3zFmr1zS0XHAHYD41EM3WE6tpFZfmTuH+qm7Pf+c8yCXAHcnx+PCTW+9C5QJyY4J9wWnnVEa cEedZU/gZN4Er3QVzAaPaXc5dgTZO2WtL381RnU3X8B0z7t7/dx6QhRp6jPvA9e3PNI6ghX/Tk3n OXr/6VIS+WL1pcurypip/wfnM8HdHbRqLv5IGJSSzW95XGNX+J2M+GhjkPlpUhKqPyNEA3oW2N45 nj0SEtzSnHpEvzqZ0e6HxVDVrp82Lx9COjFef9AhHLFzd8XRTK5MLxvipkg8Kmly7g4JTnPZK1be vVmK4//XwiIcumOVsD2awAvxcsHfIvcsYoo6C+jE/k1YCbBgipcXz5B/KbF0akeVROfblu6xP0gF qwsZn4QTse7Q48mCJw6/UuowPgmCopA6aRuL8g94ugULCHPWI1P5MmkwvDaffFT27f/s2er8em0/ gSa887xXxFXXXysKCVAGaZSULVfaWJl5G3FCuQ+B3QGoql7Tf7MgHUcaDwUiFc4FZkIoT1P8e3hd FFn/fEsqnj3TAlw0vLL0xHN10UBT346xfE2OLcewkNbN+eHP4uT8+76+xKgnRYTh7pqCjpTnUBg3 CniNwYicS8os6k01yzYG91xnJOR/Dpr3AR6cElOUYaEsc7vYe92/cBk4bM7nBzVwZ5g0wqyRK/bF eLzhb5++XFdZhNh5yQvui6PkqhpIA5FAC/0nv7o/CDGp7LgA/ON3BzQeeTWsyZltNAjsCM+bE+wj FF0vD3MGHgrrTncpA/8lo+zc7SyKleZOR+WFl4TbIfZ0wUG8+hspzQiiIsFKXB7Qqc4GovhUxsX7 SXh7F0zTlBIBWEbIa4SqR8B5WPByIF96I3VkvR+W5DPLvoJ8FOJpDthBbT0zdgeTyBQ9ZEq6YRvV BPrKro9IzLBBq3Pbg6G6+B26VbS3vN6TSjAXHe7HejIfdE3zhJaoAUUZ8LKHZKIVwiUjbBziZyPK AyH670GD0YbsXwVDzNTgj6cDecJduWgfe7tKxTvG0RaTmD58hT+xZ2D0g1OVkh3r+a569sQduGLq mvlzZBG7HU+YRUqCpwTxzSWTIYrnuxpUBbF80tOplSX48M8ZCIPOfMwYvmX99693HZm7CXCUGi4Y w8f3CGRRTvRaVfYuxeSONUX0PDYEsC9DPHjWx/AlGkz99I8VVepS86rQ3L2H+uKtB4WrnqsDsanX GpvxuLcUoTgmQo2RgZ4rqhnn9S5c6nv4fOmsjPk8PKnf4i7IbKwSUwuj+ugRWK5JXcqP7g6mqgex hleVL8UGMLpTjbJe+Pr2rcnDtcgAbLC9nnuqtkuB2OT/DzAyshw+HndRhAZfAPYigudlLK1SmBC/ /g5Pjm8hoIS8NU9Rsjnrev/b6GVBdViwhu/z3FnldmxWu75QFPyjBq4KNJYc/krvirf2D8+Kn/Fc EuUOyK0T4z0BlCdxiH1JM8vhLALOnqVBp9YQSrxhJnITG/fqcmkeGZcYaNai7gKmzJImu8/5KRkq Cer5wdDzsgrksARPQ8odgqBH3vBDpkzbArpa3KH5lSWbsY/9xpMCG1jn/i7YW4aR9OKzZJZReGHW sQaTdgmDTGkP0Y086jEjW/ZEzzr4ScKZtnH4AA/P9C3NxnvZXH26AoCkIMKXY8N+8Xah5DcZ8DkZ qyOondjiFHmScEpKfGAI3rW3yMoXXd2dEgpoUqSfDHQyy9PZ4RPzl3jJcIq//UwYThvf4+JrT2GU zoJwriYFn1cKqQM/4YmRlXh+wZ9t/5UOhrjsu8pONFfduWbici+QsFqGCBUL0ajUrftS+ftzh/qL crt1GgEzl3IVsjz10JV1TLQF6yozqhOUY5+1Dm6LZedkFIJwMSl+k8GlPcoZP1qdcX3vqPOlAruk vvr/4DEuzfmQbZjDC01UB8HH48IPmI7pPTGtiS71ujAfxlNdU1oGjsAFmmM8iaMwoeDp5IXZN6of 6lo4Xygj/SYBtbpy+rqz6aCuv5pkMGxgf+7Z07ZJbhtyTNDKQjYSG7KbqUtv9Un1w2q1zZP7TvT+ eS9d0r5cCOagv7KdLhaN5Om6hYPM31Xtg/mHHYVJ/xtNkRXy8EFkCbQiZCygRFcrKs2RjvMnW5Y0 0kKD767nGL5VKkkrMLHSSwYdHiXfnSMC2jp9iPO9mja42iik7GVNHcgvvUZunLdy8d67LQHltaVp lFiRnJCfzDnb5n7gFQq9mAPiZvINiPogy63dV1qr9fakhy2yTKEI6IXP1wACSDxkXPQ6ssB52M1g n3DsH/3nt6BKwvpDK9oefZe/AlNZn08x1rA5EGUom3Az0inRUDj37YZXpnECj5//6107PlHMM4xr 1WQ6yADSLgMv8cq8VTs7Yq11Qm6s/2SgJLxQ9bcDLIRqmY9Fk8ldhUO8FVUFiWUSdEFbD4Qr/Wte I7afxma/20VY4ivvnrxt6L6uNdeWqoKXIdgYwIh/N9KI0oJPzWEmOagi7fVKXXBTNQxVG4edziRR kHNzXl5Psea+ZYWs0YSF5e/ZE0RBLn+rua7/6HRE4zY05amJ2Oriq9z2rtvgDOZbPxdA44or5mHC F+LcZ6ZshC/gJa8twn7mJ6fnFXIKu0lwL0KOqLJol1Oj4bNQWhbJ6jY4M7VhJ1G6net7aSDnn4oo 44/mSKhxc6n9PPOav2AgJftlqI96kQbFXIxggkzckgtZ5lcwHuaMU4UcjJYfIpTjAdtvav4UlWJ1 WelcUqjs1zyyFuXBkj1IQTO5KJZa2egyFbuGcveiTovyBZN+mEwbTOoWpTSaei4SGJRplZ1R7Au1 BLZYhCVNm8uahoRiOeRUSfjpTB9W0iE+6wUmqz/qJV1LVXcCpV+vtIRhCgw8AMTBs+U8sROni2G0 kySwOixWcVeXWLlECrz1JAp8nRHJKhj0iy/QHzfAtUFN7WJE0m/9stYCEI3B8EB3RHjeJuqiLwI1 1r9e9awf7xF1pudD1M/EPdGXOzLk8m4dXjsWu9TzS6c+aFNwAgW2DsAHtbhgeHArO3pdpEqCiLf6 MRC6anF6L7MA+QONM+kQZ6XqfBTqOIOy1FSdHRds/RjTqUDRxxkvwXH0Kejkzp8MSMuTl4KkKBb5 +0WuGXr/vsFWn462BKGrV12sG+wslo8mEFILjAQZveojPoCzixPpmY7juKGGTgw34NY7FUBdsA+s 4z6qw0YLNiHU4+g3q373VPIW+/7qzxrjbFsx87RPyo6AA1OUAX+75z9n33F8tWzhYjJqhUmZCQAS TNlnANDoSa9/s58Es57tM5UQ/OA7khlrORnReqY7kQ2N2WES87AAwxYl7j8S6FZv3nAoFQ8vFPw8 n3shtOIxQI4SxJD9nysolwxgAwk+SNlxaNISj3RXTwJgPrg5laZT/2AQsoW+Hzqh8nXmAUBKfS6B LM0ODA63QB4veDc2Qj08qF4clxaqZPc58If8b0m3W5PfQaIVQU9zdbW0Y7V1KEK2VU6k3BsgJOQ0 SSZD0UKMCrTSMRut8gx056nlczNEXznPrJxq/sEjtmdy+LLzwqTAanrJ1KObOrdIg2q9H6uWw5ki 01V/HULkccgsDLVQbOIbJJ4SfW1354E1azIX2rGgr2v/69cMMRFMWi66HTKzwG/O7QCjxN1XVrHq 3szbIPUV7PngjUVIUOajl8ulZC3MlzUCsj118/FVgARhcLNse1UFVPNwHd2I/d+A4RxPZ0fCi9bQ aO/tZGPvgVUG35NTXqEHzDD1GyHLZ0kjVeAkXjygvERk8MZew5Hpupp6MtNh4Amjjh9a3LlJv/dU ILOeq0NYdSiBYtf+UN+7AIum+Gczj9rnBBfUzY1VHTb4a3HcdYxyiT7l2ucJQGQwXsuPwIljt9VF ke1ozpVi8ZNA/uvdaZ+zDQDSW9TWErzRNd39/dx42g6Q+bUpqFyoogu9jEFNk8rNtL+g/9LyUXT6 NRJpup4P+Lr6IBNoP/lwTRLKGOyEWwhY2MFa5I4vo5F54D4FoWcjqTVweeHOSh/TdPx4z1K9uoe6 QkWJOX4A6r0X8ZcsSYWgJj4va3DWkcuAhV1MGa8xxsKUpP9TFEaBROCx+Zm1goZSoa85BrGH/DC5 tPlmopHaptiZN4eOmOcHKUj6BkvkLAhmBc3/xaFEym1iigcYWX5wZ+9zNCzD+0kGVJVurZ84YDqS FBDeR2o88mSAtQmwxHg+RrTvanDk4E1xXCVQmQ2E6U/umH0m0s1wozCIx5SdjpJiQxQMq/+RJTv1 8ItKzyRROXuiPMeWtTcQYAz8iItd36k23y0jRs5xhWeOUdHCVWRdwYMYKPxYzb0QW4pY187V3COh 7822Q1QbmUoc4bWcuens1sqKLlpEonkUJGjeMJbQMcCTT3pbDrbJLgsV61hy/nzX80WvwePeStXj mrxyyE0bDdpaG4NUpb/PEcOJwXoqhPFSIZQGvyVyVUQXkAwDYFYUZhHKo5RTEIQ7+vSTNSx+yqik Qtr9gEeXgjYb1H9dcD6WXLsMqgn2uV5/JV/kenUjHKc9a7h0JOAtf8jSnXDBC5+oSW9jg7kTa2fQ eYM24hnPMQnyF6zKjCODxyiPEWnkJ7GYgQfpqOnmZ2z2bRFD68Ij6FBNdLWmN6AzIyRp3hRi0XUM GHN2deC3WZKm6HsOZzqUmilzq2+LLO3ThK6pntoJMeSkmUg6BaBBje8QkGaV1aeF4IXw64htGiCQ +VdvZBWV0wrMg5jSMXOX7ncHdnCWlNrv09tP3dvdaecBFq95+i0ZYG7zuJhZnsJ5JPwehrxV4mmp M5+9TRZxwygiI1bxUL42HGy1TdKZ2MnIdT8gNm/HmMkOfW3uy8Q2ToE7tR19Wf9kZEHyiYxccRkW Qsfz/oXEHnx6SmXw9VYtHurZPdNWJNhqlKCRCKnyxYCeTKT4rs30GYG98pYAqkpwc2gF0CejjHQ5 ecU3OCNhwMtA4gdI48rvAEoDMlAS9F3hA+DmtLczjViqls/tJmQWJLwsmwIp5aB2wYk7pYa/kDaW M+eKMTHsv4E7u9Qh7Jq+DWbAl9pMUtL3Xf272co7U5ESrcqnzOzqu7hsazfZ004PyHawuDHjUTX2 qn4xW3d4+SMiTwz+IQTaBTpCpFOT8GWnmf8yd5pORX2MYtkopbyrql06kvLK0sop3DPhzmwHB3FR SNRTpWHjTEiE7eCFSiAX2b5bH+9iLM/jsTKNHNN6rScTgIkWjElW4luWbk+WHhe9sPP5wPZM+p6W UhYAgnC7aFZ6qhK4hqPZm80yctZr7f8xpg6VIyw/6G4uZ36xJIUXm0MNqt3ebPrAc9Zqm3HAbX4W bigehYk5NUd1xlJ/8id99xTetVgASi+LxISKJHKDzM1ooOJaPGLczKHcfYxafT3/+tc0oBi0B8mG x6jL8pQ777PVatt4y4bxPbFTKmr8YcGZJYgLCm4TgV9sDt6gcV7mNjE07uprDxX8ayrdoCuEPZYj HII/fMdY13JaSGzD1XFxsZG2jKS2z6Zvb3a7iJRYT/BsTmvCYBo0VLY+OIkz/cVELdg2GMmPi1rt NbG7cOZLZy0vBSc08n+d4YjhWltGZMc0+0vv56iy58Hh6lUQF3LucEmX91DjFNow+0qY0zBP98B4 OtyCA833ecjLxUM47TJ7ZQ20vxiTxNj4rM9eDMaxD8yhYH6gysg5kaNBODyLjcCSA6ZQxS+uKKjm vgntac2mbfitjW3EDVSTqqIpq1nfpF+xNB+9CKt2Dzskw/TE0dzXV0lIdS3riuipDLHb7NMBZKUT aSvkWV/1rSRLbYZpeHI0wsHJEQNT0LuOB4WJkyOK3Hxh/n6WViRMLrccuaqKlW9kuoWMIx7IrOzx XYmCsfD1k5qvUKBfhXzkfJNCRYIlYivCo9C4P5QWhPpOaX7pQw/XmSHgcmIkIIkxRA3Gx7hi5Gin 9b4qDCXFGqq8J+//zHDya9xFiXH/pLqB8+fZsiX17/sugq2zGCa3fDPn/aA6bIy/zlQXSn0O6EGS xG8acNd37eXAHW82qfB8F+xAMEWYkt9B+MgddXY5/MOZ1TsdOSsa7p1aye3M9EpRsqilQ2YXukFv 9VIq4qevnFH6vkPzxbT3FxOJDfW1LfF4s+pVWe1QOAsVfr9bGw7hDgUkeqrjDq0RFe6MJNpzGWij TP4qlHnqN6zMY0Myi+bbmbzUp9OvOtOIPgdMsuuR2cvuKIGrq1Fm2903gyTH2ezPn9ud5yBR5jQc bv4vd8in7zur0xQGLz5ilLBMB8+MFBs7BME1z8k5d3Z+onTWNVQ22zqvCvPo2tCHaMvnS1sDhjO/ jx5ZcARHrDHvLEUcrFcqMba0NmNBTEirAIK4BaszTa+DKFWbpBv9awibKPu6+7FxoR2ynzBylbCo tIi0WYrxvf73m2qO6zEWpxJ66rEosdjHNwe+HKzCbcKKHRRqLO/gIH8+3TAmfYc13IVntgk00shj kcua/mUVeapovv1gcDKEoklDeeblbh0gn+HZf/YXu9RagvEuee7DwKA/b+E0N2msYtUtLhE46Xux 1ZrKE4vziKcT4mYI3uah8gb72Sd8IhAqq2HaOXDHgpLuI0SiLYOEGVrVZk5cgYKSze/3c8akq3he 1oCZHBeANuALy1lOkqxezXf2x7AGI+QvxhnAIb0SHeTV11p1jwkzC4a5/48OGV67m34Uho8CwKKx pPCTY3CIHvrDQOoFnESwJCyMmSqMjKzHY6B63L5pFygxOKiHJr7xc5JuUt3i5dWY/zJFR4UXrsM3 Jbhoh8tWbf4Bhm6vTqSR96b27ymLyaa1lrUlY2bu7c04AAvtMCFlHVOruAFO8d21Iop3ZDehpvkN KvWWKeGMEdLm8p46T/LjO2FsK9VA4KcZ42E5LlPqfBI3wHoPgyJvCIoecsAUMG7FYjmj5WdHhxvM VFJ7JhvwOQApOq4c2igGrLmZ6kALclvhVeMp9GIReKYwK0CnrkIs/K0KPIdoySEhJEYSJ6mCc9Sp BYxZ9TowrevolKvj9lHfuxrMMjbobOU+VcDeT/x9h9NJt+rhci7ltdu7TQXGwOjYN8ptVQs/a8YM mSY0HQ5CUyJJYDPa1yupqe8auBDHoniNaLigY8M4wFJG21Ez/Yw56w7ZxSU4NzA5nkyNU/wWAkPL QUyOKsKlcXiLbvBShUaOi4dad4OfaF7TF2IBR/Zh1rggtG9MBesf4XBqp3sBSbhsqMCC46BGFD5i I/Sw8lpvxNeT3gYs6fXP6V+c4BiBz1Ulp5KIH/kmgLYfbpXU2SOequRVyx/YZhGlOAzl1q5KLoOb apNu8Ezt40s/+jnQAhR0Q/l5PVTds+KUd1+Saju2kaVMRAu6DnXexEgE1lDF7uEr0gGhevlhVQXm 6RDn3JxGwr6ifN5ZQrDh2lDoUer8E8JqcTgpZ20ZG2aqF/K315a/SnVlAqV6Rw6GPPUzrqBR2jBI l5G0GpyjZRKRNbE9urHUi/L1aVDevpr4EIsiKh7E7Z9fA7QwupxKIQJSFOIQ3z0mkz6m10iZyoef 77wFEYMnPbFfVj7p/QaVxzBM5bzKWV+vPcsn0LDJlmLpgdVjMpcyBplBvl90Ve6fiFgBgWA6d4Oq XudH5uERQv1CCfSRjmp6OOrYmL4Sk0w9xwv0cZxUTQKHip7dGUKyO6WuIJcM2x+pmQU2cLnU4y9e S1U20qm2x8LzyD4eZIsb+LbOOInjs0nw8FwqPO3M9LDYrLRQIwSuw9uqieXdWy/MmPALcpD87HUI NrSwsnEQIeuDZO2ehZQmcj/GAn+S2pwUfWTnmcJJrFqqsS+3gfC77nX/3W3TfbBR6jKcn3qJyPqn j42pC15mlLloCFJlWLUzgqOyNyuQ8x1bjGZ2cQRN8YV+wKQLNsGNC3nhLXVni4E/oJXNngy8sTJU lHqUsTdDuCZDL/sqkbHFRwrbziNFVNC/Z6+A2dcyb2ELX0x49aC+YtA7ZJ5ex2lULH/Jpt2+ISEQ bUvoymKWYPODrx4PvX6Wol8yZB5OryGKOfbwGAcsFNyBoTqjgKqgx0wrmtThIhsfhsPb6XZWB7DC KCK63OtLkzrtXPwm7/pd38tQ5Xg82I1yBcIAOhTJIgOuRJpKTQNaAL5JnQEA7dmz2rQIun1wpg7l LSyDtHtV1yT3inOkhT/+LoINF+p6CpioZyWlY3g5ystFoQZ3AKX6yO+dUc6R1WMQf0jGhJt0ElDI IfcZZI4zlyyBXot5lkflA6oXcxf5Rew3HBzIrY4Dp7jtpeNblXbeVmQslw9LuKL/wFbhFcVPgUa3 HpwX9a+AvndTZk8SE5L5R6bfJ5uuj8jrn+Zg5FML3payYZ1pxzpRdcx0Zv+WA+lQ5yy4YxC/ZyD7 1ROTUutyRkGPem8/xXq/95uBqzE/ywunVwm1DDqNuApwyWrLc7pZpnSS8lBDl8r+3Itsy4Z/6NyJ Bx4BM0RKae9DW+SaLt3qyrokQtYd7fjHHD3oMa8Rv9752AlMys5w603yfHPNRZVczk4GOCT/qyg1 zGon1TpfzoYJ5x8BHZQlojt9E5/C+Ez6LYCIbew2W4xelcHBqXOsB8TGajXHv1vMCGzv+5szAEGa wgu/0UkkOFjaJyh4zGWyDPEfqdgZ+AeHe1ZY5SkA+I2Q4zwadQniLBdef8Ez22Ig7u/B617niy/8 dGDwtJO3TrtcYiJz9uUMD0ZjxYWOnAUVNc0zPAYejIEAbwCfN1HtXFH2E61g2AmMo+ztfAWOGDQl Xrka0X0OpTl4jwfdcNEZJw1Z/ZnQMBWZwKyd15ByKGLOryZNI+IcTPApoJ1w/pMaFvnphRDjLkze xoiGid5+MElv9Flg0GNH5LprlS4hYrMCRSYW1q0s9SK3Ss6/blxTbPjV2y7cJcKj1BuxvEuEzyUE 0IPgsBUh5Si1/XcK3tFhw7ckYoczCyfa5g4dYrJ++sMBb5ydGGcaRHyLtyQQlHPj8M6/ooqiLZds ZuLDsn+f43oVhFB/VkbKIf+N+kDYnfACqLb4snF2ifDbjZ9kATdKqPPYlwMfNID+NeH5c+3V5Ai2 LxMpSIMet5pfIcpwXdVaxozgxaILCVBJt8N0/hQK8blO8CnYQfyKDyibbXFhMzmOkwIZ+7v1LgHD TkcNYRdWCJ2xm89byLOnOe4apjYSq8Qt3eXJZLu2kqV2ApMURc0JrAMyRvm/JTkQJCVfcfbMkFJV Hh8A6wce4k1ODZtz5Durcpw/OX+WHZp2dZouXe4DLypuvnV2Eedodxi+UrJMESdpCFn09DTdCaam kyvbvQHC5EiucCXDJwOiR4lIhs78HrFssyKCEJjkPVuZYyT5wmk7ey0MvsRVB1Yx+Zz6DqqY1P1X Z9aGyasOKNl/b0wYfRUihO7Y04p1oR2kNpqw3K6zl4yIPsvywwgGxgWaedwmx2PqckDIZ555reEJ UiBHnZ1SvPFEA7dLjODQ/aTp8lx57eExrmXVkdpbIB6peQ83ZgXvXfJCiKbq2Tt6qWfkfTDoVDdt nwExh9YLv5VQJFoeTMkX5v2FLQih5J8zJNWug1bnNARQ7s6mejVQ+6o3RwSItp5yZwKAQ+sSPc0B mdV7mRRUgq2Xu13M06s2QT3vFhLio+/1nwD/cxjQYUzP/n/CEpXi8ZJfqoaYjwEdEJvH5D5nzqUH YLTg3dxWaCpAHx5O0iL7F88x5NcX7ClqRRsDLm7YeDcTORihoeWJrbH0Gjum9RwspbRfBbTnItTn aBpbqLO7KWzxkPhY5je+dBurtBScq0oDPDeMYXXOgIhSnwrmUHCapea9n0G/hJGRKsz5IM/czPGr Lk6dobJQqYCqTfv0Z/O9eu7RIwGhs7POaB1Grt8WnxIbYpyhp9OxkRTfnKxGfsSywSfXlPYllyKs O88tWQXNqVjLJuhA13XjrHuDLjejLYiZvuSQd61h5uctHI9vJ9LEMpEk1pZcdjknZd2dpcyWJf1U 7QSoByEZSidp67bU3tFhg9gzihUxmagtkHbQ8PxupJlM4mYnGFFeVtyAgFw5BHJQ49MGvGa5Ljg2 CWbcOkBtH2e3iEVXIRt/+kV8GB8y6ac8j+/HdJBknISocH/oTf2oE+sbO6Pa1cMjw3XeUFpdOvAZ QW6ykCfE7HBz6XxnYoA41P3O7zcVcyDUbDGbM6WXLTz2uyNJDbClaeSBloi776hLHBTYWvT1kPQ3 XD54GbisrpieKk7SFMezbzBEaoxzHFQb3A9Nk3F1TGA52TaA8jGgBlzE5tJ4Ri8nNxXigUz/7upm zQ9cYH5RDzmnhaj9k6y6QzkpO7uvHu+2i9cP/3CFaOgsrmUua76kGmeFhvh4i2+qE2SZ38PMZv1Q XEuhr7jNWwqxzIP30ndjD639b42szLqoXThFUtXBdkK1aY0fMwVDDUsB3Uhm9+EsXKSkFzUSiHZA Xs/kcSNEGTG6Pd1JUYy7NbaIjrM0a2VLIHdngdeTj0OxkS+ex3xDhF3G/2F544GwQ9vI2kx/1NkR WDrcCLtiyh9kz7wEtchLQiZjNlv+DsteuKFrkfKAUduASJ/CbUDf5NGOpfR4WMzRCf5UaLt/744W 8Oq0N8aaHPY4dKuXENpTJoIBoijEdA1JZZUwDk2HPZrsUiHgUr0oYMqhNxmItBfYCu/9sf5ZoK9u vB4MUuWPllY13bKUiQ4H0zeLMbRpjXm1lAFxsxlflGv0bwAdxVsBoTMz0DRKqrmrYxxxtbft9YlD nlanSpIFpj9g+ER4jvh21+Vnvs61Cd5mXGTtzC2BnW5LtAIyMlVXEwmA7PxMXTHk+SoIoaMa+Cbi KBQRTr42YPwgEQl9z9wiZq8X5TwzdmmkVa+Am8g0SuhezJ/C3VUQ1IQhuR0z8B4ckGcgppbmc0Vj lr1j7SRq4bi1cnfhwJIynxdyMJfyPwxpdiFFgPQ622scFTqpAl4A6F37UsbUgRqUdaueab4gCGDQ jSWAkVEz5n/nArENsH1nWGGDMdk5Y2f+pj8NlospH9F00EfOpAkGwvmejIEgckmGTfZI7qWePNHr oYrFQELUkB4n9gIFkxy5zxFZQhMqfAP9kL6rkoPtWY1E9C3wUvU09sVF3VPa9rqqYTMMiLuzZUGw /+qv0jXU2SzDOZaXCBg3yY9iSZ8fmdwj8uikBsbxCB6uF2C7bIFQs8rbwu4p0ZafdOA5w/hIIYBp 2/QyAcTcnjZd9KNsioj3prE13/Cu1L2Eu02KJHvvSnLEJZcho557tDEg+eXC7Fxipx/NIPcD0B8a +gd2X8kQ6V91TNjUTCs/+1ykqYHAvfeKKp2OuE+MaJTlYDO5E/+vC/7d101QVdnH4HaTR3iiKsTo uXXJM5pt/XvVIHCyY2tfSmgQd3GXg6jIwCVc+VrdG10ruPrlTPKf9aLptadbpiDp5ScbcPOfUoJ4 WbwMPRXYvNDDMKoCGZGJXw/2vQyq1KUYzLV//aAKAD2n46F87munoGyMkwRLElWEtgwMVCZpyx/u JDNmblZf1DvATiYTCk8SCHF7RcC09qMJ61nJEIOPs6zMnS0fG9QRSGha8Y7LV5ZUyb753BPyNFXh jEimbQo2cSy9m80N4+LXk6+Xk43EPWdUlro5bQhcMXVOTMJ17GOCiJKTMKTLYOdaOY4VSR+QA71B TOtayCu6hVvId2zEe8H2o61SrIn8xrAco3ZbwJO3dnniNGbC08y3gU7e4Ft3rN8BSdUg3fsaZpA0 Yb8sXCWCBsFPbJ8nIriluxwdppYS7gdVsDQlzzboKGuTa3z3JbLQqOIwrbpd5gkM2fmBZ1BJquMM PFxv8LrwJGTiC/vpLcfH8+eXquDz9In7hVHeaiPwrpxXEDTTxvS9Bopjj/tIPQcJugg39A/1Nof4 GXIzXYSzGJf7vAn2oanaInmhOj02ytczofIkbSKKkgZk4udUFndJthfJja909W8IukUzwrzI2cry kh9FfFvnumT3TBKi3iXBskgdY4fFfixiB6nBDui4B0I1T2cf3Fk4pGRvZ03ts7lqcXQfe4BmX6Av F8iKG1tofNqbsQBg5uBVUL3TtG784Ju9Gt+0FNBeFUQxb+zH2ZafGVzbhWG97cA0GZYofNXLWcOB FKuk4S4e1BcBTUI4dAS0kD/hqDoB4quIki8bBCWjQmvDsBxtFNarh5wWgJgsgRu6oWtQYm4weqdy rt4r/hHUNAfPX7eX8lC8GCYu7lJ36r6qkFFG1Gp+wexvupKrF46CwCs9YAP81252LhalHH7F+cJT bsnaWmUc5is8SaU7kw6UDQkAIEHGI9g1zViWwBiq+SM1ZNvUx7oCU3j0Q1EC0qINtpiq0Isa+JU7 2le6wlxbLiCwzzoeRhPGWVsBTwGRXjvM0jfEjx1+TfgoU27y0t4TUebATlIcxp9ms20UECSrfyLC OqXLfC08jbRkbb3FmkhC4M0jIQ9nktldcTnhvhF6QZDSBfFJ9AnnLFAyYvlF3T///0kRDG02yofA 5wmfrAOQe/L8XTyY3ned7ienyx92lHGhrU0a2DKy+OQfU/ypb0KfJqazW8/91EFGxojwt43O6cHA /6rQCZXjFecs4p59HPdf9cn5CL8+3q9/oKpT5t2LLeKLLb6EvkHVIZUc+gTZc163M/2mUlm7N08C 0g2Oqk/VsDAuuPnzGzjHN+wWCab9ud4xy2ucUBSfeZQp6AiSppXbAItIRcUR5lz9tbdgfe1rtr5h HZ2d9vxdlLZVp+AZd3+mImgmGd3pqn6gMYVjA7NRBkERr4GXhmFB3txS/Y4e0WM+7yddB+XKk85y UXoVDqTRKkNQp593xg/gvstUtEhUuqHo1PZcrRsnRawcMkyrZl+otWnY+v+8yr5Aee7XZksuAy4A /XFK3tiNNWlI04pOZKMKy6PT/5PBkDDwDWDTU2wk8Rh8qVhptTaZG9uKYSEO+zqYKNrFJmF8eHC4 AwLqqdMifCqr8GDulBtdM8Mi/FuNYV3jcMo++iftnJp2ctRAi5vkEWFOLKpqfaGMtxD+GpPewMtK 4HvxJ7U7rgzLT1/ksCVs4PVDkmO11agcovYobRZsGkKCJIcMaLxlhTE1hDYbAyGAsU8cv50G3yY5 OaLixChDOgwvMnrdXCfyL1eMTK08QCxYrVVr4+PymG8V9Q0r2VDzgr/knGAkpyEuEgiDph05ywRO a4WBpGS38OnA63fKpAYF2J8Sbvf6L1E+XmRFE9VOFmSl3fmOkDRPWT965j+QEimaFTJwegPnmYLV 9q1Gko4i5hb27sjwNLh1SaRHMI2QiKr8NUQ3DDzQcjnh6dvObQ7X50hAyvOhLSRtLTvRVL3Zhq+l p3OWh1sKMLbCtfbKWm7JuVzNQ1HHgA3oaZoO6fksrZmSShKEd8y3okxYzBl54gFlT97avVqNdeZl vv7SiIwea+WnH96clkJORDlCMbo+gv+5ZkREbYD0EQat5GDKNYplcotYU4rE1Eqa/RtA5pS1KzQT voGSOSimKOW8pFMsuVA7nwzdxhD/0cQvu1DVQ+JWZ8tnhbSwTjPFf5xbsUyeSjjhQHoQnJ5SM0oc GKzY1XCv/+VXihn5oe3hmwzK7+nwhyMmmHZXnii4N4orFmryWJ+MnamdCHn3qZ3W9VGIFQBf5HSf +zaGOHtOgv1z0UA/cuhWdsZ6GDjKcXWRDVvdcyrSpfbB9s7kHZiBMydRQz1YftET3IIBdNN4+b/b 8PKZvjKy3OceW1TWO6v464/31RdF91hsU7VSBeAy0rwSIRAAHjwtEDjxlkR890SnK7YOyJDN4n0j 76kZUmmseC30K248lrdfxmvOo2qXCXGgnThHMqndeBE88hRPmEFiarkxHYfsAG2OvjIQgG8Sbjm9 2Ihz1Xku3fBHWdr8KFcT4ds1SG8GSHVn0dXDK47gQfQlXyL5kGvRX915mR+HrT0YjzuT594zmgj6 ysLFKkS/lcQOHlkYW2sRj+N/Frz+V2OTZYxzybzV0ZS8d+m/gmYOig/DYyHQ4mQnP++mzTkhzHV8 o7mCfytMPxfP0h8Bv5w0mVx43UGI+se2YgKa+Koaoax/IsB0ltxptxtIkEr/XthxZnjaYBdRiqnk pAMCOKn2fW7nQEkqsS0LMxZlC8oVk+oEdkqdnIvO3mcwSZbybOBXLxUH/pKodQNKPiCecsTqiq4h zCBTi+1UnFHVLvUDyQdP9uSl+nBJQxyGxrdn1YPa72NrHb3cDf9pE5ZJNX1FwLKRyElXV66rlhyo 7W07tba4Dgo1+kHwKh529O47ItbKeWPbWNDC0FnCuOvXR6myn4scgArd2qABJPEjBhISYeSw2iIF ZR8I+b3IZHkhlJQzmoM+sCKh/zuqQTd7+3GrLFhjl8TF/ypkOGaSY8mvheEHniKN94vtIlT87wxb 4KhTxu75K4aCETDMJsjN6KJXgI+rrUsrZblL/eQBhH76nCcKb4LMuvcjfMIvpa3BDWfolURn+ZtA wbQKZkB8WCbatBA/T6XZNGlBKoZZlqqdWCwbjvdxzkVfBhMbzM+oZlSpPc0GG9n1vkx8n+ZpQcJw Q9GkAWdobC7lv7AV7FzXZKOh7XrM8eBhBevv+mEn3CVZzRPqwPfrbFCE4CzTh3j6rEdFVtOnKxEd xKF32r7EB/AGlUGMA8TfQCTcd5AuSF69tSho8V400avpy7oG2uRHNfJfWJgAhGM42B9YXO4ZXPtZ L8dLJRjpYAF0Zn6spJwya+2YhQ+Sjm6ktUGNqq9WNwxaMmaPUvmPY5APFkXPnuBZvP9I2V7rktHG Ht0BFjgE1zaLmPSfLBqfkbpjTcPNj2VJMvrytVUhAWBz7y3wwXk4exVg4xfGzlRj9hZBuVy1TXuH ePqS4VzYiRVqOg2wO/w7j328KIFu6y1gcRJ/oAoFgbrS7I6uchK6M/5i8Wv1vFm8Fx5wrYRhLLP7 S5HkNN/elTqSiZzI50IStwA9EsvqTXa/FFLlBERrpG1667GK0RO45h8XFXbBdvh5tC2SP6XT6dlR cilwrmlijX7udVwHBgdWvN+ovFKK7SlnwBaglrvsjxBkCPPDsRq6Y9gI5hmlGWD6l84sM4EnlG9v s0UTYlDNCJ8H+tfa13TpOZ3TWrpTd2maKrEhIlEhSmbyuNEJw+r6VYYGyKDrmyX37+bELp5FrmKh xPMXcJcEVyJasb9XRhWtJwBf7iG9ucRZts05PtHhoYqaRpSzUw8ownavkjYdjklihXOv9d77AqWP i8hX/gX+fl9OTy7YnU4SE9UMjt0Rp1DzgonJeZtSj/QKgLhXSHqlVT4dLpoCnvaJsOPiZyPisd8o s7mnJqPniM64nv25MMGaVXtwgKO9lXkBL5kNKn9HNtPFuoy6yRCjkjSA6+r8Tyiaw6eTS9kw3oup kkIjXOsKWusTZR7kfevIsPp/3Q4UBoRirxekZG2Wqvldh6b7150LDA1lmOEOh29lBtsuBJfix0Wb hCLUJoNjYTSKM1iRUX1uWpi9avztoJiZWzm4w4OU4R5Acw0HQU5637m3U+O+x3KGd8I4dOYXtAhP lDIjuyznPGBzNDsdc5okkxPNNmbfSQxgHoMopCcGyZ4wPdeBIjLz04c3l7IioFIvqV1dc7po0Wk0 E0+O+Aw/S68TID8QiXaX6p8TQEFnq4fwHe4SD1NvYnTilq14RNF6/giyKqYrp28Wwd9d8t2E+Dxu Lwpl9oRSjJvUDHtD3O2+l/BD7EHr+IIfoaafPywT3cQOAdrSl2ErBnPtcehx04/AEV0HxDU94Z98 OTchGGLLV6xaIGqGZAsWTNCyFIAnqvf0Tze1ybj4g7KXwBIrZ71nA+DfF9BiRiHl/5+WUsjIE3cR 12K9kWrxgHj/6bbp68q+reV7d5EI9Zpipd9MM7jATAGSvLjo70zd84pJDnr28t/M6xIaE74/lDRI 9KCHXe0FCy6DnWtxMOq2uVKwDXZbxD2FdyGihr0MpYs5IeqlGjbhQIbzOxvKZx6jdvFkPzzOOoaC dB/D2gDtiRsUN5cdFfzERFoP4uGx3eCh1dMjeY93hjFdz/VKqbUxXyv+uss8GGBSCo7taaAukB3T /sKhCF0aGl55gRhvAaRjHcM6CKDz/oqROr1Q9JelIbpD01fTNJwB8P/rd1ljlLRnJZHSIqb2PoMr uAERxl/R/GF79gmx0p4FwetrPHvTx1Th4/WYOneMUDCCIg5R8eCxOtcNdhfxLcKKB7ewnNC9jPk6 wKFlOWxLskW1QBI9jW4AM/BzX7awZwCJPWdrbScGDgnvL+LrefIJSqtkrSbwa7U3vKVyvlir1YQx /Zo+HkV4/p0/Nz631LsAkmTz7At9SzvZ9psAHoQC7zFHfMNEVJuT3Kk1K6DaN9nezGUXH6qGIJbH DXNo/JGXdolcezJT1GTyQqhPT9jjWD0lNeVsxTByvI9Z9hWpLrn2jqiaBjVX0HPp5lwuO1mspv9l FitQcXpGs70aXvTYxruiqflinT0rgAE/Vj7S9qjjdG7s4p1iZReQ5DTZb0V7qaGccbNfEgEn0Rhz rOgvXF1wtDOB3qmVQ7zHRQs4rDwDKvm+Q88qpGhgX6zt/Dogvi+N+nscGTZ/EC4MfDahr+nupYNZ CQ/qQimH8+fifvi/OiSTUca2g72NsuX5thd6VT5WaIW5mUWm79fU3qUB5A0HJyxLQa368Pp1ZnX8 gvs3OOZ9soFqQFdgjPHGlYooqOSFJMMv46277RgFt1c+IGc+9XbTd1p3Fc8B1w0w4CIMrKxuMWgV auxi8rbcxXia0u5ycaC3hd8aneZa1wDRC0yZtY7x7CGLulGU/DqHiZLGnHqOAJ0wquFp3oOxCqR7 ipJdz5hVO0jCxXOjvsZsm5v4V+rX1f9uL+kdLa4Tl8JhhtHO9hEPOQjwsv++EOIq224VFe0rE8W4 WAB5Z7c/OhcuoBG3H2Hifh8XFVmN119w1S74UbREmTCcP8l4XinsteoVFLvyNzC1TG6YrcpIXKj1 7cWkRMbE+vS1MvrEv+jCq8AR1F3R9JoYxDm+KWTKIuKslST+lHUMe9B33496vRh13cZT8qZ+0ZAF kdp0BWwO2jXQvNAPLOh3OxLqQOFWdOE7iRQy67tkTJUog7Jl61q/0EccC2zNQQx80qa9P3f98jzP mtTCrdCfZQ7pHX1+uH9ATEZ14lBNOrWaQfONL7OE6JDWxeLzd0Rq8hK1bw26aOzdPWToLuMboWg0 GUogq/NZomU900pTadMKfJFrhk7THcWlh903ZDKy3AHAHHcX1Z0SkWoirsLPPBxPIvxCAU2IjkEW C0zR4+XBl7rZ5kpQl9jsI9HB7Gpt4ENCIgf5otSMNTWb0c+XaFFiz15PbOlIDXpGgGBwxHMkBQBO xav084OdGf3WEpOMDWU4yPKvy8ljTgWZnD7xagsUsaVFR1MJmrBemavknknVegtdAhzFaZLkTBeA +lg4NjI4Dq52R8tzXgw4DlYX3hRZiYvvyt8naWApRZy4ikAyVuBa/31bHtL5c0vTWyMpyDobITjH PURoNdmqfrjA5LgEtOQ91Usjj29Ajfry/gBAw4fTN8uo8judoY3TlAupSZZOTkTD6j8cJlB25kcb EKeYCSRfzXDF0AacvGQ1iyNw+IXFgO/K7WGxG4e+xDmOPKJv3RgobG7HtplrXWf4D6QCXHZnZ5jM f5SLBwc66VK+FW/0JUzXrIa1k8CM4jh5APbLwf5M8RToAFU3Nt/YTQrSMlCfDQqvA3TmTjFoo0ul vUAVr8gc5qmxvJ+vb0XHqSN1AyRQzVJehhrn6ZIdVrRvpVnCEbweRzy9mwoLkQF9S1lEo0JiMf9v Mkb/CDHjkn5OPSrFScTCA8jHJmp7swbubddX2Kj523Y7HoFPQ07AAePro/RrFqghYhNZ+Zt+6+BV 1Iw0Q3U98hGXEdgOAvPZ/vp44CLQaj1eMXOR0KN9M99Pz/kjEmFj1QaY3DtVnx0/p7uBoJORYVM/ 4YPQQVGmnNeszB7Bk2YG79gsKZL3DC0M/B9Wwi2i1SoCK6olblrzkGs5P5riYyb8OBzo/+fn9eOM c5M/TffIulCHfo4pAMH82Apv9h9tCXte55mR8xzmMxpAZjPfIsbpzwiBYhYrgwlFAsfCXaQDxR56 uBwfnxL8EwEvwKzAIT3NpLIddz0ZzfHYLpqGMY/pzIrtxRjK7/etnJwnWlkbDbH2oxCgeiyln29j NuOgNWaykP14sA27QMd+A0g7gP+srK4LuItyr/t4Kj+dMK3MRBQtRjIvvzqUaTC9BNAVq3S963H5 yl8F0GK9U3zDFmps03GrWWvcK9MgBQFq8XGgCDYGjTxHCamurlRtc+xNJQVR4CxTQlpkMqlnoBrb L9YwmsOneZS8Oc7m0gxvfiYF4Mo0O+Y3VvqSjrvVpnHLiUVYIjw8ZVrvitd7GTEfYWMJU5EXZE/5 VPyM/CTLFJDA0UzL7QJgLLTLwecyaGJaL4V8E9pjmCQ8q8luflQKlCB9p2CEBV1RBKTiwFCwaEgb qvcx4T4ve6haCjEOqrt+DDw+syccJLBZjKTGWdf+7+uIfTcifl7j0fkvLplFBA3xBfNs7jvM+1pz 1DYRqz/6gEKKh3yP2bo0a3dwo2AQjp7etZBBceU/JoaOTwja2WLVi6XlgteH4d6ga7eElRfz/7gS AjTgFtAp+DAyDkj+nuApbCGs1xJj+5zC1tdW7QbZHHoRs3vZTDk0S9jMAYiw5dHPj728mgE+r3As Z7PggsQZCQDYlf/EUbbVocw1W8TzmOQcZGu5iXoR05Rweo6cj51HWwEhzUWSGaYmQBl3ueGR8jqU COVnv+rl/caQRrBkdZ5DB3Bw1fsc53g0o6lTRrOlhzBEiz0bopgHCROpiOaGpsrppGKBHUJ0hugI HVO4sN+Km1RxwYNUaThJfqgS33vYCB86TmN0L/X9IyUr99IFKZtz3YwC9i99rTSO5F5gyFW6sLD5 v9elP2BVBQ3ztqSUw4hvDWAoyhWoPIWsGSEGf2nYKe0c7Mzne74pQ4RVl1r2/KBciangKryk6k0z s2de5lISeDBKJICO/naWBrhyTY7JjteE+WIpSVneVIcaQ9/pgtrL2kkyQ6zOSDwOOw3QVCqK1QFg 8ARHNM+f2570AwaHcuCLoUlgntdmlmJi64FAKNqVHOIPl2rDMc15BXRZ3OiOTcNbAydfUFg8Ijrc OkMVc7uPffCGw+Q3I5dZMFJb13PqJTK3uOC13Ak4h3CeWwWboKEw274IrWW8BK3PT5taq8Ec89c/ +8I/OySEqNYlNNHSdlyaLRmgM2yJkgoahgiJWkSXCO0Fh/yNWijMzQVJ+6ZWM9trkapUgUa8jiNB 4cBsiupWBq/I+NaXDGoATHr+BDcZdqVkJh/Lyzg/y7DBTWLPtdRU9mDRuQizO+Opl4roR7AYVxDU Ujvjy0V/t7alOC+r9bts8Cdr9fE0+OANEC9vd/8ZHghD4zq3eDXaNzZ8lGtkEEWF+bBRMT9NiaXY ZLKrAmXsCAckqq2JsiK7Xi99kVgJH+B1xNUk3UqPNSo0pm82UD8NgtqyQZELje1PbMoeUrt8lbSN qIchEAaVaqM89ANEdVe6BwrVEX/heR/2CznR7cC210PQZGLqKcWb2MqXNOtg8Il+LT6/HDf/uP9H BejIHM3PP+v67P8hL08YFL4xuQnnezs1h5kilzpg9cwdXDlqabx65jhDBkAluEsUVJ/QFBf/vafq OKB+80CPxGZ9zlpNLVdd+nSjVCymzIig1SddXrjh3a3v6veogL6d/fbv0duqa4zbk9K/432Ko+15 Q3fvhENR10vuDj7ynnT1cBHSdmbGu0Sd3QA8B7UD3psLqAftEpE6oYFhbR7ZWhxEvWATzMsu7UVA IP93q5TPPgjN7X5vJoXLKgBkoUg9+V3ljC/dKWSWlkkRJz9Q/yOy8weap70BS0VKQA7UN4qrva4s LPJ7O7hzXC7p3u3eMa6qarLGctPBbjiIzAnTb+gtcf7BDIGtqtxHyigbYKSqOgg5s6Ao3AZhjUku +WJqyWXsIdJGHq56JtHoM3victWh/J073AfCHYhJbqOhdRbyhWcDjHQfThSHDh7mS81tbyhImOc6 arvqjgIjQDz6N4yPOJmnFMrS48FbMBZ2/KkwOiSVAXuJWwRvihzNaa/Hd+hkz7/cj+0cicwS6bqc j+IEn9YEqt+EEm54gi+hJYiANlFgOjdBdW7Pk9krs3fi+PgXuQtI/Yf3TsBCxnqpPvZITKCXpGCP 3d5/judvCjLHyRJUguJ5Ax5LEYk0bYGzL77n9FUeWZmP06eLte3N62sbuxeIgxfD7t8AdwfyLx/Y VytbJO/pp7SwhAq7d0bOvniRRElIDzdtvN5j0y8k97nv0vbqQwD60gNMN5qkLOs/JPnprtIlnaYD muPgkrAg8AezJfTZqE7XmVc9aB7bOmpDrM731aNZw0EL4Y/UeOYxPZ7l3r5YrFDUolvOOQuDsfLR CtHcxzcgNmRlWgutIVfTnmJl0QvT+pGwdYhvfDQCcLQODwcXRMmScjyyoOu88X38QLmqJpaqlKZV hJm+QZ+Df7d7Q1+6gq8wcTHXYvr8omzJsMDLqFUv+NyfhfYOMuNZ9R9yA6ihL2j+mYlF2j1tys9B 0ZCFf2wuhpv0/4xV1QgPfijGxk6uxy3GT7iOq5FeqKuHLJL7YOUJBR78NwrCM35zSIhvsCQJ7Pro yQAo1UMMJMorRSbNu8oplSRJ4n1FbbaWwHYze3yxVN7Dg9NTMfu8Sh9/xRxlbS8241S37SKicVyG +L/37vCM49OW4eNlaqdbMrlsM35bdMKREKNqFjn+FTiOmofuubss4+lhKqwfkO4biqA44B5+Ulo6 OKnCkYdUglwiGSJsD0aJULWGneXcnXLhzWEsKzUP8JDpibOK1oekDh0ibSYgriLK6S8XgvODAFpu Cd7lJYHCp7mERuQt6971UlOFC9niFFS6NXJ19SUrmL3WId9rcZUB8/vFA/isXKR1A7a6pxboFpnl ytILUGPeZHjPBD1t54f3i023MfkZQcJs7JvtG1LIFH6m8Rz9O+4bS06EeJ/WyceSxXvq4oUrxSGC aIK83gniQF4jCe9M4PVec229A6OpFLbhFDIo1p7X7YOfQ7kNgI5p2vDfzI09VOtS/GtQWOmg54ad rPlJ1BVtOJLfMSAWp+aVVvxRCB1FN9z6ZjgyDEQvFo0TLD8RyhyqmzfSMMs9IB+eWRI1MSvT1/Ca zQW/8Dkz3ex7GT6m7WkU+W59pIss40yYqixT47F2cPg7eL3PlYhFqlsTwQ++6E1AJcSHXEXhSUaJ 8k647DaV+ySXJQjPe6+wCGAUY4lo9rTHqP8IVmFjUMD5ktc2A2Uh5Kv/xEUVi3uav7Sq66JGHc/Y e6ul+lJ9Tr52jqtN/p3ntu9OOPrG3M3ajRiSeD23RGCh8VpEbAsqm/iImptqYIQVzg62AaX/vPXa xCDJiuoV8oA0MsK4XzXV3dcR0e46zpqHdjWSAiXcyGVa1BIpnMMdG4Lsbea6Dy5GSTfg3c8GxsUJ iChQykLt49sG6KPHnehBNk1+IhYbPROZSFPpZUsc3dUI6H841ByK6X/wkkkJEXn1Zbw5aPZkhV07 hridlTJ8tDaRxvvVPH6Y271h5AVi2EQxOwVT9iyIFB/lf73AIMdfLQoLPa7eHUMGC/rnN38VmC8N mTRCh+usPf47BoU9nfwCsCyByoJsbGpLYnmJvDH+4DTJF+Y4BAdRVlpvvqhOXSQV6FLu/UN9wjZL WlH9qMcCipbTdWpVtY0wYs0J5RqzC0QSB4P2fJ2C6eqxOm8JqKQ8WxIxGFDuTEhAWTDsW3DQUwRZ nwODxtCBtMhjhOvyuherTP9Pet0H2Deoj/l5hwESaYNsWPQ3BYDBd+ioIwN7f6mfpNhUg7cZCF0I yySa6tsatCIS6fjx675Jf5qCFbt2unpH/DUt1CmcKY9dL2wp+OS3AYSnEccApXA0TGCTNv0K5Xdj 3HtsHEUci/0OeHPCQCPNeIq6v3V+GREzU2jaHMYJb2eaL4JRLuly/aEvfOo70u7as0L0mXc1bYJB nMkYsaUlVPi3NLPRrGY7f7df+2c9ehRHwCnwU+syG6+rQ8E7Gq3koj8efSGRhgzGsz8SbHsebBOP 0ZD9ggKVwkf39l5kC3ViOnY9/zoI6SitjQ3rKb1SHfBPpx2GIKyQGVA9tw58Ds5YaJH241plf4oE QDjsxJ+9UQzo2DL7lwyQvWvy/3YtAh4G7tgnRudJJNceeKaQzEzfRa+UquMTVDUl+2WUrIqFdkYJ Yb2UaCbwNxgamTvoOIP3JIFEXB7EE7wLPdN6m5A9LNyfYbkT01N7OWmYfjwqanAYjgnbncuNHWGj +PwZmuWBv8lN8wx1s/5fm0L0/FrEjwWEooqDxquKAK6pfKExDJzgwW2P/AySODtpfE25JE2mq1ZK LS/mclVc3L6V9lvDYDgMHYf1p0YYpBIDXgRlwlujzD+1zfVl1y9CCDuRUv01U+VVUrntahW036L7 UzgxOm61iVxOlf1O+p9DmddZRPzx7UsypNmIbV72iAJSWNRuzVBoRIh4lHoqUs8grKllSNWE8b6E WJSMbgUiDpDzMaxjI3w3m/eQrY5eRvpFAMx4ic0HmsycvJW+L/Flxq7g8ZzbUHcmgrV0tHRz87fg yJt0vvjhy7Ht/8ftAui6/IJwoKL9NfLHHM20X5/j5UZMOg+RkVYu7iBjx2HvuWc+iGdgnQad9jDM g9tP1cjOCbne+NTBwxI4tO7KkdkYER1yLvo9sPgbOBEBc8frbGoLU5kTbP85Z1IvI+W2OM9NWsvp g2fuQRVkqJQgBCRVU1MdEwYobbsf0LKu5ApCpgNeVJDy9mRSywpR6drL5Qt+ACzvz+TGk43B27g3 8xo2nP47WH8M5p2UldBvrhWaQ4okRlgyetjcYWabOLnuZTiXIUAh4VbWCqlHc/ooIBGnlvPwntNs YJumXg/KTv+T0NIC5cR7mrpNlKcXpKjeH/2WA6i3CVP4f+TWusUq6bXTZ2/6yTBizv6lusYge9/7 YVxq7NkD3AAsUwdjawRQmTULS2oWRQtKpaKY6DdDreO6FI2FzZZHOkG+pDPauLsb1hfNUs1Cc/x9 W8W9NSc0y5iNMsnnbLQf0kJvbFSk7XkR2+jNdUsPeWD6QzH+5itV9WVD5GR7vY2Nt2+N8bGKZvEP PFKFOCdHJDIvFuGcBbF+zUR/QsRmv/p1RBaV89DWy2ENWMYL0BDYk8K2B3rgIQeHWlywtENhwYCU aS8VWeuSEK42A+C2J6y9J4Jjo3a0DXbbrZkBAxe3MMyYJR/lyYe5DoZAPRIj9o9yE5x47FyQ7anx LRosVtZgub4gEiW1zXbB8PsD9tuS1FTiX4+ekrGG0I24U8kuszUHiPDpb+0VuSxsWux77ukrZLiV wruA03rXFGUNbIXRRZSBSLBgAyqlqpnA5p/rEe/L8dPV2vW2vUHQ0Vei/5d2PVVC9iJi8ygSl5TQ HOcFL+fOsWW3EYTz80zxFyJUDSU2ALVUeDEfJv2wiC57CpgXL6tR2qk3BllIR0uF1rGcstMqm73d nADnJHkIoGug5l2g+5i6z5nBRqWSV4cVy2GW+Emdk9hvjrbynPOopcQKRVD5wnuOoDG3ceUBs0+K RPPikquFwnXVLznX5UcULESX8+oxeFvLs5HEaPJUquNeXp5S7rDriNGRJc4krrEgn+CmjIxY+aGt V0A76Q2Xhrce2mvyWVZ2+fEXF5T5/sq59twC6ky+PigLRN6xB8YsOp59GPDC5omlNFC3CuU7RvIO dBMZg9aeecEgHWhiiF4L/3RrLZTDueN8NXnAdy6KO6In9d69kXTR3qbkbTbazM5K1bE6s6PuJzwu PMnl9uPz44UvCfOxmhd8pvRAcMAv23nYV4mZPfVe8+gECCyxUUMiXvy2u5wlmE9cdGg7vlnhyQCN o4/cq+WjVtC2KWmoF8IRNWEk/1ODuf8228CrmjM8KeiheiZ5aM62TaGE9+Qw6rzp2TQeQLT9tR+O SzFrv0e4DMExHxmbvO6ptd+JtiVpXr4CRNFJFdKBOwi1SguuA5s6iDbMIbh9baqqxQOdQqtr9yei PmpDYewhNBy5ql0nacFuknIsa5boewmPS0RxPFMi8lp6hHC7JjgmubT4PrZbhXE2JQMhg9Fxb4cc Z0pdWqrrHeVqGqvdkTjyYqnwLTXr0YpziJvK5irWa7/Cf/x0ZQD0RO2l/e8RVEpi2puGNoBpHTiK D9PGs+PxTTrrJJ8YsCSWXt9aok0NlaGbpgbjrPEGsD/cg7VMr/2GaGCsldx5Lz1KXcpGh7u/jx+p wJUGuQ1z2YTL+/y6Jlfr+Up0g6JseduYEMVNlCvBzP/X6Y0G3UAkgWQfBXse0lsMUAGMMe1Palzu Vix3cviVzXvWqZ9lu6hN5IpmNvm152zWk6WYNxBv63MwutyUO7GTLBZRTtrf0TsapHT0AmhapsvD oGS9HsY59zjr9nx51RANLOKQ8XWAGckBxZMAkhIR2/w8VZxydl5HC4DjgIYcnqKZtVxkKgsv4+sy OL1tG5kYTPRhrE/0sDNrLryk1MKJ4GVichprA7WFmhp3O6s3/hugZjPArITNcNX6kmjJ7FY2BPBW 23EJ4DjakEJ2ldTDi5vZyf+/pYM9Yz+DGS2xh0eCOpXW0EwMn6a8iScGehmsGlEGDZA2chlTef7m 6IKRtxvgGIwKWtKWPmdeGhPrEfQp2TDRyoVYjfR3xMdh0s88Yw1dkea85yUWYPQUwWeNWeYeD8hb LRK0K+k3qz/7NWOfhRzxeKYJWYlDAjk5lSCWJQ0rLUfIIFGKUsGC/3sw6tShaJPOiRar58SHlwYn Br1ile0ygt/38F3LDsgzVJUpXq6mI//AnT5QHdTyRFIkorjs52qqggcCq8sywqH7OEo9lYse2WbR JcvdkY7PtI5CUSDMC2N2qiqsyeURlKPELUM15KWiQYjin0W56K5EPZFVq2Gc+J/kuPR6518887xR +OahtLy03Tqv8YD35e0QiiZxEWZ2mTJrNj6rXvKWZ16a7fK6TgpbupMw3cfL+SoVANYhOS7bWO16 ZWoM2zE9m2Bya9soZZmjWDCH96qilMBsUv3N+1LtBusnLzXvu7XEG/lywJRe/2sJvODK4JjFGXyg QHLfAFkg0pQFCxVO2T0puwHeh2WhdC9gqYovSV3jjkPIuqNyx6A7iBdqewBFmQmeb/lPQnFyW4Ae E6qmHh62ep/TfxQl6sdDOa0mAWV9tnaADihnro/L4vhRxVM3FvHz5MNLSsuybWMVXMvWyVLdDvdZ CSvab0uGx+Xa/rGVQ5HaDAbaGLSC7rpXpAthKbdnGlxfourd5CjKUmBtascB4qPv8F5a9lF36vXD Fu53QIwvunx4mykQr+1sobS+sPE5JUFr/nUYLq6mGMJskqeqYuGz/p3NTP5Lmv7LHp+ZpUzy3wWg QTZTJ6iIg7gIJ3DXcYD1XzrcyfvSnDpVHixr5km4CUABe57m/5a3f0w7achRtlHxFvK+wnVLu4zw FbtRsfF2L9OMgycNYGHMWTUv+oN2D2CpZx6OuUorKA41eKBABC/ObQqum1dZn77mckSNvP+t3IHn tgjXM5jZta7OqHXYLhTpDrFPyvtCnSySx1LWkYqVFJOE2s5eylA7Uyo05ZJx2/6KhBKeCGgO9hHi euR5nD8gs+6p+RxOchHVSJPWcCIJ207t9ic5tIATu0+HNVwQQpwLicoy2qu1GSDXRfNBKh72GhIR 2JfTCIZyXIVnDehVwieF8HimDjLbcVkp7i0SFqnSkcIopBlaf3WXbsf6z2c5eH/FEvvGPO874Ac0 qX5pN0oTQWTXfUqrVhEPGIX1pxG1q/i5mPtZqJo3UYJKM96yi4fmqZ/+Zjn5tNtMdrv9z76/D7gj IP81Ec+QodTEI30CykBAihnLJEkxjD1hHnMOddPPoMkzGDDRg1mhgtFxJJGkvb+0Esp0kVFhxCmb 5nTq3Oun/Mwiy8xwQReRqbTWK32JSwGPxngL7XiWUA4in5/WUCP+4sKTJ/mOVC1daPEVboEoI4HH Y6eryoPXtVTpQkJi7G56phIv0/EjCXeVOg+4V7O4nNpisf8Oq6yU86Dtoz7cal6/txx/fou07rG/ F0NRBqfsioCVx6eIulcpjNuAI4hJnhWqF0evAit8+QJSIUUaLhQuiflZbBLy4kclrKqEzOj4Hv31 +OOYaGBAiKU6wGL3zSGZWXYwbD39Xz0h87eotXY/MhifiXfZALVg2+HMUSq9qqZaD6g3O9f/F7n9 VXv8hI+0ZUA6t5INTyZaFPpn0/ejCecQJKf3maK6S1Zuq+HkkjhrEKRP4kIx1YXr1sdNSd6TcUus xey7f5Psv1iiUuZV7TDsgDNA5+m7sFmX+hV2Y6l7C4hkq8q+iUgTuqmw0h5BrLHJ6ghLqIQf6Zfa Nr4jlj4zRiQdVh4Iyv0XBvvsan0Hnlhq9TbKYvNOb64+b22movg9I6th5g2aYywJnI39giuTXsCX WkY+cFLZ4Ane3NfOOT/H2obnO4p8v7TyDWoImoMwzVF+q6qYbmC2ophFBufi01TpWDcgqAwUwsA2 tDbk+TIeYDSHFchnVnOsQIy9+RfjrZyTEmZw6EALqgGL8mZWKcZyBDxgV3wmXOJPYu4G5yFMhJAt KC8LZS738p7DBPTPG0VMiAB3bZTpUnHZ4bHW4YkdOJXXUmMBy/GzfwLyjsZzMC/MU1F+Qchf0i9s sxIQzO41cm5NAA2vlpKyLTYNepOjyIoBrNATE97TuUE0cClTGcPbxPYRoOuLXPJ7evAJuPs4hcCS HYZszHrPDXlSQXybs9I29bQQ+Zcc8+as/mt4mndwyx2s6/viuThoUFJlXWoQX2PknWhe/2pXfW1L 48IboXo800NL58AKaKNuxMD5EvtdpTc4mWGkue5o9OzUIts60qyXC91xUQlMYLgjeT4TjnCM1j+c oAYOq0sgVerqTaZHBTaodVLSblABtS0ClZ+gAOVoXmN+QWZmZqqQctgxFgnEIJ0avM7MoPcXeUyh P9y81NG4hLFhz/eIhzUuG/LAqn5ewvJWuu3FGvJtacNbwjGtH42r2bgoem8imvMB9+GBTmVFx80J cNTiaqaRJrHStVPSuVOluc/F1EtnGrKbwDncp+dJgL0y31pyyrmcwH6bPpugbhJEncXlP/2igv1G H1K8HYOJ5Fnr8Dq/y69Ks92QCfqTPWK1VEvnDzoh3vFOZJpqE7TaID9R3hYcEvRj41mE1wjYio+8 2wJFGWHcDbiFprZeAgdeF+1PlAtVZRqch4M0QOEXAI+w+qYNZFh4R+L1qYNZCbV5WDO50O50ITA7 rZnSMmza4pYkBV3Jw0kzyzvJHlA6OOZTIqUlJEaQ6ByuTDe26pjz2dzwxZ7zsABT+HEyeaJasATT PkDPDFswQkROzq2y6v874y65JzX7a+SymzbRF0ij7h5yzH4B7gZG93tljMh5WEqTz9EOphIqQ5XZ FeerjDQSesAHkVdOD+b3mvAK7ovXpQJNRHqVg2eRDhRAe3HbZHklnCQJrexfq9PXP37UvXZZQL3N N7/IpaPGYybSnQaBzcAR9aTi8JxtwUgjjMwykzSJUPMgX90zJ1EsxBIs8Po1qt/82ra4QJo7of2p W2Z6WzZnFzPmny9/WTkzYSb46idRdd6cGr6sszs5tGHA8H56UzcwvtBQSC9BfXHFaWfukUXAdeW5 tHfr8vQOf1zcomysODHpaT9zpf74NXHLx3TVuPpHu2aNxR46QMFFBtraXWYXgvVPcRCe0Eg+i1ju yEqhcdhFSsB+gi56X5ogd4gfwfBieM0Fc3S03F+Vno40gL53KL8/tcYHvh/elI03gioO5n7zzynn lPKLxfc46YWEIP3cTqCWDjcmjTE4zkz+WBRMTkcRqm0cNplIYTqktxpg8GD1utrpeXEMaJp4XOjq MyTp98ORvIIf8EHFKSh3E0GbeWlcl8mdKMLzTSExNuo6/l953/x0dKoctRflQReQW6OWA79q9T9u COWYNDCGfcO4ss586TQaQphn3OgVe+sAads4mBH6cQVDP3d5G1jZVWdoUvlliQ5RfTUfqZoVUoxo svyCrWZ204b8BuSYUydkkYhDj9BYQkAOzmKbRoALGT9ScBf04qDYtosSYgX4XuxxBzC+C3bzorNK vP6mutSIMNSskMvyVO8zZudHUNKfoPCpuPsYfAJZIRqT15Z1517k3UuR+vmSFd566L6Gno7yOzPJ MagObx+GWRuDoiH4Yxr6Ib4uS8b26TJC27Y/8aDH1Neyft+199vO/yW//iL/FFiTZQtS0MF2tH1b 0kAoMLSipgTfI4haxoEJuaHNrMsM3JloDnHdBro27U1Q6+mr9TVj6Q3tG0ACvEt/9+UKHnwSRRV3 Qg33HRe5VkcwQbjeipO803y9q1FzqTwEL7LS1mBtpVLrJG59oJ7aQWzC02EWPgtsaX5NjBWw1aDu Hz8o+gxzZt4T1f4cJOiHodnh0zIQjUGsO+qD0MZqlhbS7+Z97rOjwWrnkE+o6cOzt22bZCtc2nXe jyQO4MKELAa/i8WKe7vutOS9mpJtQ6ykYIAfm7kT0YC2IfzAd53ibe9vS16OJcey1n22hiZbFbuR 3BQnoXbp9bNVHz+MqSf+1afcYMImxmAmr+dnG4zNGlEqve9S8/NBlM2enII6mZHKXeqSWLb2CLKQ 641ac6isOPvbFs84H6ZNS2sjNrxWY2Y701KHrEWF1yowae7D6k92qDoy2rw1uvg6Jm9CQuVLnBRT Dld2O9vshZqJUH8YbBOVNFwvKgaItevcJ3tac5gzz96ct2GqMh3wn1eDnoLzW2ECzVFGWxg+G8sn XerVW8ru/hT5rxRir8d4gL/Chs0KHhWTHZ96ruyP5LjwGw3ZMHwmW6IU5IryMLzoAvkNNjgB3Cjc AR0Kx20SidcGoEFFaRJjNZMV1lgPd2nfD7i0l2AgQwgDejcWmhkmC8M3Ejn2bzG++vWD5kwh+pkT Ih/LEmZ8F4dlqTS9hSJFHfTg04qke7uxxSoB5vsJQ3SfQN9rVm8dDxi5NJRgh7JXzSFj77HjmcUH FdjNqWFOMLY/fndQdV4mowm1ZBryYCR2gOWTV7Z+neZnCksa1E0Osu221K7Vud8uJVs9D6AlLG/l LqqOTiLexx6kdcl3Y9MVeWaEm7qhsFkg5/o2KoxJB1cu3fl2xsCFqZ8D7xGnhixOMCn01Ur7SlYP 6uforUL+74VhOapr3HPXEBbbWxvHeWf2vlrGq5w9z3YTwp/j2ATKTeTdvNKo/c86dY26SE+XiPZU QqBm40lEu7fJZ950aTafdDdh6QviGYXcSFzTHUVb/pFDwnIK/MxU+qtfMobCf2DoFGIpXbJYNg5a 8Ujfo/7nSBsDSA7GqeXPkUzdwWN/I4gJ3sOqsS4x7HF1mhhdBFVDxRVJjGiY4UlSGZ865XzR8sen nUmGwKsI5oK33/ic9oe01zy0GOmtUZL0buAP3rOB3eb/V76jfoU8BU2qoa03ohqaPU27lP5OH/d8 mnf/mNYR1P5md2BuS+ASQgB29YUfXlo/+vwTCzwSwhRNYhauA2mnlzGNFgeLzjpFjlg8f/H2XxB1 5lnD7LPJ1PqZzgPCV8lAGA/rGAwP2lp9QVsV6b5Yen6U0xN2rsvX1G97DvHhZNT8zft2+5HbXM+M 2Bji/5kz2zaF7CtM3lnuy3KurH08kQXX2mENBl9BYaZinUZ0t+RAOGlstTyvRqjrlCJv+m2CwNvH ZJ50B752W4uCjCg+IZkkEth5Gm+GD2dOkHVmitPnkEb1yM3cB76WFo8bNGgSwHe+GtM2YJqv1CL2 PC+hjFlErxlm+Ov4FJ/R6PC2dxlooZcdW1dY4l7QjOmpfcpUaNK+bTPOuBgCwWnc3J1OJv680drz KEZ+f1gqF4P02A1qiDiCo2cd10ooThZVgKPwry5EOqt+Hahn0VypKp6WIawVBx6BlwTat6Mq+oxS Xiv48pbGGdRpvSKweLqcDb3RxGZq+chXxu4FMSKX36aO/RjvTuLyw5STRYq40Hpqkm5wE9gGzqeo Pg3vwJneP6zM+ZMsaV3UhsYndJlqOMXSjzdYsRsOPK2lhQn3QHC1TBYWsmeiOsDIUxFnLF9tqBFx jjZCfMwVIwuNAey2yczFinodZ7VYDMJ94fvCMjuiXmF8vm+PnNVI/EREYhf8PEBkuequla/AGozT 1ugSghqu8fwmMOcjH9dqavaACxlxZtACOFsggv+JdS3AXR6t3O/OX2j3+Gb9c6pML9Hlbp37Dlvd bvwXFLI2FMlwfP0NDNjgkqA5ztxmsU+8PwSsf0RaivVU9cqXClHX2EApK1fo/4JOo0PfLcG71D/h L7sjdSHtjFvFHr2r7rFwpjsgE4aWUnHW+qXXeLtG9v+HpX/YJvxR1fstOn8NuL24tDmSuFCsFL/s F0I1b/Ca5ivo6+u0Z6wHewD+a7t+RWUPCG35DY7yIs74a7mJQH4luFAl7sj3ysrptE4FHLbQJM0J DZ6P6mQZDCLShMkJI1f0JSOLu4tWO0AyW0O8MxgJrFAHw5DJwyfOwxntPjcD+jFIuxp4FRdW2yS9 g/d/mhH612mORJYY4waaVrex78hwbT4dxK8ta1k3eco0jHms9/2Wen/7ArsypeTKc3BYpHEBshRb XjMMsJczWinMQ6POCTtEWyYUpzlLcU70QtMry9hj76o8HUwWI1gMfn+dTuUCgx4ec0W5oGactwsj XaIpfhwGGiRTLjfbXAZsTmWMfeGJ65V/5PQRKNA1cexTy/48exDeVYyBN8Zb0Cx/YJHgMfPxwVdA /6ehPuk6YVy/TPYZbnpZPqAOx/LfkwQIXjIWbrmlmCTXWIttUe4GddzX77shNggIMdUOrX5+a7t0 ntJ89nvtwid6+rN4xuBHbaYFgoj3OqfnzCkuHAZ248mvydZEnVFFtxjnhh0VCpOorSryuPPSDpVw VAnwr0Oj94xiJx3tvN9uebaoVBg/KHceKAe2yRF9Qz7m95AlkY4kZvgyGmj20wEFw7JLIwsOWHAt WOry1SW9kmnBVAq2ItRHnuraUT2uWNNZ/GR/iyF+TDOpsRmO/rpKDR2pWQ0JAiam9EErfbhGYjiU APLLHqKt9ejb6hO2o99DIcejhnF2B//2rBVBjz/xAP/g8kuM5tXjRDp3MtJSXeIFwcGxP73nLxAS pHHbC2YHXejCHIhUTR6RV7sWFp19PH1BQxNmBbkKCLRf44s5VMQxSOMNDdP0UqLVhCf0XeOS90Kp jvuh1rkGy+4rgoP2602ozqX8oydBpncpsH1bpSuR5zNvW0IjKDRjsjq0S4DmeuRXKQiDwuV7yq0M NkBkXV9mBlKU/IYxlLxdfrnPCp1NlscJ5t8s6AmS1qpW00Llk9bwJ9En1g/DODG9TrQYdfvAW1Yo UgFzg6dyS0jr35L68o4tYJ//6lk/dYi4sY4d8yOytHnrpDfdwSGEQPRkzU8+tN9isFa1W/BAnX8g G3yrFwm/pNVtzeYfVx+y5PNWWlEe8apDgEfTApMIJOmX57Ua6COp5IweZLsK+2roFDKMCTcAQ/Xl a9XoTr1HB03waS8zqb3luUDs/7fx+EZk359BgpPryR/cfDm+759t7aOPAN3vtzeRJMAP1WWSoh65 m8Ot5xdN+ZjH7f9CEtWDq1QKggRWDkfBciFmYR+1GHlyoMdsRwGEqXYPVHok6fCFjuSY6yAAiofQ twfVTbMCGQbEGbhCBtoqItwDRagKceQjREcX/E6ude0u++wDw1fhmtycyTR/7ulIHeo0T18+gtQD mMkVfcJB+ET43WNufBnVaLH3+zfDTrWeamNVsT93fXhHLqKkWsa+ZO5CM0tLrE5GhM1tKrhp34gi 32sGLBtn7zBokWbUB0w0k615RhHPD7XS51OiaHUwK1spRDOdwXrew58UC6sR4WwFqjc/eSJ0Wrn+ 3vJAMg3THpL7Lxg1uCgIoqcD90sQNrSDNL6/nrjFayL8N//93bx9IpYxyK3TRH7v5FW8+hTYH/38 bD+OvTcP94WY/k508IWS2nlUSe7lgf/1Ih9A1XR63KQd2RqzP+B8H4aef6pazc2P9jseBY5ICvzY NsNVXTNSMe6mlK4WDwmAeCp0RmDejzjGiipT1bUXpvmRshikMtshd7iv2mTpaYegNRj+nT7qhAf7 i8I59F0OXh16SGZS7l9fofmFNTDQEY7kTFR6GuoPx0RcfZVBigYrRL9Z7RNynVgyFaiSByvQfVbw O4t6w8E38JmUb9LqeJG7x8BOFlioV16kOchqNRAGSKo2Yh0kSKInZYSvZwpmhlmDt31HEi2mjTF3 op+zEjC7gu2x4L86XL5BVoHqyKNvJ0IfDwv+L73bmJRAUbdD8vWJ7wiytbkE2Cg5qjiLAKStIlvs 6f9k+hY1NYHIX9mOqDiU+gFBFqZ/zYLwHJRxyVwznJbzoqiW9EBY3VF61A17vC46FaOSAS0UBN/Z yphoZDiOVtyuVopgvwJGe1G1f5k5wBs2wd+mtEMCoj/lM+Ux2/+6XYuKK8q2h82048RNFggYqmam I3hCcdSmeTGVJ0B5U1SaTS9oxJPGxPVB01yucscFjhQ5BZ1Dhja/pR3w89K45tujeWTiHpdR+U2+ CyRPWQAs4tz+PDbD5DA62afBTxOJ+HJ2N7D8uBx8Ok/2QWF46ymHnyyuC28w6JnPviAJu+Hja34/ v0ARhrXPQY5RXjsuymdmzmo/JuMiv2k8KH7ubn1ChqdtlKwdgeT0JOzljhlTZNpnyP7qqXV7SAWg afk4CoX26VVFjqXtKi8tB3IWYMrYWOD9n7+eZCi7DrcVoswbRC8UwFXeguqktuwJiBcI3Y4MiwzF Vl+G4xfTSp3rOBjVkh42fTAur6YinXJww36039/wqVrS2vGs7LoSHtAds9xubAyjuGSOpKL+BUW4 4j/snrl+h1eGWA745itY93c0aEDnRTmri2OIoX069R4vSNuZYhz6KE71rJOBgHpTXtslrh1r7ME/ eQSakFrhGCvgbWAvS7kwvfvnDy8/HWLWJIi44eTACVwYfpudImGdocGCuIiCY1ZLtEYMh5uQaW3s rxKzpkMk5GForSDhKv9qKp9agGgdPA75y5+RYBOoTGsz+D3dS5KNGRUHRzs5e37SnFO6pQCLg3Eg TGMmjTM/d5UEUR+NRScB6/OWayB4A8Xv4aQb3K+DaG6AZ8gLIbVDnEVK8khFK9WGPdlKQHixaiRq 48We34Idm5Ov2vj2TF2VVZIUV09pbEfLp1+z6xFykNVTwB6ojHonIP6sy/1MW/YrR8ngKlOoEiOl 0M7amUrXJTW7h3R73M5hFS9LDmNzhyC7NYkDqzi4b5ZVVU08JFePD2drFmlTe6Y8hj5TXH3NdHBc 38Qdd3JTiY5yjd5JSljiE3+nddVBj09ugHL3X7BlCo3NVaeLJkxSuWTFyWINSPLOo5kgL8HzcW6Z vlucnv+FAKTpqG3/vFT0s7KdRHkzS8nBq4qSf1U0u5g44auMyEpuWhYMSylJHlMnODg+taTmv14j GA2k4pi2HQldaIMzvEe0IpE//ZuQxSnsxfeh7uoDFCyhKByBUuGAxHrL9aRkjX/UhGumwfvjcec4 anwW5vPO18H1aQK+Sdtc5W9n19F2cwhiTJK3ZMqN7Y3wYTXhd6YglCakdHemYRpGaCnXutPevBfo ZrNrHUemaUAAJZjgxICnl9ilukP2zivNuzAcK7xxl9r59Xr+Mcys3hOgQVmSla6R5IZcN62UOg2h cTcZc+v/Qh73mB/4yMqU3u8/xQ30ZuvAWL8fTnz7rgDrNPTMD3LWPjJiU1n6qJif71a/1rTEZm+m j+EwhpltOci3vNqTW4tByvV/SEHgL8i6c5XKq2Y5twss5og9mbq/THNskX/DeWdmd6oUM82MD4yh /CEOysEysGTf1qDOfLn5y2TAb5x0ilu4rgvgj6xUa7Edk4BmVrLkeLM8hwLGnlAkmMi3+xg3W34z Z70gEyq7sKaqrYEGmCQ1YTGzdYPYHlHckN9z/PbjW3tAiL8EYgAG2u1tbLqmaqvQFNCwrOjh3w89 byi/owERG0PdsD6D6++vZ/c+4mwYnOJ6W/wOtfW9OepIjYEmyAnG0e+JHgL9oWHjjfUm3ZH8hah0 H4HCanso2pb3AMOEV7a5FrKhWDbJ4QoiOqK8yrtmIQl1wAWyflKAe2jNSs5PJ2PFdvI8qvon3K2c n4+sikfE9Uz4sTwgdOHz3SSjsBs3cs5QujWpvsBUs1QLs5aUC5BPLlGDhm9xcA+xsZ6axP5iu60p jz+keeNyktVGGVJ8bOV26f5LA7rJY7gmTerLgZowjL60Wx6hz3aYOsJATGa/Uln3NrWPZl/Giavv oneVR6U8zsnvm0Rim5hhwQcQ7pqOuFeUfRp9TyrM1fH9fOQvAGl5qIXtXlfu5WdLaE2G2ez5LijX 9hY+/ZuguBTk9MMPsozsDITZTzHfEJYzn4/sQzRVkFzrs3owQ5NpgYszJQ8nQDoZHf9e1wXw+lXD 5rkV4LP47y6nRJxjVOAJirowFlzad8pJXSJK+8NOTE8CIj2HKLadJZ4M28WaHceAX6XO10FQ8E7E 22MmfS7B+NdjkMaLZ8fYgCkvsDc7Q/bDSR1+2NEqQAHdBn3BUWgxxCPkFTL/tht2fl5cvvxZymSz +liOEsdwB9FZnoOr2gvWEs39GgYWxvpmiJFayOkjIoLe5wBu3BhIEeVJ8n/VAJF4wV7TvuVSjBuE lAh/S+Ad/kT8OdLrajzTahBG3mwUfSzU+4lfV8vJygp/+on+/iFfcGK/9aj1GVGVAMlOblh3upcd 9DvGHgbUqJ5Tn8SO8saBd+mZce0brcYn4cTWisJnAg9Q77T7Jzd36j0XygR5WhYaHZoPNC0uOymO pseE3NblwDRn4OeuzGBxBWxbREo/1nU6RDelYf5KhA+dzYAaulESX1c/3pPQV6k34CV5GCS7KAql o4D9Pgl9EzsLk7p+/4ubnV4GyHF4P8NNj+K3/Zze5UQAqyqfxo0VZbTeLZygqBxlCOS21HlcLMRg s/EtXwSFBJlgqtadRyQGrMBnRzpd0HDkdpnyAkON3QSwa6t1z6J1UEhH2HsDOv2aQlobQwKX2iEf J+TMszAVNiw3SxYW3GEZkph6zUAflGcRO7YTHlr5HMJ5/MgGYlKcgTo0H8INuuL2NxU7pisz0edS Jb6kfNreaQD+JrRtUfEletHmV9JUXLorC4rUCx6xK5uIWfWSSBTIclq1HzzrpzxN9PM+nvCBkASP EOT31oZMaN4btwsClCqSWlKgqh2cHKpKaOoOxLstXbUNLH2yHp8vAbQS+g29qbLvTcqqgLbnHoYP T9XxWDy4q/vUVnZIBN9Rt4HEtEj3l3YVukAyoolLv0vtys79gI/3/0+9i/sbH5vRIWYWnzfJipZr kHDKZsdqavo8Vzv8tj/BgQGh+QnzIoAfrb9TKVVtNrkJ72Dhp2Kzi6ZVP+Z4euGFYwp1fIS2iD5N CMp4yX8Dgu4G/IpEKPoZmpBoFAXMf7J/pQjEfF4rJATYCoCpF3mZRUgvje5ye+EmkecDIb/ilrka J5r05/GEAmOU4lWKCJU3UZfaNw1Spm7NA7tKSl/oMh3VlI5r0MkVjN7DJDKuFJx7ugvZa5mHnxc7 aFDw5nq2dJvWkvRetiSNmxL6byOUx/ew6MtV0J6ZM+qm1KHKm7372ixo52crS+pWxOOLZucSZLDL OKnNGs2JskINzs8JosxmXugkoCwyVFh7iD0Is2b68Lf2QPqx3Ps5WIOycKULc9A2t7Hda2VdxpBA lmB2It2PE4b6cW4eWMJ6przvdWnyTeZj7JOyXQK+kYx7I2d/qSiD68iO9LodqOx+9ET2n4IH3S4F Ll9bmx3peIvH2rG633hL1wxZQVbfMhJTRLqsHFYWQ128OmejcpiAIbO+nEVvSxOIEC3dbgfjdyUE C8k4p4X1p/5Es3b2Pf+wbwyFQoOMQn7jjzUh3pUQ3hmhzPJY77jzbXZde+Sn29Wa55lO4TKe33jV ywJOVNj+WSV5wVp6oe/XFTWqkyG76mMB4oI2lkqKPsvmwSx8YTZAu67YfaK86WQfURT5l9RZifts yHMH0aSwKLBTde18JboBmoCHwGKnyXteKDmo9H1sRooK91QcQljyBkR9fU7tAnBAlHBi6LjE2nzR j/zi9EVzWUA8Ii6HCSpGf638a9NwfD/6X98+qMOLIn3l2/t35kk529ZR7L13wTeMpNqFJJVK4F0V wKpWB/4wAd/Mg9u8bavKU663Y3JwW3gKtZKl4iXgqrKB1kzriDtDgGlpff5q4uwiKtYPtgoFTZ/O nULUDk1QtcGGhu76q66cPuwqpNn/5gvnUoqMJgwiEKqjsHVSfKhuuPhfnkeO3STf2W4JyoGtNiwv /5sxFCh5w+GjDlTVxjl67n0Lfj36GPYsQz8+zqsAWA7gMDB7BlYV3X8I6zf9VUOkph8Lcd/qkyHo T/dZIxUla0LJjl9DjYRjT9SjzvRd76Fl9oi9QOeDZ/8iZAUiCFkhuOVjq0SoHvCs29ozqKe3fzRM Jlod89ek2UxO03DtujhYF7OKZyKsmfndJ2DI4CBFUgZMiXAL81RVZHdMX81LksMKRqeNpdzI6zH9 Gm04BglG8wYFJL0XqJtHtURBcViMUfARquwmyrA7kbT7ipGtaXwiWdw0a9epk5D8z3YjDdDj5NC5 X9cKq2FiWr8eduZQdF7e2cVychOX366ZPKTHrHt7ap/ypCFWkLX2jN74hSPn+YRcZLYJU1Os+oYq r8+zMdO4QrJkMo0xzAOYVQ22ek4KOWSfq9RuxCe3QFXnkqxakmy8Jw5NQEWvU/vg9H3wc+PQvbEU 3ffjxrry4yQUip5JyjwnlHojhb3JqUd+gbWHEChXmff8GLUqZebqMUmR63IFimSmC/GhPviz+eTs dZB+DuStjpjD6WiI4ngcnQoQGJp4WubCDExcskvxs+GUSlBlHwz6eFmJO/+awNFUkeJYmywZRySy oZxaT8oefGgJqUCXx/9KjUp9a+FUK28fNGYpljsFJ9hj4fjWnGrDMTae/GcRPn93qSPrv/bWmN7D oLOXNBO2zPSLcC1L7I0HEkb08e5D0nr6GlAtIKyyURqBxr6jjgL4tZhyLrXwX3K2KtJw5rTplqwt Kv0Z9uP/0BgoRTUKXUUKEgnf8uOM7+kErqu5t9CA5VVLQyU+yw0egsAoRZj+O13drjPQyX981aCe l0HUeK5PCV3w8ZJgz9unrdQpYoe44sqE/akbNUp4YPFQE33ltUqUOwBQ0awqULO9yH/KVYXQ1P1q bBFnfk5SYm3jbywfRulrb12MaNKBHKYsZZj3hy3VSQIB96VrT2QMiBYQA79niJCvRkHXjs+tVoTr Pojv/BECA7TZoyhGSOBht6T4/Xxsn/gMPy+bBOhrT3iHSirvNA3OShxLBdXA7ALGWys8eTBXr9d9 D7fZKyvW505htda1GyLNpj0szWu3x3eB7C/e6jOhAXoadkJETRfSLF+KzauRAF872uzhT1kSWjfq gcgwkMYw1rsHdQENXa0BIyAFycet3VEwslLhKncw+pq1fcDSrBWgJ8ce8f8MVUGjvHMNGZd7eOWz GgKfaWubl6yDYEgKvFhQ4deJdAGD8XX1y6eNHiO5LZ07zT+vcMwwwh43xLrGjS6VDkjSrmfibpBd Mn6ZM0KTM0irPGjeTsJ4zJPJEaQw8gMHOZrBhmcnrUj0D2CmDUjI8+tiPODMl4+lxrzvjPubwGDC 51Pn+VDWUai0KtoAJGIsbcWG5fqNJ6qiqkzBykABfKULADNqgGLKL9ZPw3frsygLv8l64R5zgdiK 01ueoKU27G5kjrlxgDCAI4elZz8EftaDnTgcwhRrUEOlX4PQ5HjNd5cALpIAudV/d/2Bh0u9SXhw CiWwSLBN40p03piXR2++2NB9UmbwavFUigwcSYDSTm5emHBorX1vLGxMwNcwpYCU+ITWCoQ6Ilua bKB8R5MWncym/dwpPncHPm1ztOF6+T7TOnXremTqa84KurXzUybeOisgfAnOQh2pOODRRxHQWWFE DaGbcr0YzD9dLIl1x0eY7wn5rnmXhReGnHsqiOiHytgox1OdqcdI1+K/+gbFfW9YaR5Lq//X54K0 FowcC2P+0rBqTnyVGsoQ9tUVjJXtk21gxIvRUs1JNyBReDos79JAdiFMlpiHbAGaXU1nLCYj/1EP DDzPwi8yet19/Xu7TA2tKgT82NnD6//lJYltg9gpF2uGPJztiUyb5V0hpy6T3d1q6WosF+S70Xe/ HA+ULYVA0g1FAzXb7OvH63RGIDLYAAnhiicOnJw292YISbPBmWHPybqm4PLiGrPLVC4l52L4i/S7 +COUeHfP9roN+Jst1hZhmY1mye4Ug6n3ez3paVLj9Sf/j47eA3JB8l4JqEjo5BzP2WY1ckICoAmP swga0Ica1OL1SGj5mvRFEWg4w+AmnIQiZUtbocbcpkzw1ZBfYzJLL4O0oBou+CYhpjSmXNVS3r4v 27z1eFzaawzgVJ3pmRPnMLv5JOjUwL14KNdh0ny7JLxz2U0EUfJDE8LpdTci9jvS7k08cISmuCjO Cj1uIRbBFsQTBqgp528NSxbK9gfzu1gBjHSGt5uHQ7zun6fHeRGYKgawOabCzU09XTIFOfCqg8DR Ya/0Rui6oBLe7NmB73S5XXqreZ+p55y1jyVqCLlqdjLv3Ccu/WziyUzBcHE/wf+jsRYb3GHQsK7+ gC2UL/l8/INzwSehPhbg8Dn+xqER9AQF4fcExJAAYH/kqSmXCLQOP5UMdy7BJN9NlU8XqvcOEUr8 MPX6cA49LmU3AnlC80j//2c59WGT5z1PdYY+LaESGwtb/aGP2IhDtNprfmNeyptfvywTa3QceXBi KNtMVSNUqOXlzjPGiYlmXrXDngedNnMd7SpuVbIRtrVHIGD8kaxNTdtxsTvG2H+9xF0Vm7EGn1S/ sRgBwicivmo1yC86tEw+6ejklrJOtyHYnuQZDZhIWJ1vRut0zfRMZVqsKVSR0CmEvCmHHp8CRQXb dGrGyHmBbYHSL+nq5a1iPMdcK9A24xIgc1DtEB/wggtVDz67EG56Ch4tav+v8HnkM9KtlxrF6+Gl pcMlI2dOYLhRNQyKSnon6xg1iGd/5/DWo5Zbx31d4LJLOXodBU27prZKDthpX4y+Dj1VxaR05etn 41JeCIUuBrvPGvCV09j61njmP2dpI9jRJdDqxr/0WMQpCN62vuGhLA70Z1yP5K8+gUcP03ZR8nVm QLuQKRpuXs/SnVh020NWj2XBYi0ATwYH5v0vJOSwEt5iVNtnvfLI+aurCV9+nAqbKmScXphVY6Kg z+JOo4VgtLNlHp0slKnuc2Gj9HplOvMmx9ibzD/4Hby7p1MaCcxpE12G9Q4l/eXigm2jjC6LYvb5 0A81pewR2yy4+9eBvz2wlBYJZYbmBKKL4NZnGMDLC5CAlW9vNySMvFBtcNUwPs9eNtYOEGovaPpG ruedF4P98b1xvpHtpazZPkzKT8psL9mq2fkNPR5uCERVbjr480NNxc/HCjtQh4NbVE16pKCDBjn+ 6ycMhPt4fkow1hff6QwdUy0m6rgP264FMUNkiK8rzAqasOih4xedwGg4CZCWDuVyILq2bESyJrUv c8tfSqhqD9BJheg/zYbyvtVxXfjJ/M4tpjo3YtRczr2XzWGrodqUTmtueYwZ53WX2+F/+Tj5Cnv6 GTrnmkYnRZTp/n9D9C9OYESJ76uSouFY1XWhPfpHOPq/9v5gzOcujqNWqSIsMGOwZQdoMwlW7Awj iBFnE0Z0Kax0g/1On188+D/RZN9mc1LfceKpAqYWWCk2bCIzuse+w5O362BD/5yo8YDSF3PYijPh sA8m0XDXpVPGmV9kaihDnxeCD1q0GPO/FczqqjyHNVY8Z4Y3d5t0DX0wdHL8ysRsBGt1QG1qLine 9DYTCTaQgoYN/hp4Ed4ttcyyQD5AhRgdDqZ0Ia0maYayE6nWQy6qKGzl8bem/1lj56YJ7g+W7vum aMwwdM+lA9o13KQ/NKv0habrJIBBgc0IuWQPy2Ncgw/ptoMk5E5QIXTHnFduGPSBAfy7knBxzJBG EKQ75ie4x7fpCR7cEfZ5odGVhNfoefdg0A8Ufls50BttaBgVFAXUWNDvWItT37cpcjrgRx0vHdCE nAQ4JWHcpBrLIBjKJe4Wq6ceuLIiqvLI9tn/eSV1d3lv5RGMPpHeR7V4pXjNkUCSNxXaimTUdaBW RMGkpdPdjnIJzLx6z/rDyFO05M1NS6YI9JUsutg28uattX3Y18sXl/9P8cJ20YiyE0sNB5y0AJ1U HlhFLreGRsT3QrrpufXFlgiCcsc2VcjuBHC5XL8JMVjGfnuvzRzk5TAbKudRzq3yApPd8e6w0/De 9IWAUw6z256kUDc2WIJQWE4AxYlljYb7BotMGQg8ncn1exMs4tEJukUgYrCvF1C3LQpqcisOf8Oy 3SYSHJcHzozEbHx9gDA34r4KJTFGoBKroC22BLK6lYxOPsEX0jSRaQjTd0wKvi4TVc1URW7eDyTu rho88PF2iBq5Fx/Xp3vWsj1w7J1+Pc3bO0k9ifl3GPQ6O6jVgEnYSiP0DQwJ27oJRCUSEtylfWE0 k1ozPSJW8ofCHAmf8xiJ4dZxm9AHWsZOyNdnW9aKEZzaEcWSTBJ/2oqM0jkJh4w7w4RxqpcqJ2s5 NpYy9ru1/kdN996iRPJ8MCqgF9xdAdJyNCMfEzA4pzvC5tWNmgkhAj26pAJ5/pSAhx5+8s+7RYy2 2XNhjUBW+YRqNmm23oXbCH8o1/Qj2w3r5GbTFGM1P7aCR014b+oC5VDLhTsxQsGHo+PXwpIku08T rBiQzXnMtNHszMIAyCxzWLsp/6QoVjBLW+byPFj6vGHi6EC1y+7fUSd4nkBYsdCgF8p4b1ESn6yf 6pAbH1kRZV+LyMPTl74pQZsmaJXU3DQRFYAgMb9tzrFyFK7FbIffaAZ6X/DjuMVBJ+HBy+DJHfBK ulFVoNR+aOcJF3HPCzX8W7x/DVemN5RlA93zTz05FKmy6QqgdEvY1Wdfy8fbuMT6xbVVFygy8/gj UKb8gELUU7mjgONw5MAlUoXxwgV91Y+p4cz7DE8W302lnCFCjuIFCj+/Or5icuXy/4xLRi8VzxX2 oj08DgKdxe7iRmmHyQjQMMn8OYofv+lX8QQSbTLAXX7670iHCC9pTadD/okUyGMu3lf0s6LL5MzJ P3JpguUHyHBekny+YQzPM8fITUJgQWK08ilsGkXj+JyTK5oq1tP1o80anIUXQ+eW+DhS/k0J3RAr vH4rrJMnC7vhKVpbvYYqtKb5RDqmVs649WjQgIpdVLrJ7ADuxDON43NkgmsS3BWrLBjBZCBzG8F7 myeVw1z8581M92oG5+gIdoIwdGEWE5AC8OMYzIVA9W666Gtu0mbfRfsV3Xea0fS+XDHvgcNZ3ddW kqTOE++d+RvzrNPYJNszbI+HIYkk5UwfYOyvftkp6i4w+U9lfvZU0I2w8aEHRVrA3FjbpFX1ZxFh SKlSeC+odDKLhg58BB66VQGC0BWELpFtMq769/y1fSUxa9ezCXLqtwSm1kaw/GYqpycsLFrDynDn QeB9xvuFjIjcra42oIsvMbzZ4OY0eFyUF/8ech34FciM/UmeEmFD9v0oOvL2vRviv3i5sTrqt1fV m9tTpb23OU+ZhwYAStn7e46nzgx4075KN/4BL3Afd5xPGMv2dg5y1uSpa/XbqL8aSy0IEnpt2zZr k6bKYHz+3nQryS8vc4tjN4X74Shl0JaxbEDwvr4VE4J98nzG29rWg7QHrbd3IpE4h0BxGrcNaAgU ogm/mzIOEzj5rku88kVTA95o3m+OX9Id2Zw6h7GRpXrhfcdcnb++d0TgXxDoJqhFQkybY8Of9ACT Qo5ygXmAsHQzh0mB/MJKUHGmk3duuNagVC/nh8LlGCNahpmkW40UY15gfnKkEd6M57s2RYM6JIGK KYDx0c2RThvWufa+7Eow3lP7NAkBk1hIDy4gNakkTi20qTkKqfic4NrfeMskuZs9IzOBX0hkUKj/ fpS1xpzvul1tVKy3jJrHz0KnLA0RvPuYnlY42D/ntb4g3iR2LOFAT2v6C5qIB5OncDySAlGw4pUb FnvAmaD6400vfVYF/ZW3d4FtdD1YO7c5xS2jEXaLHOtvBsgmLYIQih6HOWvPCuhXu+fiJN+mBRGt 45JPLnKiaaP1MVk1HfTs9hqWex3Ft0D3xI5HnK5pSkVvzEfC2eR2SHpkQmUugROO1reHzNw39KHw Af8NLcBz6PfODTVPotRT0M0nUkRgYB64dR9L+01+T8lepWsdFm8Fzg7gVP3kKR1WuXWHwj4egwn4 /dM+96I9G01Uo+fttzyF27D6iuFq8jhIU1u/qL5lSBN3DEVDv4W11eVPA3YJKty5PgrHwqltSGLb jejjcyHxYxHef9oLxOBeAdTp+to20WqyN3VMYjcqbd6RVqpvfAjZYKWVo3tkwLzBf7SqlBvh5q5A j/fK1kezSJMRuQzvXVTWdcoAg6ECqcpaLUq6JMb2NQwZgPYzRnGA0zO/NPY0Ykji8ZmbCze4lMSg nWdWh11byOTZ7WcV7pMXme3Abf1PnUvvyX05Ix6n6EDiMYZl1zzSf2/rGe/kynEft7HMAa8qNt7S p8nZkxLXvNY8nmXbJpczylWnY4dSZ6CWHlou7Ti8V1taRTMJ+eJ2mK+X010PEvc0hWuLUcSz/Roy k+hF8C4gafn0K6UT7aoJYyr1BkeWklAVqVlzKkZ+OeQwD6cNsRvXOoDoJunY+lvj6LhtGQ8E6XDK 13wYtDSU4pNN6uSGLGXcEKhR1V+2fi9G+F7wlIFatZdpNMjVRG0PlkHZJeScu/2haXfSh3R/3xvw IZYz9PTxUHjuQCobuSsEaf7MZbu4NMnZ+5Vb1b2dPjtNhfkoag6tjUtoC/BYG1zgrww5dITUH6mm Yb+Ad3UVhlvTJm4kFzEyANLgHfkIxrSHLQgEg5CL1zJ5OxN+cyKfLNsML+caAnhNo4OYRCgQ3vHu gBlxweHD9Qaq22jfatQl7dnlfpUYntFoysD/2L9s/GtwldDwVtkIZxugqrqc7hXf2TEAeRT5dzNj r5fd2guiLPQfCZ8Zk2/LYYbBwxaUaciRymXXZxZUQNJnYXRh3pqRS+3n+SSKTULjAJKCHR55FcVp STGkULW2wSp1113Kt/Vig4riJSNhO70u8r5uYUADzzds57i9RGVVWAvM+0HGYqYN850XPE0hDB6Y G3GUpJlIuRLZgT4FH2aGFHm/2ThI1RGdklkM+65qzdAZ3sWmbTIzZg8kaTNA44R671xirZDar85v cFj/TnGz2u2XUlL0d6H6BIwU/tfy0NkrGUtlEiVdqTlrEeK2k+aHsdk8lU9dfxcTXg8oCvK27TD4 uH3Hihjx6f27Li7oQfM4BIJwNa7qg3EN+ePn2yjtvG+XaLCJN6ED0smlm8m0fNCePE4I+sgOegg1 5go4posW+H6XcDqZBvL+vKjZeM2NVbc1kWcHEigHFaAov26t6K+Xv5vYD1MxfT9HaQ2K2hKu0H/l XliMmbYTT5SdmfWRlAtUXZ0I9uTtYCPSo4sEdraEKeKhBu0/k9y3K9djYxLRlonOHtZEfnlGsQcA F3pD+YZrq91Z9shIu4CESfBsUjkrLJpmAogu/5LxyLrl3cwiWkkSzcvj3/8BDONqe1ogLK8Uq3V3 Nc49lR2eoeQjj6hMRZkn6VM7s9Sazh09RRpDXlJgP16b0GiDlQSGF0l8+f1lUKJZp0urOmSeUxtK 2hiwzPLead40qBGIbwAhBJpUd71PPloZU1cU1l6PHJUTCLlV8TCT/WW139KAqxGNzKEY2oxjAS9A 2cOocowND+jArXbJv5HimWTJ/41pH1uBKr7hStM05Eqls+JsFkuL/Jrwi1nxNBfWX/rfQMtpQPqQ p1SdVEpVGj3xIka1yeWG0rAs56WmgG40Ke8GNNThKybdLxds52KL3d9QxaRtiK9Q1jHRYPwTUr2v hDDqjY415V8mlkL9NRrRC/JSWi2PaPINFmu3DNClB0yCjl7NvPRKAcEtJNQoP4y1uwvH12Ov0+bW MCd8Z5YoykV4OiifddO8xjeUwu9ikcR7ly/I/f/744AEGTwn4zF8mLkyzdq+oplYtNxYWJyQ8aLg 04y9hnWNn8uL/+bDeemTvbFxpB7OFHnwspKuNhMK0/KO3TC8hVYh9KEOWhTFirLAAJbDfmQeuhk0 xk+5zn4fVSYGgOTIzlGv0jmpNLazxEzXCAiPTCjpz9cTH7Gciwg/ViWdYmPX/2XfB+hbQ+fTssEp JUHk95YLY/lunvJOUTDyd3lXrAYkqGiHy0bH8e/tEX9kuXocg6TwkYIa/u/m+qiHtUn4Tu90Fysx sY9XeoNIvc7rXoSNrEL2bea02j2JveW2Tj1xWAluqO+upcaSlxW9gx2g8yS8sNrJHtnxvwmakRxf Mp96NSyqufFJy8EhCk7HaLTJ25wlPsiGHvJbV2THTNMZSGnzGNoe5BDcNmWa7ouh3YIAgztR1hdz n9FTKIHRUgfM9+XEpuCg23MoKrppOKZuWJ2pGzRNzMfdjs5gtIwfVdie9To0RBFns8BOkjb7VNs+ 9B83JsRv1tmwIq4Si9diMVFKykXIpYQ5/ywbOzFRTFs5S4fysWG6MVTY0893ByjZbd81dWP5ONQ9 CFIj2d1qjyuwF4dyQpgUanXnxAID1z2SOretl4RZCYacLtqJda4uY2BDroRLWW8QHPUCPch6Ll0Y SuAAQpgr544H9TT0LpEBzZtsQSbroy/z5SbaJxCdJ/UnI0NSg38hv0mkLAxXBuN7SvGhyivhaBRb B7tlpFEoYdbanixELh4evgBFonJ3sNn4c+OMKmEQrk4EMC4BI6720VfLYrPJMoeCqwZxcbjxu74v FwculyLv27qVF2bSCG7Ve9dMqdeYz2PmoiNnvc3livuIwnrErbVbAEXQCwCmMMFVmRnJUUUlVcX0 3aScwjMbouvJOAwof0CHtkCNOdeetnpZIWr0LazbYQBEutImg8TT81kBHzmrLVSjOhbKRotBGXwO NM5rpA8mA/o3XhV+gr+eZPeNStn9C2FSCR1UMLMHpA6hrWV7VwCnEBpnjXwC3p60tvJkVo7F1prA BA1/aPaIJF6qdAaJOVKYdMYUep2/oyOdTtcwsZS5MvxJrOCsH7O2JXpPlg4BLcpbs1sCVHk2XddP 9z2qk7C+8OqP4wueAtXWTmnKjL1nkG4BKMaCSEZcVcL2/DNGbS95w+QIIeoXqVR7eacycyW4hEi5 IPlRLzRlDd0s+tgV13kzpy8F+KHpzLSLAtYCPECDz4204P5LIiHwRgM0SQEqrneSkUS9bvgtuHjs JurD48Wzjlx7PYzE5By9BTCh+7S8rjDmEFoG2kTHbJ52P+8ltYZ8OjhXSx2LE5cr6jdJlBYequuJ QpiVbvY+kLjAaL2VRtxTFGOjAPRF+OqkdVKzRMMygFd0/o6R8TMaTMA9T44VteotZhpacMiVa/dQ YeyulT9p72gO9OBz/eu6YJQOxfAJdj9+KVkMIEgw2qDUCuHPgJXuf6+lK1GI2K/pAtpgosLIiZG9 aQTrZBG+frherB8FQo/clGpZAMVEe82ArtCYkNaerNurzS/KIobn5562hq2vH7bP2oaHvy+MLBpR fkimqACOXwaOqyV0o4HWQ3Ze5o9iLXRipiJ7r9fFbHPX6yYFw3u/vw7fXzM0I5fBpm4zncBRRaqT dQlJo9XXBLNwQjOqmLNn1DFe1sH6832rJV4Hno3DX2iKDCFBLtFat9xCwjc+dAcNtMaAtBVcNf3o Z5fZvnrX6EX8FVAaPYCdX6e9QDtbmANHYHH/DTrzRG4jU9iCgj5/grRNtYp9rsOKtNsGA8pE8TSo PbgWzzjasaUXaUgA5HiTPJkz5DTQazxQnVK2+e4H6W5vSV6pFgBXIHAHen80v/r8ApJZLPI+esNr j+6IdHpUj4BNyFl2clEogmOtPhwe/YQqrECAfuHG4Z02hMiYRTQPaSYipkYEDPf+RRXhWSKVSbRq qy/cyEhxfW6ao4ynWx08q3QGkGhtukFHz7bEovNBvzniUsPi1rlCJDOL+dGFJ9cc1THKisl7s3Oo DohiCbreVNNE3vu9X3Bw+Txtx1t2RLFEJUXltcRAcbQ7KNH3XG7whHAYbm7jcd6TdLPRjv8w8oau fih3GkCMURqMKnRifPcsHR1RPNqQ03II5CsQ+rOw9YqxftyvjwdEXwNxS9tvTPC9ZfkgesrRSG59 uYiHhrwD/EubumcER1FsRVNbzeedojyHbCwj39JpVI6WCaNZBMzM7ohpIS1/6S8+PXLI/KPVNM6T UpOyGzV9w36Cgnd+koroiFYGk9Mstr6VLYr0kS7QFog5PGUarn+p/CwGLX679z1ZSHnGoJIDe4ka /ZbJvdyoqfql7js6CDH6r5AaA+rhRbEIVZTg5uTUB6NiMKuUUuzHGiUNaMjFlLqTue0PQbyCyq8S YnXSkGD2lC2yB9aRfCVA2JNil32O2AtCIJXW4cVDFkxWYFFO0EIgBXeXHcY0+jZIvSHVUxA9ffNj HTfVl97aZXSj7VG1vG2kYQ1sXnC58OkHVwujnK/cU1+6tEt/Rq6600GC5oZzHe1p9CspX4PmpBqj C+uHUkmUG7DgDsKbXQbDXhvyvplfBiozOkzW5wXdbOiGPEP/ZZu7k5OJiWCx3HbpPeryf1TdQ7at qG8/oQ3nFJaBa4ZD8H9PYK5Dpada74l8O4j7YGEvtDg8y0GRabAWA6Oo5x2GafHY6sku/sDds51T lerjq286DVkguUj5uB64B2cjbrideaA0piiFinHsSbVNbSL4lwZmw31QKxJxbtU8U4cQa4QpbjcS K+XaNVUaRGMGMZzYPNt4nCCVKQ3OMuk+uIdbGucOiKdHkDgX7Z4nqyzJm5LTtnuEe+AAXXiEM53V rO5wF4NCfSik8HEIBbwta09O1Wjk7nzqmD1WiwR73nKyPts+jfblCq5vg7bIfgm380VtC7wvGWnn HvjGTx2OfhFRFT9ywGIljD7GjnT58xSGIyhJ60SI9CXZe81Sv/SRhkiBPABMG0RO6VvfrwvxUxTV 4oU5STjcg5qLHul72qA/C2plpTz5Ze94G2zYvyJoG7MMCswwSmgidXIqQgz8UkSiagAxpfuR+NLn Z+UBSmOdCOImfXDTnHAh+X6tQcqnGFHok8Roxp+GgcEa2mogj+Tl871j5lQbOIXiMeh84Akaa4zj +K/vr5dNISDccpZFzbPdEpRS2u8JRjrdE7YpFYg3r4Iw4uF7MFceNhIz6wH3mSUUt2g/ENWYgMSb iQzYUpBBDiz83/odQUpP3YNbjceYQmV+0rX+QbjlTKR/uh9wdu06ji7v0mAaWRN88B14azpmsm9X R4uXmFrN5jxn0vLX1JwlShAIUoJPXYYhjMRPDv4q+NpHnyYNY2YVnunkXOLLziDgG1ZKexHNDdEh ag8ECyFcrrCBrdIH/k0Fv1R/MwnIEAZZPjgFKqNZjqrr0aRINOCelTqQT5TIXSbxjLC+0UmG9Tms kKImGPU6cZsdkRG3tie4cXseSxLM6o+NF6Wpwt7+jW1fycg7RiyPdj49cOjEZt4T7/6uRQ008ny5 ZVi76UbIsKE2fTiK5Ib8txG7xIFRZ7ePAtfprQ1fF1VkWUsRQ8MllWm05BVAov8zMqsHWulBIETa ESHPKlXeYtJOdFoJR973tVTpVi50DAj+QaG/GHGUmcTvbx4IRZXOv/hL9/HSu0psRIpeQ2PrLOsJ tEITOcM8Pt84MsTuNoPdAMs/Mn2AvoS0PFjQrHiAGGt+zTcjpUqiisZvrHByfKLkWj5WEi3WcCAe zLjDJRPu2wOuZEuESpWQsTV5tSyshoA/HhpbHJW5+O2j//0F1JBw1qcPgCMwEnq1W7jHRG+Apk7Y x9At92LnN/rsiAddDnG49dGqJ/Y3oVUk8W0mltiSH3mUf3Agfno//2Unv6651MroALb94YfmisUd f4DJGdkAGCLMmnNOkckFDp0e9m+YB6bLmI++HR9ZEsa0oF6rqrRhoqASTDwLshA9/eEaR1tsTsH3 RhhOZkBMWltDbDckMXleA9vXMi9vEgZF6wPWPGbphZhATfrYps50TF1f+yVRlBpib1KvNRW0/mRE Zs3UhWFAnzoJcWkBGg/jP3d26xXAL8CEsD7oyt4XSbGu51Nx3DkDYI4hCon8b+QbR2VSlr/HNXon UbAPlXAqtWGhmy7JmGbXYYhMrvsaItX7WyC4GPq0bEtaifLIgm81AXcGyX173I4fU6mXUtXWhXge UW2YXNG97EObqJTItY7bj8G/ldMf3T1z4RnOlqYwttp5DU1vBuR3Db4xJuBp2Ly8kaseZyVU7t5O bCoOheD/ZXh/hQYSnhJ+VuKqNBD9OlaNeiExpLa2SPRC7/m0Nt1khq2A4DPUO4B5njpOqocPPyUH iQJjLaKMcDSFRVD19+3D19AN7XdWve2epGFwm8zimdMGj7DptlK9Caf4Qn+MvnDJNVD3D2R7Cvpc 2swPD/dFd1fSkYhAEILDuQ8j1AByFjTFbzN98gwe15w+hjj8ggTGv0JRxNE8sdWQsYiYVJ/lBNGX Smxcvuj/5sDNYIalXlYTYqTbOoR7pEN7n9JOBj5X/DaG4oHQjWgGFaSJ+2ddW1tQBFvVKRzumfTh JCJ3ERHfDwQfYoYMtgbJnZD+g4cbNfMI3YDniOF8AtWbX2it+0TLG8DHMxmCUUhGcdTXAV1npXcD pUHseqkOgGOuJuB37BCyiMPByNgcKpvo4kAT6xyhF9SEP8AAqaaMhFEjCB+WFnXFVnXvX7TpS+r9 1Vc9BWAN+SZ+joh9gKz59JXjyLTXVel24W042qOa8hdgoG5QrkYNfyw9KOc0MkOAb6XXeI7x+qPL FeMiBycbuAcV7AUbE7Q287PxtFNAF3XqPgEFVR5U54RrSTZLcEmDo48RMM/go9qLqeZcYmZgxFKw wxssA60W+PvW0rFFXHhixaDU5829KyYxdLWPTjqaCN6qB7Focs9yIRtS3QY2ipnfK94/GIgKBvjg br4NhlSP4mHTP5/0jPzs3mTcsIZaTDocxvH5uC5Bbh7zcF3881kGaOP48nnf/Q3mCGSleh0Krrb8 ANxo5go9XgNYmZ8WFIh9zUhpeV4PtLJOc8n0Dv77Q5vDS6ZUYI6xF3+iKkvBKiPhXz19KDLBlllV 6PAzVbcyOhSyUPcu2fOtXLV4EtSAaYL0T1oOMTPvA7h5RHeb8pYgYPoGm6aa4TTxYuMdwoRiX/GT pADnzy+np0RD2hhcX4RxJiv6gheoA+XqH37Sq2+w1yP4uu8L08Q5aXHugQl5POMkR/Y9xnoR1UKc L6loDKZsJtm+VNEWFY2ZVEbL/s2PR83WFKE/NWBLWBoggZPEM51hmLI5847M+Z90UG14CJNTFzrQ 4fnHWS9heozbRZ+38GYzXxsV8FsODdczqqCwr5ElACtMaq6xlBOpxiI86r1iwqMG8FqZHhQ0QL4p Q6gc7Ml0QPtDzSiLdBZKcAt/yhU5Nk/9i/QILfoD3KPoG6G2Yzi+KKDAZtCrJ81jHMpleKrogv2S OcPTX2ZiXa9A4pXcnmD/ULnh7BvXfE3a5RlRrPNZ1XkYRjr0zKakz0sub7oAcHiP4uoZLBBHDRHV /UEz9EJozdhAL7ZpXa4+DylfChFHX0rSnxwWNCvKts4RJa9dGUm4NiBP1ONLzFSpY6sJ7pWNdbKO r7FuSJmdGqzl3PoAq6/yzIi0lFk9BmlP2Q0HT+hMP2GV93J2Q/NmQG6CdwNbmtNzjPp/MR+h6lE2 yFerqKo0rpxJSy5I6w3QbGlOMiWJuSbQfAAGYAiK9u3A39BbFXrV1vQOzbOfW9BsigwwoP5qpshK htL/WInQtHHoiO5x0/P5UGIFa7Q+dqLYb7DX4zeA2TqasKhZm+UCsRl3W+0M/1xj5bDAiN1ALZe3 ByOw/tMaqm5TgjXBoisJtjZ1XudTcv1h5yJWOMFwdcqeafGJ+pqmLrlNcjeMUvLGtwqDPpYowEUE b9Ni3HVp6MyegUzCCz7/74mwbTogS5uFf9AJltUpcYqpF/aG45LOsuayO+Afqd9qIJHZ32amRZC5 FEVlLOZc5jpvh6TBnck1hXW7l2G8TLI1akavBqym0QSsLgpAiqZ0IOWsXoI3Xd0bIP+vzTBo7RWi jtFtuFqSSo71renhzn/MSoN7nvIqdhY8e4+oksCB3rSjWzhR1/F6GUkqqkcoF84d9jzeAGoLeXN5 q/Rt7GDb8mD/YlWsql0m6Anqxn4EPWN+bnLrK5adafLD8+ZlaBJcSlYmvIhiRgmkhzpzX3YE28vl 2/0GFzSGyevGapR5bYZ5jWiL8ra6txUwqg7pTbl8CK9g3ziXQON5l39A4wJSkGOKJn3WVRfRx4vZ KXwp4H2QFFbbkBXB48WGZ9YqGaGt7TEu3ZFIGj9xTXAAfPDvAko9OD3Jw/B06MGnZTFx/1oJq0Ad knuvzhF+3jKAtxhIJSJ1NNOVEpFRuTx9W2KNnG2PQd10+cDRpS9oeA2RAWvZ6QTwNfHnmoMqEe74 c5ZVvnIobZK96z8U34suP5Se5K2FfUe1/UAHifKXD+CwpVRE1DOijri+C1SV75IRQZ6WSwMC/R27 b9LzT3deiuDOSsLOpVF5UI29b9j75q8bUzQwJmZi/28JiK6EVxoH3oX+bUF387nGZq8blwWzJLGw htQZOFoSgURakmzRquaXhkMvUQxD1xv8vkX2kHMAIqRuZbk836EZzvwZIcDiIrvmH1WQCxTKOqn8 ntQJh9cGqv4Qi2xdzfoGskuw1pVT7Pb5PDi+qUYW8abCV6d+URcoPYjR07LEER4RAXW6hwmZCEVh Tq4JthK0pVQYWnryWXy8kin/emzikDGyflcD1HhG637ERqy+wrHJ8BydM4Ijag1w18Nm2h1yG2n9 AmemN2po4T8YW8tiGKujnbeSCUyvfzvsChZ7h3XJ4dY1xpDBLswwDjLt9oUU0s5eB3ea8unFsnuk Kof5HlUQL1fGnsU63ZrnSgkYiL32xGdN9YGIzxQ9Ls7yXWeExuPbkC0x5hKOIpCvd2aaAM8TnVja hU6J1hkotpOP8vPRwjPucvhsaxL1gcnb2DsbBd7tJfC51fZi+WtIdefWftSgd6YfBbzYiJAfxQBU VQlW8EE7GJzgljI2j7vMXU83bFqyRxB2UAWKzS34kM9lWE0VliCy9HDkuB2Jn9+VPGf258UMusgO 58Q4c+ulEuyK3mHXR05IvHX7OcG4A+jHB1J0Y7WHqPUqY+0PCVyWvhPwmrIoqAqkboDVBxjpJOSl Tt3YvIEJIJ7cENfYxkZcxalRkw2xEOJ4FqdPDFpKhpcgmk+6I7f3QasUIN281fmwAYbBLY4Epghj ZQ58ydZi45EgcvYLhiq3/p8rqi6osUWPDyk8hHiwjv2Tkr6Fzvlcvawq+ZO8ktDnxusm+V0wHIeG 11vCvI2Avv+GvivodazkREylzZnKMmVNRUm6cxLFCzGksvV6Fyar+qP7efwWi2Mj+vlMWoe67iaA 2TWcjeoTNXH2wDO0U/ApXrIrfF0mE6ziyW5g+nnuNnI1opaIElfPrbnkPOlJkHBD3zaojcway/Wv NYd9qHZVAdWhz+qOHsUwTfz4BKxpBMmDC5GnZrxHREI2J1BK6GKNaQSsIQl5hdkTYExwNr9gquMB k6Wk57etrkEP6z+xRn9/W9JCYbb1ECRWyTG1O74RnxOOPCcf9tZxNxaG6QXvTrei4S9GHqp4tFdP 8DJAtayq2iIJjxazrhVoi/6A0dvEq0AJQsiy68PGhcFXIdj5x52mMsb0VwQovrvXaOCZXne865jk 6cgzt2PlbL3SKtZXptEIRBV0TSarfDUp/ZxkEM2m4ILJo9ffcXIHzQb5hHkopYCWmMCzFGjyJFMH SNt9pa/IL9GCjqLrp3gSb5lu4q3Rwf07TMAvGo4AL0sk6bTwVDA+DmDXri3vkpsV6bUp0wZifAdM 7ZUCNi48t+Y0LSDRrFzdK093QJVs7wFi8lciTUetVT9C8bKatlgsCNiH7eNbwRQwkLyys6YLBXev reFe+aTHLR+SZrggfGaOenO4nZT1EMcMnDiW6K1GMCT0h5739JVMclEw7Hkcu2IBIOmTh4/44iov LoLYOiLjDsiqJwyDz6yjerPSnEXUkNmRxVkZRZF1PMFPTFxLwY1zLSkY5UBlbXCkPx+k/u5bB46F IX1d5ye+qOX1NKygvAK/++dbv1PweHIpqoUxQUayW0feQgWTFsKk/a5rA3k3oit5JDfmix8+6ZaO Fy0SMRjcEVCkO5RoyOEIoXjzQ9c+TxF7qH6AM0i6vkWgycG/g3gnyXciMEzlHbumbkfaZaZFSUGK Tu1Z8gMbofIbrF+P9kXUJqjDxBj94H9qCKeRodE0TWqT5wh5flqPu2FkMHuJLSKbuK3KFP9e/J3B jQU0fEh4HXc/HaiA2Jd/0l2Yj88J714fV5PR7YIK29jQcjq6U1paZ6AeK2OaiP7ffsYqBV1FRi95 IgCQFS1iY0lSKBvERDCnEXYGrXFr7kxN051N4FxSHMwATVeIbjA29ep8L3d2hnikNbaouWVIoevE AyckuURbVAvMZYcgfOiyV5UlF2pfVmR8E5Y5POwlzA9g1mxhQMh2nLd61xbC8p8hwa6J8ziydvvQ uQc15WAiFtt5gUB5sMCdkqT7Oic7h2Tk502hPQ8IBde4EuIeXP/1TKNvHmy/XL0zT6zDgu9D1P33 rVzrpca5HCSjRMWWDAHzs5/VEWsdX9shhZ09iw7m9ikP7Fotd/SzNDicisfVN7tlEC9PKCiUo+eY uFOCRC0LZ7edYGyqSy+ILNtHr52jUpIu8PT+bumjJcIws0BrlnHiAHRdPTLE9Gu1FyKT3MQL6Ovb +r5cKdXn/KssZ5FsNtjUwUljEqcPC6iqbnXCHKkENaJC/YOSXlHJhKUxPmukw5QsVAMksl5fGNm3 bqo8JODi6ZtYFI30RcObzPGSrtbIT9qoq6oZctJAGbhRZKu1sXzaWDTDn0IuI2cZ9bBJ6+mo5TS1 YvZsgoJmA9yYvB6jyLRPkyBDElCNYVMerLWFZHB6AhnOLvbh9CohnDyjDKH+8tF8zG9KWwwTaZNR cekXvMxXa6+OKFApRPUsIn5jQsC6w1UjoYmceep1cGgtNdtNlYgSPORBX5VwGAHOeL5XkFCpfZ1P 4/EwzJWZ+tKC0Ubw/LdlM3maeOHe4hgi7p2Fw2ToC4UF2Nw9KwtDe7sTK0/ltgOp+nUhxsjlQZ8N kyAfFVMDrikdOxqO/R0AGNE3+uAf/Z1JWTWmhAvGmYd1zx+JCwCyLB2mUwEZYS2/GWLn3aebTxMu xJeS4DenftnAx6V1uVQFSKHeqcqxppf1+ytuHV7scS5zZs8QbzynVMyRy6iH3RZCADDAxaOyskc9 3I0iIJrpmpWI49GDJjiwqn1VvMnMZUKb4iOiZo+rRARmP8dkHVaFOcVtXYtm7emCZYr0D6ChpvTJ qXJWoFl+4Lo87OwI/m4XaC1+qwpAuefg95kTkipAqz0S4M+ogNeK17mrkNyRQV2TGSyLotCjkwj3 K64e4jYuZKypgg3Qv6gjBZ62q1Jij8JKxDFq9Fr9vFcgzPwus/d7lARWpvQV/yf3hN8pPC4RyJHG EJTXPl+SVozjMs1FD+5QLjNo2jJT4E+UalZQOCVkRDoz092DwyrT3/mpBoela484xr1vkcQJtdpa gAopuyYKRoXMDpCug8nAwyibcuMKBwxJ/MohgMeqZQvDouLnRmRl1g0l8w2IPm4nWwmX9y6cSxAr C0S4kWHh9CndhsQdAbMb2cR+LzeNHUVXxyuD1VmJg4KeucmikA+qtbvClSFycdnjlzptQ59iUZMQ cC4eopyp4VDIEx8cDhLw/2+1Rd8HaxvIEIKATiwjtFY/r8hNdtii0MykP2gaY3YSMLIK/irvQoti k5ZqPRgM725ZLGYU7JlpPjEMhF5P/F7tivysps2kkeJZezoILWg3a1VaxBNbJFfeEnpSnL5yaGpr ZjHYx1vOS59jYma+QAE94TQ37vSR5bRrhb3r78UTRrmifwUIZVVHm9St2KqGAc4I+qSYY9oOF7uq 6lDFvRfPg9Wes0aSehP7AITNe/iPiNGf94LvYgqwIwVESI8tfrZaQGanxueLDXRPYuSeDFxgxHI9 KT3q4araf/T0uvg9pvZIQ+/nxfCN9PWnW28VguQD6kJ/R6AoUduJ3U+k7qV9XbfLDII4VC010HBq n76gAGyLbH8ovgk6AMl4SEYu/FebHGfoiIq96dHITPc9kFtyd2StzscVioYzIWqvykry8lSgbVvw tsDD6CChDztfs3dflgO1/iU4glEEOvZMvrT032JwRRN5clWRut1zrLBuJ+bwsoruLV9cBrdQUJkC uaysbSGuYVrhQIJIgRH81IUbKFM8SbjCat1z1cWPmtm6ANqoS9kOcEJP8YEYoCzqTS5nPDO055uK kCbbKx2zGFmT+lVQOxLG8kmmTGfteD/urbkXb27jx0T6NbOKzAZzhhxIzQept9oqbynmDyek0qtj WeAw/716tAEv1fX18IUDCws9snRBSWsNvftSiHhePRl9U9mygbEttrMQ3OotHb6gRE66PWHtI+in cZf09uJU+gWbASBtk5E85P6wzuethdFOuRA1rVpUHPksKkRIQjsJeKoCAZ9HKiUDYoASbhTHIUdK 5Ifi+LWidWwjwF8Q51iNeoFFETyVGi+NX/Lkb1IIdv96kJdiEKb4dWpQ5P1SpP3tLsDUrDLCQMrS EERTJnmSTr/erPhbeG3DmMOoQoANdixsyPeC6Tco16ZOhfPluch89F8akJ0N3YLJLMsssiBm+K6c 9WwlZ1guSW5epEoigaOBxOWD2AAixTfiLdVrVgoOAgQ66sqzUiTtjWG9T76H+OrXequqllYhvAmH Jp7KV+sxxT7wmTN2wUph731uaZdQFuT+cXwBI6XSCThGScB607oUv21L+kKomoCAQ4Db2PdUHIk9 RnikQj3Ip1OM7qpt/VgZjEW8XuiEMM+hfdBJQxMeZVBZYN+2VJbzEe5X279CoYXinVenJIYAWZ6t a8S3TFNddbVbwMdHbptf+GH9mJu6wRD6MaCp3vz562qbp0RZcHI1diafq0kN8DDobRm8PZLnwvTX +y4bOWPQF+OBhx5eI36xK2xDk8/fDEzjI8JWhdK2CbuXOnHr7V7C2M8JDLu9ETitFvAxLDgsBE3D oJAf6pgVZMDaY8qqNfdOlnsDPKTB53fBEioP/+KsVnkVuBZwx80I1zedHm+YpddZSCDFRP+ep27h 9RQtsTQiBsJeQDqLKklaOMWVXOsv5PGDSviwSQxRDhgNKUS0cPrbyQr6AZAblnFsdlxpb7l0ecsw wj8mNh7fTSnegR2QvwbjVdf+d7U1DzSJ5zsKjqvxWZduZF+O95sQVABK7P76Oh7ILANK3/tXDxq4 yx0Jp4RZdxuWWag1Zht5Va0ysU/CVL/rh+9kberJ//900p2M+K81L61QPSuPjDPJ3/PHv3FuqqNO kC9Wy0l9JjFXB5hWmDhKLOvLOQvIkt4jq2pmRm35K3DWKEf+huTsvw6YAeJ2h1RzpzkXXu3Ln2Rd kBVrquCSHKOSBPAdLz3hwUVvyhbrPRli8Mlkb9QDmNbzJ7405NWVibCk2kB45oeDdV1kgIaD0Zd2 MB5DI/NvtQmLSbJuKgUPkETBaRXQrCozgZqW8p51mzHn98Vb8t/gxn5TvHIe/2+kDGh5S+Y9UcqY mH1hcrxbDm5j1vEItopvprz8660LpN5GGhPyFYcYiYTO1UW42qWe3mS2JUvOoHo3NDakOAFFgpKU hKf78BSFxzhIKx4MRocVWPeosY6ZzegBPdE26nDa9agccsyJpbMttzFigik2U4jYiYFPht/gdwWz 6VNukotPMtXjE3DKY8CR8hrpXaCP2w9oKdcqJuVuawFVkneEy36cOvGli23X+h1bFBilAfF4gRkl UOaTsSjekZ1NWqDXddzcxH/GQU4RHfRB5miK6tnrXWtJWCx1ogdyJQq4y2rbiNSlurEEnqGqFsPW orqmSQodkF1ZF5OHXVT5cKZuqsAWIjccm25+bSXbeDCHEWc1pLQC0klnq6noBmpc5Q0JgvOgxt18 aRIY73Q9PxNXWYimFauBUiOOo3knmm4ykiQWQXMS0VTIRryncuQsQyw9168t33fmmyS9Z7jqSte9 /aXEfgqkpO6pk3VeKwsAZC0fc320KXrk4LBB6ik+nEBBgmUnQhA/9ptQT1nkNjGbaAZTeIXjv0dm uvhPM11Uo6g+KMtaI2RFAruAroSsUPjJMC1ZsrPHJvtxwPYgOEwboPpJ2ZiniODF4cY2KFZxuXJT 3ryxRuCon/tVZH/ursv8RCmmMmWicPettmClnn89gDIzClfvcCJunpJaMujHJh7hAnwZxGbSamwU iLSHjwDQc2alcD0lM6qkOVpQMxew7QQGgtaBqb1wt3T6qAzHzww+hwfYO1wYmPM8huNlA4HyEAW6 U8IklhmqCUide8uGDgJSGoZrSOqcmlLKtTwNr7VnVK0JAmh5J8gSeTf5AvprD51KElCx3g8/yr4i IcrjDJ7Cnv3TL0iZGjuVvOOk86o1DipSYSNf6Bovqh6JnKDrH/EkrwVZlWQt2kV0jSGOvlHWDRXj 6WzhF0mDt9ifr6/Yjc7dSds1KB0vdNwa6SkRrL0scEb5bo94q57/HEJK54XcwynpbSLJOvRNoly/ 8RaD46k704JMl9ZzL73CDJqHq+fzHUCzHCO3vtVzcd0rjEWbCyQv7Ql7+Y+s34JjlJRcp3vIuUC0 SZGjBs33apAN9nt1XpdUkKUQtaDdUK1GzZ1YgkSHG+EKRwgndCagQMtSJMPF/eETNvmbo4x7TsaT biHueFWiCj7ZtXuA5AGHatWGFZV5fhJQiRc3ZE1R7TBIfVGFhQTJlbjns3XAqbgxcR8t67yFnPs2 19sbxQ0aM2A3j8vp18CvRZHZ5MvH52+Y8D/ehW97E37TlOjpi+2+H8uYrn1rKmg9sU/JfLlgd+WG OMVlOVrYrzGCOB/A4Vd9wtfRogkvSBOVYmNiLlEe/e3qfLiiwldkd7y1G5gZNd7gUf5XvjquxhBG qYplb+Goez6sNB3lPd5NjMthEdcolVYcFMhdWVntRdIUiK2H2F6Z6Vi3XyTTySYNPH8yUt7Fl7Xn Re5PPCnykQ0XnPZtjDhPAQXlxCY6Yf43CjcITzH9tt8NwFhW5EwXxxRekmQr9/b+stBXAxhXjZuh QibRRic29O5/X+9UJn50YNsc4lnU6D2NGb0xlf/gzD/jYcKqrwzxCo3KxobCjLv5bKHEU/uDT09g FvMr0gHMWkv6UklMMmLCE2Y62go79MES9HBwgOfkDtghgQ6SXxJfxhdDaUsksGYf5MPMZ2KJlCy1 Gr5/h7dXYytMidca4jEbGFqmeNNeQ5NSMNKIMxP/C2SBRJxCQ6ffKRnGTTkPzOx3TnmHhP6ydLHq NMYNad0+bDBNOMOzJyuO2fOSn/Ky8lflNUhcfIHp0bCYj1Du7RALyJUJ/vIf/Qab4DiOjx1SDxrF ZkTtZpLKxrkcveikIGSRF8R1H6rwJPmV6B8lvcuSvtAf8bYWYn8maT/md9sHvP69EOtK8ThgSNfx UMknyQOLmuMQyS6JExiBlfgHiokyYnvp+ljiKcd8/kM9W+eDHriwqTHkYAtECxJ/p/5hNyidM6VJ GPbGBHb94fFjEVAMglzhmso+scp5d0LrSvaXHkoTnBThjNzV7dFOM1X5PuEuA76B6EHsdsS1uyqO pMU6Rp/+SPySWByQFaMwyyx/lfpFqe+YgxV5juez+ulSMhVSOVCzx43he8Hq3jluvPuhwG5bz0s2 67KVmZ3NbEPZv/r7IgBj0G4CfmlLTESyBoUK2RXihkNaaqynYgQPgjQrv/+5vFXpMXQMANbTQ6mo 3nqGzZCiCL6PKRGEkxafj9nSNeIOxBhqkFrtv4vjOo8TKcnCE50rn7AVD2zYccewgwtoLiAIIwjZ hf9oCzU3zbd6tVpXrlqj1A44VAsPK7AtIHalOzBnGN8wwEi/znU54r3W+YNvMy01BCBtW5HCLgZk 2W3NumtPXQtMyWYZEf9hSR2Y6zJpgn5mvIvZs147tUvMpXQt/h+NT0CWlPAdA0mzsoUo+OyVYfqj UFYMykx5YkNwE+sViPMZZIA18yqpE+Bv1tQbm+vGrCPOWyjzeM7Ml5hxILmncMNLFkIDRxoJRiTh yWy3ahG7pqZWFH31xcF0TIg7E86wYU5EZQc7MaTSxfTt15BowTfGD49AfMA7M+qd2B4bq+TDRL81 +59Z1CzzMgMeLJCdu0HUZN7wtFh8B/2u2yNT8tLgTjaWTf/KrlxBUAEujFERCuzBevmHIceuPbSz FUwVQvEnI9mjUdO789JAMLnCg9Cc8WrO1+RGy91OpSv7sag5otdLcKHPMlrmEVc/mhZjA4FZahCF GZ/F3tH0VQJ9fnR6olrT8GHO2ORpklCj8C7yOtNWf1jYB1FD0dkzg0+dxCFP8Ovzv3Li4J8arY0o BDI9MhEd8p4KfFTNpCNduu1q7jxjc0yNg6wOLI/EeQR6duKqA/DSpm9VdmPmP99hs1sCzf+ZWSpk nQrfPWiC7W5/3wF40s+Ax6qfMlNehS7dgP+lnSjz+UYgcUjJz8gsV2F8KzMHiXxvukw5YA3wATLX 9XjtbgvrCUbjjYhq2rKgZD2kd//KMl7NgmCX1g9U/yQ+G8ZcBMJ6Wc75afcf4L74Qtp00tsKRSmP kG5/Bu50Nsg4oKABLMuJQjGB3j1GJPWxpP+dvcybPOgK80BukwO8KkiteqxcJUfwya0ktqw1cl55 HPEwM/eu1pvXrqf5XK9FXtIWh1CZCJLHd1Rx6G35oGTSr+tyTZBmkmsTogYwWUERskOhiQnGIIdS KuJQQEbf3Xi+VMJpPzSrnLsqWCatoiljsTS8CMksSNLNk++lQ5X0/uLdQX6VJdE8HoNE3Kux77vc qjS4X950c2wpGC264J0Iue/j0qyvErOB8w3WWud6k16LyDvvqDKEqE/pvfBjwb1HMOBWYrUzFjs/ BFdFcVyjHpwUY991CJQAw8Bn4PBj0mhHLkTUc8/+q/qs/OrIGzO3r8FSixNI5gaXvmI2l//cfD7G VzjQtCTuWvbtuC8ovbysJ9fdHxk7/jofxz1HnGzjuTH+nfXOOFnS8uH1kcHPiht82pB8614KX77I 4BVhfMoF+SaSt4/AAvII4R0sdoF6ctQvycQE/TMjvCFz7gAUcDdAjoG2v9ZtGffngy8TkrLXr+Za Fj97T4zreB9q9nKLFhKKXG6JY8/0d5kCuYNV7++w1/49EzrsrHLffhjG2ysY8nKo+Buk25JtMVY5 hWeO4+SLXOnCn+dgzFqM9Ee8cnfMWIuaRSKrTEF8eJsHXOwKaNsNzKbLLFNpjfj+gUDePGAT9WOL NbF464Nyftqee2s5MWNEn/WiPLUebAFkvMS5vkBEhOug9K+tP1ZWuXSt8V92vPQS6gZnLmEFIKjH qkmb59pYng+bAnbk8457nyXNTiZoDKZpSh9x/NZebnk5uylNyK3AGS3lNz8WpjYSeugNDRo5PUhX 3EoD+o4oZhFkXsCUdS98/Sc0CZxCxDHdf7JOvDaMCFpDCoN11RqxOfVln1MEotY+rAhbmDDMiNkQ novbUs7bsfE8Hzr+dIPTimKCFJsvQh8kB2SiEW4Rc8Jr4FfAhDszDdGl85GG40naX3c/FFht04HF XjVKmmBgcuYi8Jg13OruZrgeb2sRA3KBtdZfJHyJknkLYMLoUQEkicacPV9oWj/DUrk/vte1rqkh bAQJpWhCWsd0EYCDachcH4S0eracwTqfq6A4F3l/5XW56c7jSHj1mObJMTxry46nVrvAQFfphjjk thSJ9nALrYlcVArGYYhUwByanr7d9NHLXZP+hjKVZa6Nu85wGfS/4if5TdzvqdVoseXCHwzo0d/Y 0qAH+AoP/tLw7X8XiBSL4TaVnGs2re8a72GD/jtv4Ncg81+7tm7KcrKI6LYEpOVq2k7ky4vY4aip jHIKTDj2BiPikvfTbanaJw5spHLoU6bPU565d+RDgpYELyzziLIfxFLIy3BrMWXII1k6pjWusTx+ Q3IpMfmx9Iphw76As9ZDgG0lb90WoKXwsa4F10q3SinxKfXagkTF0mTql8sgWkAS26C4RZtN5I3a s3vg7BFMYQrWAdZyg0AQQ3e7R+n4UHnLG1CZfdhPb1eKHdQ275PkjDOAF6klMo9dcR+HkdoACuRi weqf2+ykChyASNnKKwf6wLzmcBEG2M11UnnRge8lFLRh7XsnNm/IQsWM2eVFpQ5H1mhnNIdUtJ77 fJaMrO5PwmUvEXWGh2cDgEE243stquDwXdtccF3a7N9JAV5E5lwHxvII9HQzCq55zfRQXBPy6QRl czn0rPSqdzwPpqTOxizKRpVd4STpFYb10EhqapDbOvwKXdO1E0YFsMY5q0MGXsM+wui6MAEDvjyZ zV/vxfvZMdYzG80kV1WpgokePVwLecKMc1pjWFuV3zohPf8RhAmuF+oSkrmWTqMMGRDXDfIwsnB2 pgVeufspV8RRoBjQh7agSZLaZpZosaKYko66jwOiBpQ4/y24KMB1prRA/bHjC3v8oXSCHZ/d4Gdf lwvtIjZeqR3uwXzIqT5NvNPm3gcqpiFsP92JarnSe9ZFzqLIZzBWZPD0erZ/BWqE96bF0p4XGmP6 0+R6Ulxd0OFj8ss78r9Gm/z2nvSMBfFBB4/w5PyNxmcykyuy+hLRAVj8iIwMfpirrfHo0YTHOgCi 2H/SobSd6dNn8feMgcodExRywI6RZSgV7nasrmRj01z1LbhZzgMiqHpyLNOPk1DWRglqLOi3pcKT 2ECNiZbckJToAKZNUpdGMkumAiM16zgq5RqEXInxjstm2hbrU1ZyLz1mKZLM7s2Mrvei60o5RK7p NzFkfEw5T1uHM5sR/Hld+YAonb7yrDllu+3PeCQKUIubYd/ixOeWHt9ilTjWho7JKgA/zdG8+FfU LtrGizUyuvSVLGFOSC+qv4afndZpOaNdORa+QvXi6CMmCIkPOiTfpFuYDOw+zfPraj7ReFSuGrdP H9BLQc7SV4MY3TxfRIHArr6DChZBJET8jfdBEgcxgVKvvTbEzu1BcKYOhIgsj2x3yTXVBITZ/Sdw W+r2dQQJZepgWHIbazmuBzvTygSZU08aV7+u5OgrZmW3DRTM//f9ZvSrz4uUkUEgfphp+EGiLDOg kNku952mR+xqKJ1sndMBpkBFKShSeM9Q4LTsf7rPZ2S9YahtH7GxHGcooW70zMKBhPEkD555TZv8 YMnCpAu41wTLw7TrOIeHg3lu4GwftnxJBrUoaU1dbd3N9q5GxoPa1EHJLxoFr8L7TO/mA+JtadLi 2mEGEz78NUUxIxkrvkBbW8e7cMwYecS/z++J1pZQnKEhHaDoiavkow8BvNoAdtZ/PfgzLeEeAIGB 5jTMWIAUoH4RtGZ0ihgkhCqF0NWlBRPGrRnwskAT0xIuU8yTKb12T4s5q/f10anExXp8V1HEjti+ 2wCGW2FoIdhYyLK8XlixNyO0v+Q/uz5RTCuz9L8z1oWYQwGA/L9yNnww4va/pA0Y96Ho3nNMUngJ gH0Zw0iG8jk9yAmgUqEl2MgQfL0VbMucavm5vlWLKJ77aKTCDMaRQ4KMKcqmZL30k0jlq/c1so0U LaXxy0ohlTgF+5EtyXUmuzGJI6gI4P3CizJwk4CFPA/LjHsB+sNJuQiZthlNkd4hFUKwpx6ClDLw 20aSRDhi9gTd2PNkAGiOd8htXnNlVBr1i8qJprAS5xloprg9uWcggoa/e1/NA60+81x3Hb1SAbrs FQkL3EQjHRrKKj7BJMgNSoACSs0JJhDLfBHJQztnqn/ATGFWVbyRX6ZxTEX5EAjn0wse92eIzC9n iCV6CUPxVl25a+FKe90gMv0Xq4ebgFcDxtqidjI4/FKGrDSDm8RQYEz6q4AZ+j+l7e2TC/enFdTW VBCmSpggWyBShnIUhlICoOQht4ht+jTPPa4df+nRkZ+RebyZLPV3otE/tnjIiK6h364KyWQnWh7F b9BxFBgugco7UBDRpf29N6er2TC7jbd50warsTJbrPm8rFrSFalX2aW0QHCMjdS5eJEdkx5tTyEQ M/HsC62BZIBW+jFT1UH/NWx0KFixX7/p1YaYHGA+x7kLRu3VDQycKtkYoOQIakFHSFMawf6tnOWV XcLaIuDWCoPA3R/6tBOT8Fufg9Nxw5Ek64N8OERSfK7qQ0OXZGEobFhq2Fgtiw/s8qpBFGPRtP9X I3Q6B8XMrWW/aWbf3MHNxvkiS6PFcUipZgBRjdo4PgmJEP+j7pTDsp9lN1jiqbKsb2IrEh5OY787 NJ0Ia7mjwer9FpD0B0NGxA+DSxDNHCwywkGuxO8APkas+5MhewWpioz96YJQcmLmef2Om/Agqdui 02cCEaNMvlZHk6ShKd8J1DD393mwksyZCkW81n58J38oVOTl6tY6s+KbnhU3sHpJkBx0DM/uRQbC 75Tv+FAk3XJ4PPjxzea69YlZfm8D2TUtRNiHPO6hAvg/x+ANpmVoE7HCgke5QiJziQfu5zzrV3Y6 qoyEz6AJt9ivuIQALZ3jch0sBmQ+bz+rrcRyu20At7evYXxuwkV3CHVNban0Osd9lkHUKDNXGaxA 4nmmQZM1Wm6e+63qyAt5VJtSM4Hhh/VJrvtOvDKdWMW5YGzrBXU06yV3ZRNCffbi4RU9G71vj1x3 q33I8kfOGj0nXMzV2V7dcgK+EQrq/kEhS1ayjwCm5PFkvoUfoAYOqUl0UihRaR/BOOBTAVeGB++r pG1zoL4IIpSr6yEqMZCulJwsTxFDLpNC6muX8iW0yg+dZqTcz8KB8+zVOEjekFmO22ZcoTR8wZuj dTwT3yyXs3riEhuQvt71lHHtFo/snl2bmaYt7PCslt1hfSH+WUcK0fGTwE9+Jerj+2fDxlOih4NA rLfAnnj2aOTa4NV6aqNV0VMRiniQwZUBKDXvkaXU15wFo2VPs2wcttUJl2LVnKMUOjHFY7nUQHIY PVosJfg3zhQIls8sWtGnEj8i5pyKg7XFpPDN32tK8gGmOZn6s8BNEltbFGN2ZHum8JoLA2V4gbcJ FhNeUa4MfcCTx4uQRumYa2Zt7R7gnTquA8Ww3QqPk49GW+g/dKyLMiVu+PbukW++dh9aWTExZORX Q8viO6tX3DtfgvT8ctj+RBuld8WVv9JB9WQb9cG80a7EiEBd0lEpeg61uy691qUnvjOFca/jxl7b n4+oqBDiI/mrsm6TymYvzXQT7fW8sAjEWKfCNctEj7G7xq/rz3R1HNgJDcOHjuaxC9a8ybQdxZWw zdwTkn0cvysStIGZwp5yDOrM9Ir6fWtgRAOqTjwKHeiICBM6CIPxWz//eUvs47zPVDW686XN+2F3 4X2nJdPoZMXfEeGnxn8g8ru1tjcUFnh8ApaXLABHhfpySKijG4CMUw0Ur6vlwpXTWI/gKeehl492 0HsYPRo61KGxE4yTdourCtjeT8cZrko7bnxQzlUMuQPx+JK6lmSoWs3z7/jF7a+HV+MOURnwVcha tp5VoQW/PjIerqtNpRJuTdwvaVMzTZgHz80HSkqWt++f+8140H+h9kbsOfTKAGs7HXsHZexlWfBK d3VKYqF1yGud4Y0U/KdR5Sc7mhOYcQxE63hIiO/Y8+XJQ6cfPmNoTPR76gSmJxZqkkoNIM7RDlPY L6/hw82ONaZ6u6w86iYN9oPMlS41CHFQv2CbDxO8lM1sGUQWdGU+fFEDGTYYmDdM30bZt9BElOAk QdeclgeqDqsSqMG7OY9S68Lw8bP9CLG+1g7mOvVcraIQEa5XhfQvL7elEQ2Wugbd6+c4X74VrYud Zn97h1EocyPgjjRRJTSGiNNOyxvb/GikDIyLTuztrjNqcdVZk6+dP8EijmAGV1Ac7t/vRFMCZ8pB KA4ZW4OfD/lsossWg6JL9A284tIh0XmrNHXZ1LHBV6ITOv4kFPcveyoaCnKzuFyEaIjFBUW7pRne Wj9YaFYMF29wW7Z6ecNgMUVNuVt1fdY4hTwbvMwZZT00zQurMCelFlvU69WgXvsR/iKmYo0L6zYa JMlgiq2a7O70goNjOUH+/KvWhOQQEgpxGxMdrfseOk0VjMGnS+1r24yB/lVgTiNK7fTKj4p4Lmjq q63NatZqdnPnw5skmPdG3ZaZJMU5lCpugJGq4SM9tLT3F28no7OjDu8nbX7csKTX4jF0EecbX+E8 ZTn4FNNDxlfLwiikpPu9CHX54won9bknMB7r+QIDBSUD/WPttNKdL/9otfZs4g+hramrAG4UotHD Ny8cwnalsAwIhfUPm1HPZL+kEM/AA2LS+muGGV1DD8zliZcKEsHbpda/fNc5xQN+h8jn5VcjAJsn 9cgIzfioecLMI3Ds5Qzg8hYpTVo3R8eSTHDXloOoZJ1/b7nHqPpKOfWMjOe8uJK0nBO6IQ3yFEgr epm+lf/l4YxvQIF+8or28xy0advbjS5GsnWbrh1iqLb64bZgVgrYDtF0jfZZld+EHuC8OaExDSEn hm9ArB4vlFeE2qlkX62VwcMXv+NBitoJ3g5S0IQ/aC8l8d8GUkjf79/lCevkHMehxH2gOamqOK7/ XiNo0C8iDoRunf2BMoMo+U221rRbbBOPSOOz8TvIpop5E0n7YijRMsqNlwswNWiiUEb3kz1GDMbR i4oK0u89J4G2M6okhJJLtCM3o9Nabqgg+VpJOU1W0vsK0TsP/X2tZbHVBeRou86l4E6b6iFexzGm QOjyuWi9NVXYl8ZvDf6hbIQdL5JKeRWzw75/Pkn/geASULpc3n/RPaaTGDP0uIEPrUzPRe40fDKp zMRVhHyLAe/PrlBKZ7AsgmyFjLT+JYrEH/8C71Hq41bFcSHItdwS8Oai2Ril6ARTNCw8x9VHttWf ibjPgh47pMu6lZGgVJLDaJ3KFndzkUpNS2LfEClUhtQx0bbEzI3qKPngXpR9ouNM9TMVvxo2iUNR ULOEhg5NAar2pIvzHUwHBUP1zC9H2UFZXi5DtVpLN1yb+fb2mfI2JaeAiKUyrRO2VVczbF0/FJ2O btUp+Lg0Hy2Y55snUEJxD1LGnP7l1gfGc8qdmcZBnZ9hXyPD6pwCSomGEi54ZQLjHlP9V9QyuC9N 9QjTfriY/nmiWvsHfOlxF54JaNucefwgpGlM/nkhgWV+3RtQF9nn0wJlZPziifk4hnd7PbooPKz2 WN/9oIe4UUluIWN+AOlsNsCY4Vlror4EGyhGVfA/mj8QH9vgy9FjvTydaXqYvU+JRCcTMutTiI77 ZZ1TUVgXbIzOTutSMX5XP3QQL+spSAK/Cji/Aki3XH0m2pn2wG3TbvSu7o3nrZFUAB1lBLKsNZo3 wWOwVw/yIrY7ANM4kmhvL4hE0egECZHSAurkS1rmpMBrPQC7fzmTB0MJ5U9nWPq5r5OBbn9Rk7RF 7zq+hyrV935YvgllmNKrOvnSmFp9jV20QbCG0V+j2oMYn/9vN2jbOUCeIwzgPv23rSBmNDCiI9Tf apIEP/AUjMszxWyGMDbkGorg0BlLMJWKgZz0GaVB+QRwXOhEqt80t0pf39HSj8vNG1C/BNSWtkXP /oD6PAdWev21DaxfR/emB7kdiDLjhvS0yxmlZ9kzjR/dg9pLBymg2/DbdXUi4AaNmUA5OuUFUoCR wkXzd++7xWM8VHBA9HTWktdjnH0D/PO3akJmD08ecIpvW405NdWLdeZzZhXqdPmmd4aBeLuKmYqM fp+3wHM2iAH8pvqqYHbsld6w4+NSZKmi6IUHMMxzPJRLoDrb4CbaS/wr8/zyls3LkegPgDBj9f43 nVFnZyNL3ywc9J3ReurLQx3VfPTM6NMPZASYLFw1QkvkS9NCUElF1kkP9JicC8dm34/ZuFRpqXMF zjo0QbIrCs+R6grU+C5s0SoL1Fajr2Yl43JxIxSnHk6NOJjsmyebJuIOhyYin/PtD+gzGX4zmZ1n pdD3RVrlEMB5vZnaEnUeE1rf+oam76fDbaRWqxwDWRMoDBtpT4IDleWmuMgLL3rlJ4WwO6iRy2lZ NxO3/Lc+Cu/Nmq4KMt3k5RepcmKbu/5Bldu/D06d0W+w5TPsq10PGtQPSQE8zuDAYbcmYotJYHO9 SP5XZuS89DjN+tGKS8Wue+6/9KTsxeUglvSBiamuhYiIoDYDkadrkiB+a/k7yWp2wTCvaYOEntQT +AfA7gWPNQQac8bMCcpfLD/XmKCfIjDF2FX7yORQqdubrqGdQGcJVb65hzWewdvbYG32ErrS5dPI DCYfkg2SOOdgstEbIoYK+DHb+8JuDGkxedcu1MMDGXncLYXs83QnNfvPMgNN7hJYBcHMyanZCDWY f5m3ofjNkROM4p8oUbNpc5XfDrUVOKITx9Rvw+NK84aGrWmUaGDODKjCOye8/D/A4Z/SbKEGXB4Y VLuoQbJcBJ+DxAcFGU+faHJKP59mHi1M65RWNi5E+2sZBKILvEStdXLRSOwcU9emGjIx9V//1LsE X5zXTnD8L2rBUJVSCLFjfxsDlwTRoUNlnrteICXIt0XMw9UF23Y7HMnFxSVyq7ZSrNuKcBKguCAJ bLPjvVUcOZO7nKWP8x3hDMLH136dT9EwD6kjjnEAfuQCG1aAxiF0wRdMOf3ZCvY+daNZxkHlCdm8 jrlLDEfiPivOtyjEoLVTPnJWMkpAShJGqbBNlNvxrQq/CwpGYdUomhrkpoXFezm5xOVzFYO2V1D1 Fy1kbRrcI5j9H3tvHTTnAWZroINMYdCZ22adRGU2B/zufDITXW/apufzf268TY3qb1lzDaByvSyE mz/v7PtGtTJOYz3qJAQdR6wjsohNczqTCJN/ChbVLR4zdbG1qHDk5fbkTWj2bilZmCfSQXBoagEn J68ZRnt36BDh3vKd7QUNm4XgLTruur6dMcDrqSNBB53l70WvlY/KmJoa3gd2ZMSVlgJFHYad2nsm eD3ONwx9LEeRz7J/W4/rHuHJw7CP/UVl+39kTDTHx4Xw2PKdanZ+EL6JdWoyaosWJ3tOCD2E7RiR qd/gsTwZhaqg5wjjOgfq0utp0EmimU6ysDQc94KTt9RGsTpVSJcQYagv0holYciALRLFZfEkKrbt 6mqrv3WfGsSwELvZo1OIDGjT212uJLpdNBokTaN6LY6ipsFnJiCR/OTZHxW8bCePSEVNb4R3DCvj NUtY/G/eQwd7yPoM/u9eZ61MQAAhDob81tUbeS+lrzH+qdA3hqsRdpDCxzE1dosiJdPy97ju53yx VEZU+s45t/DHu2rQD5XtTDNcbJuk5LzRGNc5BbtMPKeSDov1ajI99Y56j1nxNhRjf5I8649d+ba5 lPdYV7hKHijsHrHckxHXb2tanOT9UfZPnha7x9EHpQk+SDDXZhZY+qgeNKGQu2AUN1mralAouhBs 0UU8Qt4Wvwv02uVXFo9heRDalGfh5Wl2I8rayTxw09/mPtX4xdnNm7OmfDz5Xl68HSwHpmL3MIXe 5/t3X3xSvEOZLTxB9YvQHR0rGz27LMXJ09noPif8v+87qRam/UmZ1oBz5mqIxmnPxEqyUOvLeY7w OV6dvowsURB4hbdW4c/c8qxXlJ/DiQ7G2hc6OjB3RjY7iEzEOu+SYAo+9+cT1puEzzhMauoh74ih nlg2fwM0v7Au7XtYY3XgylV5kF8176WhCAlDkA102qoM+HF5Xz1I8mA53DySuYPR3DqEQmf2wgHW nsJV6+sGhvNSKum1K0V9jILmUXyW0FMi4ynB9iLprilA03nWaDIaSN/915GaJTcemIkob76ayJXl 97+y/pkwRLj29pF71h3NNNEUbCUsWUxpxQNk0IqQIn9JQQy3Y94IToHiQmmmLrD4+Y110K0PYgqj ii3sZTYijAVWX9nCru0rMxmUMc6nCaHvIKrumilVqgHdxvOGviW53smGbwK8B33M6Px/8d1jgqru xD0QmBSPvJSlm8kVsRkhtCheG3WN3kev+rohn1q+dVHhDQ5Kd9mrGYI+cDYMVVaK6sQZl1UZWcUo daQENOIAyxYvKKoTKmT3B8XY5du4nOusW4MKnGMSWe15vw3e9+FL+0a/8yM+ZrnSltJHYmWHayT9 uO2yQWy64CAWLrjLEy44SPLnkRC1V7swsTK+8Xjo+furcNk60LdTI3q23Yidu4tbqqLAd0JsVZ1P vJDYBty8mqOH18I75gpSoSfU/BGrCEtKWEp23Q+3ITaIWZYPee6+kOYi0OexSsCnl5a2bJ0CmMyN LjzVfbf/xGDjCMG7LYUOxuO5LnEz0/JIb4HC27Yhj+Ss7PhM5Nwg1rVA3qyLO0WodRggeMRNXzP9 MX45eaoMoX/syK86+AYAc6qLDjJxaSmg3+mNlccyTVTRL/+73kwaOZtSeOVVGKKN5e/vsTolXa98 hZKsB5OW7HUWxBbk+c372MiA2cJJpR7UEfm1WhXtB+NWI1CxTM+4WqEBZHztVLcMxxVC/t2ljfaA ZmE7kqMSvH9wZLrW5T+LVwEo9GYyw8UGfm3/qg5L6qWRTBxs4BuZ6gCxO5mOBeTV54BNiVVKvArH JlHt9RpP0L53sh8xFpIKVhZcuY4oBS6UHtgTuFE3w2P42SvnXIYoSEH/y+GvYtsnN8G6X0hOt215 n8MjiPA8x59KxD2EUa3JNWkmS9AeiouBPZgklyZS9ZP2hBe+W1GBaJMbOQm6HJOe6wrfh7Q4botH MD+BFRYQYSztMvWI9FGtuzfUCbxlR5Xd8Pe+iwa+3vwzrqmhonHHOLApdp+V4g8RsO76n64J4kvD IIOx94ve4jnocOv3CX+lWQJIGAgs00RQIEBzNvuh+C2HThfRV4XMCENll3JM4BXUymTfZhE2kCGi 0j51na52TgPOoo8FBYBP2EGPEFE97+ffDnC6H+Cl+iObZMifACWyk5W4xKj2MbcAD5nMzftoi5I3 t2aqcC9U2lQv018ml38Vk/Akg/nRZtbIrJn5NVh63DQ9ZudZqhaZ3j12LT2z+73TnBtN/7ZGL6vP 5xuDDZyXMVbG3AhUtZ3Mb5gcgmmKQ1Ro8R6iJGOkiyDHq0/yVjw2UdI/lETMJlVDdvjSBKkJQA7G vrHVIp62VZjQhscI/C+ygxbjGQdj/aaM6/3n28ZKwTPhCsJzxQTI/+nOeApnLzVp/8Izl0K+IWDw zaovidrTR9HUL0J/daFC9JlTlpbr/8rBHkXPMJvXS1T7y+d4Yq3sc7HxMAUYiI/O1BbuOlwMgpeJ NIOfmuNI4CxmPsJCHuRhwk1u0XDhER0xUpSoTfmGbzwoYaI0oshlQ5GYOBdcFTWplGwY+lvyxE13 Tf9MDXNZJwt5QUnFPt4gFPfn+vrYzrbGAmSlmInkpjTCbBMMDP4rqDytIqoiy9gsdLBl61NbQCUP 5roq2criWbq02eHBygC59qzQaUvFJFnDaP4FtdE3aBy4cOMg84XfwdZI9guzKHFdhL/Vromjhqb3 GNYrgOY5K3uWs1tHsZMLcKf6B0cAdbFK1pj393VWDwv8NOm9Z7xzt34x+Y9JuajPcXhONvamJrmk PuFJqFy1NKYwht+n7Z5kz5H/IDH1PrXHSPCTBLEXqQ42oz4TW0VgE6V3qF7ciyXuYYDBJrpQFbLG YnLYbd8WILHh9LsRW4mEPWDegQQiiFEeuIHYucfw9JgqnCvfrUafKov805AvgE5HqPvYHaMk9MnR lU3i4JhcZkLJRtIu/iU37MmoDi23ZF09ib0DMdQ3TzXoAn8ILGC13YW4gQOVisJgPyJLjefZTfLb WgUno7WnUgjc+AxmVNbPBEo5U97Ry0OGVuIgsdQKe+/vriuxT/4EL1qBxy/xUEjNWVBsdEMZ2DRx nHPJPuPQrGa2g/IiW5xTvY8c4X27p6senpc0Nj3DUczQ1HLcOcYxnd2RLUFdY4wL/1S3bCS+o0dA 1BSdIbIj0Hae11iumcosLkoK94Pl0+V6m+IsPpbEs9BAeoAGZmd32Kg1uouZ7M2Y8DZbb4FkIF8m XO43M5EDi8UYxmSwA1y1XJrL287Z5aF1K/sZ3JtSa2iTpIUMHGhVp+TJLqqZ8B+MNyLxYTk9TX5y 6H7EYST0D/CVEvXTQgxCz6WxBjckZSg2re2dv6xrv97ZtWLzIw0aZ2NiIUMduobibjno/wVui2MM VRxNMUKxGtijyQm+mYecjm2Tba//kzajUD5/HATX1wbUuJ0Xz1orljm+8Sro5iHL40Ltk8ktKZNN mp8mEDSzHW+43Rwm8NtRsDDZTGUUTCbR4tNMtwbCWITHuUw0bjAkVsokDADE06U1lKBl3x6eKXei Pdb12C8gqUyvoge/kuNBYC+8qSHpwmKLGJWfiH1eUStxA4muCquseeFHkSGrj2BEiyElWGgX+cUr XGqzLiN6ZuZ2ea5J3zLNxUSlnGp94tTYeGYsQdqdSMEF0xpdbUvRgNloEo7Ej7ppPwfbA/vs28ig npxKtheJr6noYb55N0TTg9U05RWUgciDyq94wWRy5XtqgVRc353orTNbXdRYEvZpiKqJumz1pyhL ZfsiMl1Vxylz0MNKhFWffAyr6hUrhp/v2zGIQBkYJsoLfxKc0GkzGQ3yO0lY0FvDojBNunzBh4IN zpZ86t/SoPUm866CdtrxDUJUSbDDS2/1p5rcOD9CxBNGxvcgEYIhoZg/Tsm1yl316Nsm0GseSsoQ FgvmB63fVx//asrj1SURp7fw5Tl1dUDTYnmslmCLU+2j+x8L4O/Uq6cqjtduqtdwMJXKrPg2zs3y y7+1+4ClqeUGEyDkAnBCaSuir1RIPqmYhm/b7SqJyRvj0yMG759alp407gch+mhe42ILYQ7gGrlh j+gUokf7q85k4JPxhMSs7UssYiivCWwfjA8xVls1DqejUlc+gwXXH7uKm6+ZPIXjqfApp84XidO9 gkhEjexvzxjALfaiOYgY/VwuaKMKCBrm5PtJW02N/ZgrQJhGuMtDqoG8hsu9gPvqS4X1jTQ/+Kzq evpM1X2yZlRDbuBidkbLfysvEuOeKXXibIMdnOK5L5PfspaEFzYpJS0BfVfwWMfw03uZ/3yN5NrJ pw5egF2B9rRRSErKqZoO+9gqLP8BAFBvfpPEcIr9rZfYF1cv5fvLVdekuOIoCm6PqDDn9ORfkRNN +vtsMJ9rtx0lczb9ACqwQHKmoJc+2JGP4Oy6aVYRpXbQI78diQNSuRPrBfUQBCUgivSPDlZ7llvu JL4Uu+7qkh0ZMkZdpH6HiFdJENfcu6T29RXXdiMaYShrPp7ZrAgyk2Kr2hVLqhEbinqn+hShJp4s eJVvUTHXs6+gDzFtv+XoXjPgDJzDlY13Ss8UioHB8ZW3wsNgRboR7vP03HfOF0vb7E9An/EUs/5M E7ZlRvNfgjYuSmOFwoDRZW6vuzJ5bSNNBD9mOek4DU/w4GaxGi9gqVkYOaM6u+S0LaooHs1UyNEe +3eZBR9cv/zrb0zOYSTCQDxSu0I7fs+RNbK+7Ss01G+itrdNjJrrJpmpHWgYcMkLkf+IcMjBRK7H xxdZVraKuzjRG6b2AdwkqD91LxB/YHlDJuBFxmCgd3f966FKTck0b1PwfCgSUMhnrBu32LsA55d4 5kXpmwsqUul+RpGY9T/IW4JHjAFTNHaLXawSZETTZ1i1i7ARLSFVnqjgdUsasnfzbXbOlMCRpuXd ygC+wOrdegj1Bge8RRtaVC8I1oN+OLI0rhR+Nhsguxnq2VJ3840Le3reJCKVLGpnSgdk1gqc3Jis s+8fu68VjWMssEpJKWhbwIuKbVwP3XwLK3TgcIZ476XUPmPCl6DXvMoa3D5sh6tOjb5dPopPi/vP vXscFTIxPju1RZVqd16M2/cDkzYiPuYRH5qBPAWROP1F49VnWrtzkTs3j3v+1HYvM+9X0+ADOeq9 /JNNb4dNZ4pXfNzRBU6JAxNtN6qbK7YqtQaifVEGcU/GjUo8BduhGnsq7vEJkPVgHEGBiATYhWOj 2MOjItSwHtiMFQgjQt5PoY+bGPObv6TIqarNcLY5R6+SIkp8prWpZmPutgEbvPXxTL1QeIFAgmfW wmIVgwLHkkeUVjeRaERkZ1zg2XDznKtdc50DDbbEv12Mgu1t0dtbt7aYaz3qbVWrbkIjtLHzlZVi tts45ndLAv0S5u4JSaaDGzFcWLPC4G50W3jNltk2tqmnF4J25ON97eRtaKPIIM68dZ/TnBPAhFsn g7C0kAn/7hBNMDoJCR4qcooeqnTzSb6YD3QF4sQLsM+cSIf8HrSdBkHD8f0kaD4GTh5CvBTX46FG rGqo6CGTFsANdLjFPX3kpagse72Jq3OYTa8KrYe6A5Kx+ljEjWbItd1Y37DrXN84fBsmjvElIBy9 JCTN75Nh/YF5GF2fqmCPitF+4q4jsDtoQ9+PQespOCypnwUbcVCM7HXmfaX2vsI0X4vE34MOeAAH tj9UQHXHdqBWnuQRSZu+m80j5cSGw13Gauvy7ZY2FWUTP3io780R2A9s+gTGkXAX06jDIywKxVm9 CfJGrppBCQ+iAto0ABu9gGJmMEylTI53u82a7BDee1hDDc5snfOMhqhI4U28RkVrhLdl2lnmZvJg S1kSTTZIvk4MhbrGpAVwYv0YE1Mlqo9obAb29CyAfylwzpyEa+nLXK//GMiTXbE4I5GdG9nZ5oU4 vH0AdymM4Oo29DHFSJkLsJnzrMaItIfdxqb4EmYnjNIEx0vwJEJEhSRi9S7mD1hv75oxg1KHZGDO Itsoavgz5jL33yZo0Mc1IY4ajb2T1BzbEKOk1NwyGkZQzfUwuqYz/WYq2nZf8BVIXpWOl00ov0rr lvWyocU/s0opFczfQs5+iGDW+gJFaAPlStkdUBzfBjUSA6qeoaV4Alhp4Uu8HJ+wcIou8kYkxStm 7f3lbdsLaLTmOJQVFqsVHZBPRKMiKvLOCcYmybuMCj1UZuEv0PFnRilZ/hk9mSfTJMqF4xjqP5zm dy9ysr3kYowQLFqthDnzQcXsoT52CCrCiMVdqnFH5GpKAHdk0sZuFXPLx9SXRx7mK9p1UY3oZi9n lwiERnAJzF3NJLoVLcN0Wb7uSyo3m6ALH3tGDWbPkEEb6cMPRlmh515xR2mE2conQlRFQRWon7Zj MhrdpADg74sqffLSMc4u+d0wBLRID7xMUK93eM2MXDThicpw+rD+ZhElMmUZl9X0bMbJg/vZ+e3I y2ptSaG3GIOCgp05/Fzfocl6047ItehJgxzqwGhAGbgSapZSKnecaLuI4Vj5Jh4O49k7r4IsrLev MFu+iF2tk+/zOIDt1tXEO81ryjJ6qvwIPQIm/KdG+8cbI0ieWHrTL8jsDD2X4SktDcEpH6Oz7OjE 1PINbA6ke+KH8Wa/IyNpdx/qUZbjAALq8p74XEGrfF4SqF3e984TSElNKuJiIxNFpEpTHwcc9cZX Qrih/EZZSiLlRtnN8wCKEG29sNqH4ibuoBr+yHbKJtp3s7pDL7JPFA0woGLXrY+3u8v+MlUIa4Px xs10651OkdES5d4Eyxov4v/HGohlGtB6XZTaYBrYyGAZMGRA1SV0bLPqEf6OXqIV1EnVnoL/MeN+ srWZ0OTs5tno3ZbFPwXASROW32yzFAtF5FaccvGG3eEbH8ibcXZIw8vHG8RSxIkCbqAKfpb/93pU JX82Rei8Sy4DV4bkSC4dJ0aS29JczMga5pmOK1kd6ftBkaxZr90si8B3oL4YkCWGwZhXQCilbKWV WoQcmfwMJ3LaxyxI0S3f+5cyVvjHWTgtSsURxOdZusyrt5P6UD092bIO7lM+lLAPSOk607jSMqv+ IeclTAutmsDF2aSYVjG2F+rHblJmOx8G7enog4nAsSw9R9mSWkrcSlBxGRzpxLg67txgW5i/G744 4Y+lzYVqdtLGiwlvL7lFiCq1XhygKBwZ7DV9kmmjD+GxVVEwD7J7Rwua13oDV10NMcdcs8cVdxye 3eWfzl0XemG2lQzGyZTsl2OmGhj0IErYllqQlCMcB9cXFZ0akANA59iSj6uPz0KX5f+9v66uXQfC rA0Vq3fSkOjLPwKd/mj1QXOJiNkQhU2XIElzpZFBSJF1559CzyrDZ2aG+xfXI6Es9fCBEK6VrzXV AKnO6fyGSfeKMDC/josrPFq77bj1p9Faz7E7BlbzJSc554RN9i+IlB4X9P3GIR2bLCswf37mdP6h SJdUqnOVYcoi9WkXWm/izM371K1T3aJdVZkJ0u5pA47K/5H4MIyjn2+bGEEEnYy3vUYJfaUV74g4 jaWG+qWBByDd5TkKAMOCj92+4LtAoogbo2Ga+geRGssg2GYBJAaFk+e2cZLdFOfgA3QAv2J1Kz8G S8+5NxhnX7K5J54zJM0QaJIbpjMv5dpnaRUqEUcyG9ZERSBtJKPR0PAQ57WYDMfRWbnTtUKXFi/x wGQL1s3LN3W+6Rc0Wgg1zGefAt/gARjxXP6f+8pLUKZos9x50s5X16m1ClmGZ8fCc3MBUhAfFatm koDWKBLUpoBQ1KJjKUy3uLlUjNhQARfcAa42XJUxbg9FkDuUMRKY2vHMRpbqDRuMJwBvFarvQ7kc M8uygIht7eu54Zq9+4IVlhHhGEEnuyXO7Bt40KDbsEAhxUlxZQzQ/IVleo+7f/YEeBWdbgHu24WS 6lwHmVwmrRI60wGgncoKdc2uxTcXoSSKsl/X2yzxxQCdSaJQdDmVZPCcJkvN05C/D93Z6780tj6v myac2UWiSS/PT6b2L14324eaR+bOEF63GntBHeCvVRmOxpbw+2w/XVQz9ofPJ89/5ZAVoxiMtwvj n/dtIKi9vmvW0levlMrjKIY83yg08y9/oUFFjTxaD5Moz+L6WO2Xgxx/O8eH8LAdcVCRw/xyKfX9 AEnSdD+pEi65NMKUInp5TP/3DZlM2C2cw/hUT96dPWrYInznUT6ErjyxYCPOSacLgOGjI3YaZXf9 H6ix5KbSFnjFizJabyMwWiYytzR2BjOmj4XqV0m107QI0Soim1h/4UpzTUahEDcx+CSwLwmeB2y1 Vy9bWaUp0GkzFC2h6TtSPdjwIMDsEY9unXaO9vYItqJuAPSjZqaKNQgUgB9sa/1mnocu/DRlpRG+ fR7EbdIsJfFMsL+blehg1lpsAnlU/WlszgDZAlmjI+FkBnm1KW0c9Bqih9mscJDfHoYsxv67CPVi 3/lpHiYyxdWWkmcTBxTK4GiXCXFa81sIPt88d8R41z78CZu+WNb36aLR+/z5irNKlmBvfaoVjdJ6 RNep9wCbVunXlGwdYySM7yrEk0CmrXsPPNT7Ok+CDM9VNyHfGnHBJofZvBSu7qf5dgEfX5cTgWQh Scag+8TJ7d2gQ7IL5qH86VzaBzU4hiiN5bZPPySNLwCr7jtqq7hKUT4CVT4JbgmXBsOiowR+/RsZ y2e62vLmETulq2y2v5f9pUaQDm4I96Gl3myaIur04LT/LBOkD1uXlrb4dYdUqRtr8QYUNcXLEcmI plbhPlMoLV5+CUYLyc79X6RtCsltaKPQv/g9Li8jPsZeCxZHS02PzfjfRFMvPeXHFitNIJunjByQ N6JlLjtvPbXYHAitKl1s50HwsxfTiW+7+YCW29ZdSvcHgFXRMkfEYSzRFxeI3kBx7ktA/fWYBsME cb7pirWtLftjyv1gTyjgXBOM03nImYt6OOjHbh05MPQ0RlcTbthIgielJYLqCFsHD/PTzS6gi2X5 px2j92ivWmbIZY6k8zq5xPQ+czvX8Ey0XV6PSpchCevGQnLZv8v/3PIf0sWBIdPZul+wrSIN2osm PmbPm1LdwqTYa622kRBbMuowVpcEUdgwBprYgVpFbFl40z4vVAHJXk6+/lMOBRG46wcp/6QlnwrL plyX17U+N68ChYyL2qutaukRvGDbgjEuFJQnHqSGCl0CC3aWoiE44BToanqO243RkgYX9wCPdSFo ruFSdzteCKtVzZmj+ideqaY6XmFIt6yOzm3S+a6ryweRc4zNZkOPr/AK1AD+lcV0YqYLMvubvb+p 7F9kI+KCcYAVPVBKdyHkGlbCJSp7XREB61WsZoPnC2pON0qb8wh3QFQlCTsnkYOaQ18/rXKkoWwO I66EizHC3QYTr+dL/YGRc1g2QJPSiPQ6Wrlg71RkUOk3Aqtek3ImDwSIc51eZA/UO3Sl1dyQYYr9 Unb1On5oyNWhlOMBeVLH0EXlKbYah2C/hP7QAeAN702OKPHe7jZc/Kgy+81LuXzTuebrQWHV1sju QRvtQqpl0ajb+1RSwCDL8e/rTBdJdwmj/rhMK60y/4hTy6xngxhdZGSvXDyR0PCqENLXX9WetCQB 4gnL83hVTJgKiep5pjRsxluRGJsd+8epquIgQngCC5st9HwOZDsYOvW6718L5osTemwUTysYIjR8 fmjS1iZSz9/WQ5DFXlvxj1ZRRUUL2f5/bi8Y4cwlxkpzKPIURgIP7GwclF9qzRp5Pyrq8Tr4mcVZ tDo1SmqHhh8E2j3znARJu5YV02A/vaGonBi0vuZSU74osXcF3PvMTcL/F1YyhWjjo7d134S7BDhZ FI3mUWvGr2dWD4nCxPu5m8j2H/Yj6uR314ScATeea7ELTM6P+ZCuLu9xg8aXqCVWDBOokR2+ZZgd RBBfIgZQwNtq2LuxBuZvLgvUlnDgnmarSUHjPxSr1jzKvGAMf92Zq9HxUldiTfG1149ezkENSZlF Kl2HlvSUB0xorpqZrfkCKoNxJg1iyMzxJGwbxCLssEcqi2e9Qt8AXoPYGBo2+YrE1EWZ+grY2a5E u6N7QGIDKqVbabKsxYOXsywNjEdbqNIJzyYvnTSsUfyje2NTLG5mSGBMLviTcPT1cvHD3wvWLx1N yv1tEbckiIkh378aKazoFMsNKSP8AYAU1gtBVI3ysnBHpwXSPwjVOLhHxKkmAz+B6bzHPucJRL/E tFlIu7yP+1E44GRCgO1++5XsHpP+D8uC0oQlndy+KmmnPkkwLp/RyiNP4nzwrh4fzGZfUuHtWusz njL/N5cpMkRGNpr/wXlip8Uj23yF+ckc6mE6qXvGZfFkj1rPREg85+lTJGy1UaFs0X8Ji0xS2iz1 0QI9KcOvxxmAuw+hLFeuATWE6IJRwlpcQVihVSQlqfJ9d7v4104Ny/8/1+pAVXibw53uqHZLhhld ZTAsmivaJFYyKD/lDv6vELcFBKQ4sXT/qj1pwDpxm8hHX4udfsnX/8EpI7RDwZkH1JkATp5Nlmqc KtVUFnsFoaaJ4Z7UiwQoXdwi2FYoMKfsbfASFI3IB0utdL9GAFI48uMKaxXjWc0MC7CLsgqyHV9b minXxD6SEvuKIhtm7CjqRmKa98d5gLBkqAIeZLBFtahQ6JBvdwOP5CbO0RZxCLbn7k0h2PvU+wH8 kgYmvJ7aIH7L5DrndSKhnSfZrHzOeNtfhQ0ItqAT5vMuHtIDD2c5/FYDr+txVfY/FiX12aPDYaZL JxGAqubOhPLRPDrTKejg98lgMFdBUw0BX2HyLeVlpmeup1KLvTrc3GcO85ma7wbjXAqU+B8YDi4a /Wu761TecYfTzJgls/6ft+zzHz4EEaymdI2cKmP3FNhRbKLXmPzW9BWvH+BZHnfMA61rbb2UGlNo 8WeUE1/HqwhOlhbYQsASDy9RSETT1KDWqmPNMH/9OZviaq7wpKNVkTa3CiwjSd39mdhXL0OfZF/d D56wypv0Rs46D8WskoaPKwkxS1mccRHx82Td0zniCoI/pSTUBBB21BRaIwiqv+TMFPVoPr9CQrF6 pNcAJ0HQua2HhTxGlfLevSSKcE5+t4Ut/w/UlMksFQLz9y5a4dMWqcpejfVMo4bukJJ8SOH5hg4B oqR8Hw5f43IyihQvOMMDl5ZrCiMgEg6Uvty1p97u1oPjBnvVWB70cseZM6FDid2CMstHthLuJ8T9 YL/jxqLoUuX282j84lYNtQWeiIx04qLNd3NQbiugHXOq4tlRblBJeiBL8dzUZpfqR/5qOq7zTcGw YnwOKbTTgINWlqYoN1X7X2mR8EmTOH84oewQVJXVaXVYxazi+qrrr1ytgdSBgnAdrVKAK3ONMTso qatSYzEU2cgOrdR5/LHjdesEIwO8CY4Oh855dfgsWehZ7qsCEiN+nxBDUhPT6f+rzmAWkD/XNBqT Aa+q3c/yrBB4JGJhcwfBrPnzJru/4hjHVICJZbs7oLws0j9GAvRZUJ/7Qj0mexhsRhfdJnAeWOLU I+3AqtE6zK4ZxFe5RwNz23qXLByZ9yZqjGlNBWjI38WmnOoxIYN+EeLW9xHEG8hDkJGMzEFsWBdy WRO7M+jbCAHIvY233MJAgLUM4CDvzGp6Joi/kFDMLNhGDsMmY5ppRGUswoZhkUM92proVGw7vqth Ze/sOT8IWC2L7YhR4FurkFPoS2nZXxe/yKEwTi+41gx0YMiLrGVQKzc9ouW01cez3qn9Yo8yDRNp s23EMI4qT/Gy+RxRolCAg1taBww1CEKyt4iczDhieLytAw2MYuW0njfth11FBe+V0sj/hUau9v2g kBCnBrrp2E5b1H7LsEeH/u1yhv8jUm8TPIGYT7OpTN5KcsbRmUR83BS0qil98cbkMrhZZKMLi/43 0CQ3vtA606cLy1sUVbve2OZHHPqKVdZRn7vC0kvHe4Nl9RXKbsZP5jPo+15XkRRH4vWVtTeG/M0/ NK4Ieo+CKy/TlfIfbNH6nPVhYYllVT8VPPeTRGJS1EnJJLGAX6AZefl1jbnISlD8nEiWgDq8E/PR cgSZqg5t00N5kbZ2HAP4HN7SIKMgtixkyPkMNSajkwL8WZj3nIqFQHti2qOT/wNktOeqgl6bzX+y hc3+qDuhCx6Dt3BBDDlvBFG+BpC7rTMhU5+n5tXS6Rroev1TQmP2K3UEDZ/Ru02SXs8LMzwg0OUG Lr61HQIH3xHJmO0Yqm9rYRsCw74EYwZmbPbKeamuImzpprk6vXPksJRo/czUaAeazqJQodW7/U7p O1FJRTcMadod8/7mydZQXpwi+J4liEXIXoeEEpY7utkLR3HYE6P9HX87AKOHe5GEV6L/ewZvgDad VjMa/DubQLCoU3qhr/SpvwS4jaIDcEbs8Q1PEGUEr7QEXU2ZqlwM4/hJWzDHUygoGhsMDc51mas3 3Nwql8/73rbIHy/NBJpvDoyJFw0ufgUEOAb3jEyo+oDJotawNnQzyF8lECRxJTtf5VRQipVU/XKN nZNZEVSxxNcAPwgwf5zA2tUOmSp2xAiXl2HTBBSA7NrMI/o6IZTd1Lbu77QbUuorZ81in+OfyvqA Js1ISkrQl/pyGhZ41egrvmLgAxoSj2ExDnlxcXN6XIAnovgjYtUOie8JUWeUzA+oiRCbEHGWw9sK 10vnjKAshv9g/klWoCTVO+xxUgpc2f9KXlaqXugqv0rEklZi1E/uAIvwFN1Jy6EQ+D8TvEPMw6DJ B4bssO4PdhvAmXkCQSY0HfmvM8pBAY1D8tkJxFVJkmnw1BciJ0HqdzZ4bwXpjsMzeHjUjwcDOC0m r8y9LoxaYj68bJyy6lZoQ3LRzHrjgmAqxCwjFaiwMyXfDZjv7txfCWF23+9z2goQ5ezCWOvV68a5 8qhWC2abkj4T8GHwD286cXE6kU42Dglu7BhQ58Mn8Lo4tYyWZlzSeOnogPHmdGW6DwV75Z9MWEGX b93jQC1W4O1IEIDJOfVAjsgOvoXYKhWrm2CanO/FwUEFsWTLohM1D811ZlWpC/8hVgVGt4aEh0Jy dYHhr1dCgdcdZB2+nTVJ6iewTWr4it9A2GcqE7v678f7FoscSuCc2ujxCJAhlnz/zixuJf3BG5V4 iiE+Pr4GZ/3Ss4g+3eNeZn6h8OdMTEQwODGZ/RPevvQ2QKjBytG0bc9SUGTSXTu222s6huerfVLn 42DmGEOblilGNdseW726mqWiWi8sXdsjbi0CIBPTcP5xPWJgmvI0rq5GzNgiw8bbqbfVXMmBJZMl n66ZwEPOrXeUyS42iUCV84X5egauGFrRL1x5s6j2EI87NxljqvjdqQik1oOSav7UXpFwJ0kD44iK szYyn8cjspi7Cpag5omPflHc1s15Jq7k/OlyMejsD1UelQv+UTuXKlGG2OQUh+jj1/Yed97nC2Xp HWvYXxXW8x22Rnly1h9lkWIie3MxCvzTG9WNtKEFyD37FkSR4SOoA0CUtZBSCj3cSMJB4VxV7Dq1 F0dc60/4FG4B0sL3UKjvpxVsGisxPtVKPbnWPv5KFrq3C5Umf2ro9wNg5xwvCqiitvlfnR1/35Dc q6EAY8G2KFRqoBJU+u6rBz6Jr+hPQp5Q3AN8SzgOuBtui1xPGFxY8HhfMrCptfsR1gNkkAfkGHxI hW5FPoC1PGVA7KdiOPzouN7WXaFDgzMuVSDpoRiTvWC+lNvYQZdltpDEE0p7gIhOfJAYqaD5hC6C PTGDQfPsUlh0I6quLAdBlo1gndoCmyM7HjJ5MhyHW9bJ5ENyrgTzLbKyKC5Mm8/XiEu5g3HpMunV ro5W0rCFyN5cr0ETkk0Zi1R/fs4DqB/ouajvejzHhQGpIIq9kc3dknNRGu5Uh2b+dkD6PMkgwxYA T+TnPaOGKbY78kiQBeJNptqNDlMeg28sDREG43APYnEW47javvlYA3JvPRqj9sjEhfnyWImJSY7b 7wCJDeb6yQCAGEA6SS3GfHeKv5/J179SCX020rkuq90P6fZwH1bPoJWwmKOSKkVjd2Tt95YQluMU n4JuntRcR/1r4DWRwX2+JI29DWSnpdLGtKcS1cwMcWnW9zgUTZriw2QvN2Ses0eOsaI9QNuGAY/P EHg8QhZoNmu2tNTJsJudQb4H+EkEgxdE5i1lyyVs2UQwXvtdrlV5D1Dm1zM3oG5hAq08RGrfox5c 0uxHE5GCu0vDrSBe0JrsrqWbmGCEeBWQ+35hqTkSm7OzXDEv3QmOyeRjJ9CEFa0fMJMwjMExaSqy E+l9QBkGeDF04obeQtZMBcas7mq5/tJv4ZAFt/Z1jTpVr563IVfxu5GZHMgdgRNrJ/BeYb4fUlBW ieJyIay8nODfdBEcM9xnlsV/mh2d7Y7kd2n3RTeeXPBvs6JECOJJsBJIpHcEBi582Z2NTRqsVw1g UyS5AckZCTQvvJs7zlM43TEQ5k5hjspEmaaWpzAX64L/IRo3fqTsXRW4aZM2Xf10diHWmU3tN6hv wlSUWRkhQF2JM5UiprD9YBKm1n/DHRjKoRVygNGrONoSzBDYgzDN+WHdB3KCa6N+mGwqxvBG1vpZ 8j1DTvuTUxqB0Z5fNM61MJN48jBBGUCAa5TBSGuhHuk0AxgWiYjK/L4gVP4NhAJPmSvJTQ5B3boC 96rMz9unTHmcz9FxANmHPAuVmDXllhJuYkgv7ajlURaHqBaOXeWExG+8SimkVu28a4t7+lxz0duu zlkWGSW+AgCI96nax+lugQBgRekpjKqldVIRtbm8iCYAm84xArsOC8g62VtPBv7lwCg572TAM9Wj TcCMWSRf+vXEQ+WzVl2zp6oaqLgzA3FhJGMGU9WOlcpFimngS2XZDxymNrioTQZPe3vZUYKo8TAs WP1mfLMEhD5gs8J/8UQF4g1WwOhK6jIVF82X8AvgJ5yVpPlA5D/hW4SHzHpFBahLPBnq1k99Dgm7 fTlmF8zuAHPYxPka+mtk+5j5VuhM5QVD1/4jFNfcwIwwqvy5wU+EPbhL1d1t16T+XIkAIHzaTjXT shui0y8pU70+DcdYMPRrfGp0oguV1x2RSOgWeWTExAHG3DgsGZQwMhl+ACm6vgGnc1NEX16vRlPo c+wTtvvKsI+dthx9fVgV29k4AZwZWAQBMFjPF8/52xrOBj+KZgz3nNU9GOhY623um3JYA6ZW+EQx FcAXNZ0heGNd7aOjLtmCKUTl/sNo1hm31V+tPWfzXCIm/aIff5j71shxMu16yv3tM2jpbIpLv7i5 b8LYnT3bO8rFJoZKqfOFxF/WgLHDjuGeQ38eQDPAbO7ZW498OTUk6JGdXHUZZSumZydpQfkQVFSM J1z2eLrvrvw5/+y2Ztj/kJoJyOs9Vhl2WRP1L7+/AdsDiEQjsFUbBQoKg0QS0K+6dz9c0HHey/eZ MJEOLGZ5M0x6AO1ttz2zBZwuETMTTY6YyBqLSEx0g831RgRhpJoED1BsFhJtKuCfRFnFRq6N/JVN 0iGq20m6ZqSiP7hbDQHaIAWOsHPJiG/FvDNsBR7CN2crqNbvqSW+w1TZWqZRQKh747E0c9/gU5f7 r3x+uSkNQmFaSmS3XeqK+cnlg75+o1HAdKoMKE0qdFLbXDc1dgVDN4a4vbWydjXxPtetfoedrDGc McLhqI3FhJjKOqwDlkstceqkeHSwomgqx0D74Y7MPbX1bD5HgSJXDnGKmHioYXmrzfFyCB4u2NxG 7duNDy0D5IwLwm9KJg5DZV0rnhR+UNEyWcOYvIn9dgcLJAitO+oyDgGiug5bYZMr333sHKt1PoYY mC4EAwFIWcGtwuUE0Wl/VyGcwYMlSfpKRKq7MWYwDQuBfDvRYJ4fblTZU/UKYgThqt0v1Ca3qlC9 oSCn/JH+5e51kRwPTKeaFSje5bcafx7RPABXaC3108jI3dOcoNwAndqNmxYaI0I3IBca54w92tK7 pV7V6yiWhHLAvCc3ra+VgoVKnilD9Pu+u9eLdQJaMZzR8ZYNV7iKGk4bOINKHekNsGc/KJ0NOgfA 4e2QChfdO0ogANvsVzruvvv7F4HANYtumhQmHEhykyh2S5rpuyUJgaETgSrfYThEvKyic5Jzm7GO f/Nu469P9KzjEAwe/cDCmifzi7eDcxHVJf1K4pyjVa62CGojxD+pjKLxmOPo+FTu8jB/z1Tsegl4 SKM3dG3SaLNSIyG8DiJGnjomDsx1OoKqBUOmUvZfYWs/weqIhbeEGupeeqdjKH+ENDLgg2O/zi7C G3FnGAaQVqyWzhxPNHJ+viyyY83ejzAniSyUHbtC4FTNJvdi5mUcgUxKNtjceJJ5JEPugoX89nOy ZuU+Skb/AumioOLMyd1YOR67MEcNu1H7xDXEG2tm8Z53H7IBZLQ4wYYDg6ShdyNDeJzT3BpqzfDF AGeiQeGEikxV5m4gPh3vAukxKgqeYTNO9GWm3PmcB+oXzL+Vhasgqdyrjx0goCcBU2VuFYicvVwE ybeEUApe8MTjKm94PkZeRjNZls7k33ExqmBKBjB29n8TfjVAUUazSwCEOvve9GjsPl1wN70iAUOb jzY4J3Dt0ha7ZMRaiIC6+epp26FH+dYmOuauxapnaPkR/HRVPblQGwOfoaaTwhDjJgwXU6/WLlvj xok+YFziB4h5XTxNLIidKoWjihnAK/0seuFklTVc0k9X0TPKkmoGa8B7yXhMyaQsrU5psZ2/FCQL EGbQtCmrhDmnogTTRXPxVcKDkZld1Z81Utoqd6QNqIQhcoWTzRWh0egfmAfw2U95RXiB2MtR3o4s BCDGmDeETk8QMzPgzTqXJw5TvO83pJ0zbhuroDUhDNQUuFPho/EDN0pdDGEkBE/62NtTDneaZ9ov H2hW8SDxDii6oDBH+GRwsK+m0dSgkHrkNarFyQRJp6hCoJe6TVAI/litV/m58ZwzxK5rUvwd8qVn W1rJyEp6FYXNgjcUAtNQYfzT1+W4RllUo7hfhPXswAEte9GNcPoOpC6g5QyRWuWsybFOUmBvkhG4 4L1MiLZb+OvAx0crpdShdlQQ54pX0SPS+wtWrrRcsEQt1y61Ra/0+OAvIs8Pi4XXDWB8/EM/eiP0 +bh4+YDxlmx6iSQfV/JgvqB/frkiRdnlgDHIv1is81tvh+78/sv4Q3PV+l8Yqyv9E8LU2Va1d/eR qi1ydYYk6q2k94UPKwViSlAyC3ExnfPcPT3fTFrDDEigjkPYetaPHwSxmoanoXxwbZoeOipOkhIG BKTeIkQRiikqRGK11tLamImpDXW15LAgd9okLEOH5G5NRi+1FvY1YsQzXEd9VFBjkwvXomZ1QUI7 kZ1nv57mF81lMJ3d7yCHa0rvVS/G01v3MyGasB1VuZTiyyMeTozGTlqPvVi1W48Sx+585Wo2fBkb 6j2PhOVhrznNemwcEx9a1yEURrhTy+Ftn0lFy+AFju7ImqD3p9WnJs5KQQZ+2J5diqsh0KI8gbqc tXzrbV1Gni8kKj8UwyeWb/QsbPDntAydXWLX+7rRCk5gLXbhXoSrMM4COqLSlRNsAVhs6Goi031o yl3tcCU2Ad8EFkD4YTD8tkVFrlVcj7NZoCvT4CP17DTqX5cXg0lyxqXO2+zFQhTX/nksTsG6ijTj f67VYJfCtLHY7OAGzxdI9g4iXFgLivml6fAWgJCRpqExvk0quATYAWCzgQ1ulM2H4mYH37G3v291 3AUFKKDZ43qv01BQqFDn4bT7YTaMOldcT+AfysEhQbDXwJlpzQTN+Eap+EnfBTmwEllCAxFrbMyX LH9PtuFHQLntHQn90aoqs2LtrHvKnTf9vYgXsyzXjwffzB5ikaxUsBQzJAqKi5fLFJlb9o3uMz5A Dtg4Z0M5vVthqkStRe1WuduV1c2tNXSlkXjmqHb99lcpmueNIl3YFS9YQJnc3DZxUWB3KBDjRI+X 2PZN45DLeTQYY7SjRCuZ2xyCA6hqzyWgEsIso+/lqIjuCZfHJgr5jJi/tVD0PdmJhbBpfkqgksn+ jkHVFmh8Uc7+C3GG98K+taDpnN0PSuP0H4pEVVJ2pluWDFU5Zvtg5VxdVF4ElzdwN5VO+hfwL+2A XZ87xyFHjOG8Oi9FCFC6pEVTALJPZKKc5HUJN+cLvZH4JBAmVr9buPUymy+EsH94v8LZpKmCPRgc DDIOPxHYm+Z0VtbZREJzHYIbHI7Um33gH2UyVnbjb9c6kuZnrYuuCFVAkkUrmcakQWPqcIZMPItP f9IA651k4P7IcW/qeZU95W8b6G/2VDlnGFav3Q5RWi3eZ72hpEzGBAO5/msyoeVGsS5HlBp2flcG lC6ThbR/KSGHG4f+rfkXHMXCdRUSHd7qOOzOdLD2tL82kBz9pgq/Ue8ETasg2AQxzj+EC/e/8wqn DePtTZois0HPQhElHmMrjweFKp5p1kzNxSMQSGArj4YJuei2SuSXzJrP9SPy2VVfeSI6WTJcosVS x9xXxgeSeOUk7hWpUVsb8eoruL5/fhyZNphLZCZ25tuqsvwSMxkcc5dF9i6tvwTlVnvfBDofT3TL KsX46zHmExQ6aTEB46LzMeCA36SqMgCe1RXSH/bWyjHMk2rLsNr9P96MDNrHOs4Dc01oIKnQ2hUg XIc9oA2RdeUr6pdDsfjNrWSWLESdJNEMvENslPFXlYlLFpzzUChYPdXnfKh2wFjiA2imeVqaV5qo Nm456Q+yEPo4rXebljtCnbKH9Livi7R82szUS+8lQYtOW7HkR97TeTC++EuVA2dkYjbv2VO6IlC1 yiUZ1DEYY0LlSGpenehjFKuh4eab4tnRPzpcaXGCAaqeUkIqjXQjfN8aJuRo8fcYsg2JZ0Wc21Sf /sdQlSWJvEUlckicPVmCIb3oCM8mAIQrS6czMBkxePP0s0gvJzQ20lJYMzCBdEXqgfKyR8dBJD8Q l795FLK8994vZThzth43zFEr7Lyldx7Ph//wAxjCUUzslinkwYeg9lDOEfJHYq2fHAXFs7fT2QhP zR/VqLgZI3Rn/QfDlzlJijN/CcdE9ocr2zwpIDksoYpBEVn2Urgv5yDTLUfMKcToKiCnzL+Fvqnr x8Ktt49JlnFg9oGT73K4n4BLb87duIIarjM82OF+szTk/BP2YtGV4NnjuSsQRPJKMpVr9YaWPZdK Sq0FJft2/qKRa+Rvba6NFuPTs+tqvW0u7ifHQ1MdzsIklfx5hZtkRZauP+jad7nlSSCJdPiVG+yC DUOsXd9CGreWbL7L+W2+UmvH90KKEVHZLIS3LOpMD285vt7vsejbJJps/upRG6bv1ZzbHvHYEzTj ZXEIoCEaB0thGfLIvS/GW3RZZsVfIGEiLfob/LS0pZvUiQ+arz8pHZbfY8YNMzzX1IyrUHpf0DCN Atnw7QhZoTgQu6oHS/SolBHtLmLduv+ON5EEQKYHLBfXdl0Ycbd4FmQEoTdcPd8N4NaQijrkpuBP 4NX4R5Ys2FlioNpaXMdny/ApbHDp0XbN73hnJq/K1w7nNkbcCJ8KDIrh4iwrmSA2MjIcgIMwic3c Wk2uDY63d0EtKNmoJeTLz7GblvfO/k2hkQa37mUTRl839oR/+sk4NdZ2l4t4Ofp0fTdZFCiJgVgT 0pE86+W7l3Ippix28kETW0AZFpwMDtTgxPEba8600RVNjVitEGMZxKzqtq295ckTqSMrHKngovTS A2tmNbIkmu/fY1Y5gNta9igxY0r92keEjiVYYaS7zuJ8NUf98OeSGyBTRx0My7TdiKDwHgzOsUqC 9xhoIH4U/pbc2HVcmMqCK53Qaik1lVGbvh171WYPnRKgfz+RbXWpgR/AkNaMVYTtDEDN9KgGjnwy DcORX17OTjZVQt4ZSXxesVatFE+wX+8LpBNY0Ar5Vu3wecOlm5pG27/bPvwlxSLnbdrY1oaHIm3b Mbwyin+EVxP1gdk0ArdtAyPTWukObYx7jyHp9TRAqEenYsK9YekIoh1ZrYtYeIkhy681MAywGgWi C1Kn8+YcqFkPT7HBZsoxR+mItKzU0ehm+5IusDwmf+Mri9j//GLdjvHg90NEGOmConHKB9PRJnoQ Pgy8BsDvk005HuLqf4d6nqsm+tK8Q4+XaDFRKVgIEQcjjAe5WgQwUM+rFndqlKp28FirLTzqTsPC /q6uWfdNyXSJjQ7O75izZcAW/qBZOc54cIaRWlCi8Ubg4bCUF6VAd2rOGfApkvf0Nh3Xy2i+Tda9 BvwH8H/ufDNDdaDT71rKKW7hm+3rsA2tTd0n0j0lPydSabWrwheKiyAQvAjvzAm9ca+AxajaOvTO TqMQQetRty225TDlA0AS0t3At/hMq/pNfz94wNoP2w2NP/aSIsZoFy5Allole5Cu3N5WQN/yVCYg mWJBFaJxOj/XlE7VapECO2u+0eyyFBc6sK+KhOl96va7Uo2skZPfCQvv0hzvQvBirOy8RmqHVS4U ibnR8osibMzH5Q8Lg9O9j8l1FhLlZfG+Mm1VN7SL3Lwkb2aN6FqkujAftd5r7dgJTLoJEWZe0tIo WLlnJkmRjPCAZdON1SiCeItpQ3w/AofC3LFG0aTE4RNHguoTjok4tAuTdnilKpdTwZtxSgY1VrHt 7UOpYGKngx/v0o0FGfnIqnXfpS13ydWlBCUkAFc/bp8qrgi9y4NIfAPbKu4NEyg7mRAIX4T6M5Xq kr3zTL7cITGUzSTwMnA1zUCUzCXzOuD8ZJRUdGMeoICTELKCukd2qlyItQV76+XMt7ifiOQhlDf0 nV6lMIvhDxUngMUNGo9P9Y6P+ggWf2T9i9gfkPLJH9HVnt7qCm8F5GAMgrEgxmJA4Qdy9unOEsd/ b+xs1s7jJ2/nO8B1jiCXacqRsGFnY9eQiJiDtitxZ37cb8SCq8uz0h1z1eFt8L70dI97RrZ6ShdT Lb+56aHl0eRUgw5mcQlSIFWlN+BwvdnwbMz9IjvREjS+3lSHf0bSZjYZNGk7bxA8A1/MoSnOCpK5 Bp/1T2z1Ez/HhXDDE+OF3e1f7e6U+xv8rLUKYMYp9ncMn7VeERc9k/JOjJ7UNhKIBiKtIVkPMeRx rGwepfDLVDegY+2N8eslbkkepvDSaDl1Gh4yHVd6PfqAgjtnHeZkDpN8jgV5LJKHgxRIZJyoSstK KpnAMu5lCP6Dhr5IL47iuXvDClqaijtaGG1lSaReGOBzuWzkKb8o4NGeUgauvzs+ZgfuvRdPWlMM 248cwRnn2e6P3FvT+SwdiMrLTngTfGKnsP5B/l1UHToQp8ozHfIABYzVorIJxktmc6jhuYKZfGb/ 4zQcGhaV5eXesSbwQpP9eHiEjJ2QyHdZy11QLbt2pooarZPdHTv9qhTyHaGbm0BSXHQrZO+wm3lV TbUz9iwC2JOI6h/aiArqGUOwbdoE5wCnn4qD1bt5N+mEb1kyCBPAd1NtPQTBUvg8ZjgTwJCRAYEG zWVX6f691Gbc4OTkraShrgt8TCsZsnmD0kkIbsxHlJjIuEMZ56B9dHO3CiDQa6ZMNCE/d/qh7aHA UGoYssxPsGcE3QY2xFVO4FsrkaulqleKsmQpjIB7oSq+DajpTfqXcQb9Jg+50WF+5sbG2Bml2IyC 1oVhXr5kC1VKiUsUcEYqsS7NYcsHEWDnCHJqwNRY8zDsIWA2lFrjl3klbZZ1LMjHED+2e1xh9vtL NWwutCJy2vXojMuBg6sYZSkyUo3Fm6LiuIUeJJWiZMN4EpLn5rrugnfn2zstaikjeRDJT95ppdqP CKLcU38vbWdnAvNZRO5Cnx+6NSCQHq2IoK9XcF3s2HeHpcynhxLHb6j0WZp3N8e4klIOvZY7yinG HKpl0cglBFzqlKVG/HBK18B9ODs/PlA/PqLvGhnuCZIJ+zSmyCaSCCctnAvAnJMkaOYMVabNkbl3 0rcHIXrhPE+coQcuCY3dAAEFObbuRPl4fcR7bnNKh9/19TlNlx4/flNhtEFHPN0qUaps3UilcVH9 heEssx5nZFyiKfPTDdBXpP3d+nNMLTx+oMAZ5lVjmR5aU6eCn+37Tgx07NRZpgB0j6kyQ3aVuE/+ ijoQd9gqoL7SEVGjllzuIdb4GSJRGdbqqmroMLK8DBNdk0GF01JkanHkJcWPJEw0Wmr7HE+PAIw0 ZCciDa+xN7YKuRi2dQ5FZPjHpE6mGUg12LDEjVAwbs/z85r7AMEnLSJOk59n8rJf46XxexQvGlHq bLgOMHbIYFIStGfR65BWcCH/UjRB6oTY3ip1w6jhbsa5F4YPlYSdiX29Yxer5yZwUIVxFVg49RSw 8fJ9QyAQOJpBICWqn6p2yO2/kkhoKvfrbviVGa3C4w1eJtt2PiPPeg865nsEouJllBb3tQ9HWyK0 Jrk2NrCA6OnYY+3dV90wXLk4LpJgQxAzdtWQlKRuW8Zjvr+qqzq4JmwEXIcAG5WeBPqfguqwLxvP UCBPvgpCrPqY+S8zXRNJUeN2xLhabOuG2L18PW0SrYoEegHMrqzZ7Dio0trwYCPC2KOoLwn8X8V6 ISnAegscrIam+2E7jsY8lR1Wn8Z0Du8vv8rMfpShqTwtk4xrZ6U9ug7i/4xoBV6nVS4MEMMlUYii S12Orx7ERg4n9cctWhabsGxwpHykvVQ99LKa74x4WTMMEa1INfyl4VCF5L48suICLwHRp06tSXqq iVqpVyzEEZYxwvsMAlTIIH8SoMUNYeVKXmElVunMTwaZrrYmSjV4vxGCqwwiG08VOYhGljNfpVfK 4srupqrSBZA2yyJ4H7ZRXaukx7xJhx9myjSvf5LoEab8qUga9UwDDTKhyzoQycLNYgNyvnRiSUdX 8AesmxWql7BtbXkCyijV7W8aTwFD/Dlwg5luPGCSQB1vp5/P+3GdHI98oW/BHlR5h/YtnQnVuaUJ FSO4a36cLXpr4yHRQzVBn3NSi9FHY805BgAgjnao+I+uHwM23ATy0R+gFMJ5FzP42eIVwaDSRhgI TTYd/qml43sdDPNscXWbl1Xp82Lnooa2U0YyjsCfnLpLI8ClczOL4/oHfV1I5nF+7pmpGBD6cPhR 5s1duwgLE5TjALLGjca1HaNLuSKkIpIMI3Hjvyg5hXpKEhijCm3El9BAX/Uww5vYFaQ8AGyTwlU7 j2PExsSK1nOFHuKvvZ1O8JmDeE7ia31wd1USdNj95adDb92aTbq8qI/ePdJwn0pIz9fOoY+dCea/ 7fHVrCo9KH8s/FjdHixD+VYKYT3xwndx0Y32irkLAfszoevarSfduim34X1vQreLOHHf1uNob5Gn hTiR6MRC1OlhLVpKTtU8JAAdaf4+IEOkloXjrdhZJWOKNeIkoKD8kmc+IziS75+FZwVUyrFtbfR1 I2aqVt4/Q6wdeivuRTOC5ykQFSCTq64DOFD+Y7rZtr0Upa2tXSr/Jf1q8D9oQEMZ6RDScjQMLUpQ 7CUFa27qcqrUArqegQaeLyyUE/e7zY+BVhXtLi2NLYgW+gXrHUD73HZmz2umW0zA9Z3p9PI8X6NG 6ukV1e32Ic8NV54EVkojDmiqfLjHXJm3K03hx53rnP3CtSTH8WWZDv9gUCJa6nxSPeKVlColFF/X JrvIz2obhTzK7JmMseg9SnaUmUgAfUdz5LZRTbob6yzUZC16zFUOdmomoRHgnKyiLSWk/w+WDWOy eSCXgRJdknx9L57wbKBPjaexWjvVDqD0UbnOp25Ty3lEEbIPpT3LDOk05CtKDdM0Kkksg0u6S4bB jqpo6otiMM92dsBfDoxEwkQsgy37YwyDZorqKBO1ZvEzA7yymbG9XZgdp+C27bczh6LD/ROWmwS+ juqRBoVVcmydK9mtil9Cy+Ji3k5NKSnrpXpok91viMKadBLU8d4czv4n3N540hJcTP6Bux0DXrvk QZzALK6HMTiGbBCSNG88lH7wK6/KAk+wVzLrykKhcDr+CMrilsTZhrdiG0rVCphqGy/9Iy4EH9+/ NNP1plSlB6ruqIazPjUpSnisWDzqnUMOmbaE7tAzBCkBBaRhlSuFhbo55TpzGP2vmoXj46Qqyhcu 8PCtCE/RMfqqtekskme9mwvXuQgHFSnbjCu0Sv8jjW9F2kXpkSGqnegUcOJF8/1C5N70BmaTGKGr rT1Ql9/o1oUdpHxQiXb24mESECXDZHngBK3Jmoz7CgUqFudSkmKo3niULQBqd6avffebAA4VfHM2 5tRSEE1HQyqzmKBePlrI7GYMJ8+l2Fd0mKmWnkodA4FxyMeVQcqmI3yLqmCF5lqXSdYuy0NHcUKL y/wH/ER/6CIzip1DsogwizeYSygLK8UTzq7schD8y/URuuGKvsHbLKGE0TuB9KcbrJIo1fk0+MCd ovBwYQFRXP7nmU/fvfxIz2eFFZevUh5KUrSfWHTO5A/nUIjeUiBKMQbrkmQL8x8Mllvk2W7meEmg 8BPlna5F0XBXNnF5iyWYkgi8LlDXWLP8xWxbe+CFThkAkY299XvnCF+i6mh41xfVM+8YdrUk9guQ VqXNTH1g6jop6Fi+7rvq4Z7po7HCophffpdHizLQkijz5+uTghoKybiPm3YEpLwqdOUVXk05RQAc RH1e8cZKJYEBcnSLmYLvMjCBzpg6kOg0xsXw4TbGPiNW57CKIjkDRJ3PIQzQUmyN1xtkM3hGAmqY niGaFh4xk6wgozRuCXM2MCzj8nok1bv651qXmdTttZrhmzUrDUyq3bpdVIqtC5/uTOSu8DaY66Ua ZQrgCe1VW1v5PBjzRZsiulzjp2BXdXldODlY9LlM9m2cPMVQw0zSUUuj3GoyKYpFutY92ZDsyLqK UVRpSShzpaPYluR6PwFTUnrjcHX2UGzm5+qBDApKwda7yjPnycN0mVV2KDxtdMiS9vLtYRb/8Qqg TBFfdTG3fq+mj/A0z1A57x2XOiChEbYxcYILAW4rEVqIfU26hh//UOb7D816WbaaIN5xsXm9Wu9D QGdDKQrw8KIRNQ73g+SDjsqN2Qh1DU6vjhMZ/R6YB3SIo7Uz7JPqqa0rJ6n36VWjJXrwwGiuUxzh Tj6z/fQMNTrDjjDzDmOQXdrgcsS+AwZGw5AZQdOivQP5LHbBKkEqCGfHY0GLWm+A3xEp+ukQxlU2 7JK+vvnsu8dIzwC9p/gl1lDKfdA+0zs/KKWjbWqqALcLRK+vC9vyTKYKVh1PvzeTewchMP6r0SPn yLnlLkmcnxlzTTkiYdlB270VM8nXEcSYrLxrdiDIZod3pIBfMV/LbpOfJuEaiCb/l+MysddbJe4s 5t87Kgx+h9oxcqvajzOb/E7dyMfL5+WbhMkYX29HFwatkWli8KryD4bj3rTDq+7Xm8TW/6JZYsrL LcUvkp++AEgvwMYfWVDm+q8uhDLrtCCUH1IL3FfScbMk/lWs64Ywl8di+PuvAUfd+jRyqwOhdily dRgLEdmxkIZ7BhLibGYQTuuiyyl5KnsA2rVhZEzQh6Fnqiu5lP1kGyEhVipncJ4+051tlgYy29Th bzL5t4iSsMp9w9zwLln8BzncaczTWPSIJbHSky2soAgkgUNPb0ciHEnyRBZvSAyvk9+M3EKt/3c8 gbEeT3koluvrEMwwA6mwvtPF8g3/Jd5hIXAVMQk5DAOaBauPg+SPWL/cbNE81EFvmQHyRocdZKKN VYLqaQyjeaE5ezf7jpqlesYKvlw8RPy3z/AeakPTGD1MZYFtjN+fc95H9JfsP0XLWStGwMkbiTDv amURNGBq4QAvDgVueZTQdoBvXLRlIAOGn2N95fyjaDW11pdUT0dhjg7X7R14b/HVCiQAmGqeVOiQ dUTd1AV6agHnwUe7YgMttgONYJecXR4TXYsHp1CTI+BFxQHdqPbpjKTxE4GsGeSSJuDl4SJ3gSKB IWIoD1B8sQnDvC8JyKEG9PHzOBanCZUifIOQ5O8ANVQYY14l2/xj72LxglEr+8/fJ3rgMPAvmxh7 yo4gRmPUnlu85ptSw40a6kJzr/Ld0ylDj3ye7nDLwsIb0YLu0uCHYETClAiazJgEQHHVPgVRlX3n gw0GSMOtevWIMK1R+aQKjJuL30Uf3qjGiupk5y75faO//BsWmHf7qIYFwtcZxBTHspEB46LgLaRL lDUrSaNxKhXbpIW8SK1cfJqkeY7N2ZVJ9CO5RtFcX15KPtBbxfP6eak8imsG0KrjM2QKSMQ/r423 nrCb7QFnbe6fstJcugWPlzfcwQowVvxoJhqgHGHqD4dobbu0of89a6A7jXpmHK7E28eBXpoE1jRw EtI9SrOu5aPfmk6a1b/uNwhn2S88M9ke8EXQGSxkjUPWUTMQ0kaIfYry/IJzt8AbDKJf4cKUvuh0 tKOKMEP4WZxVAfNo/MFnIqy99emBybOlaCvaBidEy6H2pORzVQJ3NHEdtmp+frbqkt+oVGOtq5XD VhwlUp3M9k/SjFE44ID5l28csMVREyQRWJ5b+5z+5BNrB4iGsLVRr39MV87k8MP+zKPItUSoBBBZ ps6f8U3NhbAVzaaq4NJSdwI+R/K655b6J1k22KoY1DKaSk27bB8VeOqSTNlLLmo1Ekvp6RGxbkY5 7YSt1S/zNQpdNbT75FEfLl2OSxRq22SD5fceeoDqvi90vB0pNM/BfTMmftcDSKZfyQv7qbWXGlEt OZcwyVG05rq9/WMAUvRPg/r5txGSwOYnadlxrm8FbtQI1ZV/FjD9Dp9snbbbZyVI21j6886/PgEA 2fu+jWJE0kMG9tL+W2+NVWy8m5PsmRKavboRlM0vyy92PwgKsaqDUXtzzuzyBOla0PfyGa3tD077 t/yRyEcpxyX5/DFsOA68kAHG6ltsF566RPd9O+IbACKDQc45UIIAAvygxIjcofaFWy8ghNmT8ZEX /CDoitj0nEP6CxnPEtx2I/yPpJWGm+ITWbHs1P3MACAk4nUrirgf6Fn7v360/ykxprIFvYyNElRm Xbp9KdzrFLj9n9HuwCshlkt6f/3rR24Beul1Quli09wFigjt3buG0GPV7EvIELixW/phtHsRjiZs lM90SQfyWf9WveCnfoPo5XZNPitQLZlaFRLnKtzlsXYEpf/jNW69sQ4hRVWLj6ALefS/A4RZoWG1 fxnhNIIbykgMUPT75PWX2CvKFQ7J7/1Qnji3zfq9bW8vP8l5PMGj4swmNXVaARVyFOBgh1bIKuKp jA/ZUjUHq+8ronBxs4fTFPacwt2h418dUHdFixuzHIkxtWMahYuIea5Go8voDTZI6kngBcqiFGlr tLXDD2H/TpT+AOkUuKS1q3+8X3Mffxpx3GZXoeFjEZ1cVPTIG37w+R1NKwxDc6gfKjphquU/kAg7 NDsy343vZDSGAq/B6S4NgfVQVlSS7kfzBLsqXx014R6WHsKB/jqxEjMlBqagIe3SCbtrVgeojPZC XJzyMoPDM+DXiWO1Z0G+cfR/Wuy+Put2/lxP6mMxisdJsBtYUnrWmleU7TsgIZjerNyiZJbLenNY u6nFXPJ+WMcVfV1sd4TJ3fx6BrNllm6A47XDt4M7N2r2CUuOIeCSp9M2ovwNu5bQd4m9F/hNrAY9 j9iRDzWxAe0Xa1Lr1HiRhIq27hUNYM/06Q4gAyYxtBoDZj4ScKMXOiySHHw4wiSt6hfGk7UUHJvn +vT+ov9nACmNt44xKqy6kMPon/p1PTvU1a79QPlJXMqtejQWYCTkQBDRB2wj6AsjDPb6yDjJ3Vjs 5pzbwEU3SswduPQhUA/jn0KuKlkzlONfSEozhbqbfWpOU27AKAgMAgQzis79U6glE6kA2M6Xj6Kx B5qvxb00KmOn15tyQgYw1rbDWY7nW58pbTrJt2mLTnlsWvqjxo6y3sxNcoZ4UzLwceR8ULsjCoN/ /0xWwRQSFc126noKo58lAD0d7qRdp2jog7ZzbSRV+ltR8p0j8fD3pT6oG9YGjS/X6VEyk956/GvV LiGqdQKatT14y8/urGXXUeDby0Z3FVBsaA/NWpaMfgETKkOB+3UiOD5DwP/af7Ppmvuzj59u7UdK Qm0XUpKhYPcZStMcQX2CU8Nlcqh1pv9bIkN6PCLex/lnvJb7J+g8Um4Y/V8cfPqvnh9qS13r4gyW am+zqVw/UMH8oCDCguXY9nGpdbsg/Hw39BhwqECd+UgeF5NFF8RBTLcItva3gIYhqdusRp4+o5nn DEFR/ss9AeNrxd9+EayuVIspZ2XLUAQIlVfq/9IvWdbSBxTieRQUX7DG5syP2WPiWmACGJojtOJL NciDyxnRV5SrXQsUogHFTCVwqMaO2QjjAMia40ytabfVnQvw2+r2xtoKhoyV1LbXPIPbpChq6dhy FxwjAU/nNJxRHr+ocyW6WmkF98rfzyU2DYJJw4TgI2wHHfoSD5KL9U4x13IXh7VVI0llNLfcMVzW BXp2Pr1Mx3RsLLBx/tLJcfmHvGy5C4Mb+njbTV+iPIM4eZ2Mp7NtivuKNCzPUUTdtYwn2N9H9H6g yeqb/e/TdJArLJbmIhY1JA37wpXQkjJH3RTYwjJxQqPlxTT0dQ85l1Pmyq4j82cdxyRhajwhpOyv sNA+NxP5OMRd2RQMvQDwuSLcnbBvBaD9D8yChnbn3hfApBc3vfT67ZyTAHUr8GpVIG783Mej/XOj 9AL6xKQxc8ggMRe+r6KyCWdhHxt9Yp1+YEaPyIzbcqx42cB1Rw8ntl5wFltKBncHjPjx29X6VXQA 4alGsfJ9ghzFy4oOIeTAQh6a9tVh2XTzCwheSgXcg5FprmZ+g+kxwKCaT1tqv7T0OB289W5Y57bo AyYGlBSwSPsAGoKR9ENoHl2Z7s3usNf5FPYhcARDCAOGQRw+SmLOS/3uKO6kwb2XK8vEE6BL6JjK Tszrh8z2gya+VaoiJxruj1cTaFAUN3UxUk2Pkx7bN/wSP3xojnCviLuEn+V7lkRyRDwoyLOCRzzz LN400S9acMR31BPQGjSHBrFpMy/6/sbB1wHMUjRPz30THLuFE0hZTrsGZhJ8D6i2D5/0XrNwM8go i/k7ZTk5VS2JMJ4PttUzqbdcNxKiLhMp15ckpAoWN1uPXGkrC/twE2RLSg85X3BEgpkL2/6ANrU4 7ZLZOcPb3SZSC6IfaZx6u0M57b7IhHJRjjgTbQJvKZ3kwSQO3bughy9luXzbMZIlzoWn+UNhqVtt AnUfoeAM5aGLS7ZZSB/9ybACzgiuJThy8weqEpQbbTsQuIEjc+55Q1dZhsrIBTIZGMwfZJ4ukPzW lbY/4CP3V9i0Biq6k2C1z6JstCgQ6ez2HvFlXoJW2WuB++JTQdyzdJdSaS385Q8eTwaTz/RfAxjJ jLbcpKdJqkEZSvG8g87a+YvBBk6kvKh9bIqr8XMzgpSxJfMWg22mRjzW+2yrx0VdxvhhCyPfR9Vl F87lNfUeurko/2ISLZyTz9MctE1xice2J+Kjk+R9MmFZaXsKq7pfFepi1aAMtHKruDjXWPlBhXju ps9RMZx7nPjZhVp/oS384IvsLrFx5eBIAeGjdf3OGFD7EPEsbZtv9CfL6pugClAGeaCUIBk5W75T Vmev6UQgLDAlGF6AiEO2d45UpJnkxwOy9O7e+Qo9+ITqOrGDVkODiIaV969umZHT6HXySRqIlqGF sBhI912cpIn5OjnihkliX8sZVG9VXZkdjL8HMj68Xiod4GW1vfsvjQy14oWiZjno24+lH2pwnMyL Qtqt8Vdty20hjPwjfQYIjU3ci8OlnB7Jk6RI0RXr2Zlp7Pa2wG3c9BhOznQ4ACn6eRrMOu7AYRTj QqcQw9lZcnv+mBKzPXm+VphErmgC14EkEdT0XUozE2GuDj4U433h2bTizgKtFfDw2qoRWQdf40Ku sBP6HjSDJ4niX5tKjO/g+qYJpK9Tm7jpI+QUhm4l7MWFs7U8WzFcykXWLuV4Ifu1n0OrqRQ9NKeV whm+8G6o5qpqC2XUtq0JUsh/vOPo/dLQMaZTozcQDAVIuCa3GH8wp95hyOIrVFO9N4CQ/7SYIPMm +F76LX7iQK5XPJ6DrHxG+gh6SlMZ8gQDndvO8KfjkrBrVQa8XtdDWLdpGN5vVz9TS83fqq6G+FWR y8bNI0KIotvki05eauY+QmSNB2tUXetBNCp2Vvt//UKOeAX4fNF5fq/WfhLROuAApRimU6KvzNLd HOpOj/Yfo+o+/wDWEK4zmksF35XTF8cCDzcDAM/wMaFl35jnumYpQs4N5y1Gc0EXQyx2PZO0oCEb p0btAxO+F+R360eYgd1j85A9iFZaRESIjjzQkSaa37Ls7EXbDOG8xJhJhb5SLM2rcNvsOZIo59oN Yld4rBfdlQQKHo/W+GVh8aN9oqL2ZoVZEVXu45ijD7wT/sjHBCyIyeElpSev9hbIbgLnB5jzdYYc sME4PS+sj9rS5RlxRFSJFLnPlTM1IAMuhB6kZPrig5RmmLmzOCAF4sMNiy81Xz2BEDtB/MMAlfs4 P4rcSQFcM559nG3dVUL8Hv8E+es/aMiAjdTUG4hd4z1CENOQXujAZJf7t5+zV6DwK3qhZcPIk4gY VsofXoc56qa5EJIQC41mbmg0/yf9SBXI6qPfndBi8Z2ZUCeNVj7RY3grQg0xjUAr5pV9pnImpTgJ bfQgqQpEDO5YmvrW7WnpaNDBpsDIokxd/IriorVy5FPJeXeAHwdDCQJFlhUtG0hVTs3XX7PtjFBT /vr7xYIylA3eT11hTWGhiQM9CpoNrKxeoldNuHZLHhTbBfMYh2m88mGYK+ESI4BWMEFjILVF8UOJ 8bigLGQGpieG/gFwvbWuyQuxzrwfiTVqzn8wRPai+7P8ePyRTJvl7yOXs6VRFGN8ho1XodSoz2Fk xs5sKQeF0KTLbR8vF4LSzGJp0lPGy9NBsPw3GrSZaVHKhxDfpWGJXTB25iFf2Bt+fKi37LuNjV5L WJmH8ZDAUeIdzzC10k7rdS/AHTB3ye0aGTIwukSGP7t5tUtDapBExdCtpp3BMvxyj0t2PJK/SQqY +m438jpXZ/mG2kC5zVKrTVG6ednorOBSC9I/H7842WC6g9reh8TMUmI+/r/thuuajddEy7vGRxNW MCxGyAqeL+l2Wh50M75ZLaJ+dK2t9bGr+aWgEUZlLUNuB5xvMgar478KRQrQwrLnja1XAjQhETdV X0+jRn/pmsLFbmq4VTkuo7wbdCR2gUPUW+rFzyBvRgQ2oB1Tg9VvK5sR/t/qir5Q0uaYBEhQTnqk 3afqWnmuQq1scnBhWR0SKOVZqyKRZ6ll2eaAGC7D0d+oCEeScwZ3NA+9mM5ehcukaOHOSiSkthi6 CaIqzNm2SF7isdM2boklpJNFFipb8XrB02J6Tmeg4hw4+AKj1DcHwqi2CPOnWxiUZvasAJEalaHw fna9RFNrUQZ6PoRJkbyu4KGM4BSiEZ2bzShR5gAoi/aIbxD27td5M5fkvVRCP9s1RmAo7rjfi7EX Tc35XqGPoHA5xU2koFyfIHokqHuQxFa3ZMbGjCB45hbZrE2NQ2cQW205ja5/2lKeJ5H+tnSoX4B0 UfE+vt3niwAsh5JOrQHNpgOIuYsZh0YFeuY2lsPrXwPHwzlBP7tJrlCkTrjIenNCgvZg24TNELxC X/OEEtCPQyeRIHwF2m+7qJ+KUZckIACCVqOsMqjg8u3h9qr218KfKuU/d46+CSy3z1vfX/geUmc/ 8oyWAzAWwlLYPDdnIwqe1DRtyAY3m0BX0Dvdjg9vFCi7taJyCpz/OPCparN9nZEp05g3cYqY+cKt ItIsPKrbSvQWGVnJXwdUwg8IvLXRefp2w5rCNcjttfR8veCzmHWQbiEtar1LZ45MhCtfbBaNWAYL S2rnSycq0Vf7abYKfPPni00Pp/mLCwtQgBsihn7LUJ9DjTiPQwu6wD3T83IGRHb5iQUrO+MMfGIQ BOQIXHYwTzM9r5V8GYVsqpPzk5MXHT4cvA7LKWwDj/0wi0OpW3sx9yXsYus8vIYYYw0syObSxB/i b0alfqAwfrimEC729Rr7em8KPo8me9q6qF9ULLpZ6ta+gOANL+J78YYFmbALRTIBZJuPP59wwyOM 3qeuBU+PHji0wxnBshrDpdUs/8vBI8qulbnFxb7wYdgEjrQgELadnOEc1fUhPHsr9iXibsXM57dG WSjHFOvTkMDY0kx/p3b1Yt5VKXAeO+r9vff66fIgBjHWqitVpswYdajLCdio+mS5r3Enb2l1HA9I tuPZ6N0QfnP7fM0R5SBGqo1ZV+2swkLD5wMxeDOJDTGd17E5rpPV6E24y5nnu9CnNw6fc+u1n4tF wExLUvieVcg8wH/BPYomJm//A+RLmB+KPOlVaXzwEz0Vx7bKHrcFZTG+p3G+igCTfqXiWyrKNc8E GDNp5z8KykgUAIUYNGAa7hxTxhDkSLoacIbAZDe39ayynMgQJog3okNspqlpDVrQjmNAd2EsMDBM 8k9ukvw4D9cMCVi2aQUxPjwqOKtxag2bFNKNJEGf5jt9V1jw2LIgAWalaPnSeBev1H/8wj1Jy/c9 9W/a9EBmBH98WDIgNTwbuvfSFt3Bw9NFGr0JJVef2BoiionDM0vJxRHMftyGlyJUiBCwh+wsfXYl N/JQ4BRcfVgD2vmFyvyr7vFmaohQ7mU6JirXQqp8q/WPJE9dexiEmPCyxYA7ycCUxEktzVgisvJ4 P59o857m52z/zyy+TW6okcy7gYcOpZxfFw7CSrR0qryFoDMtK5MN2mTOqa6LlBiV9ucF6UX0r1WH 4YtdGeimq88L7OTKbR6HQZ8lTB1bNGS1vr2rUFEbycI3zTdL0mty5IihQmQvbPi/YM+9jK9AQA+i j6+Ybpj+h5DNPUxqFmWm8TnhZG/SlQXzvycpDb5w+EN392axyzNOnPQR+BW53OGietP8yDx7wLvQ yoMU7g6ehcUb/jmENWv7Be+64e0xepWYVS3XemMgFkzaCbYzpdirwPjin8dhWddhhUwjMRgihLgM iCKiV+mshgEtDDyMRLFRqOtAHrHLF6u4Fqqd+AIKgquNZzhw93jGyhbVBYWFxI7+fqYT3q7x8+7r vcPltFCrSt+o6D4cKp79+TQVIXSECrX9PeIAkr/s2dPhWegk47f3+Br301mAm193K+AC0Wx7dTyz ls7y/gaF4erEzAIAxTKb4+svT19ufpEUlUQ1gvjpFcjentq0tpof2i/b8LDWZUhUZJmveKtJq2fW 42bMkC7E68lnn+HS2pz2A6S7gkMzkGZ373VKjKAEctQhqCx//DQfSBpE6qQrBs/eof6fJe099dXQ 6ZXk7cfseO7VWPKF2/PUv/9O9aaEvf6Bi/XgFdQ0VuddsAC2ThiA1kYD/aG9jmESI6U3FQlV3TlA mp5PvLUfuiDuPWrPJCvOYnKEktoIrvHHC8G3SP1uZz3jImE80VTF/hSgcFM67jrCzVNGc6dfmjV0 ETcDjvg= `protect end_protected
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_1/impl/vhdl/project.srcs/sources_1/ip/convolve_kernel_ap_fmul_3_max_dsp_32/hdl/xbip_utils_v3_0_vh_rfs.vhd
7
171224
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block kc2PdcHWvKBvv8mF2Q7gMcs2r7sbuOlNKSI8qDT6EnmqUwBDYMV3+UQANI+nsi6J8vxoEQCfp+wH EDhmkbsucw== `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vQEvwOPasAzllB+2bxum6PbpO36+EoSOo6q8rra5eDIjv9k5n/+dvzPjeEj2uMy3Su2BsD2Bli8I fP2C1SwWXA8Jp5o8ksMQipKji+JBuvpkB+0TKVXvHjyNyGMBaYJaQ04XoUlssXodXUyvrmE5pvhb jvQ0rNp3EkiKhKBAcJk= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZNTFve9Sti6+2+7OE/eRVwZOk8txCE0dFzWKi+i4ZCNr1+EIOcPe+xKYSDaXqzDq892JaQiLbPKp jWwBEfhU6WGS90YWw90POkQyAnS1ZIcWwrulqQNF2zzNBJEQUv2Yjg485lW/UaNphNuWCZxXkAZ1 QwHZntGJRvfBJHYGdQDf1asbj7iUc6qFcyEIl6BZ6fCFVsp052mLqRDp4Ozdz2yJzMqSB1pO7Jh1 mUjeJ15I/+NVKn18brSpDdKDzLEi3ybQzcIg7HA/GlVqtTaqGw7RyLJrS5qfk/wfOWwKxhBGVQPZ 7Nl+FVssNHidku1PpZP2ee84MnjdNWecojJ8ZQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block R3xYRJWf42nmpef7c5/pjYiOil/CmB+k0UmPO3yWG7CzY68Ms4BpLodVeJpK0m7Rr0sKh31wA/SX 2a7nCk047YIXeQwACHllzDPLWEyK4KmBXoL8r5bXW5cmwH9yRJhrtUq4/eGG19fS0Nik70fY2zAn NvzctKshApcnVcmF6HSutEqMFhrpOsp3cOTxMCYFIR1dfBj7AIG/hWM85/YrXhPri0/tE6IDJCVC /QGynbalO1aU9zmbvrLH3SIjTV8+GFBxoBZPNk3BD3asKNemaDwNRwz5Y4ddQTvAfK5LvnE/hthU W2hDy2zBmgbtbKZg384q10iVMk8tqjLnnaMfug== `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block G+50ItTsTNapBVCBRs96T983hc7omCY2zDjWo/5jcSmGKQBIC1Vfd5ma72RHGlsf99/V4r5bAtQ3 apFZ7fmrc1NVOUA2AMlCmJIrjhUTz1G+aHhJZggA4JN2mu0mhoP9a1P958gWPLAbSv1w75xCI4TL RA5ivlLLEqRG52MssgSYj202szd7XOWDp5UG8Rh3OkX+bVU8ptJgWf8KmZNUVhKmDvBp7le9VcyO Rl8vO2kkaDWCtjm4JybAZvEmnObWRwqdLyqrDOq3x5ih+LFt3iwBSlqXrJ91qLIsrTQWP5l1OAyh TB6M2qw/du2p2dapttP3wbiSHgzgcc4dnvmW0g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KiKGCrgPhecJnllcDeqZ3b6ZafiVijJwYcE/OM+6P67ltDkcB4+CyUVBXWxQAvc+1qxURkuVdmkB AVf6EHT/2oQsSv7c9LSp7mulKKf7c4WE9qGWbr2zj68GxU2cIgeUix5VVEvu+xmCcgFx2UzvI/9a K+voFahrqOH1k4LwS0I= `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nHpoXkM4AGshTpJCuKMrcmkbZWQbvou/tZbeEBJuvkZgk1AKGJoT0Hkwfmp6BLcpeehB/V8wZD+p eZGa8CNrhKIRBXckPc+v/IWkKvgOysbtHCsBS4eVSnej0euXEnVkmg0EPeAb5axHoUoVCNxPdNOA cSwZt29K4l8QHbLg+GSWqXEtNSPrzyRldebKank7LC6p+5N9qvLGZ4DsIRU19AoPtwcfmfNm3s7e UCNmwBAJU+dQup6Or77sy5DH4csAVDyNPUop8VrRc4vDNSxQ5EuIt80a0rvMvZTpEYuh3aru7JvP qtTlxvT6XPAD2ErM26jPGijqSPHcavQGU6V/AQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 124208) `protect data_block 879rD+I/kN7N743YCOqIFUfnjdabT2Dy/pq7xTVfcZPYdwfdCKmqfWKM/pfo9wLyrsPak45V/q+V JX6qLq3w+gbVxZF0G2VlpjlY5sVGR56GF0Sfi4LMVUBiIvhg2DuaDmlbCCuAvPHoOT/HsjbOM/K2 QpUdWor/cCqm94+4e2XeAixenwIbNmOGXRSyomQMLSLuoBkQBvIcSyFWuJXUMY/5eBuRLedQqxnL 82O2aLjTJVuAT/Pof5eDS9uLSKGIi1Jxsf1w4SikZF0SU0s0Hhofd0MVTd3YEH5XaOfSlLboPWbl LXGg4DZ0C4obqP70sO+49X03EnOBwRIP2XoVCKO+h22W//LdoyfPcNbxtqi9W43jUL/yrdC2oxes 0tZ72LLVWlHRTCp0e5NYFN/6/kK5/rMRiHR2UTzU4CFDLanyro0Cuj2ZRppUfx26QIsMzibbuqFL que2KrRs10f9nvqGoFPenIfdGMEARlVm6Ktfn2PPEqcFOtFe6D8WBkdvIw0XrDPkF2d9LluVEgit op74RNSNafcjpSZ6LfeTjmzYL/RCgFIyBKomWhjq67+6Nm9dCAFRQzaV96BvsPM9NaII4LpAi1E+ vFaOiRresdvtbHGu8CWJldz7JeuH3HF8K4VehrdvyNaby8zNQK2n4qhMZkr85x+bpkOvGuq+DYmO YkVzDYTXhxZqphvPbutgwvgfzVx+y6D9A1kEgKdkW4jLO1JY3cVGZGxATY2FLUWnRCkPvrLJuXtP m7fhkazQwhlcDjSDbNc7YbduDPsDyCAkmVMtRFk62JIEPzGHYNJ561e9zIgprXO2duuSccQK6Hkt iRDFp/LW40pkyrY6pho8pF5sfJto5obJHZd/nbpV79LTv//+54JDkp0rjg5uqMPJ/J02Cytc/tZ/ H25r8+MCMEsANNmZGI7BB/xTFZNK1dcQARparoh7pSa9DHhM2NppHAfh+1yE7Tlmv4xrcsWr/9FG 9NPXqWVeLEWGFLWnBso2CvO/KftEiJE953Nt/U5A/yM/lUwazL2HagXTnq92kwULudQ5N6VOGBkM UoamnxqBfVlL02i4lnxLlwLtogkjC6vgVJ7Gz9QETNoLVwOdrE87tYX38PmihJOxHM3NQ9ElOPlT KULbZ5JhZpu5UBc81Kh9F4zHNaYslzIwCJJb+WpVi6m8N4sjQS9Z/Nb/nfK/a0N9c11yOezfk4MT 8IWbFdOdTWD5lS2bZjax5vPyo3LZf3m5YE9oYbdHMEeynMiQelV06Lm4bFfWjjF5WuuIbEg+liuF L+babyQYWzMQcIhOTQyUpRcC8LKke+XRiTyfPBTXO3L8yjcqHM+FpaWk/Yswblnj9p5dJPngAD32 9/jjUutLcYxU9p313lwelxQdixgaki2wYfS4CLfmde54m2O9fgADZPrsZ/zQq9yPNGAQ6tDTAw3D qYkw2AeDKxm0dg6EbyjHM4Mhmr2IfydWWDK839dheYlh7EvqldO62k/ug9AhDFf4GObnvkiLQN7I IhiKx3DF9kqzU4QjPT3SXibAId3R7plr9pho0dCUgYOLIUwrZuvBoDeuNI1MvBAVZkE+bcFMFx3n FoR5TtRy6LfohDSIFpIoHjYcoXiM5H1531nMEEc4NroMNVd5syMe1FLATXj+TtV/T6gGtGtBQOZ2 RBMlcnjwtZz2n91/skVOhxIyI9A/wRK/sLimo6v93gawZWYgQFuFe9j5+QxT7joKAJDhUNMS+Kn6 EEnfG2xnR3knLmXZYOm8kZdGYLgOfGnTsOsa3IZVsjSynXvbJh3p2ldjwx0tSXFU7qPrhJx5WuGc HLB1VT6v/a156EhiT0J9Yb0+EtZz0TU+qvNjWnpJ7L4DinFMqfqM2b/DRxqV4BEljAqE7SHK1JjS waAH+mnjZh/EoOo6E8zAl8WaXwGtzWa/3qitmCTEKuJidADn8QqZYS4tWe1jp2UYD8FGHB8+QBzF sfBWntSC0STmYn+K39p5DNmwsehhp3uGzwuPneF/lHp/iXDuQ9Oha4BtH2lJjwP+9nkqS5UonX1n 0iLMw79pm+Qee1dd3acLwby0cq270mdGvQzZ4G7DIQ61QPzgc+UcFCp89dInaRpG14SJA233oVO3 cWuTVPhzbF4GSzqS6IZWibPbS6WcZ60STyIktZBnnA2KCK+Aj/npzw+c+MtvYV6VIODalg0uW3tZ q7JdiDFIb8eYPv92NjZTASEffjr3U1CYIxxKb6nvXE4OZniLZkHnNMtfaIpEDR1A0VpPAijerVaf ya7/t2zBuLaHt7l55qczwfZm8BjrhK8ssBBgq34+eImi03QwAPmbgAXlKT5eDT6EUR5Z+uhS5YZG XDd4WydfxxNUVxNo8ovD6ofXU5E6r7yYOUTLauj25+/0maI6b3Jd2Ri6cnpNl7h225lmfRk0yJ8I miHWY3/xd/ZatCBu0GjoBCRIqcL2QhRqZxc9pv6Oq+doGR1S/cGY1ZSuSto+5qNxDaJrau65TkFl 5vCKHXqcW5RRDhPrk0PZZ7RzMjWh0EDCcl5YcwkHelJr335sKYCapDob0xnkTjmGw5g2jB7Frk/R 97lcZNfKGoKYpy2Nd/UYQQ62bBIOckNMH65vS4tertNSwY83EPaB0IGuef4jwCn3O3fvrvEBnY9h V2T386CPSdPGsXGnApQ+KE8VE83Wug9cp+33vexuHHudFhcVSrxTfOwcYGNqAJoa5Np+RUJT7bcq ye0TUZT2vdt1LsvM9NqaBWeHFWF5IRqVCLz46vqWFZhEdkKZG6cjI0Zye3PWtv2gqnuHZf7ijXC9 yYr/gH3e1ys98vSMxbQZJ5CHWJb9Lt5Fh2vo8Zi8iNsO2S0vcGq0+0pqIvy9AbLbYZ6aL9cedsNW l7gb7AH4UDn+bBVczTgNIQ89EDJHXLdVwrCzmu7Ubo+/RecSHotx6xXTcLEqVoQKN0lOladk6fPs /MfWoHlpdoGFNz/V0lQG8G14SIPekgr2fI3l1tq2hYDbqb6eEpw1ylhrTg/6pmCG7xhyzNHga6Hf JK35HdVdpAYFTpgOUkbqGX3ZGob3OvsuHAoby1plaOdSip9I4WitI+9F0PPa5fEsNPMYM2c0XunQ 3w9b8VuGs0smEeEYc6yLPBVoM/+iw1VGHs0RtK2DJj+GHWoAm8/PiXFi6M6EAjbTAFk+3NnIoOpJ 92G6rLqvlxLZ0ncrSSVCUKKk4Tf5qy0nPlZ2AYLkXmBJkdQLxMx9fJG5c1WUvPwiZL7XB04oDVZd lHIkNEaHy7GHyK/lLxLSUHQ8ZmCuh9FjLqG7/tsB5P2BkiHnR/v7OEoJEimr/ZnwXSgXyCouto1I dUMTnb/iUQWfR6LPxRDtqFOqPpUMYCdwznF+HcI/t66ZF0OU31aLUZGua9jQJ3MJCPsz76+vKsYs 063t4oXVSkd+p5fO572GbopJVXNyC1kem+CQA+F2VdI8X4WHOTaz7SRvB5olMoa3SUqXa+lzX19l z0Y+m6wW3Y78oGjxlp9VjG0uy+SRfPu9eEt+PKeWD3fg8xhleFCtQFmu+ZA4tvVJioclv4MJzndO g8iMOp4iJ4XiIMee/+LA7HH7Jsl4p+FT4NDebWoKGgN1N2FDDaNziH6zJEvbw0107ZKUwYLSEA3i HHCWlDT1zvKyXN9dwNC85LIUjP0cO9Tk/1WahJiB7206oDi4t91akiEMZ0TIk3Fx4mFaKYINYaS/ rJJVWajWYHjrqOP0dFTiVDrMh1NHn5DTbX+8vC0SgUdvk4r9Lbm9DCIU56JERHC/fFwvF8LqQwP4 hHW/GSid8XBcfY2PvuRWaeYLy2fmBqX8Fy0nJa+2ClDlye6FdRG9T5cle9oQ1xeHrS5eSilsBG7S 4h4oyq4wLbZ8h9Do46z1T0g2cVMHiz+6VirqxcWyliGK6e1BirVeS3ikfDzt/OQuP8khrhmzxh26 U4Nzbzioksm6fkRn+4ZHM5dyq1HcmdgfYzly6D2bgAg3CZsJuNtAjPzdxK9LdlSEx8VA7AQjuAOi fM5jbJk5SwpVe3XHDZSuYvYCmRYI56gawtyS2fZ23AvjToGEte3gW/bhfl+AL3imQ6LvbZJo8OPY pX/4V/934vWLoWJEB4p6DVbUq8/XtOVZAjBDv+T/OhsWbpIOph3A1qI5D2Nx/OMEEsrg+cfaOQKr CNRAPTwkc3Ay6FXy9BjnNnR3BLwJr6G2jmCVmkdZ1FugkXSgSPqe3kMWLKsFYDJgBzglj5tenEeC GSUqidMUlC0i0cSQM2HN3BcuJLwZMIFwDSjFkIK7OKuErGxggyR5Qf0QfK/xVVUmv+nvhn5R6Jws bYgnhF5rMnm2wx2Zwx8xmlwN62qKYsCLXpMrIXprPk5Z1Ozgofge0mE7RmRIPHHo0bNK6YV9sdK/ B1quWYcNi5NhdkbhtHew/PMY7BXlYHS8Kl70iigLQaG9ozDqkNSFii8+BsQryWOEAarkO7gmtsT2 yEZFOY8JqAF6ppbhuFbvF75g4wswSkM21R/QeIK7WOXRN/5D9UKTUz+H+tAOEcp5Z/rBCCTINBqQ SF9f6dUW/+eTfjGnLJ0WHezDfzQI9N3dT8k3wyotEMOfl5PSmJPxY94yPJogYRtD9TnceRsDlsMH gEY6Or6A7Bu4VAsPtZ9eXBin9nJW2v5RCd4jxjKxb32ONjwSR8L51u296re2+faRvHdtHJWGDDMn ZOVFmBCAFy/W/hFNnGM0kNZsgXoeyS3DMPDvMuXnOLljz79qhDtBIT5qKWixvjkJ/ZzuxLjgpLOK PU1D7yl5jkOa4kKVKHRTmQOfsErdo75AaleMgDrao7nY80Q2bf9fN79f5EE7WIXPqyC5jBpA6AlJ RWNj6meGl7K5AP78s/2mUlFRfQE0FAm1r7tWBXiAniA5dmPVkRsNKGvC7WjsoQiAD3VsgWtwkHd+ ZMekTj8WI8cDz1oFEFSmsMY8ey6XGEQQNQCvHMwqXABBFDm8vOWz8ArTwI5r81iXoGvBGhQUSKB7 a1k0MOj4TZMWjoQrckgAYlxzYYux2UMyieRFrd35YIAgsi60RBVOYm0biSmv4RRlDIBliBQ89DQM Oq1S6/OMUmmf/PSnkLunrc/QP/tax/fddAIH9g2Bodwu6NLsp/c6UHoH43j2C1QFz/gPrKL2/L/W 0Vkepr1RG5qcDABG5h9wUhjANqv8oILk0LVwxn39kwoN/DAURTZB6AzCoQyCIiuVqXW3sE+ThMco 15iE0QYLIue8jQ6LZGYB6x2UDpVo0IkxZknf5QynJ2J4qJ2zY8M77/njs8VaxS1674apkmVnI+jE nTE4G462XqwoAvglT0yaZEAC0VGfLWhqRipRSp0ztTN+No8MjpwaYZM3ZD3cGqNKPKeo+DaNyqVz 3tKmqL/Od7fpxbpvozaHs4NVZs2KUaA4Bs/VmEvc9bYn2kCGv15j2UGq9FlOY5Vk84JLBDTcRSJX JNPAS30GaBGoKlZ+TxTyhqtkuV60Jj/oKAqZTs7p/Vk0QAVO6aKo2jXcrjGgefGSGYwy7Nin0lGm dpiyr9VEbQfVuVMtZ9BWjI5DhmLmKYcAILlPp31iQMqrQilr+vtxSqxG/qpiBs+xAORhmLvd2Atg JWYsZL1Le/w8w3Dm5FduoFOXPM5KqeCSIMfaSDxT0bC9KZcIWacuCpZonWn+cj3/Arh+K/nOGceF xCDOhdnE2PsqDYLuOQCXMILozZ0lCFjwmti10V/AbTfeCfAludu9Rh+aRb62OA0f+zXfd3PrzxGN rdEyo1c8wTL+On65k0pm8tiWqLVfDwPFm3HSYVbdSr4rw1J1/fI8gopjL2tpf5Wp/Xb0tNVezB6j J+JetYjuAH43XCIbX3sHUA4qYHhi6G+fbffCSSWTFfgwyQ57UAp6L3CLCXEmfolnbjjg/tNn4xA2 SgrUqOQbZIZil61TQ+Xf5WiHopNDVNuu1nEkXiHx9TrKtRdcJHMgqYjzU/MCXQ1Rf3BHDoeoGSFF Ej+o/LW5/4wBsif0tnybw5eo05P43+7m2E4T5NapDA1VYvBodRT28jKtdVBFXTLM0dEMTeStl3Um MdebxXIIA4Vo4gYC8bU76i/rgyRTp3J94nBOk88V7o3RJfj6C85/y1b9oJ7QyFFv8rlmgrFKA+fQ KxiEo0fyCPSMc2hxQ194XEvaFU8EizpSfT5z244Vh12Ni3m36Taf/AWp5lbJcEtwlkdKV3IyzB3Y qyYdHCvxZBNzgNqdVcQ6h0U9fYtFV2An8rREHUtJzeMub+cG9WcQATY43rxYARjpBWYDCfxviL0D Z5zUMP03LVcFvuvhN+I3iNMjy2f1UWEcpD4Kuzq9Ol/Oh9ggrREDbAcoccPSBZ7n641Kk4MB//Rj iqr0zjg5E13pmgkhZkQs13z32wxgeDIujhWtZ40NykIQLBl6mEnaRQBxSAp7UPnnXQzJ4xmwUddK Rz/k2mjiYUCmLeI9jfoE2uHsyGEfxV1/Ok+Ck2KfdPhs6qeuKsXzM4/lUKOF7d5lpUe955D3uZL4 TTkcOsrYO0B+APMflYxUCR/GL6ENuvYZju2LWpipA4vU9a7hpjH4r3rH6foYY8yUr4NmnOzdRL3a C7UpO8s0CTEZkqxlSkkVVdYpwRnTSWPvMlKMtixvy810kNcMFWCzHUN6thF1HOAsCJH641Ph3Smm ZJhHUz0TvqPZ1ymM0hcDvc+77lHjrBD+TEuIgoLWWhHDT6gqk7eft8yHtmbNBlT/iGcDfsU/58tM U1iLBcSMSgv1s0pZvK9pEsD2mcOMKIcpiQ8dyATMFOYkzOscrop/dcf7vOX+oSr5O/wOix6lj+FQ VtHwvVPg+E7VnxtWBfgPB2bhfDDbw+finirP8WbdneOSQ6jpa/FFh9dNDRpJpMA78AfknfJuYJPy MswuOXmXcN9w22C2XQXYLWK8tt0uBBgaLWgQAIeAHlz4HeqkvPc+v3kPsQ8d9fgawJc9No+3VpYL /fTJcbXIdccWGRuGbCfw6IK9aoDmtwrTWk08F/a5dhOIvvxImvj4OD9UcV9SOvDqXWyFVPD82qMI LFeUGQ6C4Td0JgYNTF22IZ2QTmpvC0UcOIU0q6Fh4/HMqeMomStExJxLEtCYeWzOOsxgISjriUe0 D5E9n2Ptu2LOYVAxHIOkepVNOTaxHA80jbV1anNaVoSK2fTxL91oRriNAVqeK5RU77O/ldOrLhFS MV/Cf8CPPmWZPRgk8ZXk2YfSb51NLAzQcw42i9IH/3404rz+nXOQS7e4wkrinmWfvdUfvY4WdI+U vy3AaWstqfjI6PWP8bTzk6RLK2iGAGMtavktESzX6OOX0HnOEswPKIlnM5gqu2r67IXrnRBcYTlY HFbNSh819NnLVoAu7uPM9kXALOUopiRk/JJy7N03GgBbpzPRkW/AOlCo7Bi4DQsctnxWHLinVHSp aMlDDVjz6Y6bNHhtUA2UDQY1eIp89GjlY8Hgl125d+WnXQPxqlgQz5jT3XqzhvOlMP6dTdEk63Tz zq6eiPVxzgRbAAp8fKEm6IeNkiOOZL5/JKe9ZOYVOUikCDfQgl+HNDXJGp818Sq1O0P671UagfqF xDo7p0j1PmdMIUNBSUjx85Z48V2OejK4psyBr3mbAOQHByrjkyDZdlTDRzvsRj/8GrbI5aJXi/mO /LXhrk4lpyBU9ydppTznFYg0KW66CbsTT+lRDJkzGrydC8N46cZkO5vv88MPm1Hm+YzMIEvhS0mN NYRol70jj4t5gxbr7IEGI0hamJXNfjc3Mf7oNA8ug+tLZhHUAcW4QlyUk+fSSNySSFN6VNLpf0WR 5xdifqFffi0c83el91VSYuAoqzCTaq3ZNvXeRgbpLEgLlkJQI8GvdRNwNSgyC0dTToDms+Iy/qi1 QgDKOgjTmRKSR5gdjfh6Rz9I0RZQEtPNurpZFwfP6Pus//HVUS/P6gsZAro9X9nOH17iDIh5le0H 6PjuurP8MsqHVYuRcs5Pp90zJUESAqAhuB1qYyndcdG0KYIcbVztVQnCTEHZz8PKt9C2jWrZ6Tdu GC3heyPfgzsc+PNxPxHdwv16feQw66SdDtAg4xCFIieSfVtYkMYLdGdX5wpHud0Hw3Ar+4WQak7i M7GA+HIWvY208XHexZOovW4ehCXNsXElOPk1zH+YjMdmhox1GZr3dBeh1/sCq+HF1FeRwRsKuoBy 5xt16HI79GyovswuDLUhrJQyls6DdC/PKwL4giH1pH8SMHG6prGnzPbHeF1GnnSuTx3lVdKUFMd/ xU1yRngzKEcQerNnW5ti1D0ouZuUCX8pRSnZhWalHZIHPTHz1DaabK42h7EhSTGas8XopbxXvnH8 MMq25E3zXnxhbM9yJQq8ypa0XIL6Tk0wUwfCOJnX12DU88/FiEdMyUyYK6ezEHL1pFJZk8VJuCQc 7CGzC46hrr6nnMH/QOXYbzsefLY5hNoVY5LCN7v5ZVOHQF4Q54JZOZ8W4+wvCL0QA3WsUoVD0dHf f5L1hgArTaZu0HZC0O+DjUx9aPxamUU+xuviDEBO+WQGV95WcE0qSogmHdOqmJe7m1SO0p1V+2ZB FQN9sUCJ/NPmFz4lbK9UFhxvNJEG39c3vQRanBc4jje+expoiO+orX+wX6Buc4XOLqhkeSAJ9CxO aWW/Mbz1gAi3UgrNR3Il0tIJAHZ6Z5/3z2ER0pXHtH89vgY09dverxbP8I8PSVT30TXcw7G/TV2p djElG6k1K7+ol5LnnL/2uOBw6lzOjNdj9wA+QqjuB5qXVK2j5rmi9NIn0NHh6kB2zqvP3QeBVNEk JHHNQCAWiIupSiMyUnK/uzfCxLDhzspnw9AJbPQgSOlp2bCicCkQg4mDetiH3OHLb+wy0jlh9aq7 S0fr0H18AJnKXimeESM9oP80zUH2rrkxp8FfWrQH7GDPtalXvYUzUfLuymWbUeIw5rl/YvHN+zPG Jaw1ibMdjvG9krpZpLQzDh92eJx/3H7TkmIuKGzhdDdP+3OHL2Cg4k8UFPYiREepQMkYlkCcYjHw V0knd341gSvf14ckQwa8Z5UtYoKIdum7itlM04sWFvsuaT2DhY4a0U6GYrCYp6dvq+v8Bc/zZTkV ZG8VfNL0vgvk7Qziy3v2WfMoO9z0tR0ZlX0M9xYt2uQlnWhOYQZ+9DLap6Fc6odIdJFqQO1c5trT nQBqUJ6eiX2+icgItgm+eHxA0IACE/UbmtOaBxXoJOJiavmsjOwhucVzEZ1s2v4eOVfbe+67nxK2 y3RqTO9rc3l3dfHDh6KCNT9w0jsOcaCzvs7OxAvNV+yZ3V8VEh7TPgx9et5U6AWklNsPJ83qrYWw l6BVtKT7mAZaUKq4eW1Z+gAbMK6C66vqTw0N+MUVWcWTqPhmX4unhFS/Md+DKNU9RzjjlFH0uMIu QV1JddTzhhxjjsvTE9djBdrivNPTLOm5s4v7ku90z6gsOe9eXOlcFds5lTQ7uuyPgDjhoYKEtixi n4oviDf4mQ3MsZA9yaKrqKXcyOzXpjKDrkQm6l+FlvhqyUW1UUwRubpCH/9m7I7LjegdQk2flO3X oZF5yvhnes1LgCABavGTt4f1ZhwA/JJ/iV+qIK0OmkOMOCKDNmxIDdVunEhMQW/IWgmrQS/8K3Ac fbncxxq/JX1lJ4TttRfVORfVsKqefrYXFgwhMM5NG9n7autEKPFvNp9TPOQLP4znQn3AdT8Xp0C8 qpcMOYizjHg4hXqhTP+yMR0NxVep48R7St2TL5Y353G/DBtxHpmKKqe6ZKeYwgNNGab8qzP0+Ofr qkE+6Ver6DQhDxLVdvoJ/fmreTM3K3BVvdN4Foybfcpjtvj3ZS8A2Ja0bgo95SepCVAJmRdkO5Up 1DTYrX2jkwQeOzKjM73YngIkLgLQWMcS8BCB5zb1O3R0lktBhLMiQQhs0rb+FFTnWavmyUrnCSiN jIgbbxouXXKBjrQfygooBAlD137Q78Vo+VviTiExoLJYv3/MfCgm/3eTe30ToD/TqW3M+1VTxNL6 zVAI9Yb0JLBXNmb2nRxcdUEXMhRsmQFq4PvUr3Vx2WR7IrzWZZS6w+f1EadaApRwf85fGbMOU21H l7BSbMiAVYCtLiwPOKldrgULBWeIDLRkJPWj/wAGFNwdawJNq87wwJJFAuNWXEYyn11CleC7UVpE NNdxJ0Gt/iVhlw+b+D/tDlT/D03gu2tjV2kWfX+lHqn2h69H20Gy68yz8e64D4Ktkh8h3c1QPZ/y vYLjO4z/ddNfXwc93zD0TKTYqhie4g0xawwVhvHBWqvHd0xP3bXOVhA+d3fHBiLWDARde5u96mxZ Sp0XVl2T/B3IziYCl6fPqLbLjmjmXyw34qP8fhzDhyLEwERNcuKoSNXDZmLk0cMTcyCP2BJsIWLV iLA4sQEpfNrFfmo4BTwMIojIrNvfMaSRrX9Heur8TNzBJvnztDKx21QZCrnp+KDx6aedsDzQOzSc 4BBhqks+agZ9YosWuxgoZkT/66AFNrMaabK2xGr+Ago6c3GJFMiTyu1l1uCJXZy5yPN28mVwhC5B Zwh8pP1iuacib0NgOSy1O3VXV1M5+iqIIs0XrpcRubte2zrOE94ZDf30+0RqFdAWNBIE0uIPFKFc Vmr7mO7Ro83vZY1gj/kHVr1X4Mg8PSSQVn6KMtgyTzlIqhT6K6DoVRJ6CnuilYVVGeGwW0UUmpQU gmkVz7Rbxl+jOqmqtSwGU9bqR/M0es0DZiKe/dc1lxDpoCSY4Ff+qpwtNL9ik7anrP1lLzo2UgFk azB1TAUsE0j60fquyV97bbA6s83A71edWRV9gHiYbSJvpxXVBa2vl/DcfuPOBDD7l0Lr5RS7xtQe lV3ejlSwmJGQYe2Z/0BKgoiXwgW/rUyPTs3SbMv6ZbX40xKqpwZT7TR6HUHz7fvcXo3WRTTwuqim GOoKF0REx/g0MzZj7vHHi7Hwo1qwi6BLaOm+ZmapDU6gUu53DWYTHp1DzjsJwOHUWZdDG5GAAVjr GQT10veQp9Q2Ao27zaIDcS2CCr64pr3feX5mLJQFrgSwDUhbAjyTRAT7mtQMQR/j/ZYNOjW4DxDZ aVh8s7GUSy+NCKfukRC8T3aWV0+BmB48G7dLnYqcXiB5LS6zh1QoQzfucb+RiKP347f9W5m6Oh7F hS1WGXQMkTIopo8PBOFqgPQsV4g4k3Zu9lNnVIX0pN37ex5AJcfMnqD/O8Mr5i/f/tw9jzMFJv8c snoNIm9zkJkSbOwc1j5LBWDX521FFR+6U3/RKHo+/1mchk7IZGmonM2yWD09H+lkWIjewV7qszi5 VbNFlPsdOB0bKKYm5cDLyfXk1VZBN58nHhVx31sg10gYUyaoAmQgl4hTcNGdkfD24BOK1C38EeVM nc7WF8ww7IxJ+ob6K7dFmKVAznbzyIDcbKAIlxmw5qCkv55M7A/SfrbH/uL93mxJMDBCq0nyM97Y DLbBN50UtyfKBLhpSrEaXmgZC7kXuikoofwRLAJRhbhZFqEVNCzmiHxReqzi7s8QNGGcyaVuLt81 Xv4zi7rYZosX8W8AbV7EdUA4LPBZoOZvueg9pjHU1TE5HPUmFiZNNhSTZGQkjjF5ONMm0iVLmIQd 294MItzP2ZxJ8vqoWTC8kGEGbvTYGD0GgYWDlMe5XFYR241hP+sMg+Eht5vL1vKjM2yHnrur0sDu 1rGZut85kwpMp6Eep0cv4Q3Qlbm9GC9LS49p/ZhYAIez40cEoJF9QyPdbsSh3o+ox7TUUGMxP8wR KUievjzc6zCWd7ebj12MKwRfAiqI5Q3z8bdGPVCJ+8ggs3t32Tsjj6NpWrD5NSQUCUCOolxGG0Y2 vGhJL/upjjDFuO1MbQ70g+wOF/rk3NCdh1XulBDagRx8dx/GCWFjd1bP03TpcOIop3Zqxty3osCX D5w9RkpZ1pYFH5Qg7NZ7wUZfLOWhL2WeUCqDJHCOQCH0AXIFg9XDmUR1+iLVVZxCLXHN4UIl/SZW Ek2m1q4kFiJVFge83hLRKRb8Z5bqG89h2wJTEwkuoOLvn50s35xJmrjLFx/pMErRfFhMCcziMLlO Sh+kKcxxdsZgCbb9xFIzO7G4C7kuWktQsAwtCQm+tdLtyEbQuMoP897fFm6cxgWObu/edb9IFuWZ Eog59yUhI/cuMR8H6EUzMHWlNcN+Y1C593QVgVfIZGhH6zpUvubzR0YV/AwHUnHZfPnikcdpr6YW OF1rxOWbgZgNaeAPH3TlG96hH3mqDQ9UpB83gwdBKQUvpm5nLQdBP+q6vfTYH5WVhtIuVDNn+1ru 44Bm4HGKajiQ3GTH3JUSIigLpQX+XDtzPAwoefXJsDV+K4/XatHL/JRn7Qtx1VE2fziKsYxfnMda goX3M68sTcMywvPYSb2heklT015UrxqNNwY8W0X/kjBnWOLOdOPtoPvIV3l87HKxXNs+1JyrbyZ8 PAVmfYetyiUVe23lIWNbQ2TIiKBDlf7kwNtwC1j62C0+IqxQ1uYNi949vw/6ltlZJQae5g5FY+Ck 0yCaP8haRIT9H2kQ3i/wd7nkh9VoLKAiq9Wxm1vmQVNZ61GpN/O9dzlPCJ4zwmpwxMP1Y9BbSwkX 2NXQ7tXyOgrfcBhlYqQZnbE5VjvFNNSZja+pOkS8bRK+Tbd/ocvtVn7pogIb8I9vdpD82c9NUe45 wzUgl/9UApCngTpYdXSGLPUaWUZGeOBeLhZrnrLkrj78UgNeF6jK+2m5ep3zj0HOqm15Sp+Gx6WZ kTxQaVYc5GuVBj4bmvqCgzDLUNBrJrOh8+M2ihmUBRf/pdmhih7LSKZAOa1Nune6WoWmLp2zTxZZ o0+gAL5qdSaF5wQJBqkibienzkmO8++u4gTYQOwy2XV6sB8KWu/RtJhpdC5NA/0osWl7gcAxZ922 fJLrmGmAio4XnhO8/hr6BoHf5dIOne6//WBRw1jsvvvNyF6cP3jyj42mM5wL9fe2lZGdSxmVyo2Y 9vSdnwLsTiJqPBOP2lOdadyEZw6NUglT5icbhLcuItqCWYoIsQLSOGr5vc3An7x+l+FbAHO1Qxiv 7zJ2W/djtJTM123El89S8bqvbm45xoZDp2/vTaUaL+ttV3ddQZDRr1c5eV7yWk4sYKSCto7UAqzt CxKzLm/zwD+FmIxsRmnrRTySFN0VQxw9O6XfGXD2bo3rflWvl7sD03z1jpv38SPaUGBh8aT504M+ kueFNss5vkCQWQEINWgAhEx92hIRK3Ko1/2av0EiCheI9arOhwQKjh3qz8xnfYkSAHa2UMU/0/WW ln+1Q/mL3z2fW9cezTJyx/+czwhv+esbwdWZrl6U8jc7oILb01+p3oDs8sVCEXdcQzsDHf4UnFTV EBKANaB8rsuOrJaMp0kXwnZpZ5YdNOJ02pab83LCbZycWtZqdWRHucANkIzAH9Y8v5JDVPzhlP// T2XwrKjhEEuD58UtxVo3+yY4ywLVJE5u0rrp0TeJduHUquczD7tcWV3na46QroCNZ/xJQNrKdEW+ pQ3XUXCicZWFymlnlFQM7LF8Wzo1xlSWiQinmiPdk08+TQu8wV/bsKuKeTbkj+LaRlbIZy1z4J5P w0L3m1tWikJrvs9Dvc+He967o9xguDOQCno8egncGnDMbJ/cXpch9oAWNy61yWV/VmmkMeFmRgdW X5I9rBjdBEtmWGP0y3NTCmbByzBbPzBIoRneTfD4Hj6+g+LNGGWkX6/3RqUcS3Ho0vOWnLGvQNzI J4iPJcssxTUolF4RmIWVTjVa8OuEH1wPqqYBFO3H4rXgam2MhhJClJu+/B/JJqU9yTqIDpnSomBG OECzzMqKCezTTu2v5Ff6fFCuOqFLpG9IAfeFP6vKY3XskRauA+plUCiK4PSev/TkvjObn9r+RNSc eL671DeoI3Pj1niBxsf+gWM7B5FqAkW04Ed3elKZFx7tcpZF3nhhlS+u9SPxuqn40/4zUxzYOZiz MZ0bRNgSthOaVz/Br1YtAsp26wvju2W5TNi39Dam/EDQSPzcLe5TL41UX5NIACeyM165G0g0NFkf 6uaoxF+TM+JIZW8JxWFt8GDaTdMzL+kDV4cytRpD2JnOqezFnBnqW2NStcHmQ5TaBuLv7letINz4 Ec/7keb645Qnqh94zYfVQFNqMjNBndccbsbtsl07L0becbe4VsfhxdgN8G3L6heDxFZlfhYlKopF /3o8P6uvmgX4fCW3ro5bPobMDQIRRXFRL+9Wy5sVjiuiMPTylD+2Ah2DS2JmC3QDN9OjNq3Q1HSZ B7TuHMZvYf17mwWePwYvVK5hlQrSrTl8611KEmTCPG3KrMoOg7AR4WX027oLXub7UrdxCbqmaVj4 sypv1mqLJ9ONFp8Wfcivd+cXVeymsyiWTXL0BV5DpBmbNFrIxeuzrhuu4juwTAa8sYdmiTZGI1Df 0u4txp2fcLfng8kBaSuWWHj8oRfCL18x2clB8xbb4EjcLbxtSHXhOviWMs1K6/a+3Mx9w7DVQGcs nvY1BfevAm3IRnv55cgoEgOzf+G1HSFDvVXvK4t413AIcj3PoGb7JD+76vYmfCkQa4yhubx5ZpPo EQLCMiibL1FP6LwrpdCK0dNzcrMK5GZz9pNHqx1YcHT+Z9YULdoUNXWip+80+tgHPTUIwnteRUX9 gmn/PdlTeVUD8LItMpa+jiY54zxLmWTxFd7FIk4bCeP9MWCFqzKKv9CRxwnr9kOLQYoWeY0jHhjB /fDN11+rYCpJkv609i50U90tex6H1RQECzo7AeDPzXuy4QiERpilr/pna2+6S+R+IEs3h3CmiHC3 E/k5wQVo686IF84SXXRJ6EMHGnURdNFoBHuI+xUlwnB0hqsKmdAw/ihASV/AjVrWk2Vy5U608zvo CQGsT68fIqwBLXGP7+E7rGxOYq9vFW5m181wWT3rStFVKDbbSYEQhQh/bdH86royzZsMBbV0gFWo tg3hOzK6z7rI/fgdW/qeOdII8ktOp2UjPIELAgrFfmWJpZCCoCr5dAeS8S/Lc3nowscAUVhtoNmc 5jGJxcJVZ6YzLHEDU1fCTuVehPeDiv/l9/FNN6PYsVzo/YAD/6Doz5CDRJmtIWBBoAspYy/5s6sE LpzFwsg0OSGVx4+G4y97s8Km94+x46JNTCF5DAK4fvQOL7w4ewotaX3K0IsWTVDzpKe/lyurDvCe M7VS61BxtMuoiZ/cVP5LyM8lnW8eSSQ5qHQAxhkwp4faWZiJIkGPLV1ieu+ij57uVZadMayi7RKn KTQ+2yhuI+OrdThR/zoyBJWUkaBtNLl02r7Pp3y2TsLNKrP03iTHe6lLwygiUpa1na37pzDtHzN2 wF7n8MDUVvxrSG5sECu6PjfdFxF0DLRhyi0Hfi2c4DJa6Wl+IZABzZZup8Hhbjr+T+atO7T9cKMc T3qGn0AzQQYvHv2JuifOYWaWDJONQrfr1o3buaYzkuIZf3wwA8YdOdgoQgAMuyaHhphqrrYGECoy TNGVvAD4rlXznGVi5fgpj764SXFLfh/zEXE7uIgZnlvdGodrRgwsIC62fYHW0m1QXvLTP7tCvINV Meza38YzZpNCRK7Vd1XWtNDvoCAMzRbw6TycE2OKJiWsNawKrPhm3YM9GAe2g8ReR5cESvaHqAfF lcG//+1Z4ijb57moiHtFGfVLVATDSkm8JD6BWUzQXbUX41Cr7qeYSu0N8odONYTT4gfzhypywv4P CuJJ52YITtgVUZbF1BhnxkmNNQg8gKwi102UT+cr2pq8XGI5Pq9XBvU5aoFtUmNQmRPeBvIyUvKS pfEr6Hsh3zokwD6PvCJzSYfOjQtUFvY4ifU7zAzZkc6dFnHVpEWVoj0oSN00ABz6TCnzE79nAgfQ HkudGITpMepKQ4yUvdJf0+hkJ3ZPptvkURcoEJCULjmKC65xSuPA9mSnldv6JtP6PFCTy0aZCjfR P05r/XCARn88tzw1Wq8f2Ks19MYHiC62g5oEfkq9vkyK/X1DLUkfB+5/5EFNjfWq5xKu0pOCw/jX 5u0s9vo+VvsqZrBiKT9eWvPRMSqJPUt0zPPp0J0XhWL3iSu7oiZmbDtWZe443dQn9DU7tMTXfmJs FRVAdXItC8Ea/LN252v33wyHMnCVUJsDLGOLD7k9rU3N9XUN3wTaB5abW5im9+O4L9KYcROzMDrS 8SLfZ10Frk4/GLDjguGBhRMf9KIaykzJ5udHhUcZfo23vEtruOJr/xxRKfTUvftn5XmWOY/oeqjX tkfGkHl3q0CTkf4Zw4XSnvqJx4fQXPecThdUPtf02oEdv18wODTJly8ywpAG3YtH8MWXMkZ7gFrp u1VJO+GiSvqJEZ+HloCY9d+VDnM1c/IbD38Q4Uc+uQz5+yZATedmRDQ/6ByxK5ojxKIMimlT/mdV AlcRi48TUsI1kYBvhizcAlNjEo3DJbHZgLrXzpKAhcQkNcOv1S9K0EcRQLYCR9mpzAKaJ5g9xLh5 5MTi+jUVHFFK31GiFo1qPsFMEbrhnEvysxkMRqE5y4cORiyJqHvIk9DwEBnry+cjSU9/uM3m+Xmf r5EW8qmkyliKnEDR3HsfHkRO8AMamcDk5ghBRctOjN+7c0FXtBaEH5fc0Ha9G9Ky7FBm0hLe/yMR YwhZdZrcLJnee5BnIPYodBxp182fGMfDy95dIKamkacYb97LPGHrTd/97FMXVzgn4cHPZUAUo37Y F7pv0Cs9V/QoB5jCy5xJyQk3BH7U6G6Mm6zTTNdsRjYyHtcN2u85/3OZUQcKwq1xzHKtlhWNJzPf peNTePmJC/ZWdPSxvuaxUt7Qqgj3EX0VOVJgde5+GZolQRDa4GxX1/1Uff1/d0Y5Okr9dMf0qd6Z KUl4J7O3fATjxveE+l3owfbzCrgW+bYBHu0h9UsNPHe41FWV6vLtLoX+Yr5mIXJq55xkFhdZoYTr C96vJVf9XP0ojuIbB917UazlEmwpBXZCUIwu582vcl25ob0FtVB0kx0X0vSzvNInZrivCq1l5FOy t2ZXZcZEjvpzhEiYOc+RHNk3L67Rk5Wgo9KrYa5PqDZlZ6cUE9yBoGMdA7tIpCPyPe+vucJlVOOs JY8euoURYWIkCQRw+ZJ1fa1eQ/U3b87Nvrwip6wQPKepjv5OQX6nHBUoyhls5kKcKFT/FqtvwxmW 9XsXTqmJg9IQR8t1AKVHkJLBfTstiqxXsE5ketDf6p+IZvaL5HDPHDW6G3QV4IbIsLJbAUBIoksH h4yfryxUlL9QH3hXiwqPAvCs0ahqQuXfuX/xl20tkF4Yv2d77ctZR/3Ul/5BYenGJkXpZHg6IsyP jySUNpdyQr79aFHZCmZMUGVw6zoKNVl9I9RgBP/QXe17pA6T/jnbH0EfP6wRJ5LmHpUojanO91RW YPhZN8XoqBBx/1RA5utXkVO2OYTRIc4XXHn6pdZCoQYMY4QgxhwU+pfQtuyREjhZRbzXPEDj9Mw4 RnrDzGEfF121hiBAoTjpq7BHxikRDZ3ScWohexHH4Z8RY1+q4QbQbiJEaSiH4mV+9nLnS7rr8kW6 juA1f/WpfS8OcXifIK/Z4Y4H9dFn/+ijms68RcfuBcXq/hkFnR+ycodrdymCCJwGfFgcd4SHq/Kw l0MlJmoqOWC7k/V37iM1LFPzakLoEckIOfLBjA05MN9DZG2/mU7+kH5tMZ4yQrLxzBpcRvlvQqPr KVUvGBf4Kg5j9F/AACHtjKNa2yTmjTyPiSkpEMjU6NsHi+3hax8dbZ5oZIDt6p1Bu2rQ+mRBf4bw muOHRAqU1c7uD54nq38PKLybJf37C4xOmnaxfcuMkDHI0xpxqFE4LWukLlqLwZBegs5/yr420ho4 5m2XIKYA+/n9f8Ny+xXdEaXJtHGjs5xAtub7G4i+H1AGwregsfXSxUD9lN59uRJEsS3fG+UTfOfo IjCUfALJGWCR9r/6Dy35QAKvAfMNtbF92qi/4PJr83rRZF4qpTglWe/kIqs9zgF6ydXEF07lSQkh QJ9OfWdzY/5jxz7lFMBgOfcY06TG+GTMFQThJ5vzF5ZzPBma1n5sztDkGR8ExzShHEH+B5yIkjyD g+/45vclrK7dPOjB+jsJKgwfsl7FnBskZB1bR+8AEYrtVGdYwLi+NRu2p6NtA4yX/MmsE3lUc3Vl RKKBFu4Ob5uY/AtpxThBFcJ3oOCBIz3pv8P5Rg4X0ri6Qc8q3MttOe0C2etNLs7Op2hOBUKjlkZs Zo4tYs0w2y9ScebAJK+PvYi5cBk3C1KDEgw66KXIJGjHXaNKErMp5+F8eUvs8LIkzlLJGQI3n1hJ v5G6pm/2EKJB90pm81cPI7Xz5TQFQzLP2RgXCMftqMdqVhoQj22fcPwnzuuIH4WeH+ywh+jkzP73 0gnVVwygJ6eBFiWk0H1rDnmCUTXXgPZ47znw5ue7CZG53uKZ3aHouxMwOS6zgmc2QtiekqJoFGS1 vcWEuDXlom3kzNnJvdGaMriG0ukRvpFBYyDDbi4+PT/aQQMldrVLdUhnNjiI/wsqn8kbaKUhKsg+ 5qIQ/yJlsFuNdMBq+zLe2KytZhoEXz5TEzfkLpOETUE3gFV8kTtgloPUFXspJTdLP5dr7G+l4kI9 WZs+oSFd0DhCIHzjs2j7eATlZapAPFJh1AIG44iTvfU1MbWxmGtyIkuMVsuw6+SzheXHPWS8ZQ6T R79O+ux5+4FMe3qJWL2rX7sy8oir7qXXjdES3kkJQmiG5/Qdk4lJY+HSzHKFTaOhW5oo35RWtign n6KxW3H4c7b4dZQNwoLTA3+JVXNMnabKpRHSo+Rw5P0iMDB+gfNXJenJYmEN0dq1pMERqAaxC6TG cLSCeI6kiTTAMNMf3LeXYzeBazs+rgWWlHyac53H5UmLLHrLgCzR+kpoLDTrPau4vCHliJJOG3pA OLYq4pUFw0+xM4uIkX66hqExo7nyUBO9UUn4wSS4vjrUBN8v+KMXCJxsrUmZhGx4GLrGIcV2eNuZ s7qLGSpY2kNh6Hpa4en0IkFC6RAgJI93vMPpSWj9BsDyMJv+zv9raFfEa17SRiKIa7QAGC4Qg84t esRWlLoHE4TmK5nDf1bcIVqdysRtrrbq35F37YGn2J+bYW8VRR0gklef2LboGufypaCpvWEq/vk9 z15YPL3SGhVF1nSdqmCd27I1Iz7342/l7keWtKTfjYpOuN8h2EqUnbv8FIJ9+ChaE2L1CFnpcXco KDpEYe3D+p8nCd7eWng4s9oRKe95t92MBkLKHQVyrcwpPQspn7bUTXD63+6IfWS3SYS17mZyBpUU U61yGolPHuAS5/bv+jOj5C2lqTeWuGmVmorFcvYWKngkRrJ6EqwB4z4MRyBZAnEP7lcdUyp2sZxI FeYIuuXKVndkroLA6eKrYWMVabVq4YQc+NGLaXX4HqQAlWLs7SK0OrYcfQqNYZlvV9nqg+X995CH ZpK/BjUswdX7fDDXQiZaOY+HYdioVKMY2iygUZlp6oug53FmcFESfbGA+kueAXxBLSkWwHPQ+bZh s2Lxp7XY3dZhKjyI3UTVPgT/+yXINLcoQXkbVAymA7bPRRKjJ6dwi3HKHG8EqA3+tLmEawn6nyp5 MTMekMfKebPErV1Q7xTRqXF+mYePakdkG+hm9r+fRlEomYghylQDd3QJg6JxZAJhBCym3mV9dH0/ 8mVTHqDej7bDev1tXl60GsSUwwNdOEBDBgNNag04eDhHvFM476x8YsurLpzv5+cllo+TVmTSewro m9j9aSB9rsOZzz5EI9fVkeSEjoDXQh8vdtzJMG9AQ5IY0Y+8p4Jy9hTLXN3Cn53zC+seYOZDPDln CC9Ss+Zm9DiMgILJMpKqbcFnH+fchYg0N7udlzO0AR3ofPzl/o6IfuFtzKo/aST857zFmweHmD0m zz3PEdYDffFcbWY3jf2Yr9fvwz1mIXuGEQDyoUN0E12IpTTuiX++xjmu1YJmZY0W4OOMjjI/bjoH xlTGP240j1iT9bgxU2QuJT6pdmLoDE+aMGmQxRY1pEw/rP6uq79jQCOm4qmozWwuybDvxuEv6apu TXrVh1xRP0Bbc5VNcHnrP3mASv9AsfUX4pJj1Lv/HxdCZ7nOj5TevJGCtS1o6GpAlomBU0YuL2zu 6c5+3dbTgv6jkO5mQtQTkIbPXWePAXShcflqD1LdmsiKCpQXZ26AqkpWbcLqrBFQzW7eD6bxZITy bz8UZCU1R4EbqyKc+PpoLQRR4I5UTx9Chvzeh6XN7BbILlPddqbFJn4PsRH+Kp3vO+uqc24z/Ngz TCHPOsxrTpyvLXBcCzmUNTobUK5CswQTTl5yWKFJeYJFubT8k11tnYYeyXZ4jdqAaD4SIFhD+hj0 PslS0YrCYrfsLRgeh5hCEYNAipL7aZLWPpQ6rYq23W5Z4fhtDxwKaYu/mxTMq5kp6Mj1Q10Zy4Ww OIIfcFvyxTnu4KhfTpwF06tnB9seAx/NUlX8zY27iF102MS3ugeWAUmL936tqhJhF+4eXUSd2wAE 0Auz5g+rHDnRkgVlejWYxP7OyAIQiPltBXuq3CsFqXw1dvma2hUFR+NWOVCLtNd2GC3VmGzKaaIu RCG0Wh/Jx1AmKAcT1YRJy9dR9sMudbLNnRsowwx3VXwX6E4ZqaRXgyUukGxfurqNMe9ISgm6aM8Y YfUJ8/k8IGOCXJSvMmSjoWelFnN79lA7ceiY95CBaXlR9KUHllEwAezs5tQvgjSiiNYH/quB3A8R hTYOtuF9Oo48ztUwQso1at+aj983mvATrOK6D3gLUBx9bh3afN2C5odUn6VQlKwmT33jATBi2U2A n3twoOfLsKvAhR3C7VZ3jEdM/zfttXRHryQonSaki/PdUtGhpWIDjZGhnG2x+TUXf8N0rdavOHbd 1aNiCmMscfRKhhcXhLwbj3cRX1P/duXikWJ29neaL7Dy+mHTG6iDtLo9/jZeL1Uwj4K4HQqo02xD 1dmwYn2rRLs9o49fyklzHHj3Mo31CCwKnj/WUfG+Y1jZoT39vKj1paneXrWa01mirBOYewdi79JO xBzKJIn6e7Hknr3KMRFXGmRlJTLH7K2+J4oi7FwRh/n4V6HbNYxYqg4FhdRB1kCoHrElJjPKO35o R+yTDRhbtjhuRRkd+B+Ok2wn6sMfsgiNwmjVv6xM6UCrJ3eBeGbHQXX1NgPxkpd4yriSUflTNdKL KNmNhCT10L7CTola6libzPC6CJ/aUIuqYcWkAg0+wHQEdXXQEyB6TU1posD/38xFwvuag2myQpBY uD8v/Dw4PoWaHFHA414G1cCKiiWkfaVwmMv3R8IgHK+QYg0EjywzEmI7iyHAyyUCA7al0r67IsoK Ews7mUha++Mxbwtdt7UvQxstL4s7Shju5lpEkBJ8SBpD2pNt8m3H/mICnDEuo2M+2cuSrPnJCZjQ PRa3QgUSnEdTy/G44g9N/zh1w2RzvCqz0CF9HXFemx1wuSlA+3CB2I+U6wkxr80blRibOo97sT2V Jk7S2lsawrtbiFflVPSTY3pm3W+cjLqZYKQCtXxwxy8cH3vITx8hECucQndWhknwUD95S+OZSfwK dwZJgQkeKnxbh0OWZzz2npMFbCoSutMfn3vHwgIMIaANKq7OJNfwU6sWDiAphM01ZSL3UKnWkJWZ XGT9Veb+NNq6TxFR/1GosJhndQ6Pd+d0Svcv5kld2+vbgGvGsZM31jzdqhpXSTQYcCOKM7vmEjcZ kCSkNcInEo+BkuXd//gYLNlC2Buc8UGq6hFkNpHwxn+IcoQ0ygyHRTpc1uNeMkBh4Jg6q26UTwUS 5NhX7ppv6pwzGpjMQpIhQ1kCSJLYZWuiEzS4yxN3xJ8DV8s1TtQ/SbM/Qtc7r0lSNTcwkNsS03pW 4y79J6a9eA8Mt+7ZLc4mmmMwZV8In573VnVHG0qVfjEmvUJ6pkKhCaD1mHHUMgCTKQzkwKK6ChWa PXfHBoNegaCmSKH7dJYz9xnSr2ERiDv8BK7NK4lt/oPn4MOZ0nSqE4+9HYzSXHBf6QKJcg0yQs9o diRROZ7LvkuINWQgRX5zGMOsXeqbijVBrs67xofyZqYSL4wiZnSHHYEGbxWjcsjfplRYzOTFDjwm zfpHwD6YcVZ/ABm5PLsgjbQ8ufVY36zWN/vSItIXAVZ9gfTQRhnAPdw+7/b+d8JuaK9pG8bBYFik 8GUjne6rJqrPvUHmBL10OEYkWzbmK2rqqoNg/ApXJEQp0Ga28oXrxbzo05yLecuszm5EV/z/sSmZ eum9rcBsI8hmifadrovs9NeiCD8PkYcwwL/gCCKaVWBClSv1MEENZZWxTc0EqWZpLRpG5OLu8m6K UGSRa6qvQbSZizuUUoc4HPGOuMLe7F0ACu/ZA/LyRtin5TdT4BeHNgbSaLhevXdsewaEACqlnTTd AjlzT4+sMcpAnsF8fipUqJY3cx0vL7OFKsR+MBhTQ4L/nMZnC0KpUxCWC+WrE+rNH0fjPibOQflR gNuV2ERx0nXfXMvM4ZmluEGNGhtphderr0Dc+P/+wDgIgHYM4b6j/JjK9Vet8XHxsK/gFGV+20Wd KcaNUNJJqeYlyIpIvZ0zaHsxkfafaH/KcW1WxybIfs47izJqfOOIWDDxtCwGfaE5gxgIuilt7lMf YKF3XaKsGJLrluJTZtr+1X/agpWS3Crtbc6+okgbN20EXJQQJ6KQc5dQk7+/bN7E0uxymHkzMzZC tAsi5i1rhXYzb+XeOEWf5S7sNiRrG5IvAy2AZtQV1g715jHtFABs2DNpiXtru88T/DZ9FhWqy50x RhnarS1KrXv0oB/qavNIDahHTb1eumwfatsTEB0XqzOJ+YukVtWDXz7kw9W/lMkhwlGNSHsac/lw rSCmngRqvkOVgdodPsVqchBCjMe8ZSIuFPfvYcfP56H6KWFOm6zllAmywp/cEWcVvmp8qz9qdS8M tOr3Zr/Og3Kr52Dswoi3BEaQmpxE4OWJlHvZZmJFkeblQlWpK+fCzq4nLeljZTyCZv69VzSQZeqX HrlCGWKhp6A3dxsQ1FPeF/3C6g3CKmG37fTHO0Btr7XyiaDRE1r3uicjHHBqe00RUy84DAT4ZcNa 98XUrEkIR7DBjhsqxnTPJ8qTadOEC4egAhgJkdo+lf2gTMnj2hVZFAmQ8p8D16fqiGYqLO0PElek EOkSQ6Yc1ni3LwP/6A045WNWVBCctTFuE9kJwbWIqCxgdYUJ6Rs1D5MD+eEnuZFDTUV8v/ERBqTY 0CnfEwW5u36XnomNJnLFoBTZDNFsoxGTlx4lO9ObC2/FhRr5ukeJN4Tl56oyHBbRUEaKI7kbFSMQ GfqiFGGX9uSJyNYxP0kot6LZOv6OmPWqkZidnIV5aC/46A660263wwwDd419UlaQJuYIDRzH0Orz eIRe3aKhiE7NGgT2950h9r9lSxtVbgWQXvYH6lpbu7yfwfZCloy7eLh56w9egFzKbphsZqwrHl6Y Woin/C4h4VWRT6ymT/6wJSwb/N+gJYKZ60vfz8GiguQlk/fhfA0tZ5RiDFcbi1c0QmDAWXbPXjcM 8Ol4Z8HASrgs+7fVGN/esyBvQd66jaje1TLAhXgrW1VgJDEXB1vCl0eTwvSXe6NwUF7IRWqAauTE bCFAiNsiMDL9Bf6lFXLUzDYuiiVRdcTm9os5Ie+z50Seoj+Rd6nXGG7whjFaxdq+bIYMQq+A+Lkw 13yN8VLEwZLzNJeKdgPTGMya7JEezQT4iEgNBB59PZLC2hXKZxEDKu39WP88J02RAA+bJ6Bf0GqV 4UAbQ0GLA/oXMkLbD4xuPU6Vq9tc7Jxf855oLtUAAD5AVraGHChQISL20VHKi200qmGfpYW6zpee GTqDJwCA3SKQfJ9TLVPhgbPVLhMxsgia3ph+5AkzghKvsT8HouTaFXR9T8o/XJq93Gt+0ncImwyi Yp//I7UAWxFhjaE1HgSXrkCxbfOAJIt18BZTZK5jFQJL9x7ZcDM++hN28eTR5hMfB32BaNQBHvAP sp93Q0w9P+kkRMWkVCaG2qyRZBq3+6O8WMXviqBoDIBcxQ6wHHhDK4l59xVkuAPVaqUd5Xz7evRA T3PX/tobRtJE+kY5hseUnQ4SRVl13JU3wk/8+IEjoTd6fh11UarXy9gHA7VE6bzgZCybsakEVT8n KaOejc8dro00X+IRNEmKu3uCqNzmGKnvZI5hg3s2swGhvPplvJKr+RzjoDeBRzz6SjIP3KISOgn6 J29TWXBedS+WMIv9idP4GRTDjmlrS4qrSveuwnUzGAA4Sw+tnGRNIze6lsEf4H9lfXSbTNmNwcYe RRpr9Bazjumhyq3azHb9d3qYu8C5JarLL91tYotfCAAPHsdWrj5ULy5RTQgs+W7EX+3ql4KC6PuQ gXT74g6/aLEX0xV8jEuYIP/XfQk6LVVvVqE07C3Ld/GHjIeCub3hfwUb27mlCzVPySYuWAdDsXXp KsRWpqq79AFqq/BSditT+HwfgzJOe39SJVnXwXbLmW2iCJOIkEg9JQtGEu54d/tjJgaqG55gKIzY fQmZM8pbFBYtMkxsmSLKZJKzZloI62kxW2DszoawEpWiLH3SMAdTFQYEiyL7DLvqb/VpeJVZ1FWp kEXTgq7RipsrmSB4FCgBuCoCDoYtafFU7X3rU4Xem3DMfJgyNF00GbdaL0ab1PsTyCE/RLl3OvuQ RlEBKdpz9Y9OqPKyuZm/Eq+gK8USz9GCDW2UsBdh+piVVVXW0XeAqeXWSGBezUdcI51YuVCT5FPL 59b89RLQtkJH79PztfVOKq3B6mmK75rN9OPScDeTiMa20dKfoPoZBTndrnXM9gRO8H/8dMASQ44r o0o4AbBtOwKwsMfnOdpWpnNH8KAK6gLXGD9F5kKPLLzba5Diiqitn5ahEtZRQIE8QNa4fCeb0tdo aEvVQZm3dD0bJQADiMZWVhZsh7mvsqxSjLqtBsevdiZqKBtlafl9xRRncd4rFDj1yLyzGd8VSDJ9 Yy8pQmVHwqT/JS1+RXjx15lmKelZAXHal5PWfWO1dK0ISH0IWnveyJISAV/+/mOutxOeBYuvofJS 0S6/NYgjgSgfq1i5r1/Jc/G8z4oVNSmICvAJKM287bg6xEjbp/a0dGb8gxc8G+43ltVINMHhG9sV DjML/u8G+mq77TXzxab9gdyW/8ug5hQFS/w09sDka9zJeR7DRz+zJaMUQy6Ld+LlJuGd2A6pkrik UbLKiAeSb7cYTe+R+mm6rDcvNb3wig01BDwmo13q58W/S3suZ6zXcEcxR5asCy/t5SLdjsVWS03o xBDM2KOszF81zTQQc9s4qxI2cbu90Mp6Osvcy71mcuZ3X6wrmTIAlG0++HPPynzhRTfh1botxId2 z4urX47mT1TBnvsOQEPEYRjFEsh26wZsYbFRO+sFdwkOYKsbIttQG4BoAdgJkZ/z/LX5UbnSX2QC 7JESOgI9rPhLGun61qfo6RoLEkMbIftAYhXwr2VpDwpE5vAZyzpiALVUhoU2FManliMdkPG+cN7B dbhWQ8eu2ZJpldBC5WYdu1el3HGsCqtPmOekTf0iZJ4EQqsCgX6U2EzmkWSkOM61vcwNRt3/loD0 PtdslmCpM/bW57xUwvXwYmx1WgUCI83pqTc0btlxgp1WOFSY/GVAX7IElvV4p4ZB7eLu4QyW1YzK x0UagjYHI9x+j82/+psMbndaawVVE1jkXY7oirk8/j1oJPXWYNofPF/4aWjZCF0EERudMDq/+8fi 6z81w29Oi3+6Yy7mhYKSPDTd/KqzeCBIjRCKWX4sfwaIdxdT9H1xKIOWh81E7JKpPmBpeO8BnuG5 GBhgr05rX0P9gAl7ZQZK54eV5n02/jCPsbz8kbqmC2fbnkwI+vv64dbE6LPtF1K82kQfyMFNXlbU dGS9op5NvLthTdJq0+DaoGgXgp/d0/AdcppS4RVZYf6WbhphkT7xswbye4sK0SDPrKf+irl/Zjj4 oaiuo7sKRdGegRO5jgcY71NJn6llllnCRWnpN+mAXLkS6q96lgb6U9voCa6J/s61MO7XDg3Px6FH ZYvJCdsKU/XFOXuVCwrONFklZv/FJCMbjOCMFFGM2IksegrGWA6K3sbLu2hB7mBs1Sww5Q6D+xm0 Ag8bdgbAzRwKzK/b4vrgbYv2V+KpcwzZcEWh79P8bNNGuxP6VhrfkTSD4RSsnkvNxZyth9AQkWw1 t8aIrsSAR0o/+lKZMD8eq0As7E0Bj7N1XkjUq9b26rJjJUUqlYfJd3ndiYNHn22sXXQ/j9uqoKVX v3MwDG0yE/pCjdbxBJdOkRmq7kDkKeB86xpNZ/XpM4H1TNWTzHHIlgdKsAn+174lMRqzJTDsJq5c uij8N6qx0n37up6cH6ENB/Y1JWFdypJh9VEy5NqUGptVeRFVfwVH3QhAhHSDts36pigrlXuYvdax ZuiQQJhcZQ3tDbkMfThOmrRmNTWBIPlfnpnCqXjQUQ4qgNF+7EKNu680GISVTq+Oocuw7cvbF3WO 1zYiw/LcdvxVh8FD8cY1OIepdt3vBYNamlFPsdIRPOE746G1auGnkExq6vI8ZoBSafUNFDxBoCbW g79hydZ8as5YQcKC6ENFgcjktQGdGNz3NRVXcdhABlvWKC1+F6CwKfBsmYaORqnyADTtERKRgOo6 LfEEHrhQsMv2cPQc98+wf+VtpFCDEcDB1nz8XojYfR+4dk/sU+FPtJZs0C3BCMBLLRZz/vfliBm1 1kbiPiIYhei6J7evWwu08jmr+bGtVns5hqMDWVw3WHx4AK0kqtIYWmftW9KSMtsRWf3JgOtlLK9a CtzgZHxZwWwCHl+/ipD6/1vKWRANWDhYd1SidO5NEqgFnVH1jZThg3tOz/kJBkDNCN296q7CFuMA AUQZQaFkHsQtYFiEZDFVIKU3KvZ66y8lWpQo4BtrZCmmI0nCERhJEsJq9vPu0ZPh8p/yx7HDYsK/ AeaRdQq+RTQ9iZcEAF93YCdkHM6oz0Yg3dbQlWDK+LoUwtFJkDnVyeTp4/Yq1d30wjd4mHXDQRpI UQvCi/NIl73Zn00bXkbTT6SCxCwReh3w3OzeTJExQwQoDkcZ3qRZePJISS3yGebhbcVQnifc0Smz oCz74HkNes/le9c+v/71hpxyriXFiCUyoNRpClzk967aD6I/UuC4WSfZktF2WRZgkAMMOMCNEJzH uVQpjTKYsMqD0zajIhO1qz7vqM7Vytrdv+95h6NNIDsn9ryQ/S4hnqradSp9v7TQCC0a8VobKo9K rY/PUY2ljA4fkzRkP847KoSFO2K4voxQ9VyqhiaLd/4m45swDydACRwNavoh6L6MWu7nEka8ZZ0z S9eIN8YcCcnGoJAOtLv/VXeBxmHSVOPr9l4mqS/x65Si+g3mnB6VWEo2mhjAyHqFAfhqVACgDOsJ RcBinQUGefQ2haG3bD1rUyL4evcjmHNsFJC+TI28Mgu8TaA0eQ3tveLCA2lsI2SVwm5LeBx1efUb ofzg4Ue1GfObUsp1lGbaY2Gqk0jytpIUJkEOkPRH/7dZ/YhBIPN97oPaodGo/aqMI3o9sUOhlS2r NPptiShCNhBUp3rM2zX/6AG8d39lo+/EHr7/1tVG3lTtnPc3WXzxXNQaZgzRXlj3FqMqvTqUL9fl CqZkPuO1oi6o4erNfJQiO4eDNsg9IM5pLmCzzz+fxZxk0+kR9+6neEeDrAoZCbVV22QtB6/joOza OnbNN5zBY0Dk7BndGbzXyxoJqtubcKaeLVmUROYxuBHTw4QGsZBZHt+972yFN6mM66VHu7y6t5iV YYK0982zp9PNyYAQQ43YAivdC3IhMc3yOPMsVjNopCl5f8UIENgu2PnMV3+TkUVUQ8kwPtSp/VWK uynyPMN5h3rVnMM1bob2tB7UUYgPL8/60MEeaPUYGPHnG4B1H8W4t6+h2+lXLF26GUMcL1oe4mXN dR7OdZtSUgLY+SGGpnlnhoMtPMP0kwAV7rdCuR5ePxSms76v78JlSzdJ1cn/2ZAc6ZHvM4zmcLJZ /mBgzUUdveMUxaa36i66fF3FiPwTea4zMA+hcm6Ilbkvm5B/VlRzzoYCd7FZ5JA8KqGSGGZughhL k+JdqN5kBspYS18qMnwW0Ix2yZ6ntKmqlITBbe1uJDoUkuS/jqMXZFA3blGhBuB9Sga783tzUlfU i9sICQkVh9FQT77Mq+tPYySaF/VbjJdmF/91B8eFtxLPGOPNi3COnvK3uy13TrvWriEN5WQArE/7 uNoTC8TauxJ0xdaBOSKgxUDhTemasjPCOUtkcE4xI6gH79E2RHaH+V9k7fdB3Opgdbwgc6x7ihA+ W5jOLQhbNdfnSX/uOUSsjhodOjpjCy7F0dDZ6JgNcR/cqc9ec3lSi+RbcjByjALaSrtI4rfS7iUU tAVBxPsubRAkfJe66FgLkecVqtt7iJG/hYCqmEilW+4ifCnOZeWpK/uVxBZrS0poWRhp4yki8L9p ytIrHceiXElk2qBBD2fJckSNUbwmz3inGcTtgZGrbgGJAmLQrMM5xqJKOntycv4hmo0bA0WwRJqb W4hSq9HJLvQa55gV5R8KanWS66AchBU8QhZl57E4BRnoNlomXpzYfn1xv2Uiz/9+TQlX2F2VFpdJ j6Be072qBgYulTESGj8k1QUEET+SmqkmlWAQkezEdl63Oxy/UOrOerDU8GAIGTSlkYGvWWjFB+0G lYDP4Erdtxa/QNRnScOVC9pETZLHgy95M4BUKoHt/ycMNRnFZiuDH9dJRTxZ59RtzamQ51fqyB9a V8EY3OpmbiK8/Vx3JdqweT7x4hYRhg/WCKZTbk7wp4MKvLMvQESJLtZ4zA/z5j9e1SeclYZF/Sv7 IwxnLkts/iFJipqToxZr214+Hu/HhdRJ25q4mQjtjth7awVa0iHGT+bmJoj7E4RZLjvmndoepH1a 9+eTPsH9DZp3YKxidfNA8jMxMM5tU5H1iCGbCW8iWWmHHGZDOIuLQ8zh0QZCfdw/TDaePpsYHkJe bnJdyttlxo/VSDzVZ5OolrtHx0tBt4BgOH3JID2Cfhzmg2WeymUjgypTAKIh7mGMnVqhy2ikrlP6 4gEX2iLWGi1648HS3sJK0NMbHBfmBt03aGlcIxofom+IpVHisaGYKoitQSH0k2/5DoANZnwXDD1f 2b2netPbM5ZhOERiu4ibkqpl3PDDFKZ5MuSxdBopANdFnmMwceUolweTZISUT54hHZ9ZHWaz2eb3 fopN/6+LytdXAC3w+ar8oafKyUfhjE0b3k0D/ZZ5HW0eHZqYDEXfwusAUl+q/6pIk8TteVQKTvEA jmfEqXE/tu7KFDw24dtzCrdOvSojqYsV2il3rZW4kbOc2jEbBRBO3egupjf11lC6w0MtqhwOiFux WfdbUXmW2mlstfr+xymRAzXQOLtflmDD825vjBEsaYEwM59M/pn8rVNhhkLe3YgVNyb+8HoEzPOP I1BfKZLHh0junOtHu0P4VznKpprAAxzeXiSg0GwRIBv8rc5B0pGuU3hYlm8Nh2JFoUibW13lUbKO D/XimQxG48d4ifiAvVZLsICbeArBx5rqZ5iXpQbBIeG6pCPhVwP9JtrBAhpvUeT5kUkWitwvmjE5 X3wPwinHPzmHa8MAweKPE01n42u0ygn7k3OmhaB5JMVoB4RQIOxFoI2srBse0d4oetppzPabsl51 8GogKVK89W4829fr9bWPCHcK93bEnXsfuaj8XW6m+6ETE7AE1+alnqb0VbmruGGyfYQeaiR7CajW aLmXwUgwUG4610tHKRTircgv+M73iO4jxvTcOyQ3kBgTdXM21VouwaOYU9+GHubvV+eYRjiIWLsY UedaDCFfmYk5HNPFDUGsmWOT/IAKT0tu9UmnBaxT/pk5mmnCpkBPxtwzzvXlTaqLb8+5nA/pNbtg rHzQW6AWqQ16Iqmhwpxi3wPcKqQqxWfWi4xUTG9yr+8YBB8/S2kBWT0+4FI5RC9NMA+BnKsdgW41 u46MKOfOwUTqPFB3PhvzYj71cRsDyJmB2OKczh4tcJ5XfASr5RJibTB+2/nzO5Rd76KgPweCebxB fjuuSg94uepgKr2qq62bUXV5zVnOPvFjUGXVRxFDWQwSN20V+c1VR3JItrgeJazxKnhifnpVH+ky jjE72Cq8mYLFkqAKLsVFr0dE/Onz4Sje8EmlGS7lWUTxqtdHfKe5ehp+GsCaJgewEyTT2GAPvTDP jqlZPXNHnHb8IRSsvZPo5AUd2zeV2t24Eiw0CVu/3gfvbOflmHLyJL5OvNd40RjCmW+86DvXRCKC /27TZh9voo7eB4yfvkEav4/gAV7eJpWHDyOSQaNf0HptGBQ/Tdhh593wVAVsaxX5Xf2Qd70ohjXG XE8MFXlgmuPlm63DDJjV2QNDN88gUycrxx0ZpSDON6Ao1ZuIaedWUu4l6juCp5LHp6PcJA5p4zJr Xmojq+8Mw9DmJ0mc1m8NCF696wqzpdq6kFdFsSjVvhXvz6ZPs8N9DHauNBjk5zXq7ItI+tb9yuQo h2h4+Cww8mqjNHCfS19mJ0X1lUi4V5Pea2ckSAtoXm11sI26vBu5PQgeXje8TF5VsZS6uMwTMZVP 0QY/mdIgruaHi7hziSE9fVpMUo9Z2j1OAv/+hCXFMYuXYxQcLkyCyI5Vi2a4DG7thfsjfYt0RpuR fw/nWmW5Yn/WxzKeXHvgHN2lgFjbVF5LsfsTLTliTDgpPtG7QcL34SV/OKEUt2QJqfoezh1aWVm4 1Bm369uRk0CFU2hZaRBv1QTC6Wa3Nj7EfKUBf/fRlIzPJkvX/UFAQW3XB5ISQIukWeeHXeyvNYy1 s7HMNlkKtiSNRokClbp5ONBe7nouBlDmTPXZwDwrNXxA+Chyhsl4oU2pGUS+i8T86lNh9WIFiRZE OkSnEdt/fzo+vxci9Foz52kAZclBzZ+XrrVZT0395WtcJ7bE8llaQu6e9oPkgSjwCzXuQi6bot54 T1dr4bkniRPdqjZB0uvKd01SjOR8g8w5qQw2myaUi8pMz3dUgXc5c+p0NopAQXxR1yXgO3GTbhTk PDhNoeUc2N45ZH/ZT+jkdolSQjNWbUfTHUQaEg4Ew0HxaeTPgeco3Ovzut5WOxtWJI1YBD+C6OXf PEdBOKY20t+kSXBW0zi7NQ772skYqovXl+hZhFFkalphZh+aOTOjMkoNPuGa4IgxJE0qkpuS2prw AzbRfiztq/IPfR4bjhpzR3ippcapy/TtYCM1YStYSUYN6ee2OO9gH07VcJHJExDS5bnwknOb0pAw 2lvDpX23a9aCETIkKcFuPDKK7yE26WAc+c4QRPM7Xhz1eOEy9NBNoMYnrwI3j0qftiAlb9WmpMf6 +wOvmkPF1NfuLImPPRKQht8qsqafj7m+EK58CzxvpYramYQ/bw/RhfgAG3/27mSLoxtuujkTLOdh VdwtC7c3Jb8gILhWiTwvMtprsS3TEWR4h434W/li8lq0oO7vckI8GaE8DYBnscU0lJzbFD4tct7i F13fU73CoN0FDc4OAmwtqh69avXmioF25EwYfMukl6wboIP88VD0ynSycO2zTGZ2UaiMCExaVpQM 5mJxInscbeJxAL3I/BuKTYe90i9xoD0F23y7icraNriWK0zM0akIgC18u9veMREp8rKGr/cxpvRm tqwyz5fRZ93uXFax6bB23YjSNwP7m9qDYXSfkfG/L2g31DQ2LKgfgx5DZ3r8UouEmto6fW4uG5M5 vVgOcRoxb2oH3rI4UEGHGJp9QlPuXSC+4cDHuVVi1iXGDglEaS2x4tvSyM8VjEJDd25dRw5y+vyV 8BbGP6QuxPdCnBKRgeuBNuBiXMRYePyp3UCTLDlqwkHVE7iCI/HQh914mwFB+ShoNaLhIiHyFbs1 BVHJaJDy0WWHzzDI2/DugP5grCO6fpXINynymcNG0edvbIbz3imW+WCZTZ64xsQOnOBPwfbBJIsq 0AB3MO9/EzCZk7EhWRwvgUm8wVnlVls1GfnOhcAq83CzFRVJ8nsjrYjwybSL9ubSaN6il4A/Ksa1 MXLxvBV87OpWB3hFElKo0zpzoTuKVDuQhkydo30NI4ONYGiMSwNn41Iap0vBChkikcccwF+JIp8Y fylYz9U6u4iVL1uSM3HtobaSjKNCDjcODlMwywpszl6suud1Gudd4NnOyywiiOXh2MXlrq7DG7ec 88LWb0B4CQfcSeq3g1t/nJPAunCPQT94vKybLKge9RNV+Q4D4No6jtvLeQ/hn+90YoioXt4ELrSI 1ZhW+7+g6KsSkbon0Cwztk9k4F5hjTBgrkOrWDoJDQuazdwthcHBxnrv4cas/4AkYWm2ccP9MGt3 bl8ujJMAqXE7MLEIVXQ4nGhLj30xD/qJ2YaA/1iHAWNBa8AOSmI7bAE7IEZOZdafu89WLzJq1bpW 9dH9hoM8pT+hC9jVEM9zEjkKeyBgGcacamQ85ywMVFuBw9DEAwajIiM4MkvN4+uYeXMbgpm42doy VVOYpzm3Sc9GkRpzMIsGfhxEF5BBUEQ3cMu+Gk9cx0bsaUbuhtq8yVIpBsCU9oxu1jRgWuXSlCn/ uCy0RB4EsuN5Ygx7AUoar8vUc/RUObsTCOmmmSBuGpBqYrlaNL3dwhYruj6cgb5SyzXwoBXyj5JC w7vLBQaK97EDcLnCn3PE8FGTB0TywTEg2sEvRyctBw/pn3zPCa98tMUPSqxJ1BrEKOGaSUANshn/ ehy+nbghjHBmrohre87IYLNff0mNji85TVLG94S0RQm3vs/7vDL+P17LYRSQLDYWMxv5s8oTUIzW A51OOQNn63ejIiJ7DlMdSISnNl0wulAe2KHSW81Urm1fD97JZ5jDGB9wBEvfy3fOhipBV62i5EiL yCgkhQNb1DWUcMwrvIWRbr2rIpPl0ZgedUEmu8NIwnWYkUWbElAo6DBqJyPKRxp5+5cWGOXXxOT1 quNyI8VM/V+UwB2bxr1bPFwhrJSuGDpBNioL/zJ8AV3aBmP+oIP6QXkN5l62T7ly+OjTSLFpJAvt dOb4kFM62RJ0DivDqddIEckUMZcPXes5U1W3Mra1GOv2ibpGt9eVI1jKrPbWoaNM/Nse+ck0tOtS As3RbDpwkE8C7S7u3ULiWQsXAdAg8Jkk+3YNeuSaea6uYUjPk/ezra09ZnmteVBhVpZHaDqfejOr 0UzhXtqS6PFDmJwen2GcXHCNa2EltSKCIaqHpsYbQ1fRZ/EfIvHIYFWfjJ3jOAtK/dZttM4ZUNs/ EQ2HmEmsmPLr5Sc4LgxX7efTpOJVakEpOkzzGpUE2gJx0G5GRx4mxIZ6zVA6kTNjtXQGrMPaezUA R3bccA/crrJ3kdVH0HTURxd7WyFKndJ98C0j8rnFK8jOaLLicNispbPaSQW4qWHBLQGFnZMubPdm 4s9olLJHAKq4yk9vm+386zqvP9m80NlmaVYG87/D1P0QdA5fNYpxQX8aI0i6uO+WNVwPzKSIRuq6 LmL1n/yBfZ9NRpsKCiCI/qdPYUlIDoUInKebNsas4YNxX0rjhN7YJ6YPEy3fC6I31ZiiOGay1EEK b1gXwAH8MqdYgaYak+BzYTxVUQF+EeftNSdvlN4KMoL/RAvFCY1lUcxJ3NDwFZHyWzQfqKMWrad3 7S5EV9jW2vPQuaBUPiJegXmoj9cKPOQNZNQwKJ8vRtQhOJpYMayENVzptkkZymlvEOJI7oahUnkx Vxn0XvbDCXSTyLTX1/7j3CXNluLo5CK4DtR4+wbgv4LKPR11zAXjUXyan5hcMCONjPkgxiBpObSZ f51gsn06ILi8oksnPq20deYjFxCz8KWky8cvBNOMv8K/ivwTRJw6Ky3EZwl/2tAtdQEnVVJzL7om L1CRyxGipXmzErmbqGIxylaRyqw79fpyZWjGa0RFIgw08NnhzfyAF29EWsf5R1fIjqDbsceNeel1 n6lTx6XJvStei3+dztgLEoISJYaJY/U+lz6czQbj47tLBNTiEoeEsADJzNOJK91W068yuMkcZR3F eKNV2Fd37on+KPIlc1702keBlogQJIyAD649HqIOOqr75eNOSHJ7GVVITgm6LkxAjY5tFfSeZ0At OlTOOzoMO4Cx2EfHKDAW4q/imZx0oqhlvOezX45BPXoid12XfSoQXs7RR9jXCaB0Pie6zMmSqFT0 p443gdGc6qgboN7cJt/WTD2gc6F1v027x/a8AqATte9cLhO14RNx1hiRnfuzFoKVc9sLTsDg59dj aDwwOkDEA0qMNcrhVoF3SOJ5ukNamWLptYyb5gR6pQpB77XkxuKSZ6RvPO+rf26RdiejD4ZIcYvp DMrDbXJAD5s1xiqjk0WrJzLd2UfvnYifgqBwO/Y+SrD/yiye+PYuLgbBHTNJ3J5BhmfiKQM/I5dZ PtORX7j/n/NWIa1K49+4yFFuI3X2nKBgRWTQ55953kcZAjtX7s9hcSEZFIPf2KHV2X9bPFsKASAc D6Y2giFO2vTCHuULImCvljZOfGtY5PfRhSsiJsbjdLBLq2wtsVrbZFzJ30nD8tHVhgUiIEmIWW9B J0kuxzhuPwa3dnf6ONHouXLy8JJ9SUyTNX47EByY/114OcQLIUGLhpNjvXFmLBXIKowwYCwZp0s8 WAtAtb0W2K8G7o5HSKQFIgVyM3y7wWjq91fBMLwb6FXfQt1kzA1jZ78mdT5PyRZ4IxfRirnrvX8o yWbuR8xSGo3Ns8L2TaupqzaHqcAILQtB1YQbkHRlzv5iDOmoSDQg2xwG6mymB0mSECq9Rp317/jn R5/lBQg8+LaXOA1GNeAIBt9WHZTlTLy5QH5Rb/JF7sPtnOPRnT4f0+QtAiPcA0ma/V/z+9DxgY92 zZU+tFevGbrP/SCpfe29Nr2lMRe5nWnv2vMhqz55gRHySSUIFAk/W1PgPSsh7+YrYIOj0IsSne1Q sbECTt3nGn/HMYITSOjdBeE4DEoNIkn58xlzuKhPmcZTFBQ2Gb2PJSnP6wW+FgRwZYf9wj30BL9M 9a1/pc5HVuOd5sNocwITuX8SMLoeURy1rVuWlRZyia0mrKCQD0bIXc0MAjgpspMisthDdU/A5Hb9 +8prb5uEWStyYj1L4kf9FM1N75ijTKQHK7KVh6XOuL6jCD4U09lvYcqlzS8KZNP/11aM3myXLQt2 CuCv7FqaA/yAKM6PrttsLBaN+OWo9GL4zEZJS3mH0KcduhroNRypMbyCdT9juX0ddvIo8gsTSeS4 g2uqjiO0WgjFk56+ZFi9M1VWHPyDB0rKgLxOV8g3mVT6IbrfICJ73ZWiQciAplG9un1NbYPsISTf iezCHuji2bVGAt77k7vS3WF7nM1UH641Bf3YQ8d4DiX3k+6YJinsK4/q91HqdvvZmQS++cgslZA8 taTSVpy2x+0zSJT1kOS+IgtcAty0ATRc+mE0wQpaErh13SMtPeqdUixUEKodunZMBpgkwSHujWgi pcY1eSyct4PBhpUKJnikSSfQPEX2MQRGIDEJQvreA9il1XC7FA5bdZNX5tA1RYryTEUzqNAzDKXj +H9gzRX/Aw4NyDWZFwyN71lz7nGiswmJuJimGBkaikYCuVUwI0EafbgtpdXOY8LiJ2yMj2XMBSMe 1T1HXRgYpQ6BcXYVn87h13/0u6B6fCIZ/zi/YmU8/fVca+wR02h54xYTx36tVOatJCMxPve6Sjrn WhPjzcOZfDXgFBxBnITiPOou6mtRRD1QYH5o5D5rvjKT7BwAWcytwndGXpLqU/O/lmK9YflpdhFh +uNVq/jPQNsIhXjcPlyZztsYCEAROttOCdIA1Jg2UOBe/VPo8BhcZnKJKK/TOc2mkv8ZbvIERcws evektxyc3N6coyNOZweZITtU7XFiM4YyBC1G7l8LfC4wnlxvgg0h2Ys8sXH769OOkGgnlJEamm22 Hnw8H1h0QFb8Q6hapg0zO2xlRJoUcv4sYJN6SAu6q2jHLlZQx+uubW3kRcMS4YD35TLgY0DNrHIZ Z58/7ApsrjoBy7hQicpGZJyhzDJty3LVTOamjFaNrH1IJm1H/4QBMsdMgZzmy/hrWc1tXM4QMfHc ZC5+J4H8huaWxvIoap5LZ0pz0DMXAxAl3f9NUlt1rTbwu+rUvuTdiTW1kVjpJLol9tAO/3/tYdB9 5lpCTXVolEKze3wVVC+kbyQAhPtF7to53HzUK0TtlGv93S927bk0mha449qdba8/UHWO9W3XBgf6 35QW501xWDfb7tmQTS9aLy9MsQQzBrw+EDDM0C7mVLD8bKVCMsyY7xkTUeWkreBv5VwHrSFXmdQ8 TXCjuSpEOhgvuwvgo/IiaNv/hFHSv0bRq6BrPnky6sDytmgw8uh9a6CqLbtp+fefkvF7UuUZQrVM +/7vy0nr7CuXG4wi0dFz5xs9yTdepGHaTnLkh/5RpyRdtwWkJUwasbmaK9GLtNnUqvjkQZjItp2n 5NK+0qsw2IaWEbYg8HETqxWXBItejfLnuGrxphXaAfAIyzRP9f4PQS2q16G+sGbVui5n6OOcuhli BxcPlWUNAJQoBQ2mY02vHScO9svNT9zeZx87ny08a3HO8fhEUfjeBtPKjr7xM9cVMF0N/Hgoi8Rw cu/D4cGGD6TIdipB0qYBElWing5sKfR420FF2kWSWdGeLK3JnjixkWultADOcMlsD6vzZQSRWQAS 1hRT87rOx1t9Zw6YHESMx+KSCUv87Th1FKcWjmx+GC42SNrtt/pkecK+QCgm5WMA252VAcDlMFl7 CibEmmgeq/MD99hBN/gfulhWoMEsrtz3mL/bv6SdD46cdkIB3kQ1b0JKBuUzWmTa1WMPIfDctPRp nTF0ovX7g69LWFzIQQZpBDytflcHdHOPyJSEdxbjrVzlw2E3w5VUsv0HXWshvGJu9GhmY+ozYyV4 tqUwXxpIQ+aadHCp1EG6CHvaHuL5S3EFuZTr5Vy240NTAZd+j7RQLvDb7K2afMWzYmZVLdxI0C/X tDxruvVcVefXbor+RgkG1iopM1TiDG3ja24j7DOnq2c1tj5034rpvh0ha2f3VgAJ13pc8cWYAzyM 52+GMgHGuXBk8V2s1NNQiM456fbc3yM3h1dSmPKyYfnyHS8Hz2Y9pTUbOJ37QYwg1bgH37ymbBcN NEIZ3nXfIXC7jM6UWV/uU9ThJkdFIR1rssRlpJMB/iO5sIKr76ibtHXY0S6VjucEZdBfQzktfdXk Ad3TAI8tMsrso0w9egzFksXdBYbCODk63nyqHzpnEB3r+oTqQWaV44QFtwILTF9/4IscDZIO5yiC zbgBJga/QkDV1YfGbpW1DyydKAUvNoSDDx8rXBHl0qVoT1UfJEBzUkxV84NzPA7cs1gFAEHRKir6 HY3zbPRJGOnRTtCigxLy6S+ozxIr/Ok0V7LYH9CBeKcbgUPW9FAv8I1bKSLJMCjRbqYaebofVvsO 5tGjbQdOUYmSrP9Zi97nOVz8I+ClIQ7MMMNFJ/GnRJKbTAv24EJANfZ2IwJtKl2mItw0IcxUqQry pNVa84W6vkx6mh88c1v2Ilr4qQxQgbggeuFWHvy39Ff1Xn8no1HErj00sbTyiMhVyFSA2WZXjAYr 8scj1epJDoISgfyHfarBi9UHJklbOA9TllnA8gzzvluqiRqgxYeh5H1hJ8PQR2du0k41ZJ3w+rPT ZfsVc9uKvR3fzXBO44Bza2VPgsyiIZeUqRnYE//90rnZDc3JGvuSOG2hqdgTyTtLax/J01UXm+FD 4fUUiwadGdnbgWrK2c1uelXYKmDrX9kUHcZ/kUsajVVyCMnc1mjOO0WdYWbkSoA1fja39dKlcfad 1SK5Zy6iUXu1HhXXmXfvy07+eXPYtpml5UN0wxJI2lAOMuUMmulo65j/x+piLWRNQez0i9iz+esU V+Jvl42MYqniqQ7fha0SGzkefUbk9ZQnb/xeDGGiQjUt37zhZI2BBlUWVzZcAYLzurlKBbrgCDXT BGNa/3MAoaKjxek32hMTUGU5nxnVt95ZqBzQbNUW3FiHMY52YXQjbfJyDUIHGElPFxhL08SwRm4A ow36KSjxKMoiv8cLgKL89RERsfEWKio4b0gpGfrpFo0QsLh7GAMhl5CyjTyd4hJYxIC47NO9rsHH lHlCH2+S0jhgF8/wkKqPx8Ed5K7I1IybQ2FZj6+3+JwPGuLkNyuVPylniK7xMMTp4SGYFKvOk/Xv 6he4s1bybONgoYjugvZAShG13V+0u3VmKJb4F3m0d1UyhFVfb34gTN65lu+PpxGgLzj1Q2aR039A u1as/qTxtuH2KxOYmgG+7eTuwuMhK97PVPeS3ClbuOsY/3MPK457tii3gcUO0awXuVWWyQpyteXP NXlOYQQDzfZPFkKgvXlBj6ufBB3WvTl7VSl8UounkoZ/4/vwneslPtlvoCm525is7noRUn2NsIlR NhzfRPony8zDIfy9+hSlLm/BAa7nFyd8snLIsUPRRC229U9fEQPgIEE3xz7RpRO4xo0dFk7azMM2 w5FfIcey/x/V2LwlVy7NdSFmybD9CoqwqQBKz7hYW+T7Ng+CJIdpbbPebZDFlSPVWthwfmgFVplt l8JBql6dnZANgz4u7vrP99BlKD+5mjfNHAyALZL6mvLUbJbShV2KvXTruAuFc+gUd5+P7nRpqkuL 31EMrqF4C7tVPEuY85HJMRzilEitLQyzXpPaeGcOFVb0pt7ynxBlaEO47OTrvyQbESmk/hwwHHgB 26o6pwfLVsjr+D4Psx5sFaQG3Zbmgv6tnWbR7KTwglG4HwoBUjHEMYWOGn0zUsPLYKSi/tqyN4Yj OJuao8NdFqLOfoHebRCs0vMXo574nRBud07yrEsSaHYAulUoneZiZqH2byie7gev5rxSxitTbalA DDiC2X/KCwFst+B1KOf4hcgxz0s42p/5mTxidN9l2oARmz+7ZdErs3SnsuLvANHCQbyu1n1FEulI zCIQWcqVSGY3y/54CYiwAvNh85IJeVbGYN0F3g7ax9eGXyrxmllgQ1sJr07/YlHkvv0t0MMWPLVe eYMvDzRpcZSy9fU5iz8UNNMQpsMG7DwC8cWAfnmf15rtMgNstRzhH03PkIDX1bQN+eQjanNEniBS Yskpw2rZ4qm1MgjLALOvxwV5t4cuKzpwhCGGQ4isTF31eLZcCXSGgExsbftMUqmkpA9TZcfxvhXJ J04IBvA98bs6WEOJZqJC3PrwgWWVJffGsNvkcIq3JzEWxu05xWUKRnVMkDm4PrVko13SC81eyjlx 2HD6tmGeTxyP2SipqG1KIY0YFXUliJtGK+Gk/TMQ8clLo88mXuz4/PcxQltq/s4b6W6bBuVIYZ/Q itPBTddTM4UoGHw93WYdQh5tV07NvBtPexpRZSNDz88e7VKidLkUDhWerbOwEbXcEv19RY3C8iq7 wxw9mkkBq7SFdGVOZFDe0Sw5KrpKrMygNRX70NtMiUc6I+zG0icmImnQ7bjwwOK9B1MKZOllZop/ jkIaDB5P2sXycreniTYL6a9xY4KTKiokPXWRcFGdr4qvLgBo7W7rVGNEt7QXUmWeK+dCmSBciSDc GDVbcyPTKoovrDOlxuSuKnKJpuAzZMpolKaUp/lvPGT8iedxDQLkhfkpliryRzOTqBrVO4Y0Y7v/ 8+/2em8nL1ePM8sdzF3UgtcNAGArr4m5GcbCAPopuPEzMqhMIU3zYfb6cvXTIMow2znHNr/Wb2Nl vnkg20AFoIMRGNL6rkzywbIW4V9sNQShC07cpBIjXdExGJpZHO1KMSPYE4EbqjV74w+V46RVALA3 xTy5FnY31TjM9iSUdBTbJ2092/ZnQN/ey+Ogx/hwkmW4z8Pk9jfIosMiUnrNNWx8xC68iJZuFyqi 3oRJ9loRiDxA85hmhS6W7SfdGwEIL9tnG97ebn1yHXAk0CLWAdfJbZkeMZVKJRsp3RlILwjoZS0R ojVapO/p7RiD2AW+9GfsxUM5kJWti1/vCDbECYUEq7KNHxOQTHF9lByLCcLhhW72FBx3fifXp118 AIl8MKUqlPonA1Jdti5pudaCimLne+QBbp35V2EzqadhksFSOer20UzkqQps06uZrnXYbXfy1T/g Mo//f34JcJgV1dwxTo2lC66PFZ/p3Jdhx4BvmiD2W9Fk3J3jr6dMdon1wk7PrXLCXA4O4Ob1x8pR emFM3TS3l2jfgTijfC09hEShQDUneOcdSpdtw/LlVMBT3E8uURrfgOPZI+IC1zSmjAlW5CLgPg0B ylvAIy8GhRKuvwFC23bDvFioi0HntOKDddP1fzuhENjItFCv4rQy4QDlb5GsZK57Ydbubb89hNME IeKEfb6oqANbC7tvZl1ySKwytJlSAHmKFJ5b0cfSH6Iu8ZIqz01ekMQHGaCVe+L6PxNhbDXnNiAn mI2u6AYweEAlJwDTzB0vHl8anUvGUitB3084+uKsuzP0nV6dJ0ygsG9zf27GLxtVf0ez48nobE3W AQVRl1Pj1TGS3rKqfL5IahvWQtSy1P/ALUv+rxE01BTNx4GzwjHksuyyfUj07vccoklxRm0wbI16 EcD7ADaEbWipH8dPn5m55BTfAYra4qdM7s9U5ByM8BifazlT9nAddIfDTYwPxHN1IX0isWS9d0Iy Tqh2XDVWU2HaJYxibYxqpnWv73Iu91SDalzLzWh/33gKIFiJFm/HwwJmZBY0FasfD58avWzT+/la fsSWBHfh0HpkP7P1duewUvJoiiv5RcVY2wumzveP53LEjylS+o3DIB8G60nFfmHwRwWbMmc4SkVA IU5g0KF/4eAxWpMpkWQEPhXbGkwSpkcTGwNXQULF9ns9zToUtUBidpRDJLo79QW9iszmUFt8MuOB GylunFX8/VDIHN3YAndqUpaYdIBj2IVLsvYtw6fhgqMxekA42I6HfAUG9bTCGmOf0oQIpZDOHZv4 3lYZ4FlyEVA7WcrlaxTZqjUNPTosj2ii7FTjSErtiPYW7ySZFL3shx40I3S97orRBgH1UxlHklOw /LKMYzOtf/xZG2hjk7ELOuXMqtn9JN0HQKz906+tSaT9dhrYLl6Y8OS/99+TljMwSjku/U7RBXhe /qZ79s9xwsb2G9PrqeovX0gnDIs5IXv8xcw1FG4i2viw/6GJXxfHxTEOGOqzp1jOcJe+apRq5HOL qiBcT/Gi+N0zgM0WwJmV9/pPL8XY2jLWTto00w6cBT9G6U9Vj+iQpoyIJrTLykC5xe/xvzaSQ5vG zcodFTtM6tsPK+GOSLcvHLp+ojB7PS1y9R8u5jdjwlP4wTEuvM7VvHGJyV3EZnib7reXyj8ssRAt mLCY0KVKYgKT/X2UVxkMGZpZVQi6cGRb4h02B1VhN7SvRppZAPdO9i3hYkQDfL1RwUnrMNE2MW3h +/ZNaYUQORVeLryuJMlaKxHdB1in3wI4p+iZ9O9+BMQt7lzdAeGz4njisV9sLlPhGBIR4DpXYBnS lL0aPXONTTAfN/iYyDj+169rXxpJ6Eclq/DpjAWRZqucbh4YwDDx7QcL5tL5Z+fpXco2uKr7a8hX NhjFvziWSg6hLExqWMrjNzYe6cWbHvGLEYBBgCRhUQMm+0KN0jH2WVEwtJs2+H1a6t3lLHK3qYw7 SqpABt4aTRCsGZDaVYq6AYLvj16XBw9SGmmzkLgX1qMdFy4UJ0Cc1QiE0M2n8oN6BJpFvGUXOl9n 8KCfhQ1In8gulM+4DwleyH2PXQ/5ThvUc1VRmBGtfFeTFjXRfv2dFMlEDm+M7LMT7sfm2XkC9OIC rJKWWdjEDWcNwvbGQWTjKIGHcaDHcxRcG+y3FL1/NxxhiCF1NBU7pQo1KPpqnFEtaW2ZgsMsLzMa hCGZ3R3WtO2Q+f3hbum1WLxSs8/8OV+fui3GnNM/Bwb4cBuPOz0KbcSms2U90hVRhUFMG9/AbFj5 Dwroqt8fwUqpg8N1h4FuUGS1OhK4ooVYMcKPnR0uY4D67Z9khQ4SwmPJGqWth+vFwSpklOL0iy3+ vjps4DvPoUehFmb8rY/uewUEP78WPr9f9oBsXQhQ+TkY26op1HZ55BmUIDUyjdyzxgC8kKINCYG0 gP0dOUXUe/e2ALJWZR/7ozGge6vvpJb38tgINRxVjOAcLHdFPLEtT7HRxybwfI0yrbSiPUvYUnoq 1vPccEna40Aa3AqSgK2XozkBJZp/PdYwuGiAWVSgzm7/7VwwGJ8PwIuBoECRq8aVqXmjUq76g6td G0PQsVbqiyAx1p0piDiRnwfgefjZrRCWp1iYnEWpYl+8fUhCTg6mrGCValnBeAWKqTOxdtAdCGep qHGKZjwUMOIZrQd4noeL7pDEp1drFDzGnMbzDVD0LM6itgjGOHoHRifzsZlRML6rhV52iiIP1sNH 8V1fVs7z5iNUx1P8Op9jpFmstlDJw0h9kSmrDgXL+xtJXyXu8LBui+lRxWA7FUHsgorRBOdm0dNV VAVbamvLl3rN+/EMRfJaGpJndLk8Gc6/2QdKpFsz3xPfaGDYA90dw4kyqcwJvgbdJ99juxhjBvFM sUgeJASyELTVT4RuGMRXbCQv/6seKSzU8G/s2/M6HJOWWIdLV6zYJPSxbMK8X02iJkiKvcqnStF5 vmMmZr8rtoyESC37lYSREWKFRWgaSxF2EwBaiNPPiCHyXK9Wf7n2Y3YpPxeveo7IwnUg1ASsiyis iHZUg31DPg59pFP4vTBLRDL4TaqRgjQip043IDVAB7l2aTiJ0a1XTR7ZwvzLXGH5X18Htri+nxYi jQi168iHyo+NfY97g/o+jY8T75NYQHZkc1xeJICPpTQWiXRCqaeXed/FcWKCoGsXtgwq4715kse9 UZaJkhTcU3YRAIIY1TJUNYQkV7ub4nljCrqE2c4FWa0zpSaqOV5YiuiXoO2N/qVbMf6LznptH7WP d0Lg6pSxWRDSTPE8c359+u5CQJdHoAGKwE/VDpP6ass7jSEWr4h+lBvpZwyzGIWawg23njwaYY2C GlY6CXHEiLbTppOt1Sip3FR5+oeZ0DfvFKsJmhqZ0bpRuKlUw7oK6wm6PXBH8nDALmlJ8j3EfTML KQyebpgni+YZjdHGxc/MGaz1Ozco/JR4/6zmpnM5qFc2ICYoPAshYzB68UBiMWrwQBThzdtfbBMP XgrS9uUl8BANnRGo7DFUCQqNiXBk7e72ZSHn2v7/lOY+burTi+0Z9mfUO2iRVarouG4Q8wKpY0/T qJtsyolmo0DLNBVsLEe1Oa/1Csb0xmWAK8gOGRvjy5Mi0iyypOu4y04MhlzNHF+8RIUXc24fjkpC hKhCXPzj/zPDrRZwqjlJjyE0SirFIJXy+i/dTSWNOriUSWxS6gZh+45e9aSCz5s3H72AFW3fhybI izksO5OETGb4weRdpVPCufZdsVxEEPYlPvwhfAdj2ingE5BZBm/CHhehFaISG1aIpkzpwMU7o+oh Bj/wHhApV5Q1XKfDZyLUsr5mWSp/LBk1EORmxI12A0+UxMwwhKzeiI7d29/9VheD4/9RhJGBVgva QWRTl3UGsoitu5d9XFhhgD+aUBuNBLLRmKtFMvONh16J81lXx+/CfuLuwbzp2RN59adfiQig86PS NLY8pO+rHw9nqrUg2fb9agVUNOZW+UdG80HG8XPdtkn/t3V5r1yiNNoJL/YvEFD/gUsMj+sSPiMp DVtGBaQr+WTXke++DCATeUN/MVLFdpxIEHDscr8p2BRV3ecID8jIAeQSN0wZ6372DPjrbzBhcwdB jm6uht/hfiqg0lOCGvQwfErUfyQP7JRJ5c5/E3kzy6omyEuuLTeXoHLAVpmWH4ZTREfHcz88zXls PNabiupKCJmGTaC45uULUo7HUyPUcmpj6eWeXWa+mkAG/alAPnN0C/ZtRa2cwh/EqLlw1wVfonEa AYMDOir251VxfghIeGndbOzBtMBtOzRWZDQVYoDRWxlRqpcyR76yUxWD0RZLBSamroYx4LJuRSzH h/0RxXqaNr+sU9/tnM0RsFAeGiZMS43HIxcAh4gNMbKBLMyZchIoofy+/9rSOt4yRYJvWpwd7DHe Q+gzLFSir2xwSflJGkape4toYXHRzdmiENUdHHqxEzlGhaIYQSFFHR7DlG937Sd+0BUGjhm9Q2rs lyvOmEiCx+kj1K29oEFlIiwKna84mJytzmeRPTDxN5kyOb7VgJGSs0ScAgzgJ7uZUCLTjK6Y6N3/ YwqZln0KjSHLENqI3vrtff7FG18hWjqo/88uhADN8itd69xh8seDM0WSUsWzW4xT/69aDWx7jTqT hnMIRV5IRAIed/vGDG8IPtC5vQ0w9TDdAVVu35n/CJaUO2aM7K/mjoFYiHT0AUZKgWULJAH3uCLA gwDB35NB/2cr3yqNoPn1hPHBt9hsNeIpHboBKqu+0NLOEmeIVM7g0aqSjCboGp08sv9QUtycEnPt eym7EjgsP42qufS8XpYex7rmsxI39i0r+E7wMwJhi/PWil55nmdRCJQZUiJjwPtcG1vvup2v9E0h Evb0JzwQzTRWqRPBCzzxW1pozSTVZ7gzlf1ZlBvSezk/up/+/7XXslQlfKUWP/Wau1rHkCsOixlj 4OH+xWk7mqttt3ZM0Xo6PEwtW0YzD4Za6lFOMtNhljgSlpL4Q7OwKxWA9PoJ6ou+mFKBeFEjtRDA 0rE1VkeIheBAC2VsCh4tTwVhASBNfXcuJeBKTBdBvaJn1nKgJOVky1GhDTryuaG5RqubrZeTmG+x gy52AaGSYO2nE1APKpJtZYLu15aCt0qxrzs7q/Y0qo7nDeXUWDdq/AODdyLQrMeZAsGDZ6Aph3D3 /YklWIl4Sf6X/r5dGhFhVyzYDxf4T0+L/EY1n3mIfoyLn1J7+RLe1CuMWDh8oL0kdTH1ENVENfuy nv4ccrslNIOsl77853pbyDAqs+m06LKqUGYWQSBesKNCuu45zdiG23EqSiZaSKc3j9pQpuYHimy/ doy15/NhN65xcyLV3DtAlwz6JEGJTtvIBkK8dqnjFhH63JYNpsUdY5nkTH7eo1GKKHsviPG2hsk7 uqucwAFwO+sL8SgtSx/O9Y86UMpY3wEXo9fGKRBn/xNEA4VpwpJJ6OfEWRSENOgwIPnp1QHv3be3 RIcwqiFXGBvYfr7bDNB/i1TH2z7HszWGCzHkzKIgxVNNN+rgNE3bmBS9UlQk/PPdYpxxH5yWHpzE ZV9lj0EqnrCvkVGDe9WTZ9ukkDQrEjWKiy6A0isVuFK7FgPB+CcQnPRjxMZpphHpeLEkZjKdht6/ tybe4Vox4e3PMRkeg50IMxXt0XzNDaSNVpHinsC/lw5kPeBXPNzLKUQBKRWF47+V6KtGe5OPPUTD HktyXOPaTZlLOeM8g311ejnOjX4taI9FqWZVee8RqZAnOSjGlttm2+k8bFk5cGQipYYNhChqNo3n 7hPcxhWf/tWuovtvz5TV5022VXIrQsSM+A7C8T1qLA/ZNuWMLEIiFDFLlmFtCm9fkpfbuzqhwIYh iY+dhQiFOGOkVJp2OCYDeNoxYlydhM0OJtnkXWg/BlMWoU+BYYNJOWl0V5+NkbRNQB/4WQyFhEjX KBryRU5MTuxVOhf7E2LsIYgXZkS6OvQ3yFp0I3/Og+8N4W45GUONhRPCqHE6oZWku35z1iy3FKuW p6PFlsTN7cbrlYDk9GwVYMJjrM5mF/hByLwWyLyoKFvh406NI42vX9PA8IyeMBTJWYuhGWbISraj 4ORaQTBJCBHGe7hMHObeLAwqk3QA3q6FV9ViH7GrkfuFVhndtvLAM/7ks2+5yW3nuTeq0Q29Vq2Q ruwW9CvQOWrS9xoDTD+ElzK1WHNGUkiUaDYfFFiwv6Ov0ySPDpzQn02EIk2OCWUTQN4s3lNRlgH3 eIWargugav1qfUFvWaYqShL740HBNoC1pAq1iZxoCq6fL++/Nl2S6LJU6wbLAFpb/925PS+PaHUK X1ydqFW+g3lIPbjGpy9XV+Rr55t/nMou3SJEq5/zQdVpd0VH0CEQNUziwGv0xRKdr0CmYZruHllp GUyrMCDgmWi/zBZf9WGUDhRHNUGTaHTNeTJu2qpj5oDqS/caEbSO4cNCxv/pn7+7nonzIi5KPQ1L +nFjbM9BMqPi0kdDyVAusKceRfyP+chfr9wCHaXAZkA31WrR09lNEF5NDBP6/hqgzptOu2mm8Dfc 15cJqaFTlqcORfnvS/GZPH5wi8frMEGmdnizdHj0v1uqRdrFaZMLVYHHlzSDG9KSulgjWjGUp0qJ n+K2Mnn0qF6VHwthuFIlhtbQUvlvmDsUHKmDizdhg7+mcYFUOxSsWnGGaG5Dda0zCvJzJTYAgpZy prfdB8vvvHJz1QOUImIwBbM8KqqV0n/dr4tidiZlLCC5jWk285ENfbDNCWXAw2mRcCmblp2fJ22p LniVxSZdPS4wsLNY6GC2jkOF27frMq9Rp9EUNtQr3TQkhHqvxLaesfWd4WdkNgMMppg1xEAG55HR W4M7gwhHs5WJfVxZ9cxoGLwaYTt7BFDteznffkQJjzWNRguNyOjHvF1P71iL75QNf8NhQuziDCJm Md+feE5j4/CfK90I7V2Z4aMlSuXZ0zh/ohze/bDyTPG46krlyscYZx7hLoEr4N3ytZyRD4gp2EZh W87L1lIQiAV0GkYTTN51NYzh++5vKsVyXe7P1bRVH0VuEkE37+KZnXh6KMgaJEfDO41cpa8YCPXi uGOm0JT7pNIP46B5bY64SS87N6Re4MZ+E5GuSaaekxjkS1q0bbl9TH2NbQjuXHB7w2DPPJRUbB5E +75pcot4unHniIqaaqJOeO4j4axis7gPfvG6mQJIBseMAm08PEDaTp/waCS9Tg9ChEbCBXpHw4wx G0mKX/G1o3dFgF79Ec8oeLgcByx8Ibs4px1XkTZ68YpaZXBhttq+yOxEBMSy9tK+bl6iRzvdGB69 tfatPAp3lMwCK97dfIAzEsj3Tt7w4qjcWGtbordqT2oBiw4x6BqswL+BAJoKJdkvdqQ2KNnerSjx LThb38Mr/U1SfTDO/jKWYnv071E29Lvn9R41q9jzqcgG3xkj5aXHdqgzYCEXlYpZCWVlvgBl7cFC 0vO9AuXJ0hINBGOZAoC1+kTghUjUufHv6clmqNlcafUQ/GM01BQOBI/oeVj9BsxhM9gr8lFClLg2 1Ysdkrmm1/JQ9XQUqNl6Lt4tUuyfSOl0dXsGGyDNnXlqFhKCeSsJoLuYbyJ1HlKBbMDaB7sQVlvt uepslLkUqCfu9dbNOoc0jjsa7TdC57ObRwV5P5Zgw2TqfW5ZNc6nBkJT382DPiWIC3+s5IfoIwxD utojkIWUW0fT5lUqUxtN4GBi+i3iSuGmKFAvK7gFVG+26csXsdr8XshTdnUhH/zv3mfesrbPolPf sYWNe+5R8dSNLkGDloPqzW5pc0zAso0NfFqXzNZGZBbYq4rI9yWGuajMOrmkLnzLYtqAh+6qq/7E BvZxqZxqKsH3KdGpPdz0X4cYCz/zH9Rkgq/HsSeSc5JyQfuamsKYD3db7hpyPcR7n8WhY7ALnDbs g6PR2nWywx5y26KiFO9RLIvlFihLfVe6r8Ak7EwdyrTFbV9vkodcI6BlevKRNsxJw9x67yb24D/0 lnRca1T5WgFh2HljkJ9gPgeu4EtFZpbAtltqfAbaiWICUrEfipNjO/MD2PgDNsgHNT3TwIRN1Faq V27vv7LS23al7RjPHHvMY8k3qE9lfWhb4ZA91JCZO0I5tk/g9UP2RDO8TvwpqqSkFeErz9jp1B98 BE+EdrSqW0NfVeP4x/A2AiuVeLt5Y+DuD90DrA/lUuDQYLt8pZ/WzhnmecCSj4eAEkzbhNm3jbhs K3V7la0pGyxQrISdswWDMC4vdy9RsGOC77mb2/F0TYL/ITxJYz8FY0Yu41b/0kut2pjlOrMuVqWb CZ69MV+dO+1ajJFbR+I2Tj+1+OwHOgkK2U4E5f8W1ooJ/OCMZxavkKwR9GQA6PcypYGvrmKhHheU q/LSp1KfaPs0SaBwchdKXZ3B9QoOEngKzerLOinnJyA8tD4odPo4nK0eAcPccBg5DlkFpIrRYAHH Xlx51q5kl1NdnkcAb81go7pJBBUBelxKD1XEu6TR3nu+yQizn9codfUJrDuuclfmfGbmrPpqy/9h IYJmZxl4EYuhfKKUn7iAzXBoy2kuDLUgec6dDZDLFTLbjnsuUtpR7DpUsuXubPkoNH6dsmfibzHd r6Yd1L5H8mVy/dL61kIEPx3LxFYUirQsY1qZBfn4hi1g8kIrPnXcUxTk7GlNEnWy5UyZg24WonCd 56b2kZSej6vkk55MiZLmOzaguQaixkUB/3DVpPb2ZjYIx029f8yQ3IPrrrstuSwbWlrP7ij+1MQs mfMJ2SR4ba3zCKMdXJKcnQS9WGsFbNm4o+1cDu0c5gDqum0ligz440J6wDnqE6iJe1pxLZmGYLSF eAGwNK3nb2Wgd81xLHJ5bEmV5jW7iQS8SaSC2a73DLhWWq453EosrpnlRuyna13uZIWC01KX8O/H 90olrOu9rEAsbCU4r0FR3lV0va2OsiW33Je4NpzgsXI9tpa8IQRoeKtFO5dl7f/46KH8KeFBhMfM PPxrkqjnjXJN8P7yHjqOw10X6beo5ZsCPntJXqwTV+O3Q4wFZsQ6x11sQ5r6yAUdWi3W4/dCYHmg q3nqbohwfunG0a3xY/5FH9R1llJZLuf9BkCZcGjQYCJ8agjfcO+NzPdd15b0CLJnic/55yLcYnk/ Z/tVk5R/kRd4jIZbhCEayDXo8ckt5U6zL40gx9qJtPtef2LFmRIcULQyc2xpKzzDIWNFPchhRkY/ mFpJShO46RA2vTOygNzaFRmSKf5Pw+uZfixSqk6EYjBBOf88UflpRjnwy30SPEwRw/QzQqdjZASp H6sVbf2wiJyI1V+EAZrNtvfR/fTYb9yYJXp3EkKDUgqRShamiYBXsQ5DcybVTelle5qq/6EIJ9W2 oShDB2z57TDZFalxaOsSGdCyKhpZs0j3S4pmzLAwPIKLkzTyhd1hFFSWX+c91ZJCOqM/EVhVqMe/ 87KClt9iekANYCDLmVvlbikQL0qxVEiIfRHF+Ytwqqa557jhpW9kIZfV/4BmpHveHni8CNkkP2N8 NyBB6nxXMUFkcVg9zMgSPsOsm9bwpo+ChkOfzPAQEThugp3YSllJIvDZdm+XHNeSabMgT+rVPWHs ZLEC4H0hrxpiZoicvdhRdQ83qEWS4TppFUmocVeXrTG+0stfA4HSNthE4Pb4BniNKTE0ul0q1lMm 1iAzV5Plf6MTj/ywhzLP8orMttbH4R6AL2whG79lnnmV3VfXEubhFYhuZoZWe/V7BnZXix8GXxz7 YcyRw72TZyEtghHXUJnJmD8Q9Z2bgmYQH8cVjV3ekizgKj4/wPjmrjiTa+KaltGFEUZgUs0Powwy Yi6fnlwqoqeUAV0ns6d5e5h6FXmuH1SXf3f8V7/QkdsLmDHEAMNcK09CYSWcDecZgQYElKxeE0qZ Y1qn9cM13muNnFSOmw5lig45cHyk7VHZRvQGpoB2DTN/hSvuX4dKK7LXyL8JRp+aWurw3DvajSIo JdyiJ4ecMNzFbvXSDmbm5JCDOq97dO/yq2u+SPrlbo7S3y+MoCWltvtuCns++QRFe3WWavPOnRCF e2WoH3jQFOM6M/RvGo/WJQyHoPulQC8i3kRetQiWsOeXHa3PGhJIhHkRqVyFcgZvABw8NqxS5OPR cKGSL5HzYhaqWsFWBXO0wK1oQ8zN7mdzUegDBGEgURJTgvwjZ9RtRBsjK9eDP++tkfjHOew0cJ38 Ri+A+1jeuEiOx7POkkoBP7JaEaoTBWHOIIHLD3kQN3BU14olBwJcU/dXoRvrgn5mD/hNqNaTi1Kc yQe8YIFgTJojd4/4XKJPC2cygd34JWrtdCxp18nzTDC+kDc82PTLrNG1uHO2bIuy669jlc9Igv+B S3HHFcnjAIj+DDmmFOq1VTl0083e0A95tRvqqtuQjTh8kzXMIyLZL6BCABpr+srtZi++60C2dkod YtkkKACdos2PfEBgYDsXy1h+1T3ZHkSAYJ2NhgQ0/AvodXgnnJtEd3pBHxv8J1NtF9/5gP+U6RwX u+hINNKEj8kL0RKnS4k7uhuoZLyItp/gMxQazW1vdD4ws3pWnjeerk5IEzcmWa+tQ2Q1W/ZMat4D AFfFL30V577JEqi49Y359iU6hvBIbtrz9avrdnPp60Nwdb/+kDTMWXefAl6NK3juaxMn+cxV9aHi lc/H/PDRxyLgA4S0Npl/W/AdevvgtTtCOuC7CPdLr5dFfSsCd9SbcXt+tzGl0SFjrgUP1PupcMlr GDkliCXMVvBevcZyIACANTcVYxLNZA25NOs1POZmrDIIYoo4jtU7GQzgXHXOQb+soEKszmnsx7vc 4ME/Car2HTE1nYDtXUduOad+zyeI9v/0c2Hwr7GAYtgXvt3FRgGsI86UGlQymdBFP36AFHD/Xb/9 C3mCfaqpVf2jD41vDEeoxPKD7HtemnK7NXJI76zVtrZ+JF6jUgHPAvd6iSBuze4vitY+vx2vJrF4 0wgrwC9HOej8wgp4jh+vcel2pAn3t67MIV4J0ypn22d0uKommf7jQcA9sgu951b0f03W40vWhlRl 9LJLHE9zjmPjy9DdPfwioBh5KWcqWLxxVvRvyVbHC3dhDwd9SfPJGzgj396foodf74icUpCNtDy1 2aRRMdb/CUua7TP+pjPnZV/eBWD0ft46wRMxXXVCrkdZ3cER3KqDVmwUdaiVRX6bDH5ugKkwsxdw 4/vooUDziCCUXsTEoju3HAQwPlRmSNCAAQWInl42Bh10s3yy8fbkjVyCfJgDaYgDdD1B6LDxAZKL Va1Iu++B3BKSgyVYe9oM9B5ruQebaAV0GsKYQy47mx4Ur794nIaK69562QsyHUNWqPAX4h/ScJXp ZBdBd++v9DxvR4Tn+kJfl9YikS7QuhAoLB6LSo1GYiTfSs/83fvUHt+yVbIWqNi3REwJ05kbeNLr +wn6AxVjwSSpItiwXzL+dthdx7LxQiBke9oIwQqkj+yXj20wMiyrGbJnQ+4AZOOT4v/If8wLi93R YMZFuDJ/N9vha8P3yLAjrPLTPghVJxf6hZ4wbh/k+b28GgzZp6SoLsLship2WyooOt8yYlbcycC/ 0SQwCj9PhMIFMPWiTqVwrtnTL1ewpCP/tt0EicLdJOgCycwz8RzrL1BFtTQd9vkjB6npwobBhpwe GNMMO9mjQyfRFMrF+K7c/eUai2dATY5kb983JzWuxPheBbhV79LU59mfcsBbjpK3+Jo0FHBthcsc W87o1MpTyV3da3ernt2Xv+ZgtiL11i76sGWtd3wl2NWuU7ffrJ53gD+TIGdS+IDcw75rcDQO98KX PhSzH8pHkI6IYY/APjOPNdYBug31kat76omlBs4NarfllQPbPoUxCz1pmXhzNTs7WMxsmnx3YV2R gPQycfLgUpfjTiiXpjz2Ks/DOlfNa8vj5aI7eeSDfU8SmEbyMbg+T5rbNqFqf9SDLTWKBFg/OQm/ KIVrQ/tLYn62B6ZaLtBsL9vQ65BSh8ZGwCwzCZ5HbqOlIrjE8hHpEv57wbWMVjczkBSD9OknxQOH dgIHOuUaVHovd7bgHq8tvreDIv3ez/jaiXnAKUOhZF1RW+wGsptGGwdGF6DTHK/jilTx93VpZ3ls WYYgD+Crzh+eGfwK7RXDJiAvzoAPK7ZZc1GxDzRlfwAoWUxnjUNCzfEHjg9Te3wOVLgGS+FgsTxl nN07DJjAFlfSKbQPOlLhF8LBbRYXfmw+NcqRJ++L2DclADA3l2qAY/b5kN4Kve0DIwFLgbYQgB+7 Kjjz4X1kzCKYDQagPszv1TYoPvyq93y8tO/NdUi/00QEvpC8/XJflTmuuIfr87k/zmC/2+wb7eR4 CLeRtI0L/RT3ZUXoJaopqLk5XpJfL1DKGpm+WirvFU1zoGzK1J9JJkplqrobOTFJxj0/paJvZ11K tO/mvnZ9R7M/4rnplZXc5FXHTQ89PLT8NWVH9kbQ5hO886GRVgxXGfehczzWxrYyjsFX7Y/mPrzs TddaKEPhnNYiTW3ZnuLk8gdXPw1Tay0tkA22hTbpVE67yWO9l7BOivjuOE12GCsk4LlZQ7WwgVuo p++7Vpu5P2IrvZ3m9TalMswgd8Unt8k3tfsGx+C6QRdKniiM0YqLpvKQAEgDLzlTffDLIeko6LYB W8oCeoTYy/YCHLDToj64WGqKesC8YVsjY3Tps3ZmUgSfdeAoTdF9YjQ65z/R4Sozaa/+2NBZhUY7 JDZL4ZOP1DB0QVkUTCbO1o0dXMgtxvBooDBLc+1zyC5rmV4h7XyGY9waKWrlcgyUCQ0z0ER0hWHy DZ5nqEweDLIg1cQp48qZpdESNcl2EaR8PlZo6ec2O0ozjyu+61qpG3mMZaXf3CGIB4GQBnWb3fKp R/OIn+sdpBjDSSxbIx2VO+bYvccwPmvAW8v143OlNY3EUMoIXGJJqxzeje/hwvQ8cgiOMMI93PiT x5heQeY2IoKIEFFUCRx3DuYGvL+OL/B7pjA45l2Z+TLySIGfY8VuZePV5NL4f1yLOUi6NCk+XVEk vvJeCmpTaY1SfF2zsGdohEIWxRN3XsDG9VPJiaJTIEdeB/jnwhxKh66SQA9e7fKKUU9THeLmCMas llIDi99razHc/J0IL+nyV2fjVB8UZm0TlN55z0jb+rcMn6uzri2WnK8/gNOpoQpNL1h+qLgHoQTP iWGIqvIu5G5pJaRnXCjXjn6WjX9uwFffbgwy7uvNu2uhoR+Fyw6WsgWwNz89oS++nA/xpeBjcH4i w+evndgIRyenR6o6Y+CIPAHF96FcMwsAmS1s6F9f5/dezA/SlOBQtIENBKlqR7rYX9NKrEN9GOnC KOi4fTmJNEWl3n2kbV5tyoi4XxmKjSISRm6YzkAq7IIrGkSzvM19EZYb7slOmwuLwVEU+fWZJQFw yzXnzYiPx1HtbCGD/s8hWsNP/Qv19VTe53/BJeVomenxHbWApmqhh19x6PmEl5/4Zz/+pCB76S1P bQy1saZBwuZRkRRsw0MAk4ZUZCJTQDRdu0WcMgsrjBxc8GPxKpzTUcH5Zqw2eQgjWHPmCh6Xx10n kyRgTcmy3RX0YJIjKF7K2Pz2GiahI7vA+7acJ4pmszULWIWXxX91qddGp2CfaJw2AahMWHc6fG9f FCEa8SSFg5Olkgdc9HTmNyqNMGAPCRZW/XjK67gGK/zuSvS/h8RSXPZ9fgHGyARJ5p1iqRSr657y Z8O/N5qrw7szNefBZFuL5MvbBZRrQq3Xaj2tTRacgv4R40sNAuATl7VqTm9Ya05QMZ/si/qRGMhk cpqiUfEYv4j8F2AAQnnbqeTQfCGjE2enqNcmKNXi9Jb1cwiAPsaAfq/afeboWsj4BIlY6aiXhOHj UurBhBd/JRK4zqDq8QmqQ5fxxGae1RKQulprirE2Rl+riWNRZvsV5wtXcZkpF5JnjfSaizuurgH2 Iy9dUvNrlllNnD8UqGfhdrXvFvREwWn1T/Y3fqqq72/mGxzufojGA7YxukbiGG+MYtQkBgL8v+AE OwOqpJzOAx91fA+egapCnvYEFWImr5pegtynmoFYhZ0vAkmnwbqsslXSkVpvFfSYFIk0WW1neyqf DOCL+Txrph2TKdHdbgURyLJ0QP7OE7CCRAFV5oYTzh5bgug7l7adkNczz/JYG+7LwqdXwH1xCkGd rdT36oaD9R0j01nTElPXedlKzhsCduXADTuvJGXB2WuomanyJTOfoaMMqYezzQV37fmtv9/77fud Jqk6THQkGXtEbq5FqC7Vh8M76zcqk/QId3jwxhMKfz1mrzNIjO2YDJYD0SiX8DiKH7hkZm7K+4fL pQfsnxVX5ydfy/misUokkfxKxyO6gfpXbMxXjmQalVyuDFPexYjPlAV3JVelT345EjaZsqTK86TO L2lh1hpOaOpn7kMgXBozQDz7c/K6LuTe0nfl4veQpjRy1OBWrNlLcai2wyjJHA0XoKgPdtONdzBH UUFxv73zIVsFts22I5bJLT9ab46gshQvSrKm2WAcqdeYl020kky55wmRNF//OfUjUWpuLEGyAkmH xnToipyVjuXoF99EuDxpJlcuSgu6uZQM4w3PBxgT6TzCliErdmoIk86mbKqdiR47r6dsPslAM+Nt AxG7PtXicmPKOPCAXNJ9f6p0HA7LF1XxVN/KZOHpWAkCnOx1/ZgDppDw6yqSuZNFn6YxZGhtViz1 uXRLBUSXusikxaiYpaBHvIuVo4jUe5a/HJdGPncpAJ9+qDtVun64IEETB5LIza6xWinQ44MUtB0t zHEoig3ed+ZQ0KDmcPoRC47fpjeQi5E0Jm/Q7W1THMMDty6wZumvmi0Kpfn+lsXNVQibUTNJhJF0 bDo+3cXtMOY5F54GIDxUKZHKoJvlzhLCS6PIvd5knrpGbPrOSq4Lx7wrV0D3lZyjaDbCwYh/9Abt UjNOJ3nXylLt7/cHs4Flpro3mgn4lliqhbQSfQS0LEC2AONF+iKeewTiRR66crRTX01qz4jh5gih snA22Np7l5n2ywvKfQzx5VJpNpr4UlUr5uHBTqCB923YzW75eOqaju43qXCWPApw3fIsDBiqCvuZ nFXJHPr04DW786Gw/ab3xYHevrCxg4fsINkC1OQ5LC6qWMDFgUcdiwqdjPy8pkbZAZwYZQz8oXIv gGvqzbMP2FdWq13eA0LzSnCr79TcqI2D61mjXmv08wGu5j7FlSr5hfcGD5PUG2gveHbB4f0L+cA6 IO8t159PcT4SYiQ/gIAR+2Ut1wJDW0T3P+zAE+E8WJnG449mF9eojr7lJ3fScKSuswOE8Xb0yzuJ TDqJrcenZu2ybUesJa+ruGDY3Jbfi3eY4AtPH+elIDS4FAU3+ZNJJVPEOUV0scvIObGuobwYNmQE mFKEVVpvgL1PKhQC7k0fqNcBLfYDJCIEuoCKn+oL604zfgFhU8JdTcgl9qiv0NrdcpXovAm+mxNp DvRk5BgNN5w70Gg+/Rj2Vb4YdZloqr3+BHZhJxm5sye99ztRfyrGdODrTj5CbHfEEPVrQ52HDaEE elSJ6bljkAXGSUc2v3IjLSk85HiHZVB/21I7/Dc9r2cZWahBR4WhrNrWokZwqJDIDzEnuImXv+u5 Kk5zExSmFiOexL/sLDManB9mAO6VZ6qsn1wnncq5frD1hCAvfoO3O4KETZnDUlal8bwi0W+F5g7W cjH2lvMqneLTivhQC1lkkR53/71ZK0ac4cb4/SZbGfTw8QEugQW/81VALXLWxrr5tpo3lE9Jf6ae nj4UegUlWgbUNXZHl/gznSMJ3T1rFbZlU+gixjruZgnWPaRISDUTfEI7HUYvl7MKkLIY43oDseGv 2VJvAbuZH4qrRFoJUemksB7X4BrQlnSu1suxtdBQYYIlus9U+clxeYmYXjseo00kLwSy8WcFotAG CuWv2rh6tvGt+k3rhPxsKVs62h8o/+DfJoU4MCOQViKiW3y0zu4+NBfK6UkXVVSa3SBP7ZJvSAQ4 WpranpgBH743PdZKKdslTpCOEWNUIqPuns/nSJaw25MXN69yxcfT9FQ++X6SNk3eVQvuDcY26f9k HMSNA4MfQADo82D+bl750/uWFx/zQ4B2+v793Q92tuXniy1HRjHGzUjPyXFvHg4bhMtyufxkv8Ik ThXQjMiuh1gTTSKGIEXDkS3gqZFA1X+1euyLh7/fouhVf/+WvIz4mvp8DuykPmb/8PSqbezlfxoX XHhXXxvJrtUM1ZugNyzdFWoN2P7XPUapNwGulp1jCUEaqM5ESNAhUDeiIrI+D2fNHZU3tJ1MXOIG 7L7J1xbjS0D3fimH9AeDeIo0inVvYB/ACgro8E48T1+go8YcrsWyF0B81zts6DDYyuH2Pl3UhRrI fqMr+nF19k52XXRLRFisshFOp4VH8dLej+ELvBBtvWV8+GtGVMwsZKz996GMaSKlJZ6xnNMAluJN y5quMj5AAFs6kJGDyQox+9dkaPpSKWUpR71CsG6rG5HVqUbdwdiPBhHaGEMrNUrx2AysYpEYikJ5 n8ThIn6IwPW4coju5SiZZWDiy0g/ZaFbAihZ/Jv8RZ6jL1ES2W7Yh1FIkrIMlNxzqDdPneQNjx4T JV16B2IV5cEezksCf5DhZyQYuf0/lRxCnGafKt6iV4wUjsSEgNHI8PySKNG679LtCGgIpMqDUxHr ZjE1mU3LpFd8PRvvkCGLss+azcJgpRIiIl70BUJUDbNLiMz/RYq0hLTBiqzMhYDyBtA7nE8bqSMm h1e9O0X/hXy79JYmtRzPWkPYo82Z082JViQVahMVUQ+TPFVHZLgXe+4vI2cRBl78LAKWRftm9Hqq s6b95udkTqKmXnv3verdrpnmkpUpDBaL6lGgrhJEekfFeQsUEAmZrVTYpGF/b7VTlmMUEkNkgcuw vU03X3MP52oHPiK4VpXpB1fAnClDICWXrPJZ5UsX9sjWOFYyUUabOBrsyRYYwhIHBOxTXrdjjQCd oPjoduBhcx8bN7NrUD/QBrlU2aJ1dvfg/hBXKDbfz9HCddi5rnQCrTCOeEWBuqEsViuF/YBAKVH0 7qip2rETR4u/x7feWQx6LtKPCxrkjxuAtafGrrVxfS7UpqnjO+5n8Yjk5r0RmUuqXJZ+Pc8XBXQC uA8/1o6cY9YJWje+bWjnd43PvsOxpuPa/0lK8RbgCNIekpSR9karYoiwgjqsLzaSlzyRR1hJZ3NM CuCn+8WROgDybPHStF7oZiVMw8ET10mi6bKge3EImOi3rD1Kv6pbsrp1emngxgIChR2HkQPE/k/Q 63fIBhG+3wmD/arWu10HBovmQQFZPL/QMEXcs3MvuNV00kOo5gOLZ3iCd0ttBupJTFeO0RJhgM4n 4ln09tNyw/eYOU+Hekd4M9ZsivnS8kzlNH5y2CKZhlFPkgOk8nEC3bD/EYg1mbGDRYm62JgAN5hy q6FzNOFhUPbiQZKZI3yuxZ/NGimU9dVhA3qfp0Gr+l2TSu4YVJ/1JWVuZt+EKyOMjALI485bJ889 8lEVAtV3oOMQb1v/EcrrgDKdCSwj0XMXe8qXBzlgghBzGnC0lzlbsQJGuS54krNwFEaxgiaH/k+t 9/n8yPyW871hEe2Gu/pFflolImb1u2cZyCUQe0Kj+c829dvNVgaLTpvgmL708ZXsmcgtcETUr7SV +mK+2AhSkCDKTZU0Mfn9GlXKPF6hxolqx8qB4lLxi/3yHP3Lin3XimHGNhFtnuV8VjJjO4Lpcbn5 wejE9j0JkVdPpjm9VKWOR2wbhHo9LTCna7AvSlL7OKDsvF4Elf1oHzZ05xL9o+hH2nQERAUBs1hw aDsMJxDEdRH2VRkblvdN+ppFrQ8Qb+NMwEYGZjoFLw+MOG32SwRzJL/+hKUqmWaK5KJWpXCo7aZ0 yTdnE8zAdDuaG+t6zpOqT1dsPbF4zIor72eGdfx2CZ+5NGrlMSLhKjQcDSWC7bCX5rqXhzAVI3ri 4vlcMJOFwDrUAX9Z/aNdTub7ql0xuUtHVpmRQp0E3fjXhPVKgGAOqD+i/npzHptMAYGXqNHwQNT2 nzsPuUiwIstrQGIYmJOZO3UwTZMfrkdBjf8atbpOwi+JzRfm9Z1E3lD3Xs3E7hq6LGQ8hi7npBPr XSPE6aukEgIsp/PF6EHFf4jQBXmjSQZaq46v7e/hOB+voy0RRANTPA5eMuiZrvLJTxYuag+z3XY8 1Iri2LR+6g/Bko2y6GwjSQshFi11VsX5YlGwZS19a4zLi4hT0mapblZ4U0+sysZ/TvEOCd/aMcDl vUviWDwLOYCO5CLo63r7vBIHj4XEghcf39LUXF1i3FOlImkro1u6mK7irAGtA4APW28s+MHI+d2T 4DKcwoWi4qEGjh3OPMALESciUf9z4uVtpdi96qTXDMa0GHB+qtNV+MNJJpXz8DqATVq9SZaxiK1V TBidDu+pc3AAycj5ck+HEhSl23KjJuavLQfon/TqPJhGtuM02GXC7Jwml3q+x6GY2iVlXsKAdRYP B0I9mnFQ/LD3A5zgWjiMQZfKBJCzoHgfTsx+HMseWtbhu7gCE3tQyydQf7R/BRRcPS0psXgv5STz +YnuDLhn4WmolI3e6/hNbga3agv5dOYCvphne87sips7FEsKZGoi7pI5ygXk90UKN09c6tqu+zMT 3+cwFb5fOT5gmMzLbX2qXu/FPe4tWrfVRv6AKFIhdIefI7a5Oqhrvu1nqehjh8FylwB4hm8fC2sY P/e1iVnq/xOT+jyL1A4LfcgVX7tvQQPgVF8UL1H3fHH7pr0LejGnC/gXzhlgIan8oaGR45nKtfFJ iw5LRTdA4BJNCBRhjImQatT21REKtPoRkAgOEGvuWRmuUbEv1H6Rs5EhOFtM0L6YyzVwU90vTGQn g1qrT2kBbpYpW+N/Yol3KnB2EUy0vkhEHpzn1BrPIbQ2qW8EzSNAgQFsjzfIm0X05fJJOBnlkMyD 7T4Ot3keOps7a4Ii2A/tfazRyMYqx//PNEx/PqkH2IJLsqb3P7qD1swpGZaHXcwvbG5AvKhSWci6 VmcA71mzsfwsvmOabsFDHo3E6xxtil7XqKzQlXMF2G4uHyksK90ukEuhAgjOKDR1TgD5CXMFOQFP qApRkAxbtDSi4D3sUVd8HSSFLcritK4DW2wUVgGsgGmUMrSpLJ+habKQZDXTX0nasMvrDlyhCiR3 uiiCvw4S2Zzy7OLliAw/5rA0LnPYh1dyZ8hlg6lbPYPnsXF++d8LiRzr61XgTFtWlSF3WKxfqnLN l+P0jzkjpA0TdZBJxhwUiCh4w/VNynku3zrPNceNgWgvpprcEwzXpqfx2b8ij8vfnlSZMUZoF8pl wIcElE6sPJDG/Sw/0NIvjLYTdqcjm74O+/FuxUSffaoSLuJZkVNCWhuOkxwX5bukUHQ4alKgHoRZ EWhipSqRXdH3PKrJcy3ijupXz/Aoww8WgCWEIbukPAJo2xfekSQF7Ps899OilHsSagv26QLhqbRp 4Msv4XHSyTiZgGZRtU7Fv2sF3Rod6Gn18IZgZNTuv5MBKhYDuCcRU1ko7hdExsNRuv4s2C+1lCwr E1f3hJtvma3IPcSL8GD27s1rw0JUsvUdqi1VP6xrHMCqAHmJd2k+oizLbUlgmh+KF4bSNuLTkQCy Bjwff4R5W9JgdJ1aQuZ6GGyurt3O8YPviL2nrOiRNSRaO7lPFJiD3uQdQ6It6J4IpM0nEj6XFCPk H3Up90w7719NzYKK2asVZMNDXXnJCybtLC/E6GAizFHhc62YxAzqWnmwQM1y01/nUI40wzeDF7uk XQaWnPsST61QLG8aYj3UosS7YsixXCCy20l3bw/a8hfCwWbsrWcWKAM9HTW5wUouyjuE3DRQ4nT3 WdrdyDc1SULVuVOLRrbFSVDdvVqw1pIzTZmsXbhEi0Z3sXkvsR9NAA49zeGQ+bfDAG40Edx3Pspv BO3R0U6DO5gtst7iwPdJk0DVbD7Yges3kL8G6+is9AshBTtSE+8+1ZJ27VQY3ubrxS1GnuFcA93l djmxRUYiJU2PcmNs1zEHocKrb4GBMRmJ+JeXbnYZ6rNq4R3vl0r77JIb3yaakU0zEOyXeFOQjfxr 2rOOEE+7KBJssjO7ZrvCjPu7TcGaCKn/H+meUess5P7hMy6i+FFnQk22+jCEhEkqDOzeofqamWRX fdO9SWuYYfpX7kTV+rg/PjbdzMvK4DCjvv5XludS1URWWDjAkjF1oQGK9d3LcLCgYwW/s/IqicGn 7N9rzJ4QqX0Ep8uBCfn0dGWEAAPkrzfkfIrQiVCbo0Sk8P9WwU+92gEldUjgf00tIwtuhrmiYHbF A55WHTMlYs/jWrQSwCwjxDgKIGHalsREWSs9jwMwMIdwQ+xn8zt/abtQKgxqr+iFJz18GUh5lizx cTqVFVIjcypw3o3huJauxrq9Gr7QBYO+yycwh8h7Y1n85c/nBECZMDITRsAI5nX0x8QphsjVTf/j Cwd1R6nWsSNpzZ4o2mOJ6O6ROANlK3TisYTXiHmkzk/pe3Jxxy1JnciCW2RpZBtbWk++BZotyToR 2+K0dsI21omY9UQjK/mh5A9OfpIocAk6VCpCMDFF8w93hYBXpUaCCaEE8Gfrkt/R43pQPeorqE8i m0KtdGu9uS/sbn7D5pOnWO7VxP2cMmiTNbC9Z814GIUyAilgn8o5PH+M9Vs27YfJMU5Ad+BonqYK MjsTpeSc/pbJ56coKoiU1Oqa/Xa0GJAha9LD5hSQ1D7vCilxAWaER8nRRhQjk86ryT7CWkLy+xQ/ oxROm1E2wIrTNcUYe8cy86nM/ZUt4Q1eDuIUU2cpQ1MncCgrrW6Ks/LIIXternrHREnjml30Z7Zd USihsw4+3CYcHJH9rGDFF+8SoNQec61T+Ea+w19ShSHTdcEOkzQLLgo7Bxi+1onBhlitHqut6ksl arvW8M2JK7MKZ3ya+kjs2Jot4XrwD+aC14koN3HAHSt/zhoR2oGJRrFKBd8bRjj5QTPUox1fr15O K18WlN6wuzV27gHkhko3TGRp8rFbUGmtQTmjCVm3BfmW0UxDx1b30pWpeeQ8CzjS4N2C47puhDNe MaZ1L3Hear/vWQsmzpS41iejAOovUB2HCk2Rc+Cz+bmsaKSrmN0yLhfT/WBbLNAp6PkFXXXhbNA7 rIZHX9FFHgu6d1KKjWPufIp2pKJNMxTOYrCCHNbSkXyqb5o75+kwYBdoaKJ4yUqgnzQemE0dVL8m vo8JyD6nNelk9a+JyeIwic3uDblhETjuGfGzdYU6MsZ0QWg+aAOHMyxtVaetpyBI93EibJIylHag OVX9JB2Y3Dk43YcrHxAsl+quLJbOEhTm6AIWMOXWEk2KYst1VcAJSpoeM9VYe91/9xxVdST1TFXp g0JyWIlVz0ZRrlwdIIfzBR3JykILhGQH7klzD3ZJtIkyrm63yuox1wSbFJCQmioV1i8r48BkEA7Q izP7Ew7f+u221Uj/iv873yrJCrL2ZC+T9tLDbCyxbDWaKJCDqtHDwxj88IaNLItlICyy+0lci5Hu tVvDBg+HKTHq4/2eTu8C9EgNnuFW1rmwA+vfcnJaTRJ6C/F6IHjUosI72j8smBlBj0XtosE8Z8k1 dALgLmg1XVgZRYd9qjtCSii2nWcFYZlziNnrtQv4MEg/NAVbxgxIJ7fEKet2hfBKIpvpfiMawa6b S2ErUyDhjPdnddG5H+bOzG0RfZCoeWmZ0ymz7aOPMl6/Ss5m+NCOLiZi+Rf8a9cwGTBlQz7wvWRS 9hqh8RTFbtGk/RaXTQnMPOPtdmhmRnQQfJfOGR+J+CU5Lluoi7X+Pbv0faSJCYtyI8tDnGxUp1tk sie3Nk2qieBao5gatx3Xt9Rdz6wu9q/+6ELo6V93NhfLmFHh/KLV5JOXb9Mstk+KOZcTgN82SOAN TSVE++a+905BmpGRUjBnFn4XLQXuIoD1FC1iLF1jB2ZjngtBPSAsjSzErV2RX3q2qf+XlsOqKFO0 xk1uScEt2EiklpklXbuKolSAJmXTBkRyVIEQtU9aQ8rt78P2HFGFpQSh1CCisJ1o0IDpgusJ4hpV uW9YV20Wc9uUS16lCzkRk6ORvxPiGaADIIZYLxLqDje268Y83KlKLZvpp8kw2VAiuMCpQaVW/+zR uSIJgnNSl02aYDkzyDMnCZCQT7/EnhgjlpzPtIFyV7RV2J9j/GcV6qSmagEm0DAB8dDVj0qgGf3X Conq4fHK6fzH0j+BPTmqsxyfMmhDUevAgUfir2C9dquf9gd2CH4wCtYlf9yej2eqoNDUfF4wiwnK 03hleCMtaA+xjbKGVJcbz4wq85nDFwe3tYP8D8v7ZI2+Nnc59AzbAQXa5kEvBRZ+XPJZIDvxkPPz AoX2R+K3sEvkU/hfaL9u4N4uVHGd2zfzqUXIMeJ6UN4jeiUeudHGn6JQYOsbldeteYzwRjjk4Nqq dneihliSVg2qdRjC1RfuupTCg90vp0K0GzlQf58z4/4RtflgTU/o24Do2d/7LUeIH8vPGFvJzQkz a6E2fTn8LfOuSpVBDtqhB7bfAf9EwvUvmXE1kCygN0P/cGRMG1NsS0/6otpDRoik3eZ3eR36ZKvA lpPXRY0U6Ll6GiqAi8GHzjD/COhv86RS+5cFkhA2GkdHq/P2zxvauMKOyFfxCBsUiD4kZ13VIgrZ MYyN+o9kszYe6NEgTQQIBUcdnej8UMhf68eHAHSuSKWSNXF7zf7MtqHewGhDTyYy5jtsfejoBxa4 8VsWzt1reLnArO83NlA1HIPbRvtt7xzscv3378Trweo3q6AKFGUUf0iT+3ZiGauxKHTS+pNOn/Vp FiBIa8OgfuRxjpzbB7HB1lZw+YyOQdGgkrAOz/4uFqj2GFRaH784MOnA2443whCkNVfzFSqKDPyI Kuw/iy4T4NFKeAkvzd6i0Sf9TMiUCUwC0YGrz/KseHoptlxkERNkjNCgjJQPRLtuQmF6kaxulZ25 RZuLbyYWXOMOpSOsTZlzxhwHx7m9WLwHfRA0/91sX/vAi72qmRVuNZR+uqOkZrWoi1Am1EoXpHMv h0y5RWW9udDqyzGoZNEwP8MRIf7G3Y9+IU9DRroSPwoaxbfHTtD26A6XlhfS9lnDDQ9hjHkkaXVm MlarTnky4HCzddD8fzTYlYEA8PR05adNTdCHhcEqwS6KCt/S+uj3beAyhw2R4qud87nrOkDCeyil pihvKZxtiqZ86ED0oetZK1xH18auAO6K72fvVxmNo7vcPZce7g94id0ryyDjy6J2ei+AqyLdrdNl I3d9m0S0Z39hPl7rSdR/l0Hs8++qdZ/3a0Hta0zCh+i5NEiNiOXljdGGOxoyoW3n7othGTcaBvpO kzu41YrdPqZ9B2AOylgFa4iklXYovFxX8bQcfA5Jg9olM/wDR1t2fNi1R2Uc2I5ZXbEzFzWATs15 FdN530PJhuQNmlQMP4foQ5EIbZuf9V85JxWdVXOd8Ox331YAT84q9ny6v7kLnj8UZvqefxYrykz9 rSnekhzD92PIzAgpsJHBSvs7ME4loR7DZp0JgjvkvmqosX5iYQv4WJl2F7W2/tuj8rlQrF3ZvGAU 7zRLJtNfnl4QykZWbKpBS4wyEFR9jS5KVHATp7Z5GLxpLEXh1IKzHTZSqjv8kq00akCa5A5EO20M cQDjiJp73KcFrDhbi/mwZIOIDXq6NYeanurrlUjWF4+ojkmEEYvKTkwPc2w7K/De+9p+lwvgeGLi vD7fu4E/Iq17nQDs56zAF3xrGYJPkqsxh10fJ72reTmZsw+jA9rkcVYCaTZWa7WNevSdLE2i3CXR K1meJBVg3pWp7zkPwfgaRcJRVSsVr5hvT591Uu206Y9quXlB1qA4WwxIjVjHEmql2FE/Rgvqk+Go bQwT+nf7a1rrrcKAY4nTgcRHqwerIGyJWgSIiZAIUpn4hPtQkJhWsp2lJe4yhyyeMAg5/nDmzDUI pfcrhuLPyz9aF1iJ2OgO4ZqvfiwNHybJGdRXcBDrdzDB9U76Wf/r6iz92a3yUFZULGj2tEDQiKez ropTZ8h4aD9OG0TYx6lvwRL5bHRyOEFPmgXMQEVWiZrfOwz3F+VWIc8Jn3ad6xfJquV70B15Zkgz +/KMhzPRTRDmsD3Zrc1JQFV/kn4NMnYaGpM55s9kIVuZ/G4n8V91wq14bY+1uv3RBQmJ4dbumqyW LhighpEzah29raYssMOg63BgAPmYJtciNMYEnqL14jyuwnOxY8Xjv6PwQr4Fcso3Ot9/XK3n4MT4 wqMfVUx3YRXVplpuWPSQy7ci1QHxjSRFGxp96JfmTxc/PDftLYprIjgw9pIlcmrjDvcvWKclq/5B 5HP+yrIYMMaj+ASyPj9swFNtb0ZZEp4ChGkg5T9mFNzZ+l6+QChM933FqzKvRqZMh8t+/7GNmeHR gPLrYYBfrGeLHvT7ASWn8W6o/+BMvIBo5aNnyibMxr/5IOeAEq39dZalbpIktYEmkFIZeJA1M1Ah OkCcUC0YFzKWcJm+1pn09drOTI3t6vjOxNeemMK99I9qIuWJ2SR0jEAKHRKA3MIfL5GCI5I3/HaL SQVYW87EXKhEcSH5q16YSOqsQNF12K8ZBuf7U93d419PGgJhV2i8JF0mQTOiu02r+32k8vORxFEZ 3NqNazGOonCu6xTMl55KFsVlDDt1KDD6WL5+CyjCYQ2qGE9w8qOU2EbEnAlON6g5MwV+wmh17Bky fa6mfYWz4CYsEXkPREwe4h2X6T7OscFkSL5AjVZoTSaqVQCMtCpgmrse5zKXH7FHigFQUPxKVASS Hmh78AXuAEyDxlLpP33fWfCcfPmutonXT+nXw/e+GKE76cMsRfA/eTrsoCEkd042v3cPhdT2iTe5 LMStViaIfL1kVOAFaNqRJN0hImuxu5jt6pA7vWOicGsrx1CMWUfDYJC5UA3PIshovuBpySU6THf8 hio8sD9XScRMNgluRGk6oXrCgVkqqyuT7MKapDiE3GVWHFb56iRbCrLkM2IEp0f0z5+FgnaqSB6i Hus1sBsueBthSnusix14/E41Az/Rf3XOQzQAQSV8vmmgxjkpTRam2Vgc3huZ5oAryIbgUgdENlRQ K03khGkvM4qpTyejNDOQ67bbLnITlzMRoVJ7NOKxL8JE8kusO/lA9/J/+I1jhwGYFLUshDIl9TeN L6Xv1zSTMNj+QcPYrMsKtmlpVFaxqFk7RDStSrOLZ5/RIHHibrRy8rvWyvRU+PK5prVOiJpmgeUC SpoHN5u4MKHVHeffl4WGHxnSCaMVi3yvfXEzPdXEDUuNFHUDC4c2B/maBPtt76RMAgqg8r+4ZT3f nga42H6JHJwffLXCD2FwOGw89uYqVMOMc7dBtd75sxGRh7/MKMfPdQAckXLmGxU9tyzHdllC8xzT wxUgEJlfifiauyukhhH5VzVVA6ygwaD1zZR49TZbvZRvbPzE9C34+kJlWT/LHE3Hqdbi6GSomLjX WJKIprQ7Er5s2TH0aG6+eIR2nS2d9TvW4GirgG94r9LRqhpdxTbUvdK3RfGPilf7B6oi4JGDCPue 57JS3zFmr1zS0XHAHYD41EM3WE6tpFZfmTuH+qm7Pf+c8yCXAHcnx+PCTW+9C5QJyY4J9wWnnVEa cEedZU/gZN4Er3QVzAaPaXc5dgTZO2WtL381RnU3X8B0z7t7/dx6QhRp6jPvA9e3PNI6ghX/Tk3n OXr/6VIS+WL1pcurypip/wfnM8HdHbRqLv5IGJSSzW95XGNX+J2M+GhjkPlpUhKqPyNEA3oW2N45 nj0SEtzSnHpEvzqZ0e6HxVDVrp82Lx9COjFef9AhHLFzd8XRTK5MLxvipkg8Kmly7g4JTnPZK1be vVmK4//XwiIcumOVsD2awAvxcsHfIvcsYoo6C+jE/k1YCbBgipcXz5B/KbF0akeVROfblu6xP0gF qwsZn4QTse7Q48mCJw6/UuowPgmCopA6aRuL8g94ugULCHPWI1P5MmkwvDaffFT27f/s2er8em0/ gSa887xXxFXXXysKCVAGaZSULVfaWJl5G3FCuQ+B3QGoql7Tf7MgHUcaDwUiFc4FZkIoT1P8e3hd FFn/fEsqnj3TAlw0vLL0xHN10UBT346xfE2OLcewkNbN+eHP4uT8+76+xKgnRYTh7pqCjpTnUBg3 CniNwYicS8os6k01yzYG91xnJOR/Dpr3AR6cElOUYaEsc7vYe92/cBk4bM7nBzVwZ5g0wqyRK/bF eLzhb5++XFdZhNh5yQvui6PkqhpIA5FAC/0nv7o/CDGp7LgA/ON3BzQeeTWsyZltNAjsCM+bE+wj FF0vD3MGHgrrTncpA/8lo+zc7SyKleZOR+WFl4TbIfZ0wUG8+hspzQiiIsFKXB7Qqc4GovhUxsX7 SXh7F0zTlBIBWEbIa4SqR8B5WPByIF96I3VkvR+W5DPLvoJ8FOJpDthBbT0zdgeTyBQ9ZEq6YRvV BPrKro9IzLBBq3Pbg6G6+B26VbS3vN6TSjAXHe7HejIfdE3zhJaoAUUZ8LKHZKIVwiUjbBziZyPK AyH670GD0YbsXwVDzNTgj6cDecJduWgfe7tKxTvG0RaTmD58hT+xZ2D0g1OVkh3r+a569sQduGLq mvlzZBG7HU+YRUqCpwTxzSWTIYrnuxpUBbF80tOplSX48M8ZCIPOfMwYvmX99693HZm7CXCUGi4Y w8f3CGRRTvRaVfYuxeSONUX0PDYEsC9DPHjWx/AlGkz99I8VVepS86rQ3L2H+uKtB4WrnqsDsanX GpvxuLcUoTgmQo2RgZ4rqhnn9S5c6nv4fOmsjPk8PKnf4i7IbKwSUwuj+ugRWK5JXcqP7g6mqgex hleVL8UGMLpTjbJe+Pr2rcnDtcgAbLC9nnuqtkuB2OT/DzAyshw+HndRhAZfAPYigudlLK1SmBC/ /g5Pjm8hoIS8NU9Rsjnrev/b6GVBdViwhu/z3FnldmxWu75QFPyjBq4KNJYc/krvirf2D8+Kn/Fc EuUOyK0T4z0BlCdxiH1JM8vhLALOnqVBp9YQSrxhJnITG/fqcmkeGZcYaNai7gKmzJImu8/5KRkq Cer5wdDzsgrksARPQ8odgqBH3vBDpkzbArpa3KH5lSWbsY/9xpMCG1jn/i7YW4aR9OKzZJZReGHW sQaTdgmDTGkP0Y086jEjW/ZEzzr4ScKZtnH4AA/P9C3NxnvZXH26AoCkIMKXY8N+8Xah5DcZ8DkZ qyOondjiFHmScEpKfGAI3rW3yMoXXd2dEgpoUqSfDHQyy9PZ4RPzl3jJcIq//UwYThvf4+JrT2GU zoJwriYFn1cKqQM/4YmRlXh+wZ9t/5UOhrjsu8pONFfduWbici+QsFqGCBUL0ajUrftS+ftzh/qL crt1GgEzl3IVsjz10JV1TLQF6yozqhOUY5+1Dm6LZedkFIJwMSl+k8GlPcoZP1qdcX3vqPOlAruk vvr/4DEuzfmQbZjDC01UB8HH48IPmI7pPTGtiS71ujAfxlNdU1oGjsAFmmM8iaMwoeDp5IXZN6of 6lo4Xygj/SYBtbpy+rqz6aCuv5pkMGxgf+7Z07ZJbhtyTNDKQjYSG7KbqUtv9Un1w2q1zZP7TvT+ eS9d0r5cCOagv7KdLhaN5Om6hYPM31Xtg/mHHYVJ/xtNkRXy8EFkCbQiZCygRFcrKs2RjvMnW5Y0 0kKD767nGL5VKkkrMLHSSwYdHiXfnSMC2jp9iPO9mja42iik7GVNHcgvvUZunLdy8d67LQHltaVp lFiRnJCfzDnb5n7gFQq9mAPiZvINiPogy63dV1qr9fakhy2yTKEI6IXP1wACSDxkXPQ6ssB52M1g n3DsH/3nt6BKwvpDK9oefZe/AlNZn08x1rA5EGUom3Az0inRUDj37YZXpnECj5//6107PlHMM4xr 1WQ6yADSLgMv8cq8VTs7Yq11Qm6s/2SgJLxQ9bcDLIRqmY9Fk8ldhUO8FVUFiWUSdEFbD4Qr/Wte I7afxma/20VY4ivvnrxt6L6uNdeWqoKXIdgYwIh/N9KI0oJPzWEmOagi7fVKXXBTNQxVG4edziRR kHNzXl5Psea+ZYWs0YSF5e/ZE0RBLn+rua7/6HRE4zY05amJ2Oriq9z2rtvgDOZbPxdA44or5mHC F+LcZ6ZshC/gJa8twn7mJ6fnFXIKu0lwL0KOqLJol1Oj4bNQWhbJ6jY4M7VhJ1G6net7aSDnn4oo 44/mSKhxc6n9PPOav2AgJftlqI96kQbFXIxggkzckgtZ5lcwHuaMU4UcjJYfIpTjAdtvav4UlWJ1 WelcUqjs1zyyFuXBkj1IQTO5KJZa2egyFbuGcveiTovyBZN+mEwbTOoWpTSaei4SGJRplZ1R7Au1 BLZYhCVNm8uahoRiOeRUSfjpTB9W0iE+6wUmqz/qJV1LVXcCpV+vtIRhCgw8AMTBs+U8sROni2G0 kySwOixWcVeXWLlECrz1JAp8nRHJKhj0iy/QHzfAtUFN7WJE0m/9stYCEI3B8EB3RHjeJuqiLwI1 1r9e9awf7xF1pudD1M/EPdGXOzLk8m4dXjsWu9TzS6c+aFNwAgW2DsAHtbhgeHArO3pdpEqCiLf6 MRC6anF6L7MA+QONM+kQZ6XqfBTqOIOy1FSdHRds/RjTqUDRxxkvwXH0Kejkzp8MSMuTl4KkKBb5 +0WuGXr/vsFWn462BKGrV12sG+wslo8mEFILjAQZveojPoCzixPpmY7juKGGTgw34NY7FUBdsA+s 4z6qw0YLNiHU4+g3q373VPIW+/7qzxrjbFsx87RPyo6AA1OUAX+75z9n33F8tWzhYjJqhUmZCQAS TNlnANDoSa9/s58Es57tM5UQ/OA7khlrORnReqY7kQ2N2WES87AAwxYl7j8S6FZv3nAoFQ8vFPw8 n3shtOIxQI4SxJD9nysolwxgAwk+SNlxaNISj3RXTwJgPrg5laZT/2AQsoW+Hzqh8nXmAUBKfS6B LM0ODA63QB4veDc2Qj08qF4clxaqZPc58If8b0m3W5PfQaIVQU9zdbW0Y7V1KEK2VU6k3BsgJOQ0 SSZD0UKMCrTSMRut8gx056nlczNEXznPrJxq/sEjtmdy+LLzwqTAanrJ1KObOrdIg2q9H6uWw5ki 01V/HULkccgsDLVQbOIbJJ4SfW1354E1azIX2rGgr2v/69cMMRFMWi66HTKzwG/O7QCjxN1XVrHq 3szbIPUV7PngjUVIUOajl8ulZC3MlzUCsj118/FVgARhcLNse1UFVPNwHd2I/d+A4RxPZ0fCi9bQ aO/tZGPvgVUG35NTXqEHzDD1GyHLZ0kjVeAkXjygvERk8MZew5Hpupp6MtNh4Amjjh9a3LlJv/dU ILOeq0NYdSiBYtf+UN+7AIum+Gczj9rnBBfUzY1VHTb4a3HcdYxyiT7l2ucJQGQwXsuPwIljt9VF ke1ozpVi8ZNA/uvdaZ+zDQDSW9TWErzRNd39/dx42g6Q+bUpqFyoogu9jEFNk8rNtL+g/9LyUXT6 NRJpup4P+Lr6IBNoP/lwTRLKGOyEWwhY2MFa5I4vo5F54D4FoWcjqTVweeHOSh/TdPx4z1K9uoe6 QkWJOX4A6r0X8ZcsSYWgJj4va3DWkcuAhV1MGa8xxsKUpP9TFEaBROCx+Zm1goZSoa85BrGH/DC5 tPlmopHaptiZN4eOmOcHKUj6BkvkLAhmBc3/xaFEym1iigcYWX5wZ+9zNCzD+0kGVJVurZ84YDqS FBDeR2o88mSAtQmwxHg+RrTvanDk4E1xXCVQmQ2E6U/umH0m0s1wozCIx5SdjpJiQxQMq/+RJTv1 8ItKzyRROXuiPMeWtTcQYAz8iItd36k23y0jRs5xhWeOUdHCVWRdwYMYKPxYzb0QW4pY187V3COh 7822Q1QbmUoc4bWcuens1sqKLlpEonkUJGjeMJbQMcCTT3pbDrbJLgsV61hy/nzX80WvwePeStXj mrxyyE0bDdpaG4NUpb/PEcOJwXoqhPFSIZQGvyVyVUQXkAwDYFYUZhHKo5RTEIQ7+vSTNSx+yqik Qtr9gEeXgjYb1H9dcD6WXLsMqgn2uV5/JV/kenUjHKc9a7h0JOAtf8jSnXDBC5+oSW9jg7kTa2fQ eYM24hnPMQnyF6zKjCODxyiPEWnkJ7GYgQfpqOnmZ2z2bRFD68Ij6FBNdLWmN6AzIyRp3hRi0XUM GHN2deC3WZKm6HsOZzqUmilzq2+LLO3ThK6pntoJMeSkmUg6BaBBje8QkGaV1aeF4IXw64htGiCQ +VdvZBWV0wrMg5jSMXOX7ncHdnCWlNrv09tP3dvdaecBFq95+i0ZYG7zuJhZnsJ5JPwehrxV4mmp M5+9TRZxwygiI1bxUL42HGy1TdKZ2MnIdT8gNm/HmMkOfW3uy8Q2ToE7tR19Wf9kZEHyiYxccRkW Qsfz/oXEHnx6SmXw9VYtHurZPdNWJNhqlKCRCKnyxYCeTKT4rs30GYG98pYAqkpwc2gF0CejjHQ5 ecU3OCNhwMtA4gdI48rvAEoDMlAS9F3hA+DmtLczjViqls/tJmQWJLwsmwIp5aB2wYk7pYa/kDaW M+eKMTHsv4E7u9Qh7Jq+DWbAl9pMUtL3Xf272co7U5ESrcqnzOzqu7hsazfZ004PyHawuDHjUTX2 qn4xW3d4+SMiTwz+IQTaBTpCpFOT8GWnmf8yd5pORX2MYtkopbyrql06kvLK0sop3DPhzmwHB3FR SNRTpWHjTEiE7eCFSiAX2b5bH+9iLM/jsTKNHNN6rScTgIkWjElW4luWbk+WHhe9sPP5wPZM+p6W UhYAgnC7aFZ6qhK4hqPZm80yctZr7f8xpg6VIyw/6G4uZ36xJIUXm0MNqt3ebPrAc9Zqm3HAbX4W bigehYk5NUd1xlJ/8id99xTetVgASi+LxISKJHKDzM1ooOJaPGLczKHcfYxafT3/+tc0oBi0B8mG x6jL8pQ777PVatt4y4bxPbFTKmr8YcGZJYgLCm4TgV9sDt6gcV7mNjE07uprDxX8ayrdoCuEPZYj HII/fMdY13JaSGzD1XFxsZG2jKS2z6Zvb3a7iJRYT/BsTmvCYBo0VLY+OIkz/cVELdg2GMmPi1rt NbG7cOZLZy0vBSc08n+d4YjhWltGZMc0+0vv56iy58Hh6lUQF3LucEmX91DjFNow+0qY0zBP98B4 OtyCA833ecjLxUM47TJ7ZQ20vxiTxNj4rM9eDMaxD8yhYH6gysg5kaNBODyLjcCSA6ZQxS+uKKjm vgntac2mbfitjW3EDVSTqqIpq1nfpF+xNB+9CKt2Dzskw/TE0dzXV0lIdS3riuipDLHb7NMBZKUT aSvkWV/1rSRLbYZpeHI0wsHJEQNT0LuOB4WJkyOK3Hxh/n6WViRMLrccuaqKlW9kuoWMIx7IrOzx XYmCsfD1k5qvUKBfhXzkfJNCRYIlYivCo9C4P5QWhPpOaX7pQw/XmSHgcmIkIIkxRA3Gx7hi5Gin 9b4qDCXFGqq8J+//zHDya9xFiXH/pLqB8+fZsiX17/sugq2zGCa3fDPn/aA6bIy/zlQXSn0O6EGS xG8acNd37eXAHW82qfB8F+xAMEWYkt9B+MgddXY5/MOZ1TsdOSsa7p1aye3M9EpRsqilQ2YXukFv 9VIq4qevnFH6vkPzxbT3FxOJDfW1LfF4s+pVWe1QOAsVfr9bGw7hDgUkeqrjDq0RFe6MJNpzGWij TP4qlHnqN6zMY0Myi+bbmbzUp9OvOtOIPgdMsuuR2cvuKIGrq1Fm2903gyTH2ezPn9ud5yBR5jQc bv4vd8in7zur0xQGLz5ilLBMB8+MFBs7BME1z8k5d3Z+onTWNVQ22zqvCvPo2tCHaMvnS1sDhjO/ jx5ZcARHrDHvLEUcrFcqMba0NmNBTEirAIK4BaszTa+DKFWbpBv9awibKPu6+7FxoR2ynzBylbCo tIi0WYrxvf73m2qO6zEWpxJ66rEosdjHNwe+HKzCbcKKHRRqLO/gIH8+3TAmfYc13IVntgk00shj kcua/mUVeapovv1gcDKEoklDeeblbh0gn+HZf/YXu9RagvEuee7DwKA/b+E0N2msYtUtLhE46Xux 1ZrKE4vziKcT4mYI3uah8gb72Sd8IhAqq2HaOXDHgpLuI0SiLYOEGVrVZk5cgYKSze/3c8akq3he 1oCZHBeANuALy1lOkqxezXf2x7AGI+QvxhnAIb0SHeTV11p1jwkzC4a5/48OGV67m34Uho8CwKKx pPCTY3CIHvrDQOoFnESwJCyMmSqMjKzHY6B63L5pFygxOKiHJr7xc5JuUt3i5dWY/zJFR4UXrsM3 Jbhoh8tWbf4Bhm6vTqSR96b27ymLyaa1lrUlY2bu7c04AAvtMCFlHVOruAFO8d21Iop3ZDehpvkN KvWWKeGMEdLm8p46T/LjO2FsK9VA4KcZ42E5LlPqfBI3wHoPgyJvCIoecsAUMG7FYjmj5WdHhxvM VFJ7JhvwOQApOq4c2igGrLmZ6kALclvhVeMp9GIReKYwK0CnrkIs/K0KPIdoySEhJEYSJ6mCc9Sp BYxZ9TowrevolKvj9lHfuxrMMjbobOU+VcDeT/x9h9NJt+rhci7ltdu7TQXGwOjYN8ptVQs/a8YM mSY0HQ5CUyJJYDPa1yupqe8auBDHoniNaLigY8M4wFJG21Ez/Yw56w7ZxSU4NzA5nkyNU/wWAkPL QUyOKsKlcXiLbvBShUaOi4dad4OfaF7TF2IBR/Zh1rggtG9MBesf4XBqp3sBSbhsqMCC46BGFD5i I/Sw8lpvxNeT3gYs6fXP6V+c4BiBz1Ulp5KIH/kmgLYfbpXU2SOequRVyx/YZhGlOAzl1q5KLoOb apNu8Ezt40s/+jnQAhR0Q/l5PVTds+KUd1+Saju2kaVMRAu6DnXexEgE1lDF7uEr0gGhevlhVQXm 6RDn3JxGwr6ifN5ZQrDh2lDoUer8E8JqcTgpZ20ZG2aqF/K315a/SnVlAqV6Rw6GPPUzrqBR2jBI l5G0GpyjZRKRNbE9urHUi/L1aVDevpr4EIsiKh7E7Z9fA7QwupxKIQJSFOIQ3z0mkz6m10iZyoef 77wFEYMnPbFfVj7p/QaVxzBM5bzKWV+vPcsn0LDJlmLpgdVjMpcyBplBvl90Ve6fiFgBgWA6d4Oq XudH5uERQv1CCfSRjmp6OOrYmL4Sk0w9xwv0cZxUTQKHip7dGUKyO6WuIJcM2x+pmQU2cLnU4y9e S1U20qm2x8LzyD4eZIsb+LbOOInjs0nw8FwqPO3M9LDYrLRQIwSuw9uqieXdWy/MmPALcpD87HUI NrSwsnEQIeuDZO2ehZQmcj/GAn+S2pwUfWTnmcJJrFqqsS+3gfC77nX/3W3TfbBR6jKcn3qJyPqn j42pC15mlLloCFJlWLUzgqOyNyuQ8x1bjGZ2cQRN8YV+wKQLNsGNC3nhLXVni4E/oJXNngy8sTJU lHqUsTdDuCZDL/sqkbHFRwrbziNFVNC/Z6+A2dcyb2ELX0x49aC+YtA7ZJ5ex2lULH/Jpt2+ISEQ bUvoymKWYPODrx4PvX6Wol8yZB5OryGKOfbwGAcsFNyBoTqjgKqgx0wrmtThIhsfhsPb6XZWB7DC KCK63OtLkzrtXPwm7/pd38tQ5Xg82I1yBcIAOhTJIgOuRJpKTQNaAL5JnQEA7dmz2rQIun1wpg7l LSyDtHtV1yT3inOkhT/+LoINF+p6CpioZyWlY3g5ystFoQZ3AKX6yO+dUc6R1WMQf0jGhJt0ElDI IfcZZI4zlyyBXot5lkflA6oXcxf5Rew3HBzIrY4Dp7jtpeNblXbeVmQslw9LuKL/wFbhFcVPgUa3 HpwX9a+AvndTZk8SE5L5R6bfJ5uuj8jrn+Zg5FML3payYZ1pxzpRdcx0Zv+WA+lQ5yy4YxC/ZyD7 1ROTUutyRkGPem8/xXq/95uBqzE/ywunVwm1DDqNuApwyWrLc7pZpnSS8lBDl8r+3Itsy4Z/6NyJ Bx4BM0RKae9DW+SaLt3qyrokQtYd7fjHHD3oMa8Rv9752AlMys5w603yfHPNRZVczk4GOCT/qyg1 zGon1TpfzoYJ5x8BHZQlojt9E5/C+Ez6LYCIbew2W4xelcHBqXOsB8TGajXHv1vMCGzv+5szAEGa wgu/0UkkOFjaJyh4zGWyDPEfqdgZ+AeHe1ZY5SkA+I2Q4zwadQniLBdef8Ez22Ig7u/B617niy/8 dGDwtJO3TrtcYiJz9uUMD0ZjxYWOnAUVNc0zPAYejIEAbwCfN1HtXFH2E61g2AmMo+ztfAWOGDQl Xrka0X0OpTl4jwfdcNEZJw1Z/ZnQMBWZwKyd15ByKGLOryZNI+IcTPApoJ1w/pMaFvnphRDjLkze xoiGid5+MElv9Flg0GNH5LprlS4hYrMCRSYW1q0s9SK3Ss6/blxTbPjV2y7cJcKj1BuxvEuEzyUE 0IPgsBUh5Si1/XcK3tFhw7ckYoczCyfa5g4dYrJ++sMBb5ydGGcaRHyLtyQQlHPj8M6/ooqiLZds ZuLDsn+f43oVhFB/VkbKIf+N+kDYnfACqLb4snF2ifDbjZ9kATdKqPPYlwMfNID+NeH5c+3V5Ai2 LxMpSIMet5pfIcpwXdVaxozgxaILCVBJt8N0/hQK8blO8CnYQfyKDyibbXFhMzmOkwIZ+7v1LgHD TkcNYRdWCJ2xm89byLOnOe4apjYSq8Qt3eXJZLu2kqV2ApMURc0JrAMyRvm/JTkQJCVfcfbMkFJV Hh8A6wce4k1ODZtz5Durcpw/OX+WHZp2dZouXe4DLypuvnV2Eedodxi+UrJMESdpCFn09DTdCaam kyvbvQHC5EiucCXDJwOiR4lIhs78HrFssyKCEJjkPVuZYyT5wmk7ey0MvsRVB1Yx+Zz6DqqY1P1X Z9aGyasOKNl/b0wYfRUihO7Y04p1oR2kNpqw3K6zl4yIPsvywwgGxgWaedwmx2PqckDIZ555reEJ UiBHnZ1SvPFEA7dLjODQ/aTp8lx57eExrmXVkdpbIB6peQ83ZgXvXfJCiKbq2Tt6qWfkfTDoVDdt nwExh9YLv5VQJFoeTMkX5v2FLQih5J8zJNWug1bnNARQ7s6mejVQ+6o3RwSItp5yZwKAQ+sSPc0B mdV7mRRUgq2Xu13M06s2QT3vFhLio+/1nwD/cxjQYUzP/n/CEpXi8ZJfqoaYjwEdEJvH5D5nzqUH YLTg3dxWaCpAHx5O0iL7F88x5NcX7ClqRRsDLm7YeDcTORihoeWJrbH0Gjum9RwspbRfBbTnItTn aBpbqLO7KWzxkPhY5je+dBurtBScq0oDPDeMYXXOgIhSnwrmUHCapea9n0G/hJGRKsz5IM/czPGr Lk6dobJQqYCqTfv0Z/O9eu7RIwGhs7POaB1Grt8WnxIbYpyhp9OxkRTfnKxGfsSywSfXlPYllyKs O88tWQXNqVjLJuhA13XjrHuDLjejLYiZvuSQd61h5uctHI9vJ9LEMpEk1pZcdjknZd2dpcyWJf1U 7QSoByEZSidp67bU3tFhg9gzihUxmagtkHbQ8PxupJlM4mYnGFFeVtyAgFw5BHJQ49MGvGa5Ljg2 CWbcOkBtH2e3iEVXIRt/+kV8GB8y6ac8j+/HdJBknISocH/oTf2oE+sbO6Pa1cMjw3XeUFpdOvAZ QW6ykCfE7HBz6XxnYoA41P3O7zcVcyDUbDGbM6WXLTz2uyNJDbClaeSBloi776hLHBTYWvT1kPQ3 XD54GbisrpieKk7SFMezbzBEaoxzHFQb3A9Nk3F1TGA52TaA8jGgBlzE5tJ4Ri8nNxXigUz/7upm zQ9cYH5RDzmnhaj9k6y6QzkpO7uvHu+2i9cP/3CFaOgsrmUua76kGmeFhvh4i2+qE2SZ38PMZv1Q XEuhr7jNWwqxzIP30ndjD639b42szLqoXThFUtXBdkK1aY0fMwVDDUsB3Uhm9+EsXKSkFzUSiHZA Xs/kcSNEGTG6Pd1JUYy7NbaIjrM0a2VLIHdngdeTj0OxkS+ex3xDhF3G/2F544GwQ9vI2kx/1NkR WDrcCLtiyh9kz7wEtchLQiZjNlv+DsteuKFrkfKAUduASJ/CbUDf5NGOpfR4WMzRCf5UaLt/744W 8Oq0N8aaHPY4dKuXENpTJoIBoijEdA1JZZUwDk2HPZrsUiHgUr0oYMqhNxmItBfYCu/9sf5ZoK9u vB4MUuWPllY13bKUiQ4H0zeLMbRpjXm1lAFxsxlflGv0bwAdxVsBoTMz0DRKqrmrYxxxtbft9YlD nlanSpIFpj9g+ER4jvh21+Vnvs61Cd5mXGTtzC2BnW5LtAIyMlVXEwmA7PxMXTHk+SoIoaMa+Cbi KBQRTr42YPwgEQl9z9wiZq8X5TwzdmmkVa+Am8g0SuhezJ/C3VUQ1IQhuR0z8B4ckGcgppbmc0Vj lr1j7SRq4bi1cnfhwJIynxdyMJfyPwxpdiFFgPQ622scFTqpAl4A6F37UsbUgRqUdaueab4gCGDQ jSWAkVEz5n/nArENsH1nWGGDMdk5Y2f+pj8NlospH9F00EfOpAkGwvmejIEgckmGTfZI7qWePNHr oYrFQELUkB4n9gIFkxy5zxFZQhMqfAP9kL6rkoPtWY1E9C3wUvU09sVF3VPa9rqqYTMMiLuzZUGw /+qv0jXU2SzDOZaXCBg3yY9iSZ8fmdwj8uikBsbxCB6uF2C7bIFQs8rbwu4p0ZafdOA5w/hIIYBp 2/QyAcTcnjZd9KNsioj3prE13/Cu1L2Eu02KJHvvSnLEJZcho557tDEg+eXC7Fxipx/NIPcD0B8a +gd2X8kQ6V91TNjUTCs/+1ykqYHAvfeKKp2OuE+MaJTlYDO5E/+vC/7d101QVdnH4HaTR3iiKsTo uXXJM5pt/XvVIHCyY2tfSmgQd3GXg6jIwCVc+VrdG10ruPrlTPKf9aLptadbpiDp5ScbcPOfUoJ4 WbwMPRXYvNDDMKoCGZGJXw/2vQyq1KUYzLV//aAKAD2n46F87munoGyMkwRLElWEtgwMVCZpyx/u JDNmblZf1DvATiYTCk8SCHF7RcC09qMJ61nJEIOPs6zMnS0fG9QRSGha8Y7LV5ZUyb753BPyNFXh jEimbQo2cSy9m80N4+LXk6+Xk43EPWdUlro5bQhcMXVOTMJ17GOCiJKTMKTLYOdaOY4VSR+QA71B TOtayCu6hVvId2zEe8H2o61SrIn8xrAco3ZbwJO3dnniNGbC08y3gU7e4Ft3rN8BSdUg3fsaZpA0 Yb8sXCWCBsFPbJ8nIriluxwdppYS7gdVsDQlzzboKGuTa3z3JbLQqOIwrbpd5gkM2fmBZ1BJquMM PFxv8LrwJGTiC/vpLcfH8+eXquDz9In7hVHeaiPwrpxXEDTTxvS9Bopjj/tIPQcJugg39A/1Nof4 GXIzXYSzGJf7vAn2oanaInmhOj02ytczofIkbSKKkgZk4udUFndJthfJja909W8IukUzwrzI2cry kh9FfFvnumT3TBKi3iXBskgdY4fFfixiB6nBDui4B0I1T2cf3Fk4pGRvZ03ts7lqcXQfe4BmX6Av F8iKG1tofNqbsQBg5uBVUL3TtG784Ju9Gt+0FNBeFUQxb+zH2ZafGVzbhWG97cA0GZYofNXLWcOB FKuk4S4e1BcBTUI4dAS0kD/hqDoB4quIki8bBCWjQmvDsBxtFNarh5wWgJgsgRu6oWtQYm4weqdy rt4r/hHUNAfPX7eX8lC8GCYu7lJ36r6qkFFG1Gp+wexvupKrF46CwCs9YAP81252LhalHH7F+cJT bsnaWmUc5is8SaU7kw6UDQkAIEHGI9g1zViWwBiq+SM1ZNvUx7oCU3j0Q1EC0qINtpiq0Isa+JU7 2le6wlxbLiCwzzoeRhPGWVsBTwGRXjvM0jfEjx1+TfgoU27y0t4TUebATlIcxp9ms20UECSrfyLC OqXLfC08jbRkbb3FmkhC4M0jIQ9nktldcTnhvhF6QZDSBfFJ9AnnLFAyYvlF3T///0kRDG02yofA 5wmfrAOQe/L8XTyY3ned7ienyx92lHGhrU0a2DKy+OQfU/ypb0KfJqazW8/91EFGxojwt43O6cHA /6rQCZXjFecs4p59HPdf9cn5CL8+3q9/oKpT5t2LLeKLLb6EvkHVIZUc+gTZc163M/2mUlm7N08C 0g2Oqk/VsDAuuPnzGzjHN+wWCab9ud4xy2ucUBSfeZQp6AiSppXbAItIRcUR5lz9tbdgfe1rtr5h HZ2d9vxdlLZVp+AZd3+mImgmGd3pqn6gMYVjA7NRBkERr4GXhmFB3txS/Y4e0WM+7yddB+XKk85y UXoVDqTRKkNQp593xg/gvstUtEhUuqHo1PZcrRsnRawcMkyrZl+otWnY+v+8yr5Aee7XZksuAy4A /XFK3tiNNWlI04pOZKMKy6PT/5PBkDDwDWDTU2wk8Rh8qVhptTaZG9uKYSEO+zqYKNrFJmF8eHC4 AwLqqdMifCqr8GDulBtdM8Mi/FuNYV3jcMo++iftnJp2ctRAi5vkEWFOLKpqfaGMtxD+GpPewMtK 4HvxJ7U7rgzLT1/ksCVs4PVDkmO11agcovYobRZsGkKCJIcMaLxlhTE1hDYbAyGAsU8cv50G3yY5 OaLixChDOgwvMnrdXCfyL1eMTK08QCxYrVVr4+PymG8V9Q0r2VDzgr/knGAkpyEuEgiDph05ywRO a4WBpGS38OnA63fKpAYF2J8Sbvf6L1E+XmRFE9VOFmSl3fmOkDRPWT965j+QEimaFTJwegPnmYLV 9q1Gko4i5hb27sjwNLh1SaRHMI2QiKr8NUQ3DDzQcjnh6dvObQ7X50hAyvOhLSRtLTvRVL3Zhq+l p3OWh1sKMLbCtfbKWm7JuVzNQ1HHgA3oaZoO6fksrZmSShKEd8y3okxYzBl54gFlT97avVqNdeZl vv7SiIwea+WnH96clkJORDlCMbo+gv+5ZkREbYD0EQat5GDKNYplcotYU4rE1Eqa/RtA5pS1KzQT voGSOSimKOW8pFMsuVA7nwzdxhD/0cQvu1DVQ+JWZ8tnhbSwTjPFf5xbsUyeSjjhQHoQnJ5SM0oc GKzY1XCv/+VXihn5oe3hmwzK7+nwhyMmmHZXnii4N4orFmryWJ+MnamdCHn3qZ3W9VGIFQBf5HSf +zaGOHtOgv1z0UA/cuhWdsZ6GDjKcXWRDVvdcyrSpfbB9s7kHZiBMydRQz1YftET3IIBdNN4+b/b 8PKZvjKy3OceW1TWO6v464/31RdF91hsU7VSBeAy0rwSIRAAHjwtEDjxlkR890SnK7YOyJDN4n0j 76kZUmmseC30K248lrdfxmvOo2qXCXGgnThHMqndeBE88hRPmEFiarkxHYfsAG2OvjIQgG8Sbjm9 2Ihz1Xku3fBHWdr8KFcT4ds1SG8GSHVn0dXDK47gQfQlXyL5kGvRX915mR+HrT0YjzuT594zmgj6 ysLFKkS/lcQOHlkYW2sRj+N/Frz+V2OTZYxzybzV0ZS8d+m/gmYOig/DYyHQ4mQnP++mzTkhzHV8 o7mCfytMPxfP0h8Bv5w0mVx43UGI+se2YgKa+Koaoax/IsB0ltxptxtIkEr/XthxZnjaYBdRiqnk pAMCOKn2fW7nQEkqsS0LMxZlC8oVk+oEdkqdnIvO3mcwSZbybOBXLxUH/pKodQNKPiCecsTqiq4h zCBTi+1UnFHVLvUDyQdP9uSl+nBJQxyGxrdn1YPa72NrHb3cDf9pE5ZJNX1FwLKRyElXV66rlhyo 7W07tba4Dgo1+kHwKh529O47ItbKeWPbWNDC0FnCuOvXR6myn4scgArd2qABJPEjBhISYeSw2iIF ZR8I+b3IZHkhlJQzmoM+sCKh/zuqQTd7+3GrLFhjl8TF/ypkOGaSY8mvheEHniKN94vtIlT87wxb 4KhTxu75K4aCETDMJsjN6KJXgI+rrUsrZblL/eQBhH76nCcKb4LMuvcjfMIvpa3BDWfolURn+ZtA wbQKZkB8WCbatBA/T6XZNGlBKoZZlqqdWCwbjvdxzkVfBhMbzM+oZlSpPc0GG9n1vkx8n+ZpQcJw Q9GkAWdobC7lv7AV7FzXZKOh7XrM8eBhBevv+mEn3CVZzRPqwPfrbFCE4CzTh3j6rEdFVtOnKxEd xKF32r7EB/AGlUGMA8TfQCTcd5AuSF69tSho8V400avpy7oG2uRHNfJfWJgAhGM42B9YXO4ZXPtZ L8dLJRjpYAF0Zn6spJwya+2YhQ+Sjm6ktUGNqq9WNwxaMmaPUvmPY5APFkXPnuBZvP9I2V7rktHG Ht0BFjgE1zaLmPSfLBqfkbpjTcPNj2VJMvrytVUhAWBz7y3wwXk4exVg4xfGzlRj9hZBuVy1TXuH ePqS4VzYiRVqOg2wO/w7j328KIFu6y1gcRJ/oAoFgbrS7I6uchK6M/5i8Wv1vFm8Fx5wrYRhLLP7 S5HkNN/elTqSiZzI50IStwA9EsvqTXa/FFLlBERrpG1667GK0RO45h8XFXbBdvh5tC2SP6XT6dlR cilwrmlijX7udVwHBgdWvN+ovFKK7SlnwBaglrvsjxBkCPPDsRq6Y9gI5hmlGWD6l84sM4EnlG9v s0UTYlDNCJ8H+tfa13TpOZ3TWrpTd2maKrEhIlEhSmbyuNEJw+r6VYYGyKDrmyX37+bELp5FrmKh xPMXcJcEVyJasb9XRhWtJwBf7iG9ucRZts05PtHhoYqaRpSzUw8ownavkjYdjklihXOv9d77AqWP i8hX/gX+fl9OTy7YnU4SE9UMjt0Rp1DzgonJeZtSj/QKgLhXSHqlVT4dLpoCnvaJsOPiZyPisd8o s7mnJqPniM64nv25MMGaVXtwgKO9lXkBL5kNKn9HNtPFuoy6yRCjkjSA6+r8Tyiaw6eTS9kw3oup kkIjXOsKWusTZR7kfevIsPp/3Q4UBoRirxekZG2Wqvldh6b7150LDA1lmOEOh29lBtsuBJfix0Wb hCLUJoNjYTSKM1iRUX1uWpi9avztoJiZWzm4w4OU4R5Acw0HQU5637m3U+O+x3KGd8I4dOYXtAhP lDIjuyznPGBzNDsdc5okkxPNNmbfSQxgHoMopCcGyZ4wPdeBIjLz04c3l7IioFIvqV1dc7po0Wk0 E0+O+Aw/S68TID8QiXaX6p8TQEFnq4fwHe4SD1NvYnTilq14RNF6/giyKqYrp28Wwd9d8t2E+Dxu Lwpl9oRSjJvUDHtD3O2+l/BD7EHr+IIfoaafPywT3cQOAdrSl2ErBnPtcehx04/AEV0HxDU94Z98 OTchGGLLV6xaIGqGZAsWTNCyFIAnqvf0Tze1ybj4g7KXwBIrZ71nA+DfF9BiRiHl/5+WUsjIE3cR 12K9kWrxgHj/6bbp68q+reV7d5EI9Zpipd9MM7jATAGSvLjo70zd84pJDnr28t/M6xIaE74/lDRI 9KCHXe0FCy6DnWtxMOq2uVKwDXZbxD2FdyGihr0MpYs5IeqlGjbhQIbzOxvKZx6jdvFkPzzOOoaC dB/D2gDtiRsUN5cdFfzERFoP4uGx3eCh1dMjeY93hjFdz/VKqbUxXyv+uss8GGBSCo7taaAukB3T /sKhCF0aGl55gRhvAaRjHcM6CKDz/oqROr1Q9JelIbpD01fTNJwB8P/rd1ljlLRnJZHSIqb2PoMr uAERxl/R/GF79gmx0p4FwetrPHvTx1Th4/WYOneMUDCCIg5R8eCxOtcNdhfxLcKKB7ewnNC9jPk6 wKFlOWxLskW1QBI9jW4AM/BzX7awZwCJPWdrbScGDgnvL+LrefIJSqtkrSbwa7U3vKVyvlir1YQx /Zo+HkV4/p0/Nz631LsAkmTz7At9SzvZ9psAHoQC7zFHfMNEVJuT3Kk1K6DaN9nezGUXH6qGIJbH DXNo/JGXdolcezJT1GTyQqhPT9jjWD0lNeVsxTByvI9Z9hWpLrn2jqiaBjVX0HPp5lwuO1mspv9l FitQcXpGs70aXvTYxruiqflinT0rgAE/Vj7S9qjjdG7s4p1iZReQ5DTZb0V7qaGccbNfEgEn0Rhz rOgvXF1wtDOB3qmVQ7zHRQs4rDwDKvm+Q88qpGhgX6zt/Dogvi+N+nscGTZ/EC4MfDahr+nupYNZ CQ/qQimH8+fifvi/OiSTUca2g72NsuX5thd6VT5WaIW5mUWm79fU3qUB5A0HJyxLQa368Pp1ZnX8 gvs3OOZ9soFqQFdgjPHGlYooqOSFJMMv46277RgFt1c+IGc+9XbTd1p3Fc8B1w0w4CIMrKxuMWgV auxi8rbcxXia0u5ycaC3hd8aneZa1wDRC0yZtY7x7CGLulGU/DqHiZLGnHqOAJ0wquFp3oOxCqR7 ipJdz5hVO0jCxXOjvsZsm5v4V+rX1f9uL+kdLa4Tl8JhhtHO9hEPOQjwsv++EOIq224VFe0rE8W4 WAB5Z7c/OhcuoBG3H2Hifh8XFVmN119w1S74UbREmTCcP8l4XinsteoVFLvyNzC1TG6YrcpIXKj1 7cWkRMbE+vS1MvrEv+jCq8AR1F3R9JoYxDm+KWTKIuKslST+lHUMe9B33496vRh13cZT8qZ+0ZAF kdp0BWwO2jXQvNAPLOh3OxLqQOFWdOE7iRQy67tkTJUog7Jl61q/0EccC2zNQQx80qa9P3f98jzP mtTCrdCfZQ7pHX1+uH9ATEZ14lBNOrWaQfONL7OE6JDWxeLzd0Rq8hK1bw26aOzdPWToLuMboWg0 GUogq/NZomU900pTadMKfJFrhk7THcWlh903ZDKy3AHAHHcX1Z0SkWoirsLPPBxPIvxCAU2IjkEW C0zR4+XBl7rZ5kpQl9jsI9HB7Gpt4ENCIgf5otSMNTWb0c+XaFFiz15PbOlIDXpGgGBwxHMkBQBO xav084OdGf3WEpOMDWU4yPKvy8ljTgWZnD7xagsUsaVFR1MJmrBemavknknVegtdAhzFaZLkTBeA +lg4NjI4Dq52R8tzXgw4DlYX3hRZiYvvyt8naWApRZy4ikAyVuBa/31bHtL5c0vTWyMpyDobITjH PURoNdmqfrjA5LgEtOQ91Usjj29Ajfry/gBAw4fTN8uo8judoY3TlAupSZZOTkTD6j8cJlB25kcb EKeYCSRfzXDF0AacvGQ1iyNw+IXFgO/K7WGxG4e+xDmOPKJv3RgobG7HtplrXWf4D6QCXHZnZ5jM f5SLBwc66VK+FW/0JUzXrIa1k8CM4jh5APbLwf5M8RToAFU3Nt/YTQrSMlCfDQqvA3TmTjFoo0ul vUAVr8gc5qmxvJ+vb0XHqSN1AyRQzVJehhrn6ZIdVrRvpVnCEbweRzy9mwoLkQF9S1lEo0JiMf9v Mkb/CDHjkn5OPSrFScTCA8jHJmp7swbubddX2Kj523Y7HoFPQ07AAePro/RrFqghYhNZ+Zt+6+BV 1Iw0Q3U98hGXEdgOAvPZ/vp44CLQaj1eMXOR0KN9M99Pz/kjEmFj1QaY3DtVnx0/p7uBoJORYVM/ 4YPQQVGmnNeszB7Bk2YG79gsKZL3DC0M/B9Wwi2i1SoCK6olblrzkGs5P5riYyb8OBzo/+fn9eOM c5M/TffIulCHfo4pAMH82Apv9h9tCXte55mR8xzmMxpAZjPfIsbpzwiBYhYrgwlFAsfCXaQDxR56 uBwfnxL8EwEvwKzAIT3NpLIddz0ZzfHYLpqGMY/pzIrtxRjK7/etnJwnWlkbDbH2oxCgeiyln29j NuOgNWaykP14sA27QMd+A0g7gP+srK4LuItyr/t4Kj+dMK3MRBQtRjIvvzqUaTC9BNAVq3S963H5 yl8F0GK9U3zDFmps03GrWWvcK9MgBQFq8XGgCDYGjTxHCamurlRtc+xNJQVR4CxTQlpkMqlnoBrb L9YwmsOneZS8Oc7m0gxvfiYF4Mo0O+Y3VvqSjrvVpnHLiUVYIjw8ZVrvitd7GTEfYWMJU5EXZE/5 VPyM/CTLFJDA0UzL7QJgLLTLwecyaGJaL4V8E9pjmCQ8q8luflQKlCB9p2CEBV1RBKTiwFCwaEgb qvcx4T4ve6haCjEOqrt+DDw+syccJLBZjKTGWdf+7+uIfTcifl7j0fkvLplFBA3xBfNs7jvM+1pz 1DYRqz/6gEKKh3yP2bo0a3dwo2AQjp7etZBBceU/JoaOTwja2WLVi6XlgteH4d6ga7eElRfz/7gS AjTgFtAp+DAyDkj+nuApbCGs1xJj+5zC1tdW7QbZHHoRs3vZTDk0S9jMAYiw5dHPj728mgE+r3As Z7PggsQZCQDYlf/EUbbVocw1W8TzmOQcZGu5iXoR05Rweo6cj51HWwEhzUWSGaYmQBl3ueGR8jqU COVnv+rl/caQRrBkdZ5DB3Bw1fsc53g0o6lTRrOlhzBEiz0bopgHCROpiOaGpsrppGKBHUJ0hugI HVO4sN+Km1RxwYNUaThJfqgS33vYCB86TmN0L/X9IyUr99IFKZtz3YwC9i99rTSO5F5gyFW6sLD5 v9elP2BVBQ3ztqSUw4hvDWAoyhWoPIWsGSEGf2nYKe0c7Mzne74pQ4RVl1r2/KBciangKryk6k0z s2de5lISeDBKJICO/naWBrhyTY7JjteE+WIpSVneVIcaQ9/pgtrL2kkyQ6zOSDwOOw3QVCqK1QFg 8ARHNM+f2570AwaHcuCLoUlgntdmlmJi64FAKNqVHOIPl2rDMc15BXRZ3OiOTcNbAydfUFg8Ijrc OkMVc7uPffCGw+Q3I5dZMFJb13PqJTK3uOC13Ak4h3CeWwWboKEw274IrWW8BK3PT5taq8Ec89c/ +8I/OySEqNYlNNHSdlyaLRmgM2yJkgoahgiJWkSXCO0Fh/yNWijMzQVJ+6ZWM9trkapUgUa8jiNB 4cBsiupWBq/I+NaXDGoATHr+BDcZdqVkJh/Lyzg/y7DBTWLPtdRU9mDRuQizO+Opl4roR7AYVxDU Ujvjy0V/t7alOC+r9bts8Cdr9fE0+OANEC9vd/8ZHghD4zq3eDXaNzZ8lGtkEEWF+bBRMT9NiaXY ZLKrAmXsCAckqq2JsiK7Xi99kVgJH+B1xNUk3UqPNSo0pm82UD8NgtqyQZELje1PbMoeUrt8lbSN qIchEAaVaqM89ANEdVe6BwrVEX/heR/2CznR7cC210PQZGLqKcWb2MqXNOtg8Il+LT6/HDf/uP9H BejIHM3PP+v67P8hL08YFL4xuQnnezs1h5kilzpg9cwdXDlqabx65jhDBkAluEsUVJ/QFBf/vafq OKB+80CPxGZ9zlpNLVdd+nSjVCymzIig1SddXrjh3a3v6veogL6d/fbv0duqa4zbk9K/432Ko+15 Q3fvhENR10vuDj7ynnT1cBHSdmbGu0Sd3QA8B7UD3psLqAftEpE6oYFhbR7ZWhxEvWATzMsu7UVA IP93q5TPPgjN7X5vJoXLKgBkoUg9+V3ljC/dKWSWlkkRJz9Q/yOy8weap70BS0VKQA7UN4qrva4s LPJ7O7hzXC7p3u3eMa6qarLGctPBbjiIzAnTb+gtcf7BDIGtqtxHyigbYKSqOgg5s6Ao3AZhjUku +WJqyWXsIdJGHq56JtHoM3victWh/J073AfCHYhJbqOhdRbyhWcDjHQfThSHDh7mS81tbyhImOc6 arvqjgIjQDz6N4yPOJmnFMrS48FbMBZ2/KkwOiSVAXuJWwRvihzNaa/Hd+hkz7/cj+0cicwS6bqc j+IEn9YEqt+EEm54gi+hJYiANlFgOjdBdW7Pk9krs3fi+PgXuQtI/Yf3TsBCxnqpPvZITKCXpGCP 3d5/judvCjLHyRJUguJ5Ax5LEYk0bYGzL77n9FUeWZmP06eLte3N62sbuxeIgxfD7t8AdwfyLx/Y VytbJO/pp7SwhAq7d0bOvniRRElIDzdtvN5j0y8k97nv0vbqQwD60gNMN5qkLOs/JPnprtIlnaYD muPgkrAg8AezJfTZqE7XmVc9aB7bOmpDrM731aNZw0EL4Y/UeOYxPZ7l3r5YrFDUolvOOQuDsfLR CtHcxzcgNmRlWgutIVfTnmJl0QvT+pGwdYhvfDQCcLQODwcXRMmScjyyoOu88X38QLmqJpaqlKZV hJm+QZ+Df7d7Q1+6gq8wcTHXYvr8omzJsMDLqFUv+NyfhfYOMuNZ9R9yA6ihL2j+mYlF2j1tys9B 0ZCFf2wuhpv0/4xV1QgPfijGxk6uxy3GT7iOq5FeqKuHLJL7YOUJBR78NwrCM35zSIhvsCQJ7Pro yQAo1UMMJMorRSbNu8oplSRJ4n1FbbaWwHYze3yxVN7Dg9NTMfu8Sh9/xRxlbS8241S37SKicVyG +L/37vCM49OW4eNlaqdbMrlsM35bdMKREKNqFjn+FTiOmofuubss4+lhKqwfkO4biqA44B5+Ulo6 OKnCkYdUglwiGSJsD0aJULWGneXcnXLhzWEsKzUP8JDpibOK1oekDh0ibSYgriLK6S8XgvODAFpu Cd7lJYHCp7mERuQt6971UlOFC9niFFS6NXJ19SUrmL3WId9rcZUB8/vFA/isXKR1A7a6pxboFpnl ytILUGPeZHjPBD1t54f3i023MfkZQcJs7JvtG1LIFH6m8Rz9O+4bS06EeJ/WyceSxXvq4oUrxSGC aIK83gniQF4jCe9M4PVec229A6OpFLbhFDIo1p7X7YOfQ7kNgI5p2vDfzI09VOtS/GtQWOmg54ad rPlJ1BVtOJLfMSAWp+aVVvxRCB1FN9z6ZjgyDEQvFo0TLD8RyhyqmzfSMMs9IB+eWRI1MSvT1/Ca zQW/8Dkz3ex7GT6m7WkU+W59pIss40yYqixT47F2cPg7eL3PlYhFqlsTwQ++6E1AJcSHXEXhSUaJ 8k647DaV+ySXJQjPe6+wCGAUY4lo9rTHqP8IVmFjUMD5ktc2A2Uh5Kv/xEUVi3uav7Sq66JGHc/Y e6ul+lJ9Tr52jqtN/p3ntu9OOPrG3M3ajRiSeD23RGCh8VpEbAsqm/iImptqYIQVzg62AaX/vPXa xCDJiuoV8oA0MsK4XzXV3dcR0e46zpqHdjWSAiXcyGVa1BIpnMMdG4Lsbea6Dy5GSTfg3c8GxsUJ iChQykLt49sG6KPHnehBNk1+IhYbPROZSFPpZUsc3dUI6H841ByK6X/wkkkJEXn1Zbw5aPZkhV07 hridlTJ8tDaRxvvVPH6Y271h5AVi2EQxOwVT9iyIFB/lf73AIMdfLQoLPa7eHUMGC/rnN38VmC8N mTRCh+usPf47BoU9nfwCsCyByoJsbGpLYnmJvDH+4DTJF+Y4BAdRVlpvvqhOXSQV6FLu/UN9wjZL WlH9qMcCipbTdWpVtY0wYs0J5RqzC0QSB4P2fJ2C6eqxOm8JqKQ8WxIxGFDuTEhAWTDsW3DQUwRZ nwODxtCBtMhjhOvyuherTP9Pet0H2Deoj/l5hwESaYNsWPQ3BYDBd+ioIwN7f6mfpNhUg7cZCF0I yySa6tsatCIS6fjx675Jf5qCFbt2unpH/DUt1CmcKY9dL2wp+OS3AYSnEccApXA0TGCTNv0K5Xdj 3HtsHEUci/0OeHPCQCPNeIq6v3V+GREzU2jaHMYJb2eaL4JRLuly/aEvfOo70u7as0L0mXc1bYJB nMkYsaUlVPi3NLPRrGY7f7df+2c9ehRHwCnwU+syG6+rQ8E7Gq3koj8efSGRhgzGsz8SbHsebBOP 0ZD9ggKVwkf39l5kC3ViOnY9/zoI6SitjQ3rKb1SHfBPpx2GIKyQGVA9tw58Ds5YaJH241plf4oE QDjsxJ+9UQzo2DL7lwyQvWvy/3YtAh4G7tgnRudJJNceeKaQzEzfRa+UquMTVDUl+2WUrIqFdkYJ Yb2UaCbwNxgamTvoOIP3JIFEXB7EE7wLPdN6m5A9LNyfYbkT01N7OWmYfjwqanAYjgnbncuNHWGj +PwZmuWBv8lN8wx1s/5fm0L0/FrEjwWEooqDxquKAK6pfKExDJzgwW2P/AySODtpfE25JE2mq1ZK LS/mclVc3L6V9lvDYDgMHYf1p0YYpBIDXgRlwlujzD+1zfVl1y9CCDuRUv01U+VVUrntahW036L7 UzgxOm61iVxOlf1O+p9DmddZRPzx7UsypNmIbV72iAJSWNRuzVBoRIh4lHoqUs8grKllSNWE8b6E WJSMbgUiDpDzMaxjI3w3m/eQrY5eRvpFAMx4ic0HmsycvJW+L/Flxq7g8ZzbUHcmgrV0tHRz87fg yJt0vvjhy7Ht/8ftAui6/IJwoKL9NfLHHM20X5/j5UZMOg+RkVYu7iBjx2HvuWc+iGdgnQad9jDM g9tP1cjOCbne+NTBwxI4tO7KkdkYER1yLvo9sPgbOBEBc8frbGoLU5kTbP85Z1IvI+W2OM9NWsvp g2fuQRVkqJQgBCRVU1MdEwYobbsf0LKu5ApCpgNeVJDy9mRSywpR6drL5Qt+ACzvz+TGk43B27g3 8xo2nP47WH8M5p2UldBvrhWaQ4okRlgyetjcYWabOLnuZTiXIUAh4VbWCqlHc/ooIBGnlvPwntNs YJumXg/KTv+T0NIC5cR7mrpNlKcXpKjeH/2WA6i3CVP4f+TWusUq6bXTZ2/6yTBizv6lusYge9/7 YVxq7NkD3AAsUwdjawRQmTULS2oWRQtKpaKY6DdDreO6FI2FzZZHOkG+pDPauLsb1hfNUs1Cc/x9 W8W9NSc0y5iNMsnnbLQf0kJvbFSk7XkR2+jNdUsPeWD6QzH+5itV9WVD5GR7vY2Nt2+N8bGKZvEP PFKFOCdHJDIvFuGcBbF+zUR/QsRmv/p1RBaV89DWy2ENWMYL0BDYk8K2B3rgIQeHWlywtENhwYCU aS8VWeuSEK42A+C2J6y9J4Jjo3a0DXbbrZkBAxe3MMyYJR/lyYe5DoZAPRIj9o9yE5x47FyQ7anx LRosVtZgub4gEiW1zXbB8PsD9tuS1FTiX4+ekrGG0I24U8kuszUHiPDpb+0VuSxsWux77ukrZLiV wruA03rXFGUNbIXRRZSBSLBgAyqlqpnA5p/rEe/L8dPV2vW2vUHQ0Vei/5d2PVVC9iJi8ygSl5TQ HOcFL+fOsWW3EYTz80zxFyJUDSU2ALVUeDEfJv2wiC57CpgXL6tR2qk3BllIR0uF1rGcstMqm73d nADnJHkIoGug5l2g+5i6z5nBRqWSV4cVy2GW+Emdk9hvjrbynPOopcQKRVD5wnuOoDG3ceUBs0+K RPPikquFwnXVLznX5UcULESX8+oxeFvLs5HEaPJUquNeXp5S7rDriNGRJc4krrEgn+CmjIxY+aGt V0A76Q2Xhrce2mvyWVZ2+fEXF5T5/sq59twC6ky+PigLRN6xB8YsOp59GPDC5omlNFC3CuU7RvIO dBMZg9aeecEgHWhiiF4L/3RrLZTDueN8NXnAdy6KO6In9d69kXTR3qbkbTbazM5K1bE6s6PuJzwu PMnl9uPz44UvCfOxmhd8pvRAcMAv23nYV4mZPfVe8+gECCyxUUMiXvy2u5wlmE9cdGg7vlnhyQCN o4/cq+WjVtC2KWmoF8IRNWEk/1ODuf8228CrmjM8KeiheiZ5aM62TaGE9+Qw6rzp2TQeQLT9tR+O SzFrv0e4DMExHxmbvO6ptd+JtiVpXr4CRNFJFdKBOwi1SguuA5s6iDbMIbh9baqqxQOdQqtr9yei PmpDYewhNBy5ql0nacFuknIsa5boewmPS0RxPFMi8lp6hHC7JjgmubT4PrZbhXE2JQMhg9Fxb4cc Z0pdWqrrHeVqGqvdkTjyYqnwLTXr0YpziJvK5irWa7/Cf/x0ZQD0RO2l/e8RVEpi2puGNoBpHTiK D9PGs+PxTTrrJJ8YsCSWXt9aok0NlaGbpgbjrPEGsD/cg7VMr/2GaGCsldx5Lz1KXcpGh7u/jx+p wJUGuQ1z2YTL+/y6Jlfr+Up0g6JseduYEMVNlCvBzP/X6Y0G3UAkgWQfBXse0lsMUAGMMe1Palzu Vix3cviVzXvWqZ9lu6hN5IpmNvm152zWk6WYNxBv63MwutyUO7GTLBZRTtrf0TsapHT0AmhapsvD oGS9HsY59zjr9nx51RANLOKQ8XWAGckBxZMAkhIR2/w8VZxydl5HC4DjgIYcnqKZtVxkKgsv4+sy OL1tG5kYTPRhrE/0sDNrLryk1MKJ4GVichprA7WFmhp3O6s3/hugZjPArITNcNX6kmjJ7FY2BPBW 23EJ4DjakEJ2ldTDi5vZyf+/pYM9Yz+DGS2xh0eCOpXW0EwMn6a8iScGehmsGlEGDZA2chlTef7m 6IKRtxvgGIwKWtKWPmdeGhPrEfQp2TDRyoVYjfR3xMdh0s88Yw1dkea85yUWYPQUwWeNWeYeD8hb LRK0K+k3qz/7NWOfhRzxeKYJWYlDAjk5lSCWJQ0rLUfIIFGKUsGC/3sw6tShaJPOiRar58SHlwYn Br1ile0ygt/38F3LDsgzVJUpXq6mI//AnT5QHdTyRFIkorjs52qqggcCq8sywqH7OEo9lYse2WbR JcvdkY7PtI5CUSDMC2N2qiqsyeURlKPELUM15KWiQYjin0W56K5EPZFVq2Gc+J/kuPR6518887xR +OahtLy03Tqv8YD35e0QiiZxEWZ2mTJrNj6rXvKWZ16a7fK6TgpbupMw3cfL+SoVANYhOS7bWO16 ZWoM2zE9m2Bya9soZZmjWDCH96qilMBsUv3N+1LtBusnLzXvu7XEG/lywJRe/2sJvODK4JjFGXyg QHLfAFkg0pQFCxVO2T0puwHeh2WhdC9gqYovSV3jjkPIuqNyx6A7iBdqewBFmQmeb/lPQnFyW4Ae E6qmHh62ep/TfxQl6sdDOa0mAWV9tnaADihnro/L4vhRxVM3FvHz5MNLSsuybWMVXMvWyVLdDvdZ CSvab0uGx+Xa/rGVQ5HaDAbaGLSC7rpXpAthKbdnGlxfourd5CjKUmBtascB4qPv8F5a9lF36vXD Fu53QIwvunx4mykQr+1sobS+sPE5JUFr/nUYLq6mGMJskqeqYuGz/p3NTP5Lmv7LHp+ZpUzy3wWg QTZTJ6iIg7gIJ3DXcYD1XzrcyfvSnDpVHixr5km4CUABe57m/5a3f0w7achRtlHxFvK+wnVLu4zw FbtRsfF2L9OMgycNYGHMWTUv+oN2D2CpZx6OuUorKA41eKBABC/ObQqum1dZn77mckSNvP+t3IHn tgjXM5jZta7OqHXYLhTpDrFPyvtCnSySx1LWkYqVFJOE2s5eylA7Uyo05ZJx2/6KhBKeCGgO9hHi euR5nD8gs+6p+RxOchHVSJPWcCIJ207t9ic5tIATu0+HNVwQQpwLicoy2qu1GSDXRfNBKh72GhIR 2JfTCIZyXIVnDehVwieF8HimDjLbcVkp7i0SFqnSkcIopBlaf3WXbsf6z2c5eH/FEvvGPO874Ac0 qX5pN0oTQWTXfUqrVhEPGIX1pxG1q/i5mPtZqJo3UYJKM96yi4fmqZ/+Zjn5tNtMdrv9z76/D7gj IP81Ec+QodTEI30CykBAihnLJEkxjD1hHnMOddPPoMkzGDDRg1mhgtFxJJGkvb+0Esp0kVFhxCmb 5nTq3Oun/Mwiy8xwQReRqbTWK32JSwGPxngL7XiWUA4in5/WUCP+4sKTJ/mOVC1daPEVboEoI4HH Y6eryoPXtVTpQkJi7G56phIv0/EjCXeVOg+4V7O4nNpisf8Oq6yU86Dtoz7cal6/txx/fou07rG/ F0NRBqfsioCVx6eIulcpjNuAI4hJnhWqF0evAit8+QJSIUUaLhQuiflZbBLy4kclrKqEzOj4Hv31 +OOYaGBAiKU6wGL3zSGZWXYwbD39Xz0h87eotXY/MhifiXfZALVg2+HMUSq9qqZaD6g3O9f/F7n9 VXv8hI+0ZUA6t5INTyZaFPpn0/ejCecQJKf3maK6S1Zuq+HkkjhrEKRP4kIx1YXr1sdNSd6TcUus xey7f5Psv1iiUuZV7TDsgDNA5+m7sFmX+hV2Y6l7C4hkq8q+iUgTuqmw0h5BrLHJ6ghLqIQf6Zfa Nr4jlj4zRiQdVh4Iyv0XBvvsan0Hnlhq9TbKYvNOb64+b22movg9I6th5g2aYywJnI39giuTXsCX WkY+cFLZ4Ane3NfOOT/H2obnO4p8v7TyDWoImoMwzVF+q6qYbmC2ophFBufi01TpWDcgqAwUwsA2 tDbk+TIeYDSHFchnVnOsQIy9+RfjrZyTEmZw6EALqgGL8mZWKcZyBDxgV3wmXOJPYu4G5yFMhJAt KC8LZS738p7DBPTPG0VMiAB3bZTpUnHZ4bHW4YkdOJXXUmMBy/GzfwLyjsZzMC/MU1F+Qchf0i9s sxIQzO41cm5NAA2vlpKyLTYNepOjyIoBrNATE97TuUE0cClTGcPbxPYRoOuLXPJ7evAJuPs4hcCS HYZszHrPDXlSQXybs9I29bQQ+Zcc8+as/mt4mndwyx2s6/viuThoUFJlXWoQX2PknWhe/2pXfW1L 48IboXo800NL58AKaKNuxMD5EvtdpTc4mWGkue5o9OzUIts60qyXC91xUQlMYLgjeT4TjnCM1j+c oAYOq0sgVerqTaZHBTaodVLSblABtS0ClZ+gAOVoXmN+QWZmZqqQctgxFgnEIJ0avM7MoPcXeUyh P9y81NG4hLFhz/eIhzUuG/LAqn5ewvJWuu3FGvJtacNbwjGtH42r2bgoem8imvMB9+GBTmVFx80J cNTiaqaRJrHStVPSuVOluc/F1EtnGrKbwDncp+dJgL0y31pyyrmcwH6bPpugbhJEncXlP/2igv1G H1K8HYOJ5Fnr8Dq/y69Ks92QCfqTPWK1VEvnDzoh3vFOZJpqE7TaID9R3hYcEvRj41mE1wjYio+8 2wJFGWHcDbiFprZeAgdeF+1PlAtVZRqch4M0QOEXAI+w+qYNZFh4R+L1qYNZCbV5WDO50O50ITA7 rZnSMmza4pYkBV3Jw0kzyzvJHlA6OOZTIqUlJEaQ6ByuTDe26pjz2dzwxZ7zsABT+HEyeaJasATT PkDPDFswQkROzq2y6v874y65JzX7a+SymzbRF0ij7h5yzH4B7gZG93tljMh5WEqTz9EOphIqQ5XZ FeerjDQSesAHkVdOD+b3mvAK7ovXpQJNRHqVg2eRDhRAe3HbZHklnCQJrexfq9PXP37UvXZZQL3N N7/IpaPGYybSnQaBzcAR9aTi8JxtwUgjjMwykzSJUPMgX90zJ1EsxBIs8Po1qt/82ra4QJo7of2p W2Z6WzZnFzPmny9/WTkzYSb46idRdd6cGr6sszs5tGHA8H56UzcwvtBQSC9BfXHFaWfukUXAdeW5 tHfr8vQOf1zcomysODHpaT9zpf74NXHLx3TVuPpHu2aNxR46QMFFBtraXWYXgvVPcRCe0Eg+i1ju yEqhcdhFSsB+gi56X5ogd4gfwfBieM0Fc3S03F+Vno40gL53KL8/tcYHvh/elI03gioO5n7zzynn lPKLxfc46YWEIP3cTqCWDjcmjTE4zkz+WBRMTkcRqm0cNplIYTqktxpg8GD1utrpeXEMaJp4XOjq MyTp98ORvIIf8EHFKSh3E0GbeWlcl8mdKMLzTSExNuo6/l953/x0dKoctRflQReQW6OWA79q9T9u COWYNDCGfcO4ss586TQaQphn3OgVe+sAads4mBH6cQVDP3d5G1jZVWdoUvlliQ5RfTUfqZoVUoxo svyCrWZ204b8BuSYUydkkYhDj9BYQkAOzmKbRoALGT9ScBf04qDYtosSYgX4XuxxBzC+C3bzorNK vP6mutSIMNSskMvyVO8zZudHUNKfoPCpuPsYfAJZIRqT15Z1517k3UuR+vmSFd566L6Gno7yOzPJ MagObx+GWRuDoiH4Yxr6Ib4uS8b26TJC27Y/8aDH1Neyft+199vO/yW//iL/FFiTZQtS0MF2tH1b 0kAoMLSipgTfI4haxoEJuaHNrMsM3JloDnHdBro27U1Q6+mr9TVj6Q3tG0ACvEt/9+UKHnwSRRV3 Qg33HRe5VkcwQbjeipO803y9q1FzqTwEL7LS1mBtpVLrJG59oJ7aQWzC02EWPgtsaX5NjBWw1aDu Hz8o+gxzZt4T1f4cJOiHodnh0zIQjUGsO+qD0MZqlhbS7+Z97rOjwWrnkE+o6cOzt22bZCtc2nXe jyQO4MKELAa/i8WKe7vutOS9mpJtQ6ykYIAfm7kT0YC2IfzAd53ibe9vS16OJcey1n22hiZbFbuR 3BQnoXbp9bNVHz+MqSf+1afcYMImxmAmr+dnG4zNGlEqve9S8/NBlM2enII6mZHKXeqSWLb2CLKQ 641ac6isOPvbFs84H6ZNS2sjNrxWY2Y701KHrEWF1yowae7D6k92qDoy2rw1uvg6Jm9CQuVLnBRT Dld2O9vshZqJUH8YbBOVNFwvKgaItevcJ3tac5gzz96ct2GqMh3wn1eDnoLzW2ECzVFGWxg+G8sn XerVW8ru/hT5rxRir8d4gL/Chs0KHhWTHZ96ruyP5LjwGw3ZMHwmW6IU5IryMLzoAvkNNjgB3Cjc AR0Kx20SidcGoEFFaRJjNZMV1lgPd2nfD7i0l2AgQwgDejcWmhkmC8M3Ejn2bzG++vWD5kwh+pkT Ih/LEmZ8F4dlqTS9hSJFHfTg04qke7uxxSoB5vsJQ3SfQN9rVm8dDxi5NJRgh7JXzSFj77HjmcUH FdjNqWFOMLY/fndQdV4mowm1ZBryYCR2gOWTV7Z+neZnCksa1E0Osu221K7Vud8uJVs9D6AlLG/l LqqOTiLexx6kdcl3Y9MVeWaEm7qhsFkg5/o2KoxJB1cu3fl2xsCFqZ8D7xGnhixOMCn01Ur7SlYP 6uforUL+74VhOapr3HPXEBbbWxvHeWf2vlrGq5w9z3YTwp/j2ATKTeTdvNKo/c86dY26SE+XiPZU QqBm40lEu7fJZ950aTafdDdh6QviGYXcSFzTHUVb/pFDwnIK/MxU+qtfMobCf2DoFGIpXbJYNg5a 8Ujfo/7nSBsDSA7GqeXPkUzdwWN/I4gJ3sOqsS4x7HF1mhhdBFVDxRVJjGiY4UlSGZ865XzR8sen nUmGwKsI5oK33/ic9oe01zy0GOmtUZL0buAP3rOB3eb/V76jfoU8BU2qoa03ohqaPU27lP5OH/d8 mnf/mNYR1P5md2BuS+ASQgB29YUfXlo/+vwTCzwSwhRNYhauA2mnlzGNFgeLzjpFjlg8f/H2XxB1 5lnD7LPJ1PqZzgPCV8lAGA/rGAwP2lp9QVsV6b5Yen6U0xN2rsvX1G97DvHhZNT8zft2+5HbXM+M 2Bji/5kz2zaF7CtM3lnuy3KurH08kQXX2mENBl9BYaZinUZ0t+RAOGlstTyvRqjrlCJv+m2CwNvH ZJ50B752W4uCjCg+IZkkEth5Gm+GD2dOkHVmitPnkEb1yM3cB76WFo8bNGgSwHe+GtM2YJqv1CL2 PC+hjFlErxlm+Ov4FJ/R6PC2dxlooZcdW1dY4l7QjOmpfcpUaNK+bTPOuBgCwWnc3J1OJv680drz KEZ+f1gqF4P02A1qiDiCo2cd10ooThZVgKPwry5EOqt+Hahn0VypKp6WIawVBx6BlwTat6Mq+oxS Xiv48pbGGdRpvSKweLqcDb3RxGZq+chXxu4FMSKX36aO/RjvTuLyw5STRYq40Hpqkm5wE9gGzqeo Pg3vwJneP6zM+ZMsaV3UhsYndJlqOMXSjzdYsRsOPK2lhQn3QHC1TBYWsmeiOsDIUxFnLF9tqBFx jjZCfMwVIwuNAey2yczFinodZ7VYDMJ94fvCMjuiXmF8vm+PnNVI/EREYhf8PEBkuequla/AGozT 1ugSghqu8fwmMOcjH9dqavaACxlxZtACOFsggv+JdS3AXR6t3O/OX2j3+Gb9c6pML9Hlbp37Dlvd bvwXFLI2FMlwfP0NDNjgkqA5ztxmsU+8PwSsf0RaivVU9cqXClHX2EApK1fo/4JOo0PfLcG71D/h L7sjdSHtjFvFHr2r7rFwpjsgE4aWUnHW+qXXeLtG9v+HpX/YJvxR1fstOn8NuL24tDmSuFCsFL/s F0I1b/Ca5ivo6+u0Z6wHewD+a7t+RWUPCG35DY7yIs74a7mJQH4luFAl7sj3ysrptE4FHLbQJM0J DZ6P6mQZDCLShMkJI1f0JSOLu4tWO0AyW0O8MxgJrFAHw5DJwyfOwxntPjcD+jFIuxp4FRdW2yS9 g/d/mhH612mORJYY4waaVrex78hwbT4dxK8ta1k3eco0jHms9/2Wen/7ArsypeTKc3BYpHEBshRb XjMMsJczWinMQ6POCTtEWyYUpzlLcU70QtMry9hj76o8HUwWI1gMfn+dTuUCgx4ec0W5oGactwsj XaIpfhwGGiRTLjfbXAZsTmWMfeGJ65V/5PQRKNA1cexTy/48exDeVYyBN8Zb0Cx/YJHgMfPxwVdA /6ehPuk6YVy/TPYZbnpZPqAOx/LfkwQIXjIWbrmlmCTXWIttUe4GddzX77shNggIMdUOrX5+a7t0 ntJ89nvtwid6+rN4xuBHbaYFgoj3OqfnzCkuHAZ248mvydZEnVFFtxjnhh0VCpOorSryuPPSDpVw VAnwr0Oj94xiJx3tvN9uebaoVBg/KHceKAe2yRF9Qz7m95AlkY4kZvgyGmj20wEFw7JLIwsOWHAt WOry1SW9kmnBVAq2ItRHnuraUT2uWNNZ/GR/iyF+TDOpsRmO/rpKDR2pWQ0JAiam9EErfbhGYjiU APLLHqKt9ejb6hO2o99DIcejhnF2B//2rBVBjz/xAP/g8kuM5tXjRDp3MtJSXeIFwcGxP73nLxAS pHHbC2YHXejCHIhUTR6RV7sWFp19PH1BQxNmBbkKCLRf44s5VMQxSOMNDdP0UqLVhCf0XeOS90Kp jvuh1rkGy+4rgoP2602ozqX8oydBpncpsH1bpSuR5zNvW0IjKDRjsjq0S4DmeuRXKQiDwuV7yq0M NkBkXV9mBlKU/IYxlLxdfrnPCp1NlscJ5t8s6AmS1qpW00Llk9bwJ9En1g/DODG9TrQYdfvAW1Yo UgFzg6dyS0jr35L68o4tYJ//6lk/dYi4sY4d8yOytHnrpDfdwSGEQPRkzU8+tN9isFa1W/BAnX8g G3yrFwm/pNVtzeYfVx+y5PNWWlEe8apDgEfTApMIJOmX57Ua6COp5IweZLsK+2roFDKMCTcAQ/Xl a9XoTr1HB03waS8zqb3luUDs/7fx+EZk359BgpPryR/cfDm+759t7aOPAN3vtzeRJMAP1WWSoh65 m8Ot5xdN+ZjH7f9CEtWDq1QKggRWDkfBciFmYR+1GHlyoMdsRwGEqXYPVHok6fCFjuSY6yAAiofQ twfVTbMCGQbEGbhCBtoqItwDRagKceQjREcX/E6ude0u++wDw1fhmtycyTR/7ulIHeo0T18+gtQD mMkVfcJB+ET43WNufBnVaLH3+zfDTrWeamNVsT93fXhHLqKkWsa+ZO5CM0tLrE5GhM1tKrhp34gi 32sGLBtn7zBokWbUB0w0k615RhHPD7XS51OiaHUwK1spRDOdwXrew58UC6sR4WwFqjc/eSJ0Wrn+ 3vJAMg3THpL7Lxg1uCgIoqcD90sQNrSDNL6/nrjFayL8N//93bx9IpYxyK3TRH7v5FW8+hTYH/38 bD+OvTcP94WY/k508IWS2nlUSe7lgf/1Ih9A1XR63KQd2RqzP+B8H4aef6pazc2P9jseBY5ICvzY NsNVXTNSMe6mlK4WDwmAeCp0RmDejzjGiipT1bUXpvmRshikMtshd7iv2mTpaYegNRj+nT7qhAf7 i8I59F0OXh16SGZS7l9fofmFNTDQEY7kTFR6GuoPx0RcfZVBigYrRL9Z7RNynVgyFaiSByvQfVbw O4t6w8E38JmUb9LqeJG7x8BOFlioV16kOchqNRAGSKo2Yh0kSKInZYSvZwpmhlmDt31HEi2mjTF3 op+zEjC7gu2x4L86XL5BVoHqyKNvJ0IfDwv+L73bmJRAUbdD8vWJ7wiytbkE2Cg5qjiLAKStIlvs 6f9k+hY1NYHIX9mOqDiU+gFBFqZ/zYLwHJRxyVwznJbzoqiW9EBY3VF61A17vC46FaOSAS0UBN/Z yphoZDiOVtyuVopgvwJGe1G1f5k5wBs2wd+mtEMCoj/lM+Ux2/+6XYuKK8q2h82048RNFggYqmam I3hCcdSmeTGVJ0B5U1SaTS9oxJPGxPVB01yucscFjhQ5BZ1Dhja/pR3w89K45tujeWTiHpdR+U2+ CyRPWQAs4tz+PDbD5DA62afBTxOJ+HJ2N7D8uBx8Ok/2QWF46ymHnyyuC28w6JnPviAJu+Hja34/ v0ARhrXPQY5RXjsuymdmzmo/JuMiv2k8KH7ubn1ChqdtlKwdgeT0JOzljhlTZNpnyP7qqXV7SAWg afk4CoX26VVFjqXtKi8tB3IWYMrYWOD9n7+eZCi7DrcVoswbRC8UwFXeguqktuwJiBcI3Y4MiwzF Vl+G4xfTSp3rOBjVkh42fTAur6YinXJww36039/wqVrS2vGs7LoSHtAds9xubAyjuGSOpKL+BUW4 4j/snrl+h1eGWA745itY93c0aEDnRTmri2OIoX069R4vSNuZYhz6KE71rJOBgHpTXtslrh1r7ME/ eQSakFrhGCvgbWAvS7kwvfvnDy8/HWLWJIi44eTACVwYfpudImGdocGCuIiCY1ZLtEYMh5uQaW3s rxKzpkMk5GForSDhKv9qKp9agGgdPA75y5+RYBOoTGsz+D3dS5KNGRUHRzs5e37SnFO6pQCLg3Eg TGMmjTM/d5UEUR+NRScB6/OWayB4A8Xv4aQb3K+DaG6AZ8gLIbVDnEVK8khFK9WGPdlKQHixaiRq 48We34Idm5Ov2vj2TF2VVZIUV09pbEfLp1+z6xFykNVTwB6ojHonIP6sy/1MW/YrR8ngKlOoEiOl 0M7amUrXJTW7h3R73M5hFS9LDmNzhyC7NYkDqzi4b5ZVVU08JFePD2drFmlTe6Y8hj5TXH3NdHBc 38Qdd3JTiY5yjd5JSljiE3+nddVBj09ugHL3X7BlCo3NVaeLJkxSuWTFyWINSPLOo5kgL8HzcW6Z vlucnv+FAKTpqG3/vFT0s7KdRHkzS8nBq4qSf1U0u5g44auMyEpuWhYMSylJHlMnODg+taTmv14j GA2k4pi2HQldaIMzvEe0IpE//ZuQxSnsxfeh7uoDFCyhKByBUuGAxHrL9aRkjX/UhGumwfvjcec4 anwW5vPO18H1aQK+Sdtc5W9n19F2cwhiTJK3ZMqN7Y3wYTXhd6YglCakdHemYRpGaCnXutPevBfo ZrNrHUemaUAAJZjgxICnl9ilukP2zivNuzAcK7xxl9r59Xr+Mcys3hOgQVmSla6R5IZcN62UOg2h cTcZc+v/Qh73mB/4yMqU3u8/xQ30ZuvAWL8fTnz7rgDrNPTMD3LWPjJiU1n6qJif71a/1rTEZm+m j+EwhpltOci3vNqTW4tByvV/SEHgL8i6c5XKq2Y5twss5og9mbq/THNskX/DeWdmd6oUM82MD4yh /CEOysEysGTf1qDOfLn5y2TAb5x0ilu4rgvgj6xUa7Edk4BmVrLkeLM8hwLGnlAkmMi3+xg3W34z Z70gEyq7sKaqrYEGmCQ1YTGzdYPYHlHckN9z/PbjW3tAiL8EYgAG2u1tbLqmaqvQFNCwrOjh3w89 byi/owERG0PdsD6D6++vZ/c+4mwYnOJ6W/wOtfW9OepIjYEmyAnG0e+JHgL9oWHjjfUm3ZH8hah0 H4HCanso2pb3AMOEV7a5FrKhWDbJ4QoiOqK8yrtmIQl1wAWyflKAe2jNSs5PJ2PFdvI8qvon3K2c n4+sikfE9Uz4sTwgdOHz3SSjsBs3cs5QujWpvsBUs1QLs5aUC5BPLlGDhm9xcA+xsZ6axP5iu60p jz+keeNyktVGGVJ8bOV26f5LA7rJY7gmTerLgZowjL60Wx6hz3aYOsJATGa/Uln3NrWPZl/Giavv oneVR6U8zsnvm0Rim5hhwQcQ7pqOuFeUfRp9TyrM1fH9fOQvAGl5qIXtXlfu5WdLaE2G2ez5LijX 9hY+/ZuguBTk9MMPsozsDITZTzHfEJYzn4/sQzRVkFzrs3owQ5NpgYszJQ8nQDoZHf9e1wXw+lXD 5rkV4LP47y6nRJxjVOAJirowFlzad8pJXSJK+8NOTE8CIj2HKLadJZ4M28WaHceAX6XO10FQ8E7E 22MmfS7B+NdjkMaLZ8fYgCkvsDc7Q/bDSR1+2NEqQAHdBn3BUWgxxCPkFTL/tht2fl5cvvxZymSz +liOEsdwB9FZnoOr2gvWEs39GgYWxvpmiJFayOkjIoLe5wBu3BhIEeVJ8n/VAJF4wV7TvuVSjBuE lAh/S+Ad/kT8OdLrajzTahBG3mwUfSzU+4lfV8vJygp/+on+/iFfcGK/9aj1GVGVAMlOblh3upcd 9DvGHgbUqJ5Tn8SO8saBd+mZce0brcYn4cTWisJnAg9Q77T7Jzd36j0XygR5WhYaHZoPNC0uOymO pseE3NblwDRn4OeuzGBxBWxbREo/1nU6RDelYf5KhA+dzYAaulESX1c/3pPQV6k34CV5GCS7KAql o4D9Pgl9EzsLk7p+/4ubnV4GyHF4P8NNj+K3/Zze5UQAqyqfxo0VZbTeLZygqBxlCOS21HlcLMRg s/EtXwSFBJlgqtadRyQGrMBnRzpd0HDkdpnyAkON3QSwa6t1z6J1UEhH2HsDOv2aQlobQwKX2iEf J+TMszAVNiw3SxYW3GEZkph6zUAflGcRO7YTHlr5HMJ5/MgGYlKcgTo0H8INuuL2NxU7pisz0edS Jb6kfNreaQD+JrRtUfEletHmV9JUXLorC4rUCx6xK5uIWfWSSBTIclq1HzzrpzxN9PM+nvCBkASP EOT31oZMaN4btwsClCqSWlKgqh2cHKpKaOoOxLstXbUNLH2yHp8vAbQS+g29qbLvTcqqgLbnHoYP T9XxWDy4q/vUVnZIBN9Rt4HEtEj3l3YVukAyoolLv0vtys79gI/3/0+9i/sbH5vRIWYWnzfJipZr kHDKZsdqavo8Vzv8tj/BgQGh+QnzIoAfrb9TKVVtNrkJ72Dhp2Kzi6ZVP+Z4euGFYwp1fIS2iD5N CMp4yX8Dgu4G/IpEKPoZmpBoFAXMf7J/pQjEfF4rJATYCoCpF3mZRUgvje5ye+EmkecDIb/ilrka J5r05/GEAmOU4lWKCJU3UZfaNw1Spm7NA7tKSl/oMh3VlI5r0MkVjN7DJDKuFJx7ugvZa5mHnxc7 aFDw5nq2dJvWkvRetiSNmxL6byOUx/ew6MtV0J6ZM+qm1KHKm7372ixo52crS+pWxOOLZucSZLDL OKnNGs2JskINzs8JosxmXugkoCwyVFh7iD0Is2b68Lf2QPqx3Ps5WIOycKULc9A2t7Hda2VdxpBA lmB2It2PE4b6cW4eWMJ6przvdWnyTeZj7JOyXQK+kYx7I2d/qSiD68iO9LodqOx+9ET2n4IH3S4F Ll9bmx3peIvH2rG633hL1wxZQVbfMhJTRLqsHFYWQ128OmejcpiAIbO+nEVvSxOIEC3dbgfjdyUE C8k4p4X1p/5Es3b2Pf+wbwyFQoOMQn7jjzUh3pUQ3hmhzPJY77jzbXZde+Sn29Wa55lO4TKe33jV ywJOVNj+WSV5wVp6oe/XFTWqkyG76mMB4oI2lkqKPsvmwSx8YTZAu67YfaK86WQfURT5l9RZifts yHMH0aSwKLBTde18JboBmoCHwGKnyXteKDmo9H1sRooK91QcQljyBkR9fU7tAnBAlHBi6LjE2nzR j/zi9EVzWUA8Ii6HCSpGf638a9NwfD/6X98+qMOLIn3l2/t35kk529ZR7L13wTeMpNqFJJVK4F0V wKpWB/4wAd/Mg9u8bavKU663Y3JwW3gKtZKl4iXgqrKB1kzriDtDgGlpff5q4uwiKtYPtgoFTZ/O nULUDk1QtcGGhu76q66cPuwqpNn/5gvnUoqMJgwiEKqjsHVSfKhuuPhfnkeO3STf2W4JyoGtNiwv /5sxFCh5w+GjDlTVxjl67n0Lfj36GPYsQz8+zqsAWA7gMDB7BlYV3X8I6zf9VUOkph8Lcd/qkyHo T/dZIxUla0LJjl9DjYRjT9SjzvRd76Fl9oi9QOeDZ/8iZAUiCFkhuOVjq0SoHvCs29ozqKe3fzRM Jlod89ek2UxO03DtujhYF7OKZyKsmfndJ2DI4CBFUgZMiXAL81RVZHdMX81LksMKRqeNpdzI6zH9 Gm04BglG8wYFJL0XqJtHtURBcViMUfARquwmyrA7kbT7ipGtaXwiWdw0a9epk5D8z3YjDdDj5NC5 X9cKq2FiWr8eduZQdF7e2cVychOX366ZPKTHrHt7ap/ypCFWkLX2jN74hSPn+YRcZLYJU1Os+oYq r8+zMdO4QrJkMo0xzAOYVQ22ek4KOWSfq9RuxCe3QFXnkqxakmy8Jw5NQEWvU/vg9H3wc+PQvbEU 3ffjxrry4yQUip5JyjwnlHojhb3JqUd+gbWHEChXmff8GLUqZebqMUmR63IFimSmC/GhPviz+eTs dZB+DuStjpjD6WiI4ngcnQoQGJp4WubCDExcskvxs+GUSlBlHwz6eFmJO/+awNFUkeJYmywZRySy oZxaT8oefGgJqUCXx/9KjUp9a+FUK28fNGYpljsFJ9hj4fjWnGrDMTae/GcRPn93qSPrv/bWmN7D oLOXNBO2zPSLcC1L7I0HEkb08e5D0nr6GlAtIKyyURqBxr6jjgL4tZhyLrXwX3K2KtJw5rTplqwt Kv0Z9uP/0BgoRTUKXUUKEgnf8uOM7+kErqu5t9CA5VVLQyU+yw0egsAoRZj+O13drjPQyX981aCe l0HUeK5PCV3w8ZJgz9unrdQpYoe44sqE/akbNUp4YPFQE33ltUqUOwBQ0awqULO9yH/KVYXQ1P1q bBFnfk5SYm3jbywfRulrb12MaNKBHKYsZZj3hy3VSQIB96VrT2QMiBYQA79niJCvRkHXjs+tVoTr Pojv/BECA7TZoyhGSOBht6T4/Xxsn/gMPy+bBOhrT3iHSirvNA3OShxLBdXA7ALGWys8eTBXr9d9 D7fZKyvW505htda1GyLNpj0szWu3x3eB7C/e6jOhAXoadkJETRfSLF+KzauRAF872uzhT1kSWjfq gcgwkMYw1rsHdQENXa0BIyAFycet3VEwslLhKncw+pq1fcDSrBWgJ8ce8f8MVUGjvHMNGZd7eOWz GgKfaWubl6yDYEgKvFhQ4deJdAGD8XX1y6eNHiO5LZ07zT+vcMwwwh43xLrGjS6VDkjSrmfibpBd Mn6ZM0KTM0irPGjeTsJ4zJPJEaQw8gMHOZrBhmcnrUj0D2CmDUjI8+tiPODMl4+lxrzvjPubwGDC 51Pn+VDWUai0KtoAJGIsbcWG5fqNJ6qiqkzBykABfKULADNqgGLKL9ZPw3frsygLv8l64R5zgdiK 01ueoKU27G5kjrlxgDCAI4elZz8EftaDnTgcwhRrUEOlX4PQ5HjNd5cALpIAudV/d/2Bh0u9SXhw CiWwSLBN40p03piXR2++2NB9UmbwavFUigwcSYDSTm5emHBorX1vLGxMwNcwpYCU+ITWCoQ6Ilua bKB8R5MWncym/dwpPncHPm1ztOF6+T7TOnXremTqa84KurXzUybeOisgfAnOQh2pOODRRxHQWWFE DaGbcr0YzD9dLIl1x0eY7wn5rnmXhReGnHsqiOiHytgox1OdqcdI1+K/+gbFfW9YaR5Lq//X54K0 FowcC2P+0rBqTnyVGsoQ9tUVjJXtk21gxIvRUs1JNyBReDos79JAdiFMlpiHbAGaXU1nLCYj/1EP DDzPwi8yet19/Xu7TA2tKgT82NnD6//lJYltg9gpF2uGPJztiUyb5V0hpy6T3d1q6WosF+S70Xe/ HA+ULYVA0g1FAzXb7OvH63RGIDLYAAnhiicOnJw292YISbPBmWHPybqm4PLiGrPLVC4l52L4i/S7 +COUeHfP9roN+Jst1hZhmY1mye4Ug6n3ez3paVLj9Sf/j47eA3JB8l4JqEjo5BzP2WY1ckICoAmP swga0Ica1OL1SGj5mvRFEWg4w+AmnIQiZUtbocbcpkzw1ZBfYzJLL4O0oBou+CYhpjSmXNVS3r4v 27z1eFzaawzgVJ3pmRPnMLv5JOjUwL14KNdh0ny7JLxz2U0EUfJDE8LpdTci9jvS7k08cISmuCjO Cj1uIRbBFsQTBqgp528NSxbK9gfzu1gBjHSGt5uHQ7zun6fHeRGYKgawOabCzU09XTIFOfCqg8DR Ya/0Rui6oBLe7NmB73S5XXqreZ+p55y1jyVqCLlqdjLv3Ccu/WziyUzBcHE/wf+jsRYb3GHQsK7+ gC2UL/l8/INzwSehPhbg8Dn+xqER9AQF4fcExJAAYH/kqSmXCLQOP5UMdy7BJN9NlU8XqvcOEUr8 MPX6cA49LmU3AnlC80j//2c59WGT5z1PdYY+LaESGwtb/aGP2IhDtNprfmNeyptfvywTa3QceXBi KNtMVSNUqOXlzjPGiYlmXrXDngedNnMd7SpuVbIRtrVHIGD8kaxNTdtxsTvG2H+9xF0Vm7EGn1S/ sRgBwicivmo1yC86tEw+6ejklrJOtyHYnuQZDZhIWJ1vRut0zfRMZVqsKVSR0CmEvCmHHp8CRQXb dGrGyHmBbYHSL+nq5a1iPMdcK9A24xIgc1DtEB/wggtVDz67EG56Ch4tav+v8HnkM9KtlxrF6+Gl pcMlI2dOYLhRNQyKSnon6xg1iGd/5/DWo5Zbx31d4LJLOXodBU27prZKDthpX4y+Dj1VxaR05etn 41JeCIUuBrvPGvCV09j61njmP2dpI9jRJdDqxr/0WMQpCN62vuGhLA70Z1yP5K8+gUcP03ZR8nVm QLuQKRpuXs/SnVh020NWj2XBYi0ATwYH5v0vJOSwEt5iVNtnvfLI+aurCV9+nAqbKmScXphVY6Kg z+JOo4VgtLNlHp0slKnuc2Gj9HplOvMmx9ibzD/4Hby7p1MaCcxpE12G9Q4l/eXigm2jjC6LYvb5 0A81pewR2yy4+9eBvz2wlBYJZYbmBKKL4NZnGMDLC5CAlW9vNySMvFBtcNUwPs9eNtYOEGovaPpG ruedF4P98b1xvpHtpazZPkzKT8psL9mq2fkNPR5uCERVbjr480NNxc/HCjtQh4NbVE16pKCDBjn+ 6ycMhPt4fkow1hff6QwdUy0m6rgP264FMUNkiK8rzAqasOih4xedwGg4CZCWDuVyILq2bESyJrUv c8tfSqhqD9BJheg/zYbyvtVxXfjJ/M4tpjo3YtRczr2XzWGrodqUTmtueYwZ53WX2+F/+Tj5Cnv6 GTrnmkYnRZTp/n9D9C9OYESJ76uSouFY1XWhPfpHOPq/9v5gzOcujqNWqSIsMGOwZQdoMwlW7Awj iBFnE0Z0Kax0g/1On188+D/RZN9mc1LfceKpAqYWWCk2bCIzuse+w5O362BD/5yo8YDSF3PYijPh sA8m0XDXpVPGmV9kaihDnxeCD1q0GPO/FczqqjyHNVY8Z4Y3d5t0DX0wdHL8ysRsBGt1QG1qLine 9DYTCTaQgoYN/hp4Ed4ttcyyQD5AhRgdDqZ0Ia0maYayE6nWQy6qKGzl8bem/1lj56YJ7g+W7vum aMwwdM+lA9o13KQ/NKv0habrJIBBgc0IuWQPy2Ncgw/ptoMk5E5QIXTHnFduGPSBAfy7knBxzJBG EKQ75ie4x7fpCR7cEfZ5odGVhNfoefdg0A8Ufls50BttaBgVFAXUWNDvWItT37cpcjrgRx0vHdCE nAQ4JWHcpBrLIBjKJe4Wq6ceuLIiqvLI9tn/eSV1d3lv5RGMPpHeR7V4pXjNkUCSNxXaimTUdaBW RMGkpdPdjnIJzLx6z/rDyFO05M1NS6YI9JUsutg28uattX3Y18sXl/9P8cJ20YiyE0sNB5y0AJ1U HlhFLreGRsT3QrrpufXFlgiCcsc2VcjuBHC5XL8JMVjGfnuvzRzk5TAbKudRzq3yApPd8e6w0/De 9IWAUw6z256kUDc2WIJQWE4AxYlljYb7BotMGQg8ncn1exMs4tEJukUgYrCvF1C3LQpqcisOf8Oy 3SYSHJcHzozEbHx9gDA34r4KJTFGoBKroC22BLK6lYxOPsEX0jSRaQjTd0wKvi4TVc1URW7eDyTu rho88PF2iBq5Fx/Xp3vWsj1w7J1+Pc3bO0k9ifl3GPQ6O6jVgEnYSiP0DQwJ27oJRCUSEtylfWE0 k1ozPSJW8ofCHAmf8xiJ4dZxm9AHWsZOyNdnW9aKEZzaEcWSTBJ/2oqM0jkJh4w7w4RxqpcqJ2s5 NpYy9ru1/kdN996iRPJ8MCqgF9xdAdJyNCMfEzA4pzvC5tWNmgkhAj26pAJ5/pSAhx5+8s+7RYy2 2XNhjUBW+YRqNmm23oXbCH8o1/Qj2w3r5GbTFGM1P7aCR014b+oC5VDLhTsxQsGHo+PXwpIku08T rBiQzXnMtNHszMIAyCxzWLsp/6QoVjBLW+byPFj6vGHi6EC1y+7fUSd4nkBYsdCgF8p4b1ESn6yf 6pAbH1kRZV+LyMPTl74pQZsmaJXU3DQRFYAgMb9tzrFyFK7FbIffaAZ6X/DjuMVBJ+HBy+DJHfBK ulFVoNR+aOcJF3HPCzX8W7x/DVemN5RlA93zTz05FKmy6QqgdEvY1Wdfy8fbuMT6xbVVFygy8/gj UKb8gELUU7mjgONw5MAlUoXxwgV91Y+p4cz7DE8W302lnCFCjuIFCj+/Or5icuXy/4xLRi8VzxX2 oj08DgKdxe7iRmmHyQjQMMn8OYofv+lX8QQSbTLAXX7670iHCC9pTadD/okUyGMu3lf0s6LL5MzJ P3JpguUHyHBekny+YQzPM8fITUJgQWK08ilsGkXj+JyTK5oq1tP1o80anIUXQ+eW+DhS/k0J3RAr vH4rrJMnC7vhKVpbvYYqtKb5RDqmVs649WjQgIpdVLrJ7ADuxDON43NkgmsS3BWrLBjBZCBzG8F7 myeVw1z8581M92oG5+gIdoIwdGEWE5AC8OMYzIVA9W666Gtu0mbfRfsV3Xea0fS+XDHvgcNZ3ddW kqTOE++d+RvzrNPYJNszbI+HIYkk5UwfYOyvftkp6i4w+U9lfvZU0I2w8aEHRVrA3FjbpFX1ZxFh SKlSeC+odDKLhg58BB66VQGC0BWELpFtMq769/y1fSUxa9ezCXLqtwSm1kaw/GYqpycsLFrDynDn QeB9xvuFjIjcra42oIsvMbzZ4OY0eFyUF/8ech34FciM/UmeEmFD9v0oOvL2vRviv3i5sTrqt1fV m9tTpb23OU+ZhwYAStn7e46nzgx4075KN/4BL3Afd5xPGMv2dg5y1uSpa/XbqL8aSy0IEnpt2zZr k6bKYHz+3nQryS8vc4tjN4X74Shl0JaxbEDwvr4VE4J98nzG29rWg7QHrbd3IpE4h0BxGrcNaAgU ogm/mzIOEzj5rku88kVTA95o3m+OX9Id2Zw6h7GRpXrhfcdcnb++d0TgXxDoJqhFQkybY8Of9ACT Qo5ygXmAsHQzh0mB/MJKUHGmk3duuNagVC/nh8LlGCNahpmkW40UY15gfnKkEd6M57s2RYM6JIGK KYDx0c2RThvWufa+7Eow3lP7NAkBk1hIDy4gNakkTi20qTkKqfic4NrfeMskuZs9IzOBX0hkUKj/ fpS1xpzvul1tVKy3jJrHz0KnLA0RvPuYnlY42D/ntb4g3iR2LOFAT2v6C5qIB5OncDySAlGw4pUb FnvAmaD6400vfVYF/ZW3d4FtdD1YO7c5xS2jEXaLHOtvBsgmLYIQih6HOWvPCuhXu+fiJN+mBRGt 45JPLnKiaaP1MVk1HfTs9hqWex3Ft0D3xI5HnK5pSkVvzEfC2eR2SHpkQmUugROO1reHzNw39KHw Af8NLcBz6PfODTVPotRT0M0nUkRgYB64dR9L+01+T8lepWsdFm8Fzg7gVP3kKR1WuXWHwj4egwn4 /dM+96I9G01Uo+fttzyF27D6iuFq8jhIU1u/qL5lSBN3DEVDv4W11eVPA3YJKty5PgrHwqltSGLb jejjcyHxYxHef9oLxOBeAdTp+to20WqyN3VMYjcqbd6RVqpvfAjZYKWVo3tkwLzBf7SqlBvh5q5A j/fK1kezSJMRuQzvXVTWdcoAg6ECqcpaLUq6JMb2NQwZgPYzRnGA0zO/NPY0Ykji8ZmbCze4lMSg nWdWh11byOTZ7WcV7pMXme3Abf1PnUvvyX05Ix6n6EDiMYZl1zzSf2/rGe/kynEft7HMAa8qNt7S p8nZkxLXvNY8nmXbJpczylWnY4dSZ6CWHlou7Ti8V1taRTMJ+eJ2mK+X010PEvc0hWuLUcSz/Roy k+hF8C4gafn0K6UT7aoJYyr1BkeWklAVqVlzKkZ+OeQwD6cNsRvXOoDoJunY+lvj6LhtGQ8E6XDK 13wYtDSU4pNN6uSGLGXcEKhR1V+2fi9G+F7wlIFatZdpNMjVRG0PlkHZJeScu/2haXfSh3R/3xvw IZYz9PTxUHjuQCobuSsEaf7MZbu4NMnZ+5Vb1b2dPjtNhfkoag6tjUtoC/BYG1zgrww5dITUH6mm Yb+Ad3UVhlvTJm4kFzEyANLgHfkIxrSHLQgEg5CL1zJ5OxN+cyKfLNsML+caAnhNo4OYRCgQ3vHu gBlxweHD9Qaq22jfatQl7dnlfpUYntFoysD/2L9s/GtwldDwVtkIZxugqrqc7hXf2TEAeRT5dzNj r5fd2guiLPQfCZ8Zk2/LYYbBwxaUaciRymXXZxZUQNJnYXRh3pqRS+3n+SSKTULjAJKCHR55FcVp STGkULW2wSp1113Kt/Vig4riJSNhO70u8r5uYUADzzds57i9RGVVWAvM+0HGYqYN850XPE0hDB6Y G3GUpJlIuRLZgT4FH2aGFHm/2ThI1RGdklkM+65qzdAZ3sWmbTIzZg8kaTNA44R671xirZDar85v cFj/TnGz2u2XUlL0d6H6BIwU/tfy0NkrGUtlEiVdqTlrEeK2k+aHsdk8lU9dfxcTXg8oCvK27TD4 uH3Hihjx6f27Li7oQfM4BIJwNa7qg3EN+ePn2yjtvG+XaLCJN6ED0smlm8m0fNCePE4I+sgOegg1 5go4posW+H6XcDqZBvL+vKjZeM2NVbc1kWcHEigHFaAov26t6K+Xv5vYD1MxfT9HaQ2K2hKu0H/l XliMmbYTT5SdmfWRlAtUXZ0I9uTtYCPSo4sEdraEKeKhBu0/k9y3K9djYxLRlonOHtZEfnlGsQcA F3pD+YZrq91Z9shIu4CESfBsUjkrLJpmAogu/5LxyLrl3cwiWkkSzcvj3/8BDONqe1ogLK8Uq3V3 Nc49lR2eoeQjj6hMRZkn6VM7s9Sazh09RRpDXlJgP16b0GiDlQSGF0l8+f1lUKJZp0urOmSeUxtK 2hiwzPLead40qBGIbwAhBJpUd71PPloZU1cU1l6PHJUTCLlV8TCT/WW139KAqxGNzKEY2oxjAS9A 2cOocowND+jArXbJv5HimWTJ/41pH1uBKr7hStM05Eqls+JsFkuL/Jrwi1nxNBfWX/rfQMtpQPqQ p1SdVEpVGj3xIka1yeWG0rAs56WmgG40Ke8GNNThKybdLxds52KL3d9QxaRtiK9Q1jHRYPwTUr2v hDDqjY415V8mlkL9NRrRC/JSWi2PaPINFmu3DNClB0yCjl7NvPRKAcEtJNQoP4y1uwvH12Ov0+bW MCd8Z5YoykV4OiifddO8xjeUwu9ikcR7ly/I/f/744AEGTwn4zF8mLkyzdq+oplYtNxYWJyQ8aLg 04y9hnWNn8uL/+bDeemTvbFxpB7OFHnwspKuNhMK0/KO3TC8hVYh9KEOWhTFirLAAJbDfmQeuhk0 xk+5zn4fVSYGgOTIzlGv0jmpNLazxEzXCAiPTCjpz9cTH7Gciwg/ViWdYmPX/2XfB+hbQ+fTssEp JUHk95YLY/lunvJOUTDyd3lXrAYkqGiHy0bH8e/tEX9kuXocg6TwkYIa/u/m+qiHtUn4Tu90Fysx sY9XeoNIvc7rXoSNrEL2bea02j2JveW2Tj1xWAluqO+upcaSlxW9gx2g8yS8sNrJHtnxvwmakRxf Mp96NSyqufFJy8EhCk7HaLTJ25wlPsiGHvJbV2THTNMZSGnzGNoe5BDcNmWa7ouh3YIAgztR1hdz n9FTKIHRUgfM9+XEpuCg23MoKrppOKZuWJ2pGzRNzMfdjs5gtIwfVdie9To0RBFns8BOkjb7VNs+ 9B83JsRv1tmwIq4Si9diMVFKykXIpYQ5/ywbOzFRTFs5S4fysWG6MVTY0893ByjZbd81dWP5ONQ9 CFIj2d1qjyuwF4dyQpgUanXnxAID1z2SOretl4RZCYacLtqJda4uY2BDroRLWW8QHPUCPch6Ll0Y SuAAQpgr544H9TT0LpEBzZtsQSbroy/z5SbaJxCdJ/UnI0NSg38hv0mkLAxXBuN7SvGhyivhaBRb B7tlpFEoYdbanixELh4evgBFonJ3sNn4c+OMKmEQrk4EMC4BI6720VfLYrPJMoeCqwZxcbjxu74v FwculyLv27qVF2bSCG7Ve9dMqdeYz2PmoiNnvc3livuIwnrErbVbAEXQCwCmMMFVmRnJUUUlVcX0 3aScwjMbouvJOAwof0CHtkCNOdeetnpZIWr0LazbYQBEutImg8TT81kBHzmrLVSjOhbKRotBGXwO NM5rpA8mA/o3XhV+gr+eZPeNStn9C2FSCR1UMLMHpA6hrWV7VwCnEBpnjXwC3p60tvJkVo7F1prA BA1/aPaIJF6qdAaJOVKYdMYUep2/oyOdTtcwsZS5MvxJrOCsH7O2JXpPlg4BLcpbs1sCVHk2XddP 9z2qk7C+8OqP4wueAtXWTmnKjL1nkG4BKMaCSEZcVcL2/DNGbS95w+QIIeoXqVR7eacycyW4hEi5 IPlRLzRlDd0s+tgV13kzpy8F+KHpzLSLAtYCPECDz4204P5LIiHwRgM0SQEqrneSkUS9bvgtuHjs JurD48Wzjlx7PYzE5By9BTCh+7S8rjDmEFoG2kTHbJ52P+8ltYZ8OjhXSx2LE5cr6jdJlBYequuJ QpiVbvY+kLjAaL2VRtxTFGOjAPRF+OqkdVKzRMMygFd0/o6R8TMaTMA9T44VteotZhpacMiVa/dQ YeyulT9p72gO9OBz/eu6YJQOxfAJdj9+KVkMIEgw2qDUCuHPgJXuf6+lK1GI2K/pAtpgosLIiZG9 aQTrZBG+frherB8FQo/clGpZAMVEe82ArtCYkNaerNurzS/KIobn5562hq2vH7bP2oaHvy+MLBpR fkimqACOXwaOqyV0o4HWQ3Ze5o9iLXRipiJ7r9fFbHPX6yYFw3u/vw7fXzM0I5fBpm4zncBRRaqT dQlJo9XXBLNwQjOqmLNn1DFe1sH6832rJV4Hno3DX2iKDCFBLtFat9xCwjc+dAcNtMaAtBVcNf3o Z5fZvnrX6EX8FVAaPYCdX6e9QDtbmANHYHH/DTrzRG4jU9iCgj5/grRNtYp9rsOKtNsGA8pE8TSo PbgWzzjasaUXaUgA5HiTPJkz5DTQazxQnVK2+e4H6W5vSV6pFgBXIHAHen80v/r8ApJZLPI+esNr j+6IdHpUj4BNyFl2clEogmOtPhwe/YQqrECAfuHG4Z02hMiYRTQPaSYipkYEDPf+RRXhWSKVSbRq qy/cyEhxfW6ao4ynWx08q3QGkGhtukFHz7bEovNBvzniUsPi1rlCJDOL+dGFJ9cc1THKisl7s3Oo DohiCbreVNNE3vu9X3Bw+Txtx1t2RLFEJUXltcRAcbQ7KNH3XG7whHAYbm7jcd6TdLPRjv8w8oau fih3GkCMURqMKnRifPcsHR1RPNqQ03II5CsQ+rOw9YqxftyvjwdEXwNxS9tvTPC9ZfkgesrRSG59 uYiHhrwD/EubumcER1FsRVNbzeedojyHbCwj39JpVI6WCaNZBMzM7ohpIS1/6S8+PXLI/KPVNM6T UpOyGzV9w36Cgnd+koroiFYGk9Mstr6VLYr0kS7QFog5PGUarn+p/CwGLX679z1ZSHnGoJIDe4ka /ZbJvdyoqfql7js6CDH6r5AaA+rhRbEIVZTg5uTUB6NiMKuUUuzHGiUNaMjFlLqTue0PQbyCyq8S YnXSkGD2lC2yB9aRfCVA2JNil32O2AtCIJXW4cVDFkxWYFFO0EIgBXeXHcY0+jZIvSHVUxA9ffNj HTfVl97aZXSj7VG1vG2kYQ1sXnC58OkHVwujnK/cU1+6tEt/Rq6600GC5oZzHe1p9CspX4PmpBqj C+uHUkmUG7DgDsKbXQbDXhvyvplfBiozOkzW5wXdbOiGPEP/ZZu7k5OJiWCx3HbpPeryf1TdQ7at qG8/oQ3nFJaBa4ZD8H9PYK5Dpada74l8O4j7YGEvtDg8y0GRabAWA6Oo5x2GafHY6sku/sDds51T lerjq286DVkguUj5uB64B2cjbrideaA0piiFinHsSbVNbSL4lwZmw31QKxJxbtU8U4cQa4QpbjcS K+XaNVUaRGMGMZzYPNt4nCCVKQ3OMuk+uIdbGucOiKdHkDgX7Z4nqyzJm5LTtnuEe+AAXXiEM53V rO5wF4NCfSik8HEIBbwta09O1Wjk7nzqmD1WiwR73nKyPts+jfblCq5vg7bIfgm380VtC7wvGWnn HvjGTx2OfhFRFT9ywGIljD7GjnT58xSGIyhJ60SI9CXZe81Sv/SRhkiBPABMG0RO6VvfrwvxUxTV 4oU5STjcg5qLHul72qA/C2plpTz5Ze94G2zYvyJoG7MMCswwSmgidXIqQgz8UkSiagAxpfuR+NLn Z+UBSmOdCOImfXDTnHAh+X6tQcqnGFHok8Roxp+GgcEa2mogj+Tl871j5lQbOIXiMeh84Akaa4zj +K/vr5dNISDccpZFzbPdEpRS2u8JRjrdE7YpFYg3r4Iw4uF7MFceNhIz6wH3mSUUt2g/ENWYgMSb iQzYUpBBDiz83/odQUpP3YNbjceYQmV+0rX+QbjlTKR/uh9wdu06ji7v0mAaWRN88B14azpmsm9X R4uXmFrN5jxn0vLX1JwlShAIUoJPXYYhjMRPDv4q+NpHnyYNY2YVnunkXOLLziDgG1ZKexHNDdEh ag8ECyFcrrCBrdIH/k0Fv1R/MwnIEAZZPjgFKqNZjqrr0aRINOCelTqQT5TIXSbxjLC+0UmG9Tms kKImGPU6cZsdkRG3tie4cXseSxLM6o+NF6Wpwt7+jW1fycg7RiyPdj49cOjEZt4T7/6uRQ008ny5 ZVi76UbIsKE2fTiK5Ib8txG7xIFRZ7ePAtfprQ1fF1VkWUsRQ8MllWm05BVAov8zMqsHWulBIETa ESHPKlXeYtJOdFoJR973tVTpVi50DAj+QaG/GHGUmcTvbx4IRZXOv/hL9/HSu0psRIpeQ2PrLOsJ tEITOcM8Pt84MsTuNoPdAMs/Mn2AvoS0PFjQrHiAGGt+zTcjpUqiisZvrHByfKLkWj5WEi3WcCAe zLjDJRPu2wOuZEuESpWQsTV5tSyshoA/HhpbHJW5+O2j//0F1JBw1qcPgCMwEnq1W7jHRG+Apk7Y x9At92LnN/rsiAddDnG49dGqJ/Y3oVUk8W0mltiSH3mUf3Agfno//2Unv6651MroALb94YfmisUd f4DJGdkAGCLMmnNOkckFDp0e9m+YB6bLmI++HR9ZEsa0oF6rqrRhoqASTDwLshA9/eEaR1tsTsH3 RhhOZkBMWltDbDckMXleA9vXMi9vEgZF6wPWPGbphZhATfrYps50TF1f+yVRlBpib1KvNRW0/mRE Zs3UhWFAnzoJcWkBGg/jP3d26xXAL8CEsD7oyt4XSbGu51Nx3DkDYI4hCon8b+QbR2VSlr/HNXon UbAPlXAqtWGhmy7JmGbXYYhMrvsaItX7WyC4GPq0bEtaifLIgm81AXcGyX173I4fU6mXUtXWhXge UW2YXNG97EObqJTItY7bj8G/ldMf3T1z4RnOlqYwttp5DU1vBuR3Db4xJuBp2Ly8kaseZyVU7t5O bCoOheD/ZXh/hQYSnhJ+VuKqNBD9OlaNeiExpLa2SPRC7/m0Nt1khq2A4DPUO4B5njpOqocPPyUH iQJjLaKMcDSFRVD19+3D19AN7XdWve2epGFwm8zimdMGj7DptlK9Caf4Qn+MvnDJNVD3D2R7Cvpc 2swPD/dFd1fSkYhAEILDuQ8j1AByFjTFbzN98gwe15w+hjj8ggTGv0JRxNE8sdWQsYiYVJ/lBNGX Smxcvuj/5sDNYIalXlYTYqTbOoR7pEN7n9JOBj5X/DaG4oHQjWgGFaSJ+2ddW1tQBFvVKRzumfTh JCJ3ERHfDwQfYoYMtgbJnZD+g4cbNfMI3YDniOF8AtWbX2it+0TLG8DHMxmCUUhGcdTXAV1npXcD pUHseqkOgGOuJuB37BCyiMPByNgcKpvo4kAT6xyhF9SEP8AAqaaMhFEjCB+WFnXFVnXvX7TpS+r9 1Vc9BWAN+SZ+joh9gKz59JXjyLTXVel24W042qOa8hdgoG5QrkYNfyw9KOc0MkOAb6XXeI7x+qPL FeMiBycbuAcV7AUbE7Q287PxtFNAF3XqPgEFVR5U54RrSTZLcEmDo48RMM/go9qLqeZcYmZgxFKw wxssA60W+PvW0rFFXHhixaDU5829KyYxdLWPTjqaCN6qB7Focs9yIRtS3QY2ipnfK94/GIgKBvjg br4NhlSP4mHTP5/0jPzs3mTcsIZaTDocxvH5uC5Bbh7zcF3881kGaOP48nnf/Q3mCGSleh0Krrb8 ANxo5go9XgNYmZ8WFIh9zUhpeV4PtLJOc8n0Dv77Q5vDS6ZUYI6xF3+iKkvBKiPhXz19KDLBlllV 6PAzVbcyOhSyUPcu2fOtXLV4EtSAaYL0T1oOMTPvA7h5RHeb8pYgYPoGm6aa4TTxYuMdwoRiX/GT pADnzy+np0RD2hhcX4RxJiv6gheoA+XqH37Sq2+w1yP4uu8L08Q5aXHugQl5POMkR/Y9xnoR1UKc L6loDKZsJtm+VNEWFY2ZVEbL/s2PR83WFKE/NWBLWBoggZPEM51hmLI5847M+Z90UG14CJNTFzrQ 4fnHWS9heozbRZ+38GYzXxsV8FsODdczqqCwr5ElACtMaq6xlBOpxiI86r1iwqMG8FqZHhQ0QL4p Q6gc7Ml0QPtDzSiLdBZKcAt/yhU5Nk/9i/QILfoD3KPoG6G2Yzi+KKDAZtCrJ81jHMpleKrogv2S OcPTX2ZiXa9A4pXcnmD/ULnh7BvXfE3a5RlRrPNZ1XkYRjr0zKakz0sub7oAcHiP4uoZLBBHDRHV /UEz9EJozdhAL7ZpXa4+DylfChFHX0rSnxwWNCvKts4RJa9dGUm4NiBP1ONLzFSpY6sJ7pWNdbKO r7FuSJmdGqzl3PoAq6/yzIi0lFk9BmlP2Q0HT+hMP2GV93J2Q/NmQG6CdwNbmtNzjPp/MR+h6lE2 yFerqKo0rpxJSy5I6w3QbGlOMiWJuSbQfAAGYAiK9u3A39BbFXrV1vQOzbOfW9BsigwwoP5qpshK htL/WInQtHHoiO5x0/P5UGIFa7Q+dqLYb7DX4zeA2TqasKhZm+UCsRl3W+0M/1xj5bDAiN1ALZe3 ByOw/tMaqm5TgjXBoisJtjZ1XudTcv1h5yJWOMFwdcqeafGJ+pqmLrlNcjeMUvLGtwqDPpYowEUE b9Ni3HVp6MyegUzCCz7/74mwbTogS5uFf9AJltUpcYqpF/aG45LOsuayO+Afqd9qIJHZ32amRZC5 FEVlLOZc5jpvh6TBnck1hXW7l2G8TLI1akavBqym0QSsLgpAiqZ0IOWsXoI3Xd0bIP+vzTBo7RWi jtFtuFqSSo71renhzn/MSoN7nvIqdhY8e4+oksCB3rSjWzhR1/F6GUkqqkcoF84d9jzeAGoLeXN5 q/Rt7GDb8mD/YlWsql0m6Anqxn4EPWN+bnLrK5adafLD8+ZlaBJcSlYmvIhiRgmkhzpzX3YE28vl 2/0GFzSGyevGapR5bYZ5jWiL8ra6txUwqg7pTbl8CK9g3ziXQON5l39A4wJSkGOKJn3WVRfRx4vZ KXwp4H2QFFbbkBXB48WGZ9YqGaGt7TEu3ZFIGj9xTXAAfPDvAko9OD3Jw/B06MGnZTFx/1oJq0Ad knuvzhF+3jKAtxhIJSJ1NNOVEpFRuTx9W2KNnG2PQd10+cDRpS9oeA2RAWvZ6QTwNfHnmoMqEe74 c5ZVvnIobZK96z8U34suP5Se5K2FfUe1/UAHifKXD+CwpVRE1DOijri+C1SV75IRQZ6WSwMC/R27 b9LzT3deiuDOSsLOpVF5UI29b9j75q8bUzQwJmZi/28JiK6EVxoH3oX+bUF387nGZq8blwWzJLGw htQZOFoSgURakmzRquaXhkMvUQxD1xv8vkX2kHMAIqRuZbk836EZzvwZIcDiIrvmH1WQCxTKOqn8 ntQJh9cGqv4Qi2xdzfoGskuw1pVT7Pb5PDi+qUYW8abCV6d+URcoPYjR07LEER4RAXW6hwmZCEVh Tq4JthK0pVQYWnryWXy8kin/emzikDGyflcD1HhG637ERqy+wrHJ8BydM4Ijag1w18Nm2h1yG2n9 AmemN2po4T8YW8tiGKujnbeSCUyvfzvsChZ7h3XJ4dY1xpDBLswwDjLt9oUU0s5eB3ea8unFsnuk Kof5HlUQL1fGnsU63ZrnSgkYiL32xGdN9YGIzxQ9Ls7yXWeExuPbkC0x5hKOIpCvd2aaAM8TnVja hU6J1hkotpOP8vPRwjPucvhsaxL1gcnb2DsbBd7tJfC51fZi+WtIdefWftSgd6YfBbzYiJAfxQBU VQlW8EE7GJzgljI2j7vMXU83bFqyRxB2UAWKzS34kM9lWE0VliCy9HDkuB2Jn9+VPGf258UMusgO 58Q4c+ulEuyK3mHXR05IvHX7OcG4A+jHB1J0Y7WHqPUqY+0PCVyWvhPwmrIoqAqkboDVBxjpJOSl Tt3YvIEJIJ7cENfYxkZcxalRkw2xEOJ4FqdPDFpKhpcgmk+6I7f3QasUIN281fmwAYbBLY4Epghj ZQ58ydZi45EgcvYLhiq3/p8rqi6osUWPDyk8hHiwjv2Tkr6Fzvlcvawq+ZO8ktDnxusm+V0wHIeG 11vCvI2Avv+GvivodazkREylzZnKMmVNRUm6cxLFCzGksvV6Fyar+qP7efwWi2Mj+vlMWoe67iaA 2TWcjeoTNXH2wDO0U/ApXrIrfF0mE6ziyW5g+nnuNnI1opaIElfPrbnkPOlJkHBD3zaojcway/Wv NYd9qHZVAdWhz+qOHsUwTfz4BKxpBMmDC5GnZrxHREI2J1BK6GKNaQSsIQl5hdkTYExwNr9gquMB k6Wk57etrkEP6z+xRn9/W9JCYbb1ECRWyTG1O74RnxOOPCcf9tZxNxaG6QXvTrei4S9GHqp4tFdP 8DJAtayq2iIJjxazrhVoi/6A0dvEq0AJQsiy68PGhcFXIdj5x52mMsb0VwQovrvXaOCZXne865jk 6cgzt2PlbL3SKtZXptEIRBV0TSarfDUp/ZxkEM2m4ILJo9ffcXIHzQb5hHkopYCWmMCzFGjyJFMH SNt9pa/IL9GCjqLrp3gSb5lu4q3Rwf07TMAvGo4AL0sk6bTwVDA+DmDXri3vkpsV6bUp0wZifAdM 7ZUCNi48t+Y0LSDRrFzdK093QJVs7wFi8lciTUetVT9C8bKatlgsCNiH7eNbwRQwkLyys6YLBXev reFe+aTHLR+SZrggfGaOenO4nZT1EMcMnDiW6K1GMCT0h5739JVMclEw7Hkcu2IBIOmTh4/44iov LoLYOiLjDsiqJwyDz6yjerPSnEXUkNmRxVkZRZF1PMFPTFxLwY1zLSkY5UBlbXCkPx+k/u5bB46F IX1d5ye+qOX1NKygvAK/++dbv1PweHIpqoUxQUayW0feQgWTFsKk/a5rA3k3oit5JDfmix8+6ZaO Fy0SMRjcEVCkO5RoyOEIoXjzQ9c+TxF7qH6AM0i6vkWgycG/g3gnyXciMEzlHbumbkfaZaZFSUGK Tu1Z8gMbofIbrF+P9kXUJqjDxBj94H9qCKeRodE0TWqT5wh5flqPu2FkMHuJLSKbuK3KFP9e/J3B jQU0fEh4HXc/HaiA2Jd/0l2Yj88J714fV5PR7YIK29jQcjq6U1paZ6AeK2OaiP7ffsYqBV1FRi95 IgCQFS1iY0lSKBvERDCnEXYGrXFr7kxN051N4FxSHMwATVeIbjA29ep8L3d2hnikNbaouWVIoevE AyckuURbVAvMZYcgfOiyV5UlF2pfVmR8E5Y5POwlzA9g1mxhQMh2nLd61xbC8p8hwa6J8ziydvvQ uQc15WAiFtt5gUB5sMCdkqT7Oic7h2Tk502hPQ8IBde4EuIeXP/1TKNvHmy/XL0zT6zDgu9D1P33 rVzrpca5HCSjRMWWDAHzs5/VEWsdX9shhZ09iw7m9ikP7Fotd/SzNDicisfVN7tlEC9PKCiUo+eY uFOCRC0LZ7edYGyqSy+ILNtHr52jUpIu8PT+bumjJcIws0BrlnHiAHRdPTLE9Gu1FyKT3MQL6Ovb +r5cKdXn/KssZ5FsNtjUwUljEqcPC6iqbnXCHKkENaJC/YOSXlHJhKUxPmukw5QsVAMksl5fGNm3 bqo8JODi6ZtYFI30RcObzPGSrtbIT9qoq6oZctJAGbhRZKu1sXzaWDTDn0IuI2cZ9bBJ6+mo5TS1 YvZsgoJmA9yYvB6jyLRPkyBDElCNYVMerLWFZHB6AhnOLvbh9CohnDyjDKH+8tF8zG9KWwwTaZNR cekXvMxXa6+OKFApRPUsIn5jQsC6w1UjoYmceep1cGgtNdtNlYgSPORBX5VwGAHOeL5XkFCpfZ1P 4/EwzJWZ+tKC0Ubw/LdlM3maeOHe4hgi7p2Fw2ToC4UF2Nw9KwtDe7sTK0/ltgOp+nUhxsjlQZ8N kyAfFVMDrikdOxqO/R0AGNE3+uAf/Z1JWTWmhAvGmYd1zx+JCwCyLB2mUwEZYS2/GWLn3aebTxMu xJeS4DenftnAx6V1uVQFSKHeqcqxppf1+ytuHV7scS5zZs8QbzynVMyRy6iH3RZCADDAxaOyskc9 3I0iIJrpmpWI49GDJjiwqn1VvMnMZUKb4iOiZo+rRARmP8dkHVaFOcVtXYtm7emCZYr0D6ChpvTJ qXJWoFl+4Lo87OwI/m4XaC1+qwpAuefg95kTkipAqz0S4M+ogNeK17mrkNyRQV2TGSyLotCjkwj3 K64e4jYuZKypgg3Qv6gjBZ62q1Jij8JKxDFq9Fr9vFcgzPwus/d7lARWpvQV/yf3hN8pPC4RyJHG EJTXPl+SVozjMs1FD+5QLjNo2jJT4E+UalZQOCVkRDoz092DwyrT3/mpBoela484xr1vkcQJtdpa gAopuyYKRoXMDpCug8nAwyibcuMKBwxJ/MohgMeqZQvDouLnRmRl1g0l8w2IPm4nWwmX9y6cSxAr C0S4kWHh9CndhsQdAbMb2cR+LzeNHUVXxyuD1VmJg4KeucmikA+qtbvClSFycdnjlzptQ59iUZMQ cC4eopyp4VDIEx8cDhLw/2+1Rd8HaxvIEIKATiwjtFY/r8hNdtii0MykP2gaY3YSMLIK/irvQoti k5ZqPRgM725ZLGYU7JlpPjEMhF5P/F7tivysps2kkeJZezoILWg3a1VaxBNbJFfeEnpSnL5yaGpr ZjHYx1vOS59jYma+QAE94TQ37vSR5bRrhb3r78UTRrmifwUIZVVHm9St2KqGAc4I+qSYY9oOF7uq 6lDFvRfPg9Wes0aSehP7AITNe/iPiNGf94LvYgqwIwVESI8tfrZaQGanxueLDXRPYuSeDFxgxHI9 KT3q4araf/T0uvg9pvZIQ+/nxfCN9PWnW28VguQD6kJ/R6AoUduJ3U+k7qV9XbfLDII4VC010HBq n76gAGyLbH8ovgk6AMl4SEYu/FebHGfoiIq96dHITPc9kFtyd2StzscVioYzIWqvykry8lSgbVvw tsDD6CChDztfs3dflgO1/iU4glEEOvZMvrT032JwRRN5clWRut1zrLBuJ+bwsoruLV9cBrdQUJkC uaysbSGuYVrhQIJIgRH81IUbKFM8SbjCat1z1cWPmtm6ANqoS9kOcEJP8YEYoCzqTS5nPDO055uK kCbbKx2zGFmT+lVQOxLG8kmmTGfteD/urbkXb27jx0T6NbOKzAZzhhxIzQept9oqbynmDyek0qtj WeAw/716tAEv1fX18IUDCws9snRBSWsNvftSiHhePRl9U9mygbEttrMQ3OotHb6gRE66PWHtI+in cZf09uJU+gWbASBtk5E85P6wzuethdFOuRA1rVpUHPksKkRIQjsJeKoCAZ9HKiUDYoASbhTHIUdK 5Ifi+LWidWwjwF8Q51iNeoFFETyVGi+NX/Lkb1IIdv96kJdiEKb4dWpQ5P1SpP3tLsDUrDLCQMrS EERTJnmSTr/erPhbeG3DmMOoQoANdixsyPeC6Tco16ZOhfPluch89F8akJ0N3YLJLMsssiBm+K6c 9WwlZ1guSW5epEoigaOBxOWD2AAixTfiLdVrVgoOAgQ66sqzUiTtjWG9T76H+OrXequqllYhvAmH Jp7KV+sxxT7wmTN2wUph731uaZdQFuT+cXwBI6XSCThGScB607oUv21L+kKomoCAQ4Db2PdUHIk9 RnikQj3Ip1OM7qpt/VgZjEW8XuiEMM+hfdBJQxMeZVBZYN+2VJbzEe5X279CoYXinVenJIYAWZ6t a8S3TFNddbVbwMdHbptf+GH9mJu6wRD6MaCp3vz562qbp0RZcHI1diafq0kN8DDobRm8PZLnwvTX +y4bOWPQF+OBhx5eI36xK2xDk8/fDEzjI8JWhdK2CbuXOnHr7V7C2M8JDLu9ETitFvAxLDgsBE3D oJAf6pgVZMDaY8qqNfdOlnsDPKTB53fBEioP/+KsVnkVuBZwx80I1zedHm+YpddZSCDFRP+ep27h 9RQtsTQiBsJeQDqLKklaOMWVXOsv5PGDSviwSQxRDhgNKUS0cPrbyQr6AZAblnFsdlxpb7l0ecsw wj8mNh7fTSnegR2QvwbjVdf+d7U1DzSJ5zsKjqvxWZduZF+O95sQVABK7P76Oh7ILANK3/tXDxq4 yx0Jp4RZdxuWWag1Zht5Va0ysU/CVL/rh+9kberJ//900p2M+K81L61QPSuPjDPJ3/PHv3FuqqNO kC9Wy0l9JjFXB5hWmDhKLOvLOQvIkt4jq2pmRm35K3DWKEf+huTsvw6YAeJ2h1RzpzkXXu3Ln2Rd kBVrquCSHKOSBPAdLz3hwUVvyhbrPRli8Mlkb9QDmNbzJ7405NWVibCk2kB45oeDdV1kgIaD0Zd2 MB5DI/NvtQmLSbJuKgUPkETBaRXQrCozgZqW8p51mzHn98Vb8t/gxn5TvHIe/2+kDGh5S+Y9UcqY mH1hcrxbDm5j1vEItopvprz8660LpN5GGhPyFYcYiYTO1UW42qWe3mS2JUvOoHo3NDakOAFFgpKU hKf78BSFxzhIKx4MRocVWPeosY6ZzegBPdE26nDa9agccsyJpbMttzFigik2U4jYiYFPht/gdwWz 6VNukotPMtXjE3DKY8CR8hrpXaCP2w9oKdcqJuVuawFVkneEy36cOvGli23X+h1bFBilAfF4gRkl UOaTsSjekZ1NWqDXddzcxH/GQU4RHfRB5miK6tnrXWtJWCx1ogdyJQq4y2rbiNSlurEEnqGqFsPW orqmSQodkF1ZF5OHXVT5cKZuqsAWIjccm25+bSXbeDCHEWc1pLQC0klnq6noBmpc5Q0JgvOgxt18 aRIY73Q9PxNXWYimFauBUiOOo3knmm4ykiQWQXMS0VTIRryncuQsQyw9168t33fmmyS9Z7jqSte9 /aXEfgqkpO6pk3VeKwsAZC0fc320KXrk4LBB6ik+nEBBgmUnQhA/9ptQT1nkNjGbaAZTeIXjv0dm uvhPM11Uo6g+KMtaI2RFAruAroSsUPjJMC1ZsrPHJvtxwPYgOEwboPpJ2ZiniODF4cY2KFZxuXJT 3ryxRuCon/tVZH/ursv8RCmmMmWicPettmClnn89gDIzClfvcCJunpJaMujHJh7hAnwZxGbSamwU iLSHjwDQc2alcD0lM6qkOVpQMxew7QQGgtaBqb1wt3T6qAzHzww+hwfYO1wYmPM8huNlA4HyEAW6 U8IklhmqCUide8uGDgJSGoZrSOqcmlLKtTwNr7VnVK0JAmh5J8gSeTf5AvprD51KElCx3g8/yr4i IcrjDJ7Cnv3TL0iZGjuVvOOk86o1DipSYSNf6Bovqh6JnKDrH/EkrwVZlWQt2kV0jSGOvlHWDRXj 6WzhF0mDt9ifr6/Yjc7dSds1KB0vdNwa6SkRrL0scEb5bo94q57/HEJK54XcwynpbSLJOvRNoly/ 8RaD46k704JMl9ZzL73CDJqHq+fzHUCzHCO3vtVzcd0rjEWbCyQv7Ql7+Y+s34JjlJRcp3vIuUC0 SZGjBs33apAN9nt1XpdUkKUQtaDdUK1GzZ1YgkSHG+EKRwgndCagQMtSJMPF/eETNvmbo4x7TsaT biHueFWiCj7ZtXuA5AGHatWGFZV5fhJQiRc3ZE1R7TBIfVGFhQTJlbjns3XAqbgxcR8t67yFnPs2 19sbxQ0aM2A3j8vp18CvRZHZ5MvH52+Y8D/ehW97E37TlOjpi+2+H8uYrn1rKmg9sU/JfLlgd+WG OMVlOVrYrzGCOB/A4Vd9wtfRogkvSBOVYmNiLlEe/e3qfLiiwldkd7y1G5gZNd7gUf5XvjquxhBG qYplb+Goez6sNB3lPd5NjMthEdcolVYcFMhdWVntRdIUiK2H2F6Z6Vi3XyTTySYNPH8yUt7Fl7Xn Re5PPCnykQ0XnPZtjDhPAQXlxCY6Yf43CjcITzH9tt8NwFhW5EwXxxRekmQr9/b+stBXAxhXjZuh QibRRic29O5/X+9UJn50YNsc4lnU6D2NGb0xlf/gzD/jYcKqrwzxCo3KxobCjLv5bKHEU/uDT09g FvMr0gHMWkv6UklMMmLCE2Y62go79MES9HBwgOfkDtghgQ6SXxJfxhdDaUsksGYf5MPMZ2KJlCy1 Gr5/h7dXYytMidca4jEbGFqmeNNeQ5NSMNKIMxP/C2SBRJxCQ6ffKRnGTTkPzOx3TnmHhP6ydLHq NMYNad0+bDBNOMOzJyuO2fOSn/Ky8lflNUhcfIHp0bCYj1Du7RALyJUJ/vIf/Qab4DiOjx1SDxrF ZkTtZpLKxrkcveikIGSRF8R1H6rwJPmV6B8lvcuSvtAf8bYWYn8maT/md9sHvP69EOtK8ThgSNfx UMknyQOLmuMQyS6JExiBlfgHiokyYnvp+ljiKcd8/kM9W+eDHriwqTHkYAtECxJ/p/5hNyidM6VJ GPbGBHb94fFjEVAMglzhmso+scp5d0LrSvaXHkoTnBThjNzV7dFOM1X5PuEuA76B6EHsdsS1uyqO pMU6Rp/+SPySWByQFaMwyyx/lfpFqe+YgxV5juez+ulSMhVSOVCzx43he8Hq3jluvPuhwG5bz0s2 67KVmZ3NbEPZv/r7IgBj0G4CfmlLTESyBoUK2RXihkNaaqynYgQPgjQrv/+5vFXpMXQMANbTQ6mo 3nqGzZCiCL6PKRGEkxafj9nSNeIOxBhqkFrtv4vjOo8TKcnCE50rn7AVD2zYccewgwtoLiAIIwjZ hf9oCzU3zbd6tVpXrlqj1A44VAsPK7AtIHalOzBnGN8wwEi/znU54r3W+YNvMy01BCBtW5HCLgZk 2W3NumtPXQtMyWYZEf9hSR2Y6zJpgn5mvIvZs147tUvMpXQt/h+NT0CWlPAdA0mzsoUo+OyVYfqj UFYMykx5YkNwE+sViPMZZIA18yqpE+Bv1tQbm+vGrCPOWyjzeM7Ml5hxILmncMNLFkIDRxoJRiTh yWy3ahG7pqZWFH31xcF0TIg7E86wYU5EZQc7MaTSxfTt15BowTfGD49AfMA7M+qd2B4bq+TDRL81 +59Z1CzzMgMeLJCdu0HUZN7wtFh8B/2u2yNT8tLgTjaWTf/KrlxBUAEujFERCuzBevmHIceuPbSz FUwVQvEnI9mjUdO789JAMLnCg9Cc8WrO1+RGy91OpSv7sag5otdLcKHPMlrmEVc/mhZjA4FZahCF GZ/F3tH0VQJ9fnR6olrT8GHO2ORpklCj8C7yOtNWf1jYB1FD0dkzg0+dxCFP8Ovzv3Li4J8arY0o BDI9MhEd8p4KfFTNpCNduu1q7jxjc0yNg6wOLI/EeQR6duKqA/DSpm9VdmPmP99hs1sCzf+ZWSpk nQrfPWiC7W5/3wF40s+Ax6qfMlNehS7dgP+lnSjz+UYgcUjJz8gsV2F8KzMHiXxvukw5YA3wATLX 9XjtbgvrCUbjjYhq2rKgZD2kd//KMl7NgmCX1g9U/yQ+G8ZcBMJ6Wc75afcf4L74Qtp00tsKRSmP kG5/Bu50Nsg4oKABLMuJQjGB3j1GJPWxpP+dvcybPOgK80BukwO8KkiteqxcJUfwya0ktqw1cl55 HPEwM/eu1pvXrqf5XK9FXtIWh1CZCJLHd1Rx6G35oGTSr+tyTZBmkmsTogYwWUERskOhiQnGIIdS KuJQQEbf3Xi+VMJpPzSrnLsqWCatoiljsTS8CMksSNLNk++lQ5X0/uLdQX6VJdE8HoNE3Kux77vc qjS4X950c2wpGC264J0Iue/j0qyvErOB8w3WWud6k16LyDvvqDKEqE/pvfBjwb1HMOBWYrUzFjs/ BFdFcVyjHpwUY991CJQAw8Bn4PBj0mhHLkTUc8/+q/qs/OrIGzO3r8FSixNI5gaXvmI2l//cfD7G VzjQtCTuWvbtuC8ovbysJ9fdHxk7/jofxz1HnGzjuTH+nfXOOFnS8uH1kcHPiht82pB8614KX77I 4BVhfMoF+SaSt4/AAvII4R0sdoF6ctQvycQE/TMjvCFz7gAUcDdAjoG2v9ZtGffngy8TkrLXr+Za Fj97T4zreB9q9nKLFhKKXG6JY8/0d5kCuYNV7++w1/49EzrsrHLffhjG2ysY8nKo+Buk25JtMVY5 hWeO4+SLXOnCn+dgzFqM9Ee8cnfMWIuaRSKrTEF8eJsHXOwKaNsNzKbLLFNpjfj+gUDePGAT9WOL NbF464Nyftqee2s5MWNEn/WiPLUebAFkvMS5vkBEhOug9K+tP1ZWuXSt8V92vPQS6gZnLmEFIKjH qkmb59pYng+bAnbk8457nyXNTiZoDKZpSh9x/NZebnk5uylNyK3AGS3lNz8WpjYSeugNDRo5PUhX 3EoD+o4oZhFkXsCUdS98/Sc0CZxCxDHdf7JOvDaMCFpDCoN11RqxOfVln1MEotY+rAhbmDDMiNkQ novbUs7bsfE8Hzr+dIPTimKCFJsvQh8kB2SiEW4Rc8Jr4FfAhDszDdGl85GG40naX3c/FFht04HF XjVKmmBgcuYi8Jg13OruZrgeb2sRA3KBtdZfJHyJknkLYMLoUQEkicacPV9oWj/DUrk/vte1rqkh bAQJpWhCWsd0EYCDachcH4S0eracwTqfq6A4F3l/5XW56c7jSHj1mObJMTxry46nVrvAQFfphjjk thSJ9nALrYlcVArGYYhUwByanr7d9NHLXZP+hjKVZa6Nu85wGfS/4if5TdzvqdVoseXCHwzo0d/Y 0qAH+AoP/tLw7X8XiBSL4TaVnGs2re8a72GD/jtv4Ncg81+7tm7KcrKI6LYEpOVq2k7ky4vY4aip jHIKTDj2BiPikvfTbanaJw5spHLoU6bPU565d+RDgpYELyzziLIfxFLIy3BrMWXII1k6pjWusTx+ Q3IpMfmx9Iphw76As9ZDgG0lb90WoKXwsa4F10q3SinxKfXagkTF0mTql8sgWkAS26C4RZtN5I3a s3vg7BFMYQrWAdZyg0AQQ3e7R+n4UHnLG1CZfdhPb1eKHdQ275PkjDOAF6klMo9dcR+HkdoACuRi weqf2+ykChyASNnKKwf6wLzmcBEG2M11UnnRge8lFLRh7XsnNm/IQsWM2eVFpQ5H1mhnNIdUtJ77 fJaMrO5PwmUvEXWGh2cDgEE243stquDwXdtccF3a7N9JAV5E5lwHxvII9HQzCq55zfRQXBPy6QRl czn0rPSqdzwPpqTOxizKRpVd4STpFYb10EhqapDbOvwKXdO1E0YFsMY5q0MGXsM+wui6MAEDvjyZ zV/vxfvZMdYzG80kV1WpgokePVwLecKMc1pjWFuV3zohPf8RhAmuF+oSkrmWTqMMGRDXDfIwsnB2 pgVeufspV8RRoBjQh7agSZLaZpZosaKYko66jwOiBpQ4/y24KMB1prRA/bHjC3v8oXSCHZ/d4Gdf lwvtIjZeqR3uwXzIqT5NvNPm3gcqpiFsP92JarnSe9ZFzqLIZzBWZPD0erZ/BWqE96bF0p4XGmP6 0+R6Ulxd0OFj8ss78r9Gm/z2nvSMBfFBB4/w5PyNxmcykyuy+hLRAVj8iIwMfpirrfHo0YTHOgCi 2H/SobSd6dNn8feMgcodExRywI6RZSgV7nasrmRj01z1LbhZzgMiqHpyLNOPk1DWRglqLOi3pcKT 2ECNiZbckJToAKZNUpdGMkumAiM16zgq5RqEXInxjstm2hbrU1ZyLz1mKZLM7s2Mrvei60o5RK7p NzFkfEw5T1uHM5sR/Hld+YAonb7yrDllu+3PeCQKUIubYd/ixOeWHt9ilTjWho7JKgA/zdG8+FfU LtrGizUyuvSVLGFOSC+qv4afndZpOaNdORa+QvXi6CMmCIkPOiTfpFuYDOw+zfPraj7ReFSuGrdP H9BLQc7SV4MY3TxfRIHArr6DChZBJET8jfdBEgcxgVKvvTbEzu1BcKYOhIgsj2x3yTXVBITZ/Sdw W+r2dQQJZepgWHIbazmuBzvTygSZU08aV7+u5OgrZmW3DRTM//f9ZvSrz4uUkUEgfphp+EGiLDOg kNku952mR+xqKJ1sndMBpkBFKShSeM9Q4LTsf7rPZ2S9YahtH7GxHGcooW70zMKBhPEkD555TZv8 YMnCpAu41wTLw7TrOIeHg3lu4GwftnxJBrUoaU1dbd3N9q5GxoPa1EHJLxoFr8L7TO/mA+JtadLi 2mEGEz78NUUxIxkrvkBbW8e7cMwYecS/z++J1pZQnKEhHaDoiavkow8BvNoAdtZ/PfgzLeEeAIGB 5jTMWIAUoH4RtGZ0ihgkhCqF0NWlBRPGrRnwskAT0xIuU8yTKb12T4s5q/f10anExXp8V1HEjti+ 2wCGW2FoIdhYyLK8XlixNyO0v+Q/uz5RTCuz9L8z1oWYQwGA/L9yNnww4va/pA0Y96Ho3nNMUngJ gH0Zw0iG8jk9yAmgUqEl2MgQfL0VbMucavm5vlWLKJ77aKTCDMaRQ4KMKcqmZL30k0jlq/c1so0U LaXxy0ohlTgF+5EtyXUmuzGJI6gI4P3CizJwk4CFPA/LjHsB+sNJuQiZthlNkd4hFUKwpx6ClDLw 20aSRDhi9gTd2PNkAGiOd8htXnNlVBr1i8qJprAS5xloprg9uWcggoa/e1/NA60+81x3Hb1SAbrs FQkL3EQjHRrKKj7BJMgNSoACSs0JJhDLfBHJQztnqn/ATGFWVbyRX6ZxTEX5EAjn0wse92eIzC9n iCV6CUPxVl25a+FKe90gMv0Xq4ebgFcDxtqidjI4/FKGrDSDm8RQYEz6q4AZ+j+l7e2TC/enFdTW VBCmSpggWyBShnIUhlICoOQht4ht+jTPPa4df+nRkZ+RebyZLPV3otE/tnjIiK6h364KyWQnWh7F b9BxFBgugco7UBDRpf29N6er2TC7jbd50warsTJbrPm8rFrSFalX2aW0QHCMjdS5eJEdkx5tTyEQ M/HsC62BZIBW+jFT1UH/NWx0KFixX7/p1YaYHGA+x7kLRu3VDQycKtkYoOQIakFHSFMawf6tnOWV XcLaIuDWCoPA3R/6tBOT8Fufg9Nxw5Ek64N8OERSfK7qQ0OXZGEobFhq2Fgtiw/s8qpBFGPRtP9X I3Q6B8XMrWW/aWbf3MHNxvkiS6PFcUipZgBRjdo4PgmJEP+j7pTDsp9lN1jiqbKsb2IrEh5OY787 NJ0Ia7mjwer9FpD0B0NGxA+DSxDNHCwywkGuxO8APkas+5MhewWpioz96YJQcmLmef2Om/Agqdui 02cCEaNMvlZHk6ShKd8J1DD393mwksyZCkW81n58J38oVOTl6tY6s+KbnhU3sHpJkBx0DM/uRQbC 75Tv+FAk3XJ4PPjxzea69YlZfm8D2TUtRNiHPO6hAvg/x+ANpmVoE7HCgke5QiJziQfu5zzrV3Y6 qoyEz6AJt9ivuIQALZ3jch0sBmQ+bz+rrcRyu20At7evYXxuwkV3CHVNban0Osd9lkHUKDNXGaxA 4nmmQZM1Wm6e+63qyAt5VJtSM4Hhh/VJrvtOvDKdWMW5YGzrBXU06yV3ZRNCffbi4RU9G71vj1x3 q33I8kfOGj0nXMzV2V7dcgK+EQrq/kEhS1ayjwCm5PFkvoUfoAYOqUl0UihRaR/BOOBTAVeGB++r pG1zoL4IIpSr6yEqMZCulJwsTxFDLpNC6muX8iW0yg+dZqTcz8KB8+zVOEjekFmO22ZcoTR8wZuj dTwT3yyXs3riEhuQvt71lHHtFo/snl2bmaYt7PCslt1hfSH+WUcK0fGTwE9+Jerj+2fDxlOih4NA rLfAnnj2aOTa4NV6aqNV0VMRiniQwZUBKDXvkaXU15wFo2VPs2wcttUJl2LVnKMUOjHFY7nUQHIY PVosJfg3zhQIls8sWtGnEj8i5pyKg7XFpPDN32tK8gGmOZn6s8BNEltbFGN2ZHum8JoLA2V4gbcJ FhNeUa4MfcCTx4uQRumYa2Zt7R7gnTquA8Ww3QqPk49GW+g/dKyLMiVu+PbukW++dh9aWTExZORX Q8viO6tX3DtfgvT8ctj+RBuld8WVv9JB9WQb9cG80a7EiEBd0lEpeg61uy691qUnvjOFca/jxl7b n4+oqBDiI/mrsm6TymYvzXQT7fW8sAjEWKfCNctEj7G7xq/rz3R1HNgJDcOHjuaxC9a8ybQdxZWw zdwTkn0cvysStIGZwp5yDOrM9Ir6fWtgRAOqTjwKHeiICBM6CIPxWz//eUvs47zPVDW686XN+2F3 4X2nJdPoZMXfEeGnxn8g8ru1tjcUFnh8ApaXLABHhfpySKijG4CMUw0Ur6vlwpXTWI/gKeehl492 0HsYPRo61KGxE4yTdourCtjeT8cZrko7bnxQzlUMuQPx+JK6lmSoWs3z7/jF7a+HV+MOURnwVcha tp5VoQW/PjIerqtNpRJuTdwvaVMzTZgHz80HSkqWt++f+8140H+h9kbsOfTKAGs7HXsHZexlWfBK d3VKYqF1yGud4Y0U/KdR5Sc7mhOYcQxE63hIiO/Y8+XJQ6cfPmNoTPR76gSmJxZqkkoNIM7RDlPY L6/hw82ONaZ6u6w86iYN9oPMlS41CHFQv2CbDxO8lM1sGUQWdGU+fFEDGTYYmDdM30bZt9BElOAk QdeclgeqDqsSqMG7OY9S68Lw8bP9CLG+1g7mOvVcraIQEa5XhfQvL7elEQ2Wugbd6+c4X74VrYud Zn97h1EocyPgjjRRJTSGiNNOyxvb/GikDIyLTuztrjNqcdVZk6+dP8EijmAGV1Ac7t/vRFMCZ8pB KA4ZW4OfD/lsossWg6JL9A284tIh0XmrNHXZ1LHBV6ITOv4kFPcveyoaCnKzuFyEaIjFBUW7pRne Wj9YaFYMF29wW7Z6ecNgMUVNuVt1fdY4hTwbvMwZZT00zQurMCelFlvU69WgXvsR/iKmYo0L6zYa JMlgiq2a7O70goNjOUH+/KvWhOQQEgpxGxMdrfseOk0VjMGnS+1r24yB/lVgTiNK7fTKj4p4Lmjq q63NatZqdnPnw5skmPdG3ZaZJMU5lCpugJGq4SM9tLT3F28no7OjDu8nbX7csKTX4jF0EecbX+E8 ZTn4FNNDxlfLwiikpPu9CHX54won9bknMB7r+QIDBSUD/WPttNKdL/9otfZs4g+hramrAG4UotHD Ny8cwnalsAwIhfUPm1HPZL+kEM/AA2LS+muGGV1DD8zliZcKEsHbpda/fNc5xQN+h8jn5VcjAJsn 9cgIzfioecLMI3Ds5Qzg8hYpTVo3R8eSTHDXloOoZJ1/b7nHqPpKOfWMjOe8uJK0nBO6IQ3yFEgr epm+lf/l4YxvQIF+8or28xy0advbjS5GsnWbrh1iqLb64bZgVgrYDtF0jfZZld+EHuC8OaExDSEn hm9ArB4vlFeE2qlkX62VwcMXv+NBitoJ3g5S0IQ/aC8l8d8GUkjf79/lCevkHMehxH2gOamqOK7/ XiNo0C8iDoRunf2BMoMo+U221rRbbBOPSOOz8TvIpop5E0n7YijRMsqNlwswNWiiUEb3kz1GDMbR i4oK0u89J4G2M6okhJJLtCM3o9Nabqgg+VpJOU1W0vsK0TsP/X2tZbHVBeRou86l4E6b6iFexzGm QOjyuWi9NVXYl8ZvDf6hbIQdL5JKeRWzw75/Pkn/geASULpc3n/RPaaTGDP0uIEPrUzPRe40fDKp zMRVhHyLAe/PrlBKZ7AsgmyFjLT+JYrEH/8C71Hq41bFcSHItdwS8Oai2Ril6ARTNCw8x9VHttWf ibjPgh47pMu6lZGgVJLDaJ3KFndzkUpNS2LfEClUhtQx0bbEzI3qKPngXpR9ouNM9TMVvxo2iUNR ULOEhg5NAar2pIvzHUwHBUP1zC9H2UFZXi5DtVpLN1yb+fb2mfI2JaeAiKUyrRO2VVczbF0/FJ2O btUp+Lg0Hy2Y55snUEJxD1LGnP7l1gfGc8qdmcZBnZ9hXyPD6pwCSomGEi54ZQLjHlP9V9QyuC9N 9QjTfriY/nmiWvsHfOlxF54JaNucefwgpGlM/nkhgWV+3RtQF9nn0wJlZPziifk4hnd7PbooPKz2 WN/9oIe4UUluIWN+AOlsNsCY4Vlror4EGyhGVfA/mj8QH9vgy9FjvTydaXqYvU+JRCcTMutTiI77 ZZ1TUVgXbIzOTutSMX5XP3QQL+spSAK/Cji/Aki3XH0m2pn2wG3TbvSu7o3nrZFUAB1lBLKsNZo3 wWOwVw/yIrY7ANM4kmhvL4hE0egECZHSAurkS1rmpMBrPQC7fzmTB0MJ5U9nWPq5r5OBbn9Rk7RF 7zq+hyrV935YvgllmNKrOvnSmFp9jV20QbCG0V+j2oMYn/9vN2jbOUCeIwzgPv23rSBmNDCiI9Tf apIEP/AUjMszxWyGMDbkGorg0BlLMJWKgZz0GaVB+QRwXOhEqt80t0pf39HSj8vNG1C/BNSWtkXP /oD6PAdWev21DaxfR/emB7kdiDLjhvS0yxmlZ9kzjR/dg9pLBymg2/DbdXUi4AaNmUA5OuUFUoCR wkXzd++7xWM8VHBA9HTWktdjnH0D/PO3akJmD08ecIpvW405NdWLdeZzZhXqdPmmd4aBeLuKmYqM fp+3wHM2iAH8pvqqYHbsld6w4+NSZKmi6IUHMMxzPJRLoDrb4CbaS/wr8/zyls3LkegPgDBj9f43 nVFnZyNL3ywc9J3ReurLQx3VfPTM6NMPZASYLFw1QkvkS9NCUElF1kkP9JicC8dm34/ZuFRpqXMF zjo0QbIrCs+R6grU+C5s0SoL1Fajr2Yl43JxIxSnHk6NOJjsmyebJuIOhyYin/PtD+gzGX4zmZ1n pdD3RVrlEMB5vZnaEnUeE1rf+oam76fDbaRWqxwDWRMoDBtpT4IDleWmuMgLL3rlJ4WwO6iRy2lZ NxO3/Lc+Cu/Nmq4KMt3k5RepcmKbu/5Bldu/D06d0W+w5TPsq10PGtQPSQE8zuDAYbcmYotJYHO9 SP5XZuS89DjN+tGKS8Wue+6/9KTsxeUglvSBiamuhYiIoDYDkadrkiB+a/k7yWp2wTCvaYOEntQT +AfA7gWPNQQac8bMCcpfLD/XmKCfIjDF2FX7yORQqdubrqGdQGcJVb65hzWewdvbYG32ErrS5dPI DCYfkg2SOOdgstEbIoYK+DHb+8JuDGkxedcu1MMDGXncLYXs83QnNfvPMgNN7hJYBcHMyanZCDWY f5m3ofjNkROM4p8oUbNpc5XfDrUVOKITx9Rvw+NK84aGrWmUaGDODKjCOye8/D/A4Z/SbKEGXB4Y VLuoQbJcBJ+DxAcFGU+faHJKP59mHi1M65RWNi5E+2sZBKILvEStdXLRSOwcU9emGjIx9V//1LsE X5zXTnD8L2rBUJVSCLFjfxsDlwTRoUNlnrteICXIt0XMw9UF23Y7HMnFxSVyq7ZSrNuKcBKguCAJ bLPjvVUcOZO7nKWP8x3hDMLH136dT9EwD6kjjnEAfuQCG1aAxiF0wRdMOf3ZCvY+daNZxkHlCdm8 jrlLDEfiPivOtyjEoLVTPnJWMkpAShJGqbBNlNvxrQq/CwpGYdUomhrkpoXFezm5xOVzFYO2V1D1 Fy1kbRrcI5j9H3tvHTTnAWZroINMYdCZ22adRGU2B/zufDITXW/apufzf268TY3qb1lzDaByvSyE mz/v7PtGtTJOYz3qJAQdR6wjsohNczqTCJN/ChbVLR4zdbG1qHDk5fbkTWj2bilZmCfSQXBoagEn J68ZRnt36BDh3vKd7QUNm4XgLTruur6dMcDrqSNBB53l70WvlY/KmJoa3gd2ZMSVlgJFHYad2nsm eD3ONwx9LEeRz7J/W4/rHuHJw7CP/UVl+39kTDTHx4Xw2PKdanZ+EL6JdWoyaosWJ3tOCD2E7RiR qd/gsTwZhaqg5wjjOgfq0utp0EmimU6ysDQc94KTt9RGsTpVSJcQYagv0holYciALRLFZfEkKrbt 6mqrv3WfGsSwELvZo1OIDGjT212uJLpdNBokTaN6LY6ipsFnJiCR/OTZHxW8bCePSEVNb4R3DCvj NUtY/G/eQwd7yPoM/u9eZ61MQAAhDob81tUbeS+lrzH+qdA3hqsRdpDCxzE1dosiJdPy97ju53yx VEZU+s45t/DHu2rQD5XtTDNcbJuk5LzRGNc5BbtMPKeSDov1ajI99Y56j1nxNhRjf5I8649d+ba5 lPdYV7hKHijsHrHckxHXb2tanOT9UfZPnha7x9EHpQk+SDDXZhZY+qgeNKGQu2AUN1mralAouhBs 0UU8Qt4Wvwv02uVXFo9heRDalGfh5Wl2I8rayTxw09/mPtX4xdnNm7OmfDz5Xl68HSwHpmL3MIXe 5/t3X3xSvEOZLTxB9YvQHR0rGz27LMXJ09noPif8v+87qRam/UmZ1oBz5mqIxmnPxEqyUOvLeY7w OV6dvowsURB4hbdW4c/c8qxXlJ/DiQ7G2hc6OjB3RjY7iEzEOu+SYAo+9+cT1puEzzhMauoh74ih nlg2fwM0v7Au7XtYY3XgylV5kF8176WhCAlDkA102qoM+HF5Xz1I8mA53DySuYPR3DqEQmf2wgHW nsJV6+sGhvNSKum1K0V9jILmUXyW0FMi4ynB9iLprilA03nWaDIaSN/915GaJTcemIkob76ayJXl 97+y/pkwRLj29pF71h3NNNEUbCUsWUxpxQNk0IqQIn9JQQy3Y94IToHiQmmmLrD4+Y110K0PYgqj ii3sZTYijAVWX9nCru0rMxmUMc6nCaHvIKrumilVqgHdxvOGviW53smGbwK8B33M6Px/8d1jgqru xD0QmBSPvJSlm8kVsRkhtCheG3WN3kev+rohn1q+dVHhDQ5Kd9mrGYI+cDYMVVaK6sQZl1UZWcUo daQENOIAyxYvKKoTKmT3B8XY5du4nOusW4MKnGMSWe15vw3e9+FL+0a/8yM+ZrnSltJHYmWHayT9 uO2yQWy64CAWLrjLEy44SPLnkRC1V7swsTK+8Xjo+furcNk60LdTI3q23Yidu4tbqqLAd0JsVZ1P vJDYBty8mqOH18I75gpSoSfU/BGrCEtKWEp23Q+3ITaIWZYPee6+kOYi0OexSsCnl5a2bJ0CmMyN LjzVfbf/xGDjCMG7LYUOxuO5LnEz0/JIb4HC27Yhj+Ss7PhM5Nwg1rVA3qyLO0WodRggeMRNXzP9 MX45eaoMoX/syK86+AYAc6qLDjJxaSmg3+mNlccyTVTRL/+73kwaOZtSeOVVGKKN5e/vsTolXa98 hZKsB5OW7HUWxBbk+c372MiA2cJJpR7UEfm1WhXtB+NWI1CxTM+4WqEBZHztVLcMxxVC/t2ljfaA ZmE7kqMSvH9wZLrW5T+LVwEo9GYyw8UGfm3/qg5L6qWRTBxs4BuZ6gCxO5mOBeTV54BNiVVKvArH JlHt9RpP0L53sh8xFpIKVhZcuY4oBS6UHtgTuFE3w2P42SvnXIYoSEH/y+GvYtsnN8G6X0hOt215 n8MjiPA8x59KxD2EUa3JNWkmS9AeiouBPZgklyZS9ZP2hBe+W1GBaJMbOQm6HJOe6wrfh7Q4botH MD+BFRYQYSztMvWI9FGtuzfUCbxlR5Xd8Pe+iwa+3vwzrqmhonHHOLApdp+V4g8RsO76n64J4kvD IIOx94ve4jnocOv3CX+lWQJIGAgs00RQIEBzNvuh+C2HThfRV4XMCENll3JM4BXUymTfZhE2kCGi 0j51na52TgPOoo8FBYBP2EGPEFE97+ffDnC6H+Cl+iObZMifACWyk5W4xKj2MbcAD5nMzftoi5I3 t2aqcC9U2lQv018ml38Vk/Akg/nRZtbIrJn5NVh63DQ9ZudZqhaZ3j12LT2z+73TnBtN/7ZGL6vP 5xuDDZyXMVbG3AhUtZ3Mb5gcgmmKQ1Ro8R6iJGOkiyDHq0/yVjw2UdI/lETMJlVDdvjSBKkJQA7G vrHVIp62VZjQhscI/C+ygxbjGQdj/aaM6/3n28ZKwTPhCsJzxQTI/+nOeApnLzVp/8Izl0K+IWDw zaovidrTR9HUL0J/daFC9JlTlpbr/8rBHkXPMJvXS1T7y+d4Yq3sc7HxMAUYiI/O1BbuOlwMgpeJ NIOfmuNI4CxmPsJCHuRhwk1u0XDhER0xUpSoTfmGbzwoYaI0oshlQ5GYOBdcFTWplGwY+lvyxE13 Tf9MDXNZJwt5QUnFPt4gFPfn+vrYzrbGAmSlmInkpjTCbBMMDP4rqDytIqoiy9gsdLBl61NbQCUP 5roq2criWbq02eHBygC59qzQaUvFJFnDaP4FtdE3aBy4cOMg84XfwdZI9guzKHFdhL/Vromjhqb3 GNYrgOY5K3uWs1tHsZMLcKf6B0cAdbFK1pj393VWDwv8NOm9Z7xzt34x+Y9JuajPcXhONvamJrmk PuFJqFy1NKYwht+n7Z5kz5H/IDH1PrXHSPCTBLEXqQ42oz4TW0VgE6V3qF7ciyXuYYDBJrpQFbLG YnLYbd8WILHh9LsRW4mEPWDegQQiiFEeuIHYucfw9JgqnCvfrUafKov805AvgE5HqPvYHaMk9MnR lU3i4JhcZkLJRtIu/iU37MmoDi23ZF09ib0DMdQ3TzXoAn8ILGC13YW4gQOVisJgPyJLjefZTfLb WgUno7WnUgjc+AxmVNbPBEo5U97Ry0OGVuIgsdQKe+/vriuxT/4EL1qBxy/xUEjNWVBsdEMZ2DRx nHPJPuPQrGa2g/IiW5xTvY8c4X27p6senpc0Nj3DUczQ1HLcOcYxnd2RLUFdY4wL/1S3bCS+o0dA 1BSdIbIj0Hae11iumcosLkoK94Pl0+V6m+IsPpbEs9BAeoAGZmd32Kg1uouZ7M2Y8DZbb4FkIF8m XO43M5EDi8UYxmSwA1y1XJrL287Z5aF1K/sZ3JtSa2iTpIUMHGhVp+TJLqqZ8B+MNyLxYTk9TX5y 6H7EYST0D/CVEvXTQgxCz6WxBjckZSg2re2dv6xrv97ZtWLzIw0aZ2NiIUMduobibjno/wVui2MM VRxNMUKxGtijyQm+mYecjm2Tba//kzajUD5/HATX1wbUuJ0Xz1orljm+8Sro5iHL40Ltk8ktKZNN mp8mEDSzHW+43Rwm8NtRsDDZTGUUTCbR4tNMtwbCWITHuUw0bjAkVsokDADE06U1lKBl3x6eKXei Pdb12C8gqUyvoge/kuNBYC+8qSHpwmKLGJWfiH1eUStxA4muCquseeFHkSGrj2BEiyElWGgX+cUr XGqzLiN6ZuZ2ea5J3zLNxUSlnGp94tTYeGYsQdqdSMEF0xpdbUvRgNloEo7Ej7ppPwfbA/vs28ig npxKtheJr6noYb55N0TTg9U05RWUgciDyq94wWRy5XtqgVRc353orTNbXdRYEvZpiKqJumz1pyhL ZfsiMl1Vxylz0MNKhFWffAyr6hUrhp/v2zGIQBkYJsoLfxKc0GkzGQ3yO0lY0FvDojBNunzBh4IN zpZ86t/SoPUm866CdtrxDUJUSbDDS2/1p5rcOD9CxBNGxvcgEYIhoZg/Tsm1yl316Nsm0GseSsoQ FgvmB63fVx//asrj1SURp7fw5Tl1dUDTYnmslmCLU+2j+x8L4O/Uq6cqjtduqtdwMJXKrPg2zs3y y7+1+4ClqeUGEyDkAnBCaSuir1RIPqmYhm/b7SqJyRvj0yMG759alp407gch+mhe42ILYQ7gGrlh j+gUokf7q85k4JPxhMSs7UssYiivCWwfjA8xVls1DqejUlc+gwXXH7uKm6+ZPIXjqfApp84XidO9 gkhEjexvzxjALfaiOYgY/VwuaKMKCBrm5PtJW02N/ZgrQJhGuMtDqoG8hsu9gPvqS4X1jTQ/+Kzq evpM1X2yZlRDbuBidkbLfysvEuOeKXXibIMdnOK5L5PfspaEFzYpJS0BfVfwWMfw03uZ/3yN5NrJ pw5egF2B9rRRSErKqZoO+9gqLP8BAFBvfpPEcIr9rZfYF1cv5fvLVdekuOIoCm6PqDDn9ORfkRNN +vtsMJ9rtx0lczb9ACqwQHKmoJc+2JGP4Oy6aVYRpXbQI78diQNSuRPrBfUQBCUgivSPDlZ7llvu JL4Uu+7qkh0ZMkZdpH6HiFdJENfcu6T29RXXdiMaYShrPp7ZrAgyk2Kr2hVLqhEbinqn+hShJp4s eJVvUTHXs6+gDzFtv+XoXjPgDJzDlY13Ss8UioHB8ZW3wsNgRboR7vP03HfOF0vb7E9An/EUs/5M E7ZlRvNfgjYuSmOFwoDRZW6vuzJ5bSNNBD9mOek4DU/w4GaxGi9gqVkYOaM6u+S0LaooHs1UyNEe +3eZBR9cv/zrb0zOYSTCQDxSu0I7fs+RNbK+7Ss01G+itrdNjJrrJpmpHWgYcMkLkf+IcMjBRK7H xxdZVraKuzjRG6b2AdwkqD91LxB/YHlDJuBFxmCgd3f966FKTck0b1PwfCgSUMhnrBu32LsA55d4 5kXpmwsqUul+RpGY9T/IW4JHjAFTNHaLXawSZETTZ1i1i7ARLSFVnqjgdUsasnfzbXbOlMCRpuXd ygC+wOrdegj1Bge8RRtaVC8I1oN+OLI0rhR+Nhsguxnq2VJ3840Le3reJCKVLGpnSgdk1gqc3Jis s+8fu68VjWMssEpJKWhbwIuKbVwP3XwLK3TgcIZ476XUPmPCl6DXvMoa3D5sh6tOjb5dPopPi/vP vXscFTIxPju1RZVqd16M2/cDkzYiPuYRH5qBPAWROP1F49VnWrtzkTs3j3v+1HYvM+9X0+ADOeq9 /JNNb4dNZ4pXfNzRBU6JAxNtN6qbK7YqtQaifVEGcU/GjUo8BduhGnsq7vEJkPVgHEGBiATYhWOj 2MOjItSwHtiMFQgjQt5PoY+bGPObv6TIqarNcLY5R6+SIkp8prWpZmPutgEbvPXxTL1QeIFAgmfW wmIVgwLHkkeUVjeRaERkZ1zg2XDznKtdc50DDbbEv12Mgu1t0dtbt7aYaz3qbVWrbkIjtLHzlZVi tts45ndLAv0S5u4JSaaDGzFcWLPC4G50W3jNltk2tqmnF4J25ON97eRtaKPIIM68dZ/TnBPAhFsn g7C0kAn/7hBNMDoJCR4qcooeqnTzSb6YD3QF4sQLsM+cSIf8HrSdBkHD8f0kaD4GTh5CvBTX46FG rGqo6CGTFsANdLjFPX3kpagse72Jq3OYTa8KrYe6A5Kx+ljEjWbItd1Y37DrXN84fBsmjvElIBy9 JCTN75Nh/YF5GF2fqmCPitF+4q4jsDtoQ9+PQespOCypnwUbcVCM7HXmfaX2vsI0X4vE34MOeAAH tj9UQHXHdqBWnuQRSZu+m80j5cSGw13Gauvy7ZY2FWUTP3io780R2A9s+gTGkXAX06jDIywKxVm9 CfJGrppBCQ+iAto0ABu9gGJmMEylTI53u82a7BDee1hDDc5snfOMhqhI4U28RkVrhLdl2lnmZvJg S1kSTTZIvk4MhbrGpAVwYv0YE1Mlqo9obAb29CyAfylwzpyEa+nLXK//GMiTXbE4I5GdG9nZ5oU4 vH0AdymM4Oo29DHFSJkLsJnzrMaItIfdxqb4EmYnjNIEx0vwJEJEhSRi9S7mD1hv75oxg1KHZGDO Itsoavgz5jL33yZo0Mc1IY4ajb2T1BzbEKOk1NwyGkZQzfUwuqYz/WYq2nZf8BVIXpWOl00ov0rr lvWyocU/s0opFczfQs5+iGDW+gJFaAPlStkdUBzfBjUSA6qeoaV4Alhp4Uu8HJ+wcIou8kYkxStm 7f3lbdsLaLTmOJQVFqsVHZBPRKMiKvLOCcYmybuMCj1UZuEv0PFnRilZ/hk9mSfTJMqF4xjqP5zm dy9ysr3kYowQLFqthDnzQcXsoT52CCrCiMVdqnFH5GpKAHdk0sZuFXPLx9SXRx7mK9p1UY3oZi9n lwiERnAJzF3NJLoVLcN0Wb7uSyo3m6ALH3tGDWbPkEEb6cMPRlmh515xR2mE2conQlRFQRWon7Zj MhrdpADg74sqffLSMc4u+d0wBLRID7xMUK93eM2MXDThicpw+rD+ZhElMmUZl9X0bMbJg/vZ+e3I y2ptSaG3GIOCgp05/Fzfocl6047ItehJgxzqwGhAGbgSapZSKnecaLuI4Vj5Jh4O49k7r4IsrLev MFu+iF2tk+/zOIDt1tXEO81ryjJ6qvwIPQIm/KdG+8cbI0ieWHrTL8jsDD2X4SktDcEpH6Oz7OjE 1PINbA6ke+KH8Wa/IyNpdx/qUZbjAALq8p74XEGrfF4SqF3e984TSElNKuJiIxNFpEpTHwcc9cZX Qrih/EZZSiLlRtnN8wCKEG29sNqH4ibuoBr+yHbKJtp3s7pDL7JPFA0woGLXrY+3u8v+MlUIa4Px xs10651OkdES5d4Eyxov4v/HGohlGtB6XZTaYBrYyGAZMGRA1SV0bLPqEf6OXqIV1EnVnoL/MeN+ srWZ0OTs5tno3ZbFPwXASROW32yzFAtF5FaccvGG3eEbH8ibcXZIw8vHG8RSxIkCbqAKfpb/93pU JX82Rei8Sy4DV4bkSC4dJ0aS29JczMga5pmOK1kd6ftBkaxZr90si8B3oL4YkCWGwZhXQCilbKWV WoQcmfwMJ3LaxyxI0S3f+5cyVvjHWTgtSsURxOdZusyrt5P6UD092bIO7lM+lLAPSOk607jSMqv+ IeclTAutmsDF2aSYVjG2F+rHblJmOx8G7enog4nAsSw9R9mSWkrcSlBxGRzpxLg67txgW5i/G744 4Y+lzYVqdtLGiwlvL7lFiCq1XhygKBwZ7DV9kmmjD+GxVVEwD7J7Rwua13oDV10NMcdcs8cVdxye 3eWfzl0XemG2lQzGyZTsl2OmGhj0IErYllqQlCMcB9cXFZ0akANA59iSj6uPz0KX5f+9v66uXQfC rA0Vq3fSkOjLPwKd/mj1QXOJiNkQhU2XIElzpZFBSJF1559CzyrDZ2aG+xfXI6Es9fCBEK6VrzXV AKnO6fyGSfeKMDC/josrPFq77bj1p9Faz7E7BlbzJSc554RN9i+IlB4X9P3GIR2bLCswf37mdP6h SJdUqnOVYcoi9WkXWm/izM371K1T3aJdVZkJ0u5pA47K/5H4MIyjn2+bGEEEnYy3vUYJfaUV74g4 jaWG+qWBByDd5TkKAMOCj92+4LtAoogbo2Ga+geRGssg2GYBJAaFk+e2cZLdFOfgA3QAv2J1Kz8G S8+5NxhnX7K5J54zJM0QaJIbpjMv5dpnaRUqEUcyG9ZERSBtJKPR0PAQ57WYDMfRWbnTtUKXFi/x wGQL1s3LN3W+6Rc0Wgg1zGefAt/gARjxXP6f+8pLUKZos9x50s5X16m1ClmGZ8fCc3MBUhAfFatm koDWKBLUpoBQ1KJjKUy3uLlUjNhQARfcAa42XJUxbg9FkDuUMRKY2vHMRpbqDRuMJwBvFarvQ7kc M8uygIht7eu54Zq9+4IVlhHhGEEnuyXO7Bt40KDbsEAhxUlxZQzQ/IVleo+7f/YEeBWdbgHu24WS 6lwHmVwmrRI60wGgncoKdc2uxTcXoSSKsl/X2yzxxQCdSaJQdDmVZPCcJkvN05C/D93Z6780tj6v myac2UWiSS/PT6b2L14324eaR+bOEF63GntBHeCvVRmOxpbw+2w/XVQz9ofPJ89/5ZAVoxiMtwvj n/dtIKi9vmvW0levlMrjKIY83yg08y9/oUFFjTxaD5Moz+L6WO2Xgxx/O8eH8LAdcVCRw/xyKfX9 AEnSdD+pEi65NMKUInp5TP/3DZlM2C2cw/hUT96dPWrYInznUT6ErjyxYCPOSacLgOGjI3YaZXf9 H6ix5KbSFnjFizJabyMwWiYytzR2BjOmj4XqV0m107QI0Soim1h/4UpzTUahEDcx+CSwLwmeB2y1 Vy9bWaUp0GkzFC2h6TtSPdjwIMDsEY9unXaO9vYItqJuAPSjZqaKNQgUgB9sa/1mnocu/DRlpRG+ fR7EbdIsJfFMsL+blehg1lpsAnlU/WlszgDZAlmjI+FkBnm1KW0c9Bqih9mscJDfHoYsxv67CPVi 3/lpHiYyxdWWkmcTBxTK4GiXCXFa81sIPt88d8R41z78CZu+WNb36aLR+/z5irNKlmBvfaoVjdJ6 RNep9wCbVunXlGwdYySM7yrEk0CmrXsPPNT7Ok+CDM9VNyHfGnHBJofZvBSu7qf5dgEfX5cTgWQh Scag+8TJ7d2gQ7IL5qH86VzaBzU4hiiN5bZPPySNLwCr7jtqq7hKUT4CVT4JbgmXBsOiowR+/RsZ y2e62vLmETulq2y2v5f9pUaQDm4I96Gl3myaIur04LT/LBOkD1uXlrb4dYdUqRtr8QYUNcXLEcmI plbhPlMoLV5+CUYLyc79X6RtCsltaKPQv/g9Li8jPsZeCxZHS02PzfjfRFMvPeXHFitNIJunjByQ N6JlLjtvPbXYHAitKl1s50HwsxfTiW+7+YCW29ZdSvcHgFXRMkfEYSzRFxeI3kBx7ktA/fWYBsME cb7pirWtLftjyv1gTyjgXBOM03nImYt6OOjHbh05MPQ0RlcTbthIgielJYLqCFsHD/PTzS6gi2X5 px2j92ivWmbIZY6k8zq5xPQ+czvX8Ey0XV6PSpchCevGQnLZv8v/3PIf0sWBIdPZul+wrSIN2osm PmbPm1LdwqTYa622kRBbMuowVpcEUdgwBprYgVpFbFl40z4vVAHJXk6+/lMOBRG46wcp/6QlnwrL plyX17U+N68ChYyL2qutaukRvGDbgjEuFJQnHqSGCl0CC3aWoiE44BToanqO243RkgYX9wCPdSFo ruFSdzteCKtVzZmj+ideqaY6XmFIt6yOzm3S+a6ryweRc4zNZkOPr/AK1AD+lcV0YqYLMvubvb+p 7F9kI+KCcYAVPVBKdyHkGlbCJSp7XREB61WsZoPnC2pON0qb8wh3QFQlCTsnkYOaQ18/rXKkoWwO I66EizHC3QYTr+dL/YGRc1g2QJPSiPQ6Wrlg71RkUOk3Aqtek3ImDwSIc51eZA/UO3Sl1dyQYYr9 Unb1On5oyNWhlOMBeVLH0EXlKbYah2C/hP7QAeAN702OKPHe7jZc/Kgy+81LuXzTuebrQWHV1sju QRvtQqpl0ajb+1RSwCDL8e/rTBdJdwmj/rhMK60y/4hTy6xngxhdZGSvXDyR0PCqENLXX9WetCQB 4gnL83hVTJgKiep5pjRsxluRGJsd+8epquIgQngCC5st9HwOZDsYOvW6718L5osTemwUTysYIjR8 fmjS1iZSz9/WQ5DFXlvxj1ZRRUUL2f5/bi8Y4cwlxkpzKPIURgIP7GwclF9qzRp5Pyrq8Tr4mcVZ tDo1SmqHhh8E2j3znARJu5YV02A/vaGonBi0vuZSU74osXcF3PvMTcL/F1YyhWjjo7d134S7BDhZ FI3mUWvGr2dWD4nCxPu5m8j2H/Yj6uR314ScATeea7ELTM6P+ZCuLu9xg8aXqCVWDBOokR2+ZZgd RBBfIgZQwNtq2LuxBuZvLgvUlnDgnmarSUHjPxSr1jzKvGAMf92Zq9HxUldiTfG1149ezkENSZlF Kl2HlvSUB0xorpqZrfkCKoNxJg1iyMzxJGwbxCLssEcqi2e9Qt8AXoPYGBo2+YrE1EWZ+grY2a5E u6N7QGIDKqVbabKsxYOXsywNjEdbqNIJzyYvnTSsUfyje2NTLG5mSGBMLviTcPT1cvHD3wvWLx1N yv1tEbckiIkh378aKazoFMsNKSP8AYAU1gtBVI3ysnBHpwXSPwjVOLhHxKkmAz+B6bzHPucJRL/E tFlIu7yP+1E44GRCgO1++5XsHpP+D8uC0oQlndy+KmmnPkkwLp/RyiNP4nzwrh4fzGZfUuHtWusz njL/N5cpMkRGNpr/wXlip8Uj23yF+ckc6mE6qXvGZfFkj1rPREg85+lTJGy1UaFs0X8Ji0xS2iz1 0QI9KcOvxxmAuw+hLFeuATWE6IJRwlpcQVihVSQlqfJ9d7v4104Ny/8/1+pAVXibw53uqHZLhhld ZTAsmivaJFYyKD/lDv6vELcFBKQ4sXT/qj1pwDpxm8hHX4udfsnX/8EpI7RDwZkH1JkATp5Nlmqc KtVUFnsFoaaJ4Z7UiwQoXdwi2FYoMKfsbfASFI3IB0utdL9GAFI48uMKaxXjWc0MC7CLsgqyHV9b minXxD6SEvuKIhtm7CjqRmKa98d5gLBkqAIeZLBFtahQ6JBvdwOP5CbO0RZxCLbn7k0h2PvU+wH8 kgYmvJ7aIH7L5DrndSKhnSfZrHzOeNtfhQ0ItqAT5vMuHtIDD2c5/FYDr+txVfY/FiX12aPDYaZL JxGAqubOhPLRPDrTKejg98lgMFdBUw0BX2HyLeVlpmeup1KLvTrc3GcO85ma7wbjXAqU+B8YDi4a /Wu761TecYfTzJgls/6ft+zzHz4EEaymdI2cKmP3FNhRbKLXmPzW9BWvH+BZHnfMA61rbb2UGlNo 8WeUE1/HqwhOlhbYQsASDy9RSETT1KDWqmPNMH/9OZviaq7wpKNVkTa3CiwjSd39mdhXL0OfZF/d D56wypv0Rs46D8WskoaPKwkxS1mccRHx82Td0zniCoI/pSTUBBB21BRaIwiqv+TMFPVoPr9CQrF6 pNcAJ0HQua2HhTxGlfLevSSKcE5+t4Ut/w/UlMksFQLz9y5a4dMWqcpejfVMo4bukJJ8SOH5hg4B oqR8Hw5f43IyihQvOMMDl5ZrCiMgEg6Uvty1p97u1oPjBnvVWB70cseZM6FDid2CMstHthLuJ8T9 YL/jxqLoUuX282j84lYNtQWeiIx04qLNd3NQbiugHXOq4tlRblBJeiBL8dzUZpfqR/5qOq7zTcGw YnwOKbTTgINWlqYoN1X7X2mR8EmTOH84oewQVJXVaXVYxazi+qrrr1ytgdSBgnAdrVKAK3ONMTso qatSYzEU2cgOrdR5/LHjdesEIwO8CY4Oh855dfgsWehZ7qsCEiN+nxBDUhPT6f+rzmAWkD/XNBqT Aa+q3c/yrBB4JGJhcwfBrPnzJru/4hjHVICJZbs7oLws0j9GAvRZUJ/7Qj0mexhsRhfdJnAeWOLU I+3AqtE6zK4ZxFe5RwNz23qXLByZ9yZqjGlNBWjI38WmnOoxIYN+EeLW9xHEG8hDkJGMzEFsWBdy WRO7M+jbCAHIvY233MJAgLUM4CDvzGp6Joi/kFDMLNhGDsMmY5ppRGUswoZhkUM92proVGw7vqth Ze/sOT8IWC2L7YhR4FurkFPoS2nZXxe/yKEwTi+41gx0YMiLrGVQKzc9ouW01cez3qn9Yo8yDRNp s23EMI4qT/Gy+RxRolCAg1taBww1CEKyt4iczDhieLytAw2MYuW0njfth11FBe+V0sj/hUau9v2g kBCnBrrp2E5b1H7LsEeH/u1yhv8jUm8TPIGYT7OpTN5KcsbRmUR83BS0qil98cbkMrhZZKMLi/43 0CQ3vtA606cLy1sUVbve2OZHHPqKVdZRn7vC0kvHe4Nl9RXKbsZP5jPo+15XkRRH4vWVtTeG/M0/ NK4Ieo+CKy/TlfIfbNH6nPVhYYllVT8VPPeTRGJS1EnJJLGAX6AZefl1jbnISlD8nEiWgDq8E/PR cgSZqg5t00N5kbZ2HAP4HN7SIKMgtixkyPkMNSajkwL8WZj3nIqFQHti2qOT/wNktOeqgl6bzX+y hc3+qDuhCx6Dt3BBDDlvBFG+BpC7rTMhU5+n5tXS6Rroev1TQmP2K3UEDZ/Ru02SXs8LMzwg0OUG Lr61HQIH3xHJmO0Yqm9rYRsCw74EYwZmbPbKeamuImzpprk6vXPksJRo/czUaAeazqJQodW7/U7p O1FJRTcMadod8/7mydZQXpwi+J4liEXIXoeEEpY7utkLR3HYE6P9HX87AKOHe5GEV6L/ewZvgDad VjMa/DubQLCoU3qhr/SpvwS4jaIDcEbs8Q1PEGUEr7QEXU2ZqlwM4/hJWzDHUygoGhsMDc51mas3 3Nwql8/73rbIHy/NBJpvDoyJFw0ufgUEOAb3jEyo+oDJotawNnQzyF8lECRxJTtf5VRQipVU/XKN nZNZEVSxxNcAPwgwf5zA2tUOmSp2xAiXl2HTBBSA7NrMI/o6IZTd1Lbu77QbUuorZ81in+OfyvqA Js1ISkrQl/pyGhZ41egrvmLgAxoSj2ExDnlxcXN6XIAnovgjYtUOie8JUWeUzA+oiRCbEHGWw9sK 10vnjKAshv9g/klWoCTVO+xxUgpc2f9KXlaqXugqv0rEklZi1E/uAIvwFN1Jy6EQ+D8TvEPMw6DJ B4bssO4PdhvAmXkCQSY0HfmvM8pBAY1D8tkJxFVJkmnw1BciJ0HqdzZ4bwXpjsMzeHjUjwcDOC0m r8y9LoxaYj68bJyy6lZoQ3LRzHrjgmAqxCwjFaiwMyXfDZjv7txfCWF23+9z2goQ5ezCWOvV68a5 8qhWC2abkj4T8GHwD286cXE6kU42Dglu7BhQ58Mn8Lo4tYyWZlzSeOnogPHmdGW6DwV75Z9MWEGX b93jQC1W4O1IEIDJOfVAjsgOvoXYKhWrm2CanO/FwUEFsWTLohM1D811ZlWpC/8hVgVGt4aEh0Jy dYHhr1dCgdcdZB2+nTVJ6iewTWr4it9A2GcqE7v678f7FoscSuCc2ujxCJAhlnz/zixuJf3BG5V4 iiE+Pr4GZ/3Ss4g+3eNeZn6h8OdMTEQwODGZ/RPevvQ2QKjBytG0bc9SUGTSXTu222s6huerfVLn 42DmGEOblilGNdseW726mqWiWi8sXdsjbi0CIBPTcP5xPWJgmvI0rq5GzNgiw8bbqbfVXMmBJZMl n66ZwEPOrXeUyS42iUCV84X5egauGFrRL1x5s6j2EI87NxljqvjdqQik1oOSav7UXpFwJ0kD44iK szYyn8cjspi7Cpag5omPflHc1s15Jq7k/OlyMejsD1UelQv+UTuXKlGG2OQUh+jj1/Yed97nC2Xp HWvYXxXW8x22Rnly1h9lkWIie3MxCvzTG9WNtKEFyD37FkSR4SOoA0CUtZBSCj3cSMJB4VxV7Dq1 F0dc60/4FG4B0sL3UKjvpxVsGisxPtVKPbnWPv5KFrq3C5Umf2ro9wNg5xwvCqiitvlfnR1/35Dc q6EAY8G2KFRqoBJU+u6rBz6Jr+hPQp5Q3AN8SzgOuBtui1xPGFxY8HhfMrCptfsR1gNkkAfkGHxI hW5FPoC1PGVA7KdiOPzouN7WXaFDgzMuVSDpoRiTvWC+lNvYQZdltpDEE0p7gIhOfJAYqaD5hC6C PTGDQfPsUlh0I6quLAdBlo1gndoCmyM7HjJ5MhyHW9bJ5ENyrgTzLbKyKC5Mm8/XiEu5g3HpMunV ro5W0rCFyN5cr0ETkk0Zi1R/fs4DqB/ouajvejzHhQGpIIq9kc3dknNRGu5Uh2b+dkD6PMkgwxYA T+TnPaOGKbY78kiQBeJNptqNDlMeg28sDREG43APYnEW47javvlYA3JvPRqj9sjEhfnyWImJSY7b 7wCJDeb6yQCAGEA6SS3GfHeKv5/J179SCX020rkuq90P6fZwH1bPoJWwmKOSKkVjd2Tt95YQluMU n4JuntRcR/1r4DWRwX2+JI29DWSnpdLGtKcS1cwMcWnW9zgUTZriw2QvN2Ses0eOsaI9QNuGAY/P EHg8QhZoNmu2tNTJsJudQb4H+EkEgxdE5i1lyyVs2UQwXvtdrlV5D1Dm1zM3oG5hAq08RGrfox5c 0uxHE5GCu0vDrSBe0JrsrqWbmGCEeBWQ+35hqTkSm7OzXDEv3QmOyeRjJ9CEFa0fMJMwjMExaSqy E+l9QBkGeDF04obeQtZMBcas7mq5/tJv4ZAFt/Z1jTpVr563IVfxu5GZHMgdgRNrJ/BeYb4fUlBW ieJyIay8nODfdBEcM9xnlsV/mh2d7Y7kd2n3RTeeXPBvs6JECOJJsBJIpHcEBi582Z2NTRqsVw1g UyS5AckZCTQvvJs7zlM43TEQ5k5hjspEmaaWpzAX64L/IRo3fqTsXRW4aZM2Xf10diHWmU3tN6hv wlSUWRkhQF2JM5UiprD9YBKm1n/DHRjKoRVygNGrONoSzBDYgzDN+WHdB3KCa6N+mGwqxvBG1vpZ 8j1DTvuTUxqB0Z5fNM61MJN48jBBGUCAa5TBSGuhHuk0AxgWiYjK/L4gVP4NhAJPmSvJTQ5B3boC 96rMz9unTHmcz9FxANmHPAuVmDXllhJuYkgv7ajlURaHqBaOXeWExG+8SimkVu28a4t7+lxz0duu zlkWGSW+AgCI96nax+lugQBgRekpjKqldVIRtbm8iCYAm84xArsOC8g62VtPBv7lwCg572TAM9Wj TcCMWSRf+vXEQ+WzVl2zp6oaqLgzA3FhJGMGU9WOlcpFimngS2XZDxymNrioTQZPe3vZUYKo8TAs WP1mfLMEhD5gs8J/8UQF4g1WwOhK6jIVF82X8AvgJ5yVpPlA5D/hW4SHzHpFBahLPBnq1k99Dgm7 fTlmF8zuAHPYxPka+mtk+5j5VuhM5QVD1/4jFNfcwIwwqvy5wU+EPbhL1d1t16T+XIkAIHzaTjXT shui0y8pU70+DcdYMPRrfGp0oguV1x2RSOgWeWTExAHG3DgsGZQwMhl+ACm6vgGnc1NEX16vRlPo c+wTtvvKsI+dthx9fVgV29k4AZwZWAQBMFjPF8/52xrOBj+KZgz3nNU9GOhY623um3JYA6ZW+EQx FcAXNZ0heGNd7aOjLtmCKUTl/sNo1hm31V+tPWfzXCIm/aIff5j71shxMu16yv3tM2jpbIpLv7i5 b8LYnT3bO8rFJoZKqfOFxF/WgLHDjuGeQ38eQDPAbO7ZW498OTUk6JGdXHUZZSumZydpQfkQVFSM J1z2eLrvrvw5/+y2Ztj/kJoJyOs9Vhl2WRP1L7+/AdsDiEQjsFUbBQoKg0QS0K+6dz9c0HHey/eZ MJEOLGZ5M0x6AO1ttz2zBZwuETMTTY6YyBqLSEx0g831RgRhpJoED1BsFhJtKuCfRFnFRq6N/JVN 0iGq20m6ZqSiP7hbDQHaIAWOsHPJiG/FvDNsBR7CN2crqNbvqSW+w1TZWqZRQKh747E0c9/gU5f7 r3x+uSkNQmFaSmS3XeqK+cnlg75+o1HAdKoMKE0qdFLbXDc1dgVDN4a4vbWydjXxPtetfoedrDGc McLhqI3FhJjKOqwDlkstceqkeHSwomgqx0D74Y7MPbX1bD5HgSJXDnGKmHioYXmrzfFyCB4u2NxG 7duNDy0D5IwLwm9KJg5DZV0rnhR+UNEyWcOYvIn9dgcLJAitO+oyDgGiug5bYZMr333sHKt1PoYY mC4EAwFIWcGtwuUE0Wl/VyGcwYMlSfpKRKq7MWYwDQuBfDvRYJ4fblTZU/UKYgThqt0v1Ca3qlC9 oSCn/JH+5e51kRwPTKeaFSje5bcafx7RPABXaC3108jI3dOcoNwAndqNmxYaI0I3IBca54w92tK7 pV7V6yiWhHLAvCc3ra+VgoVKnilD9Pu+u9eLdQJaMZzR8ZYNV7iKGk4bOINKHekNsGc/KJ0NOgfA 4e2QChfdO0ogANvsVzruvvv7F4HANYtumhQmHEhykyh2S5rpuyUJgaETgSrfYThEvKyic5Jzm7GO f/Nu469P9KzjEAwe/cDCmifzi7eDcxHVJf1K4pyjVa62CGojxD+pjKLxmOPo+FTu8jB/z1Tsegl4 SKM3dG3SaLNSIyG8DiJGnjomDsx1OoKqBUOmUvZfYWs/weqIhbeEGupeeqdjKH+ENDLgg2O/zi7C G3FnGAaQVqyWzhxPNHJ+viyyY83ejzAniSyUHbtC4FTNJvdi5mUcgUxKNtjceJJ5JEPugoX89nOy ZuU+Skb/AumioOLMyd1YOR67MEcNu1H7xDXEG2tm8Z53H7IBZLQ4wYYDg6ShdyNDeJzT3BpqzfDF AGeiQeGEikxV5m4gPh3vAukxKgqeYTNO9GWm3PmcB+oXzL+Vhasgqdyrjx0goCcBU2VuFYicvVwE ybeEUApe8MTjKm94PkZeRjNZls7k33ExqmBKBjB29n8TfjVAUUazSwCEOvve9GjsPl1wN70iAUOb jzY4J3Dt0ha7ZMRaiIC6+epp26FH+dYmOuauxapnaPkR/HRVPblQGwOfoaaTwhDjJgwXU6/WLlvj xok+YFziB4h5XTxNLIidKoWjihnAK/0seuFklTVc0k9X0TPKkmoGa8B7yXhMyaQsrU5psZ2/FCQL EGbQtCmrhDmnogTTRXPxVcKDkZld1Z81Utoqd6QNqIQhcoWTzRWh0egfmAfw2U95RXiB2MtR3o4s BCDGmDeETk8QMzPgzTqXJw5TvO83pJ0zbhuroDUhDNQUuFPho/EDN0pdDGEkBE/62NtTDneaZ9ov H2hW8SDxDii6oDBH+GRwsK+m0dSgkHrkNarFyQRJp6hCoJe6TVAI/litV/m58ZwzxK5rUvwd8qVn W1rJyEp6FYXNgjcUAtNQYfzT1+W4RllUo7hfhPXswAEte9GNcPoOpC6g5QyRWuWsybFOUmBvkhG4 4L1MiLZb+OvAx0crpdShdlQQ54pX0SPS+wtWrrRcsEQt1y61Ra/0+OAvIs8Pi4XXDWB8/EM/eiP0 +bh4+YDxlmx6iSQfV/JgvqB/frkiRdnlgDHIv1is81tvh+78/sv4Q3PV+l8Yqyv9E8LU2Va1d/eR qi1ydYYk6q2k94UPKwViSlAyC3ExnfPcPT3fTFrDDEigjkPYetaPHwSxmoanoXxwbZoeOipOkhIG BKTeIkQRiikqRGK11tLamImpDXW15LAgd9okLEOH5G5NRi+1FvY1YsQzXEd9VFBjkwvXomZ1QUI7 kZ1nv57mF81lMJ3d7yCHa0rvVS/G01v3MyGasB1VuZTiyyMeTozGTlqPvVi1W48Sx+585Wo2fBkb 6j2PhOVhrznNemwcEx9a1yEURrhTy+Ftn0lFy+AFju7ImqD3p9WnJs5KQQZ+2J5diqsh0KI8gbqc tXzrbV1Gni8kKj8UwyeWb/QsbPDntAydXWLX+7rRCk5gLXbhXoSrMM4COqLSlRNsAVhs6Goi031o yl3tcCU2Ad8EFkD4YTD8tkVFrlVcj7NZoCvT4CP17DTqX5cXg0lyxqXO2+zFQhTX/nksTsG6ijTj f67VYJfCtLHY7OAGzxdI9g4iXFgLivml6fAWgJCRpqExvk0quATYAWCzgQ1ulM2H4mYH37G3v291 3AUFKKDZ43qv01BQqFDn4bT7YTaMOldcT+AfysEhQbDXwJlpzQTN+Eap+EnfBTmwEllCAxFrbMyX LH9PtuFHQLntHQn90aoqs2LtrHvKnTf9vYgXsyzXjwffzB5ikaxUsBQzJAqKi5fLFJlb9o3uMz5A Dtg4Z0M5vVthqkStRe1WuduV1c2tNXSlkXjmqHb99lcpmueNIl3YFS9YQJnc3DZxUWB3KBDjRI+X 2PZN45DLeTQYY7SjRCuZ2xyCA6hqzyWgEsIso+/lqIjuCZfHJgr5jJi/tVD0PdmJhbBpfkqgksn+ jkHVFmh8Uc7+C3GG98K+taDpnN0PSuP0H4pEVVJ2pluWDFU5Zvtg5VxdVF4ElzdwN5VO+hfwL+2A XZ87xyFHjOG8Oi9FCFC6pEVTALJPZKKc5HUJN+cLvZH4JBAmVr9buPUymy+EsH94v8LZpKmCPRgc DDIOPxHYm+Z0VtbZREJzHYIbHI7Um33gH2UyVnbjb9c6kuZnrYuuCFVAkkUrmcakQWPqcIZMPItP f9IA651k4P7IcW/qeZU95W8b6G/2VDlnGFav3Q5RWi3eZ72hpEzGBAO5/msyoeVGsS5HlBp2flcG lC6ThbR/KSGHG4f+rfkXHMXCdRUSHd7qOOzOdLD2tL82kBz9pgq/Ue8ETasg2AQxzj+EC/e/8wqn DePtTZois0HPQhElHmMrjweFKp5p1kzNxSMQSGArj4YJuei2SuSXzJrP9SPy2VVfeSI6WTJcosVS x9xXxgeSeOUk7hWpUVsb8eoruL5/fhyZNphLZCZ25tuqsvwSMxkcc5dF9i6tvwTlVnvfBDofT3TL KsX46zHmExQ6aTEB46LzMeCA36SqMgCe1RXSH/bWyjHMk2rLsNr9P96MDNrHOs4Dc01oIKnQ2hUg XIc9oA2RdeUr6pdDsfjNrWSWLESdJNEMvENslPFXlYlLFpzzUChYPdXnfKh2wFjiA2imeVqaV5qo Nm456Q+yEPo4rXebljtCnbKH9Livi7R82szUS+8lQYtOW7HkR97TeTC++EuVA2dkYjbv2VO6IlC1 yiUZ1DEYY0LlSGpenehjFKuh4eab4tnRPzpcaXGCAaqeUkIqjXQjfN8aJuRo8fcYsg2JZ0Wc21Sf /sdQlSWJvEUlckicPVmCIb3oCM8mAIQrS6czMBkxePP0s0gvJzQ20lJYMzCBdEXqgfKyR8dBJD8Q l795FLK8994vZThzth43zFEr7Lyldx7Ph//wAxjCUUzslinkwYeg9lDOEfJHYq2fHAXFs7fT2QhP zR/VqLgZI3Rn/QfDlzlJijN/CcdE9ocr2zwpIDksoYpBEVn2Urgv5yDTLUfMKcToKiCnzL+Fvqnr x8Ktt49JlnFg9oGT73K4n4BLb87duIIarjM82OF+szTk/BP2YtGV4NnjuSsQRPJKMpVr9YaWPZdK Sq0FJft2/qKRa+Rvba6NFuPTs+tqvW0u7ifHQ1MdzsIklfx5hZtkRZauP+jad7nlSSCJdPiVG+yC DUOsXd9CGreWbL7L+W2+UmvH90KKEVHZLIS3LOpMD285vt7vsejbJJps/upRG6bv1ZzbHvHYEzTj ZXEIoCEaB0thGfLIvS/GW3RZZsVfIGEiLfob/LS0pZvUiQ+arz8pHZbfY8YNMzzX1IyrUHpf0DCN Atnw7QhZoTgQu6oHS/SolBHtLmLduv+ON5EEQKYHLBfXdl0Ycbd4FmQEoTdcPd8N4NaQijrkpuBP 4NX4R5Ys2FlioNpaXMdny/ApbHDp0XbN73hnJq/K1w7nNkbcCJ8KDIrh4iwrmSA2MjIcgIMwic3c Wk2uDY63d0EtKNmoJeTLz7GblvfO/k2hkQa37mUTRl839oR/+sk4NdZ2l4t4Ofp0fTdZFCiJgVgT 0pE86+W7l3Ippix28kETW0AZFpwMDtTgxPEba8600RVNjVitEGMZxKzqtq295ckTqSMrHKngovTS A2tmNbIkmu/fY1Y5gNta9igxY0r92keEjiVYYaS7zuJ8NUf98OeSGyBTRx0My7TdiKDwHgzOsUqC 9xhoIH4U/pbc2HVcmMqCK53Qaik1lVGbvh171WYPnRKgfz+RbXWpgR/AkNaMVYTtDEDN9KgGjnwy DcORX17OTjZVQt4ZSXxesVatFE+wX+8LpBNY0Ar5Vu3wecOlm5pG27/bPvwlxSLnbdrY1oaHIm3b Mbwyin+EVxP1gdk0ArdtAyPTWukObYx7jyHp9TRAqEenYsK9YekIoh1ZrYtYeIkhy681MAywGgWi C1Kn8+YcqFkPT7HBZsoxR+mItKzU0ehm+5IusDwmf+Mri9j//GLdjvHg90NEGOmConHKB9PRJnoQ Pgy8BsDvk005HuLqf4d6nqsm+tK8Q4+XaDFRKVgIEQcjjAe5WgQwUM+rFndqlKp28FirLTzqTsPC /q6uWfdNyXSJjQ7O75izZcAW/qBZOc54cIaRWlCi8Ubg4bCUF6VAd2rOGfApkvf0Nh3Xy2i+Tda9 BvwH8H/ufDNDdaDT71rKKW7hm+3rsA2tTd0n0j0lPydSabWrwheKiyAQvAjvzAm9ca+AxajaOvTO TqMQQetRty225TDlA0AS0t3At/hMq/pNfz94wNoP2w2NP/aSIsZoFy5Allole5Cu3N5WQN/yVCYg mWJBFaJxOj/XlE7VapECO2u+0eyyFBc6sK+KhOl96va7Uo2skZPfCQvv0hzvQvBirOy8RmqHVS4U ibnR8osibMzH5Q8Lg9O9j8l1FhLlZfG+Mm1VN7SL3Lwkb2aN6FqkujAftd5r7dgJTLoJEWZe0tIo WLlnJkmRjPCAZdON1SiCeItpQ3w/AofC3LFG0aTE4RNHguoTjok4tAuTdnilKpdTwZtxSgY1VrHt 7UOpYGKngx/v0o0FGfnIqnXfpS13ydWlBCUkAFc/bp8qrgi9y4NIfAPbKu4NEyg7mRAIX4T6M5Xq kr3zTL7cITGUzSTwMnA1zUCUzCXzOuD8ZJRUdGMeoICTELKCukd2qlyItQV76+XMt7ifiOQhlDf0 nV6lMIvhDxUngMUNGo9P9Y6P+ggWf2T9i9gfkPLJH9HVnt7qCm8F5GAMgrEgxmJA4Qdy9unOEsd/ b+xs1s7jJ2/nO8B1jiCXacqRsGFnY9eQiJiDtitxZ37cb8SCq8uz0h1z1eFt8L70dI97RrZ6ShdT Lb+56aHl0eRUgw5mcQlSIFWlN+BwvdnwbMz9IjvREjS+3lSHf0bSZjYZNGk7bxA8A1/MoSnOCpK5 Bp/1T2z1Ez/HhXDDE+OF3e1f7e6U+xv8rLUKYMYp9ncMn7VeERc9k/JOjJ7UNhKIBiKtIVkPMeRx rGwepfDLVDegY+2N8eslbkkepvDSaDl1Gh4yHVd6PfqAgjtnHeZkDpN8jgV5LJKHgxRIZJyoSstK KpnAMu5lCP6Dhr5IL47iuXvDClqaijtaGG1lSaReGOBzuWzkKb8o4NGeUgauvzs+ZgfuvRdPWlMM 248cwRnn2e6P3FvT+SwdiMrLTngTfGKnsP5B/l1UHToQp8ozHfIABYzVorIJxktmc6jhuYKZfGb/ 4zQcGhaV5eXesSbwQpP9eHiEjJ2QyHdZy11QLbt2pooarZPdHTv9qhTyHaGbm0BSXHQrZO+wm3lV TbUz9iwC2JOI6h/aiArqGUOwbdoE5wCnn4qD1bt5N+mEb1kyCBPAd1NtPQTBUvg8ZjgTwJCRAYEG zWVX6f691Gbc4OTkraShrgt8TCsZsnmD0kkIbsxHlJjIuEMZ56B9dHO3CiDQa6ZMNCE/d/qh7aHA UGoYssxPsGcE3QY2xFVO4FsrkaulqleKsmQpjIB7oSq+DajpTfqXcQb9Jg+50WF+5sbG2Bml2IyC 1oVhXr5kC1VKiUsUcEYqsS7NYcsHEWDnCHJqwNRY8zDsIWA2lFrjl3klbZZ1LMjHED+2e1xh9vtL NWwutCJy2vXojMuBg6sYZSkyUo3Fm6LiuIUeJJWiZMN4EpLn5rrugnfn2zstaikjeRDJT95ppdqP CKLcU38vbWdnAvNZRO5Cnx+6NSCQHq2IoK9XcF3s2HeHpcynhxLHb6j0WZp3N8e4klIOvZY7yinG HKpl0cglBFzqlKVG/HBK18B9ODs/PlA/PqLvGhnuCZIJ+zSmyCaSCCctnAvAnJMkaOYMVabNkbl3 0rcHIXrhPE+coQcuCY3dAAEFObbuRPl4fcR7bnNKh9/19TlNlx4/flNhtEFHPN0qUaps3UilcVH9 heEssx5nZFyiKfPTDdBXpP3d+nNMLTx+oMAZ5lVjmR5aU6eCn+37Tgx07NRZpgB0j6kyQ3aVuE/+ ijoQd9gqoL7SEVGjllzuIdb4GSJRGdbqqmroMLK8DBNdk0GF01JkanHkJcWPJEw0Wmr7HE+PAIw0 ZCciDa+xN7YKuRi2dQ5FZPjHpE6mGUg12LDEjVAwbs/z85r7AMEnLSJOk59n8rJf46XxexQvGlHq bLgOMHbIYFIStGfR65BWcCH/UjRB6oTY3ip1w6jhbsa5F4YPlYSdiX29Yxer5yZwUIVxFVg49RSw 8fJ9QyAQOJpBICWqn6p2yO2/kkhoKvfrbviVGa3C4w1eJtt2PiPPeg865nsEouJllBb3tQ9HWyK0 Jrk2NrCA6OnYY+3dV90wXLk4LpJgQxAzdtWQlKRuW8Zjvr+qqzq4JmwEXIcAG5WeBPqfguqwLxvP UCBPvgpCrPqY+S8zXRNJUeN2xLhabOuG2L18PW0SrYoEegHMrqzZ7Dio0trwYCPC2KOoLwn8X8V6 ISnAegscrIam+2E7jsY8lR1Wn8Z0Du8vv8rMfpShqTwtk4xrZ6U9ug7i/4xoBV6nVS4MEMMlUYii S12Orx7ERg4n9cctWhabsGxwpHykvVQ99LKa74x4WTMMEa1INfyl4VCF5L48suICLwHRp06tSXqq iVqpVyzEEZYxwvsMAlTIIH8SoMUNYeVKXmElVunMTwaZrrYmSjV4vxGCqwwiG08VOYhGljNfpVfK 4srupqrSBZA2yyJ4H7ZRXaukx7xJhx9myjSvf5LoEab8qUga9UwDDTKhyzoQycLNYgNyvnRiSUdX 8AesmxWql7BtbXkCyijV7W8aTwFD/Dlwg5luPGCSQB1vp5/P+3GdHI98oW/BHlR5h/YtnQnVuaUJ FSO4a36cLXpr4yHRQzVBn3NSi9FHY805BgAgjnao+I+uHwM23ATy0R+gFMJ5FzP42eIVwaDSRhgI TTYd/qml43sdDPNscXWbl1Xp82Lnooa2U0YyjsCfnLpLI8ClczOL4/oHfV1I5nF+7pmpGBD6cPhR 5s1duwgLE5TjALLGjca1HaNLuSKkIpIMI3Hjvyg5hXpKEhijCm3El9BAX/Uww5vYFaQ8AGyTwlU7 j2PExsSK1nOFHuKvvZ1O8JmDeE7ia31wd1USdNj95adDb92aTbq8qI/ePdJwn0pIz9fOoY+dCea/ 7fHVrCo9KH8s/FjdHixD+VYKYT3xwndx0Y32irkLAfszoevarSfduim34X1vQreLOHHf1uNob5Gn hTiR6MRC1OlhLVpKTtU8JAAdaf4+IEOkloXjrdhZJWOKNeIkoKD8kmc+IziS75+FZwVUyrFtbfR1 I2aqVt4/Q6wdeivuRTOC5ykQFSCTq64DOFD+Y7rZtr0Upa2tXSr/Jf1q8D9oQEMZ6RDScjQMLUpQ 7CUFa27qcqrUArqegQaeLyyUE/e7zY+BVhXtLi2NLYgW+gXrHUD73HZmz2umW0zA9Z3p9PI8X6NG 6ukV1e32Ic8NV54EVkojDmiqfLjHXJm3K03hx53rnP3CtSTH8WWZDv9gUCJa6nxSPeKVlColFF/X JrvIz2obhTzK7JmMseg9SnaUmUgAfUdz5LZRTbob6yzUZC16zFUOdmomoRHgnKyiLSWk/w+WDWOy eSCXgRJdknx9L57wbKBPjaexWjvVDqD0UbnOp25Ty3lEEbIPpT3LDOk05CtKDdM0Kkksg0u6S4bB jqpo6otiMM92dsBfDoxEwkQsgy37YwyDZorqKBO1ZvEzA7yymbG9XZgdp+C27bczh6LD/ROWmwS+ juqRBoVVcmydK9mtil9Cy+Ji3k5NKSnrpXpok91viMKadBLU8d4czv4n3N540hJcTP6Bux0DXrvk QZzALK6HMTiGbBCSNG88lH7wK6/KAk+wVzLrykKhcDr+CMrilsTZhrdiG0rVCphqGy/9Iy4EH9+/ NNP1plSlB6ruqIazPjUpSnisWDzqnUMOmbaE7tAzBCkBBaRhlSuFhbo55TpzGP2vmoXj46Qqyhcu 8PCtCE/RMfqqtekskme9mwvXuQgHFSnbjCu0Sv8jjW9F2kXpkSGqnegUcOJF8/1C5N70BmaTGKGr rT1Ql9/o1oUdpHxQiXb24mESECXDZHngBK3Jmoz7CgUqFudSkmKo3niULQBqd6avffebAA4VfHM2 5tRSEE1HQyqzmKBePlrI7GYMJ8+l2Fd0mKmWnkodA4FxyMeVQcqmI3yLqmCF5lqXSdYuy0NHcUKL y/wH/ER/6CIzip1DsogwizeYSygLK8UTzq7schD8y/URuuGKvsHbLKGE0TuB9KcbrJIo1fk0+MCd ovBwYQFRXP7nmU/fvfxIz2eFFZevUh5KUrSfWHTO5A/nUIjeUiBKMQbrkmQL8x8Mllvk2W7meEmg 8BPlna5F0XBXNnF5iyWYkgi8LlDXWLP8xWxbe+CFThkAkY299XvnCF+i6mh41xfVM+8YdrUk9guQ VqXNTH1g6jop6Fi+7rvq4Z7po7HCophffpdHizLQkijz5+uTghoKybiPm3YEpLwqdOUVXk05RQAc RH1e8cZKJYEBcnSLmYLvMjCBzpg6kOg0xsXw4TbGPiNW57CKIjkDRJ3PIQzQUmyN1xtkM3hGAmqY niGaFh4xk6wgozRuCXM2MCzj8nok1bv651qXmdTttZrhmzUrDUyq3bpdVIqtC5/uTOSu8DaY66Ua ZQrgCe1VW1v5PBjzRZsiulzjp2BXdXldODlY9LlM9m2cPMVQw0zSUUuj3GoyKYpFutY92ZDsyLqK UVRpSShzpaPYluR6PwFTUnrjcHX2UGzm5+qBDApKwda7yjPnycN0mVV2KDxtdMiS9vLtYRb/8Qqg TBFfdTG3fq+mj/A0z1A57x2XOiChEbYxcYILAW4rEVqIfU26hh//UOb7D816WbaaIN5xsXm9Wu9D QGdDKQrw8KIRNQ73g+SDjsqN2Qh1DU6vjhMZ/R6YB3SIo7Uz7JPqqa0rJ6n36VWjJXrwwGiuUxzh Tj6z/fQMNTrDjjDzDmOQXdrgcsS+AwZGw5AZQdOivQP5LHbBKkEqCGfHY0GLWm+A3xEp+ukQxlU2 7JK+vvnsu8dIzwC9p/gl1lDKfdA+0zs/KKWjbWqqALcLRK+vC9vyTKYKVh1PvzeTewchMP6r0SPn yLnlLkmcnxlzTTkiYdlB270VM8nXEcSYrLxrdiDIZod3pIBfMV/LbpOfJuEaiCb/l+MysddbJe4s 5t87Kgx+h9oxcqvajzOb/E7dyMfL5+WbhMkYX29HFwatkWli8KryD4bj3rTDq+7Xm8TW/6JZYsrL LcUvkp++AEgvwMYfWVDm+q8uhDLrtCCUH1IL3FfScbMk/lWs64Ywl8di+PuvAUfd+jRyqwOhdily dRgLEdmxkIZ7BhLibGYQTuuiyyl5KnsA2rVhZEzQh6Fnqiu5lP1kGyEhVipncJ4+051tlgYy29Th bzL5t4iSsMp9w9zwLln8BzncaczTWPSIJbHSky2soAgkgUNPb0ciHEnyRBZvSAyvk9+M3EKt/3c8 gbEeT3koluvrEMwwA6mwvtPF8g3/Jd5hIXAVMQk5DAOaBauPg+SPWL/cbNE81EFvmQHyRocdZKKN VYLqaQyjeaE5ezf7jpqlesYKvlw8RPy3z/AeakPTGD1MZYFtjN+fc95H9JfsP0XLWStGwMkbiTDv amURNGBq4QAvDgVueZTQdoBvXLRlIAOGn2N95fyjaDW11pdUT0dhjg7X7R14b/HVCiQAmGqeVOiQ dUTd1AV6agHnwUe7YgMttgONYJecXR4TXYsHp1CTI+BFxQHdqPbpjKTxE4GsGeSSJuDl4SJ3gSKB IWIoD1B8sQnDvC8JyKEG9PHzOBanCZUifIOQ5O8ANVQYY14l2/xj72LxglEr+8/fJ3rgMPAvmxh7 yo4gRmPUnlu85ptSw40a6kJzr/Ld0ylDj3ye7nDLwsIb0YLu0uCHYETClAiazJgEQHHVPgVRlX3n gw0GSMOtevWIMK1R+aQKjJuL30Uf3qjGiupk5y75faO//BsWmHf7qIYFwtcZxBTHspEB46LgLaRL lDUrSaNxKhXbpIW8SK1cfJqkeY7N2ZVJ9CO5RtFcX15KPtBbxfP6eak8imsG0KrjM2QKSMQ/r423 nrCb7QFnbe6fstJcugWPlzfcwQowVvxoJhqgHGHqD4dobbu0of89a6A7jXpmHK7E28eBXpoE1jRw EtI9SrOu5aPfmk6a1b/uNwhn2S88M9ke8EXQGSxkjUPWUTMQ0kaIfYry/IJzt8AbDKJf4cKUvuh0 tKOKMEP4WZxVAfNo/MFnIqy99emBybOlaCvaBidEy6H2pORzVQJ3NHEdtmp+frbqkt+oVGOtq5XD VhwlUp3M9k/SjFE44ID5l28csMVREyQRWJ5b+5z+5BNrB4iGsLVRr39MV87k8MP+zKPItUSoBBBZ ps6f8U3NhbAVzaaq4NJSdwI+R/K655b6J1k22KoY1DKaSk27bB8VeOqSTNlLLmo1Ekvp6RGxbkY5 7YSt1S/zNQpdNbT75FEfLl2OSxRq22SD5fceeoDqvi90vB0pNM/BfTMmftcDSKZfyQv7qbWXGlEt OZcwyVG05rq9/WMAUvRPg/r5txGSwOYnadlxrm8FbtQI1ZV/FjD9Dp9snbbbZyVI21j6886/PgEA 2fu+jWJE0kMG9tL+W2+NVWy8m5PsmRKavboRlM0vyy92PwgKsaqDUXtzzuzyBOla0PfyGa3tD077 t/yRyEcpxyX5/DFsOA68kAHG6ltsF566RPd9O+IbACKDQc45UIIAAvygxIjcofaFWy8ghNmT8ZEX /CDoitj0nEP6CxnPEtx2I/yPpJWGm+ITWbHs1P3MACAk4nUrirgf6Fn7v360/ykxprIFvYyNElRm Xbp9KdzrFLj9n9HuwCshlkt6f/3rR24Beul1Quli09wFigjt3buG0GPV7EvIELixW/phtHsRjiZs lM90SQfyWf9WveCnfoPo5XZNPitQLZlaFRLnKtzlsXYEpf/jNW69sQ4hRVWLj6ALefS/A4RZoWG1 fxnhNIIbykgMUPT75PWX2CvKFQ7J7/1Qnji3zfq9bW8vP8l5PMGj4swmNXVaARVyFOBgh1bIKuKp jA/ZUjUHq+8ronBxs4fTFPacwt2h418dUHdFixuzHIkxtWMahYuIea5Go8voDTZI6kngBcqiFGlr tLXDD2H/TpT+AOkUuKS1q3+8X3Mffxpx3GZXoeFjEZ1cVPTIG37w+R1NKwxDc6gfKjphquU/kAg7 NDsy343vZDSGAq/B6S4NgfVQVlSS7kfzBLsqXx014R6WHsKB/jqxEjMlBqagIe3SCbtrVgeojPZC XJzyMoPDM+DXiWO1Z0G+cfR/Wuy+Put2/lxP6mMxisdJsBtYUnrWmleU7TsgIZjerNyiZJbLenNY u6nFXPJ+WMcVfV1sd4TJ3fx6BrNllm6A47XDt4M7N2r2CUuOIeCSp9M2ovwNu5bQd4m9F/hNrAY9 j9iRDzWxAe0Xa1Lr1HiRhIq27hUNYM/06Q4gAyYxtBoDZj4ScKMXOiySHHw4wiSt6hfGk7UUHJvn +vT+ov9nACmNt44xKqy6kMPon/p1PTvU1a79QPlJXMqtejQWYCTkQBDRB2wj6AsjDPb6yDjJ3Vjs 5pzbwEU3SswduPQhUA/jn0KuKlkzlONfSEozhbqbfWpOU27AKAgMAgQzis79U6glE6kA2M6Xj6Kx B5qvxb00KmOn15tyQgYw1rbDWY7nW58pbTrJt2mLTnlsWvqjxo6y3sxNcoZ4UzLwceR8ULsjCoN/ /0xWwRQSFc126noKo58lAD0d7qRdp2jog7ZzbSRV+ltR8p0j8fD3pT6oG9YGjS/X6VEyk956/GvV LiGqdQKatT14y8/urGXXUeDby0Z3FVBsaA/NWpaMfgETKkOB+3UiOD5DwP/af7Ppmvuzj59u7UdK Qm0XUpKhYPcZStMcQX2CU8Nlcqh1pv9bIkN6PCLex/lnvJb7J+g8Um4Y/V8cfPqvnh9qS13r4gyW am+zqVw/UMH8oCDCguXY9nGpdbsg/Hw39BhwqECd+UgeF5NFF8RBTLcItva3gIYhqdusRp4+o5nn DEFR/ss9AeNrxd9+EayuVIspZ2XLUAQIlVfq/9IvWdbSBxTieRQUX7DG5syP2WPiWmACGJojtOJL NciDyxnRV5SrXQsUogHFTCVwqMaO2QjjAMia40ytabfVnQvw2+r2xtoKhoyV1LbXPIPbpChq6dhy FxwjAU/nNJxRHr+ocyW6WmkF98rfzyU2DYJJw4TgI2wHHfoSD5KL9U4x13IXh7VVI0llNLfcMVzW BXp2Pr1Mx3RsLLBx/tLJcfmHvGy5C4Mb+njbTV+iPIM4eZ2Mp7NtivuKNCzPUUTdtYwn2N9H9H6g yeqb/e/TdJArLJbmIhY1JA37wpXQkjJH3RTYwjJxQqPlxTT0dQ85l1Pmyq4j82cdxyRhajwhpOyv sNA+NxP5OMRd2RQMvQDwuSLcnbBvBaD9D8yChnbn3hfApBc3vfT67ZyTAHUr8GpVIG783Mej/XOj 9AL6xKQxc8ggMRe+r6KyCWdhHxt9Yp1+YEaPyIzbcqx42cB1Rw8ntl5wFltKBncHjPjx29X6VXQA 4alGsfJ9ghzFy4oOIeTAQh6a9tVh2XTzCwheSgXcg5FprmZ+g+kxwKCaT1tqv7T0OB289W5Y57bo AyYGlBSwSPsAGoKR9ENoHl2Z7s3usNf5FPYhcARDCAOGQRw+SmLOS/3uKO6kwb2XK8vEE6BL6JjK Tszrh8z2gya+VaoiJxruj1cTaFAUN3UxUk2Pkx7bN/wSP3xojnCviLuEn+V7lkRyRDwoyLOCRzzz LN400S9acMR31BPQGjSHBrFpMy/6/sbB1wHMUjRPz30THLuFE0hZTrsGZhJ8D6i2D5/0XrNwM8go i/k7ZTk5VS2JMJ4PttUzqbdcNxKiLhMp15ckpAoWN1uPXGkrC/twE2RLSg85X3BEgpkL2/6ANrU4 7ZLZOcPb3SZSC6IfaZx6u0M57b7IhHJRjjgTbQJvKZ3kwSQO3bughy9luXzbMZIlzoWn+UNhqVtt AnUfoeAM5aGLS7ZZSB/9ybACzgiuJThy8weqEpQbbTsQuIEjc+55Q1dZhsrIBTIZGMwfZJ4ukPzW lbY/4CP3V9i0Biq6k2C1z6JstCgQ6ez2HvFlXoJW2WuB++JTQdyzdJdSaS385Q8eTwaTz/RfAxjJ jLbcpKdJqkEZSvG8g87a+YvBBk6kvKh9bIqr8XMzgpSxJfMWg22mRjzW+2yrx0VdxvhhCyPfR9Vl F87lNfUeurko/2ISLZyTz9MctE1xice2J+Kjk+R9MmFZaXsKq7pfFepi1aAMtHKruDjXWPlBhXju ps9RMZx7nPjZhVp/oS384IvsLrFx5eBIAeGjdf3OGFD7EPEsbZtv9CfL6pugClAGeaCUIBk5W75T Vmev6UQgLDAlGF6AiEO2d45UpJnkxwOy9O7e+Qo9+ITqOrGDVkODiIaV969umZHT6HXySRqIlqGF sBhI912cpIn5OjnihkliX8sZVG9VXZkdjL8HMj68Xiod4GW1vfsvjQy14oWiZjno24+lH2pwnMyL Qtqt8Vdty20hjPwjfQYIjU3ci8OlnB7Jk6RI0RXr2Zlp7Pa2wG3c9BhOznQ4ACn6eRrMOu7AYRTj QqcQw9lZcnv+mBKzPXm+VphErmgC14EkEdT0XUozE2GuDj4U433h2bTizgKtFfDw2qoRWQdf40Ku sBP6HjSDJ4niX5tKjO/g+qYJpK9Tm7jpI+QUhm4l7MWFs7U8WzFcykXWLuV4Ifu1n0OrqRQ9NKeV whm+8G6o5qpqC2XUtq0JUsh/vOPo/dLQMaZTozcQDAVIuCa3GH8wp95hyOIrVFO9N4CQ/7SYIPMm +F76LX7iQK5XPJ6DrHxG+gh6SlMZ8gQDndvO8KfjkrBrVQa8XtdDWLdpGN5vVz9TS83fqq6G+FWR y8bNI0KIotvki05eauY+QmSNB2tUXetBNCp2Vvt//UKOeAX4fNF5fq/WfhLROuAApRimU6KvzNLd HOpOj/Yfo+o+/wDWEK4zmksF35XTF8cCDzcDAM/wMaFl35jnumYpQs4N5y1Gc0EXQyx2PZO0oCEb p0btAxO+F+R360eYgd1j85A9iFZaRESIjjzQkSaa37Ls7EXbDOG8xJhJhb5SLM2rcNvsOZIo59oN Yld4rBfdlQQKHo/W+GVh8aN9oqL2ZoVZEVXu45ijD7wT/sjHBCyIyeElpSev9hbIbgLnB5jzdYYc sME4PS+sj9rS5RlxRFSJFLnPlTM1IAMuhB6kZPrig5RmmLmzOCAF4sMNiy81Xz2BEDtB/MMAlfs4 P4rcSQFcM559nG3dVUL8Hv8E+es/aMiAjdTUG4hd4z1CENOQXujAZJf7t5+zV6DwK3qhZcPIk4gY VsofXoc56qa5EJIQC41mbmg0/yf9SBXI6qPfndBi8Z2ZUCeNVj7RY3grQg0xjUAr5pV9pnImpTgJ bfQgqQpEDO5YmvrW7WnpaNDBpsDIokxd/IriorVy5FPJeXeAHwdDCQJFlhUtG0hVTs3XX7PtjFBT /vr7xYIylA3eT11hTWGhiQM9CpoNrKxeoldNuHZLHhTbBfMYh2m88mGYK+ESI4BWMEFjILVF8UOJ 8bigLGQGpieG/gFwvbWuyQuxzrwfiTVqzn8wRPai+7P8ePyRTJvl7yOXs6VRFGN8ho1XodSoz2Fk xs5sKQeF0KTLbR8vF4LSzGJp0lPGy9NBsPw3GrSZaVHKhxDfpWGJXTB25iFf2Bt+fKi37LuNjV5L WJmH8ZDAUeIdzzC10k7rdS/AHTB3ye0aGTIwukSGP7t5tUtDapBExdCtpp3BMvxyj0t2PJK/SQqY +m438jpXZ/mG2kC5zVKrTVG6ednorOBSC9I/H7842WC6g9reh8TMUmI+/r/thuuajddEy7vGRxNW MCxGyAqeL+l2Wh50M75ZLaJ+dK2t9bGr+aWgEUZlLUNuB5xvMgar478KRQrQwrLnja1XAjQhETdV X0+jRn/pmsLFbmq4VTkuo7wbdCR2gUPUW+rFzyBvRgQ2oB1Tg9VvK5sR/t/qir5Q0uaYBEhQTnqk 3afqWnmuQq1scnBhWR0SKOVZqyKRZ6ll2eaAGC7D0d+oCEeScwZ3NA+9mM5ehcukaOHOSiSkthi6 CaIqzNm2SF7isdM2boklpJNFFipb8XrB02J6Tmeg4hw4+AKj1DcHwqi2CPOnWxiUZvasAJEalaHw fna9RFNrUQZ6PoRJkbyu4KGM4BSiEZ2bzShR5gAoi/aIbxD27td5M5fkvVRCP9s1RmAo7rjfi7EX Tc35XqGPoHA5xU2koFyfIHokqHuQxFa3ZMbGjCB45hbZrE2NQ2cQW205ja5/2lKeJ5H+tnSoX4B0 UfE+vt3niwAsh5JOrQHNpgOIuYsZh0YFeuY2lsPrXwPHwzlBP7tJrlCkTrjIenNCgvZg24TNELxC X/OEEtCPQyeRIHwF2m+7qJ+KUZckIACCVqOsMqjg8u3h9qr218KfKuU/d46+CSy3z1vfX/geUmc/ 8oyWAzAWwlLYPDdnIwqe1DRtyAY3m0BX0Dvdjg9vFCi7taJyCpz/OPCparN9nZEp05g3cYqY+cKt ItIsPKrbSvQWGVnJXwdUwg8IvLXRefp2w5rCNcjttfR8veCzmHWQbiEtar1LZ45MhCtfbBaNWAYL S2rnSycq0Vf7abYKfPPni00Pp/mLCwtQgBsihn7LUJ9DjTiPQwu6wD3T83IGRHb5iQUrO+MMfGIQ BOQIXHYwTzM9r5V8GYVsqpPzk5MXHT4cvA7LKWwDj/0wi0OpW3sx9yXsYus8vIYYYw0syObSxB/i b0alfqAwfrimEC729Rr7em8KPo8me9q6qF9ULLpZ6ta+gOANL+J78YYFmbALRTIBZJuPP59wwyOM 3qeuBU+PHji0wxnBshrDpdUs/8vBI8qulbnFxb7wYdgEjrQgELadnOEc1fUhPHsr9iXibsXM57dG WSjHFOvTkMDY0kx/p3b1Yt5VKXAeO+r9vff66fIgBjHWqitVpswYdajLCdio+mS5r3Enb2l1HA9I tuPZ6N0QfnP7fM0R5SBGqo1ZV+2swkLD5wMxeDOJDTGd17E5rpPV6E24y5nnu9CnNw6fc+u1n4tF wExLUvieVcg8wH/BPYomJm//A+RLmB+KPOlVaXzwEz0Vx7bKHrcFZTG+p3G+igCTfqXiWyrKNc8E GDNp5z8KykgUAIUYNGAa7hxTxhDkSLoacIbAZDe39ayynMgQJog3okNspqlpDVrQjmNAd2EsMDBM 8k9ukvw4D9cMCVi2aQUxPjwqOKtxag2bFNKNJEGf5jt9V1jw2LIgAWalaPnSeBev1H/8wj1Jy/c9 9W/a9EBmBH98WDIgNTwbuvfSFt3Bw9NFGr0JJVef2BoiionDM0vJxRHMftyGlyJUiBCwh+wsfXYl N/JQ4BRcfVgD2vmFyvyr7vFmaohQ7mU6JirXQqp8q/WPJE9dexiEmPCyxYA7ycCUxEktzVgisvJ4 P59o857m52z/zyy+TW6okcy7gYcOpZxfFw7CSrR0qryFoDMtK5MN2mTOqa6LlBiV9ucF6UX0r1WH 4YtdGeimq88L7OTKbR6HQZ8lTB1bNGS1vr2rUFEbycI3zTdL0mty5IihQmQvbPi/YM+9jK9AQA+i j6+Ybpj+h5DNPUxqFmWm8TnhZG/SlQXzvycpDb5w+EN392axyzNOnPQR+BW53OGietP8yDx7wLvQ yoMU7g6ehcUb/jmENWv7Be+64e0xepWYVS3XemMgFkzaCbYzpdirwPjin8dhWddhhUwjMRgihLgM iCKiV+mshgEtDDyMRLFRqOtAHrHLF6u4Fqqd+AIKgquNZzhw93jGyhbVBYWFxI7+fqYT3q7x8+7r vcPltFCrSt+o6D4cKp79+TQVIXSECrX9PeIAkr/s2dPhWegk47f3+Br301mAm193K+AC0Wx7dTyz ls7y/gaF4erEzAIAxTKb4+svT19ufpEUlUQ1gvjpFcjentq0tpof2i/b8LDWZUhUZJmveKtJq2fW 42bMkC7E68lnn+HS2pz2A6S7gkMzkGZ373VKjKAEctQhqCx//DQfSBpE6qQrBs/eof6fJe099dXQ 6ZXk7cfseO7VWPKF2/PUv/9O9aaEvf6Bi/XgFdQ0VuddsAC2ThiA1kYD/aG9jmESI6U3FQlV3TlA mp5PvLUfuiDuPWrPJCvOYnKEktoIrvHHC8G3SP1uZz3jImE80VTF/hSgcFM67jrCzVNGc6dfmjV0 ETcDjvg= `protect end_protected
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab1/my_lab_1/my_lab_1.cache/ip/2017.2/93db88faeb00921e/zqynq_lab_1_design_axi_timer_0_1_sim_netlist.vhdl
1
419053
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Fri Sep 22 23:00:37 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_axi_timer_0_1_sim_netlist.vhdl -- Design : zqynq_lab_1_design_axi_timer_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is port ( captureTrig0_d0 : out STD_LOGIC; read_Mux_In : in STD_LOGIC_VECTOR ( 0 to 0 ); capturetrig0 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is signal CaptureTrig0_int : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute BOX_TYPE : string; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; begin \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => capturetrig0, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d3, Q => CaptureTrig0_int, R => '0' ); captureTrig0_d_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => read_Mux_In(0), I1 => CaptureTrig0_int, O => captureTrig0_d0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_1 is port ( captureTrig1_d0 : out STD_LOGIC; read_Mux_In : in STD_LOGIC_VECTOR ( 0 to 0 ); capturetrig1 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_1 : entity is "cdc_sync"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_1; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_1 is signal CaptureTrig1_int : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute BOX_TYPE : string; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; begin \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => capturetrig1, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d3, Q => CaptureTrig1_int, R => '0' ); captureTrig1_d_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => read_Mux_In(0), I1 => CaptureTrig1_int, O => captureTrig1_d0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_2 is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); \INFERRED_GEN.icount_out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 0 to 0 ); \INFERRED_GEN.icount_out_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \TCSR0_GENERATE[20].TCSR0_FF_I\ : in STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I\ : in STD_LOGIC; counter_TC : in STD_LOGIC_VECTOR ( 0 to 1 ); read_Mux_In : in STD_LOGIC_VECTOR ( 7 downto 0 ); generateOutPre0 : in STD_LOGIC; \TCSR1_GENERATE[24].TCSR1_FF_I\ : in STD_LOGIC; Load_Counter_Reg030_out : in STD_LOGIC; Load_Counter_Reg031_out : in STD_LOGIC; \Load_Counter_Reg0__0\ : in STD_LOGIC; Load_Counter_Reg028_out : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); freeze : in STD_LOGIC; s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_2 : entity is "cdc_sync"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_2; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_2 is signal \Counter_En041_out__2\ : STD_LOGIC; signal \Counter_En043_out__0\ : STD_LOGIC; signal \Counter_En045_out__1\ : STD_LOGIC; signal \Counter_En0__4\ : STD_LOGIC; signal Freeze_int : STD_LOGIC; signal counter_En : STD_LOGIC_VECTOR ( 0 to 1 ); signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute BOX_TYPE : string; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; begin \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => freeze, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_d3, Q => Freeze_int, R => '0' ); \INFERRED_GEN.icount_out[31]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FCFFFCAA" ) port map ( I0 => Load_Counter_Reg030_out, I1 => Load_Counter_Reg031_out, I2 => \Counter_En043_out__0\, I3 => \TCSR0_GENERATE[20].TCSR0_FF_I\, I4 => \Counter_En041_out__2\, O => E(0) ); \INFERRED_GEN.icount_out[31]_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FCFFFCAA" ) port map ( I0 => \Load_Counter_Reg0__0\, I1 => Load_Counter_Reg028_out, I2 => \Counter_En045_out__1\, I3 => \TCSR0_GENERATE[20].TCSR0_FF_I\, I4 => \Counter_En0__4\, O => \INFERRED_GEN.icount_out_reg[0]\(0) ); \INFERRED_GEN.icount_out[31]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"00FB0000" ) port map ( I0 => read_Mux_In(4), I1 => counter_TC(1), I2 => read_Mux_In(6), I3 => Freeze_int, I4 => \TCSR0_GENERATE[24].TCSR0_FF_I\, O => \Counter_En043_out__0\ ); \INFERRED_GEN.icount_out[31]_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"4040404040004040" ) port map ( I0 => Freeze_int, I1 => \TCSR0_GENERATE[24].TCSR0_FF_I\, I2 => generateOutPre0, I3 => read_Mux_In(6), I4 => counter_TC(1), I5 => read_Mux_In(4), O => \Counter_En045_out__1\ ); \INFERRED_GEN.icount_out[31]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"4444444444444404" ) port map ( I0 => Freeze_int, I1 => \TCSR0_GENERATE[24].TCSR0_FF_I\, I2 => counter_TC(0), I3 => read_Mux_In(7), I4 => read_Mux_In(6), I5 => read_Mux_In(4), O => \Counter_En041_out__2\ ); \INFERRED_GEN.icount_out[31]_i_6__0\: unisim.vcomponents.LUT6 generic map( INIT => X"2222222222202222" ) port map ( I0 => \TCSR1_GENERATE[24].TCSR1_FF_I\, I1 => Freeze_int, I2 => read_Mux_In(3), I3 => read_Mux_In(2), I4 => counter_TC(1), I5 => read_Mux_In(0), O => \Counter_En0__4\ ); icount_out0_carry_i_5: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \INFERRED_GEN.icount_out_reg[1]\(1), I1 => counter_En(0), I2 => read_Mux_In(5), O => S(0) ); \icount_out0_carry_i_5__0\: unisim.vcomponents.LUT5 generic map( INIT => X"6A666AAA" ) port map ( I0 => \INFERRED_GEN.icount_out_reg[1]\(0), I1 => counter_En(1), I2 => read_Mux_In(5), I3 => \TCSR0_GENERATE[20].TCSR0_FF_I\, I4 => read_Mux_In(1), O => \INFERRED_GEN.icount_out_reg[4]\(0) ); icount_out0_carry_i_6: unisim.vcomponents.MUXF7 port map ( I0 => \Counter_En041_out__2\, I1 => \Counter_En043_out__0\, O => counter_En(0), S => \TCSR0_GENERATE[20].TCSR0_FF_I\ ); \icount_out0_carry_i_6__0\: unisim.vcomponents.MUXF7 port map ( I0 => \Counter_En0__4\, I1 => \Counter_En045_out__1\, O => counter_En(1), S => \TCSR0_GENERATE[20].TCSR0_FF_I\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f is port ( Q : out STD_LOGIC_VECTOR ( 31 downto 0 ); SR : out STD_LOGIC_VECTOR ( 0 to 0 ); \s_axi_rdata_i_reg[0]\ : out STD_LOGIC; \s_axi_rdata_i_reg[1]\ : out STD_LOGIC; \s_axi_rdata_i_reg[2]\ : out STD_LOGIC; \s_axi_rdata_i_reg[3]\ : out STD_LOGIC; \s_axi_rdata_i_reg[4]\ : out STD_LOGIC; \s_axi_rdata_i_reg[5]\ : out STD_LOGIC; \s_axi_rdata_i_reg[6]\ : out STD_LOGIC; \s_axi_rdata_i_reg[7]\ : out STD_LOGIC; \s_axi_rdata_i_reg[8]\ : out STD_LOGIC; \s_axi_rdata_i_reg[9]\ : out STD_LOGIC; \s_axi_rdata_i_reg[10]\ : out STD_LOGIC; \s_axi_rdata_i_reg[11]\ : out STD_LOGIC; \s_axi_rdata_i_reg[12]\ : out STD_LOGIC; \s_axi_rdata_i_reg[13]\ : out STD_LOGIC; \s_axi_rdata_i_reg[14]\ : out STD_LOGIC; \s_axi_rdata_i_reg[15]\ : out STD_LOGIC; \s_axi_rdata_i_reg[16]\ : out STD_LOGIC; \s_axi_rdata_i_reg[17]\ : out STD_LOGIC; \s_axi_rdata_i_reg[18]\ : out STD_LOGIC; \s_axi_rdata_i_reg[19]\ : out STD_LOGIC; \s_axi_rdata_i_reg[20]\ : out STD_LOGIC; \s_axi_rdata_i_reg[21]\ : out STD_LOGIC; \s_axi_rdata_i_reg[22]\ : out STD_LOGIC; \s_axi_rdata_i_reg[23]\ : out STD_LOGIC; \s_axi_rdata_i_reg[24]\ : out STD_LOGIC; \s_axi_rdata_i_reg[25]\ : out STD_LOGIC; \s_axi_rdata_i_reg[26]\ : out STD_LOGIC; \s_axi_rdata_i_reg[27]\ : out STD_LOGIC; \s_axi_rdata_i_reg[28]\ : out STD_LOGIC; \s_axi_rdata_i_reg[29]\ : out STD_LOGIC; \s_axi_rdata_i_reg[30]\ : out STD_LOGIC; \s_axi_rdata_i_reg[31]\ : out STD_LOGIC; generateOutPre1_reg : out STD_LOGIC; counter_TC : out STD_LOGIC_VECTOR ( 0 to 0 ); S : in STD_LOGIC_VECTOR ( 0 to 0 ); read_Mux_In : in STD_LOGIC_VECTOR ( 31 downto 0 ); load_Counter_Reg : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[31]_0\ : in STD_LOGIC_VECTOR ( 31 downto 0 ); \counter_TC_Reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f is signal \INFERRED_GEN.icount_out[0]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[10]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[11]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[12]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[13]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[14]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[15]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[16]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[17]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[18]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[19]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[1]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[20]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[21]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[22]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[23]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[24]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[25]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[26]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[27]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[28]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[29]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[2]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[30]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[31]_i_2_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[32]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[3]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[4]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[5]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[6]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[7]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[8]_i_1_n_0\ : STD_LOGIC; signal \INFERRED_GEN.icount_out[9]_i_1_n_0\ : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^counter_tc\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \icount_out0_carry__0_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_n_1\ : STD_LOGIC; signal \icount_out0_carry__0_n_2\ : STD_LOGIC; signal \icount_out0_carry__0_n_3\ : STD_LOGIC; signal \icount_out0_carry__0_n_4\ : STD_LOGIC; signal \icount_out0_carry__0_n_5\ : STD_LOGIC; signal \icount_out0_carry__0_n_6\ : STD_LOGIC; signal \icount_out0_carry__0_n_7\ : STD_LOGIC; signal \icount_out0_carry__1_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_n_1\ : STD_LOGIC; signal \icount_out0_carry__1_n_2\ : STD_LOGIC; signal \icount_out0_carry__1_n_3\ : STD_LOGIC; signal \icount_out0_carry__1_n_4\ : STD_LOGIC; signal \icount_out0_carry__1_n_5\ : STD_LOGIC; signal \icount_out0_carry__1_n_6\ : STD_LOGIC; signal \icount_out0_carry__1_n_7\ : STD_LOGIC; signal \icount_out0_carry__2_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_n_1\ : STD_LOGIC; signal \icount_out0_carry__2_n_2\ : STD_LOGIC; signal \icount_out0_carry__2_n_3\ : STD_LOGIC; signal \icount_out0_carry__2_n_4\ : STD_LOGIC; signal \icount_out0_carry__2_n_5\ : STD_LOGIC; signal \icount_out0_carry__2_n_6\ : STD_LOGIC; signal \icount_out0_carry__2_n_7\ : STD_LOGIC; signal \icount_out0_carry__3_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_n_1\ : STD_LOGIC; signal \icount_out0_carry__3_n_2\ : STD_LOGIC; signal \icount_out0_carry__3_n_3\ : STD_LOGIC; signal \icount_out0_carry__3_n_4\ : STD_LOGIC; signal \icount_out0_carry__3_n_5\ : STD_LOGIC; signal \icount_out0_carry__3_n_6\ : STD_LOGIC; signal \icount_out0_carry__3_n_7\ : STD_LOGIC; signal \icount_out0_carry__4_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_n_1\ : STD_LOGIC; signal \icount_out0_carry__4_n_2\ : STD_LOGIC; signal \icount_out0_carry__4_n_3\ : STD_LOGIC; signal \icount_out0_carry__4_n_4\ : STD_LOGIC; signal \icount_out0_carry__4_n_5\ : STD_LOGIC; signal \icount_out0_carry__4_n_6\ : STD_LOGIC; signal \icount_out0_carry__4_n_7\ : STD_LOGIC; signal \icount_out0_carry__5_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_n_1\ : STD_LOGIC; signal \icount_out0_carry__5_n_2\ : STD_LOGIC; signal \icount_out0_carry__5_n_3\ : STD_LOGIC; signal \icount_out0_carry__5_n_4\ : STD_LOGIC; signal \icount_out0_carry__5_n_5\ : STD_LOGIC; signal \icount_out0_carry__5_n_6\ : STD_LOGIC; signal \icount_out0_carry__5_n_7\ : STD_LOGIC; signal \icount_out0_carry__6_i_1_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_i_2_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_i_3_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_i_4_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_n_1\ : STD_LOGIC; signal \icount_out0_carry__6_n_2\ : STD_LOGIC; signal \icount_out0_carry__6_n_3\ : STD_LOGIC; signal \icount_out0_carry__6_n_4\ : STD_LOGIC; signal \icount_out0_carry__6_n_5\ : STD_LOGIC; signal \icount_out0_carry__6_n_6\ : STD_LOGIC; signal \icount_out0_carry__6_n_7\ : STD_LOGIC; signal icount_out0_carry_i_1_n_0 : STD_LOGIC; signal icount_out0_carry_i_2_n_0 : STD_LOGIC; signal icount_out0_carry_i_3_n_0 : STD_LOGIC; signal icount_out0_carry_i_4_n_0 : STD_LOGIC; signal icount_out0_carry_n_0 : STD_LOGIC; signal icount_out0_carry_n_1 : STD_LOGIC; signal icount_out0_carry_n_2 : STD_LOGIC; signal icount_out0_carry_n_3 : STD_LOGIC; signal icount_out0_carry_n_4 : STD_LOGIC; signal icount_out0_carry_n_5 : STD_LOGIC; signal icount_out0_carry_n_6 : STD_LOGIC; signal icount_out0_carry_n_7 : STD_LOGIC; signal \NLW_icount_out0_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[0]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[10]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[11]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[12]_i_1\ : label is "soft_lutpair44"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[13]_i_1\ : label is "soft_lutpair43"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[14]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[15]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[16]_i_1\ : label is "soft_lutpair42"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[17]_i_1\ : label is "soft_lutpair41"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[18]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[19]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[1]_i_1\ : label is "soft_lutpair49"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[20]_i_1\ : label is "soft_lutpair40"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[21]_i_1\ : label is "soft_lutpair39"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[22]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[23]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[24]_i_1\ : label is "soft_lutpair38"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[25]_i_1\ : label is "soft_lutpair37"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[26]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[27]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[28]_i_1\ : label is "soft_lutpair36"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[29]_i_1\ : label is "soft_lutpair35"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[2]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[30]_i_1\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[31]_i_2\ : label is "soft_lutpair34"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[3]_i_1\ : label is "soft_lutpair48"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[4]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[5]_i_1\ : label is "soft_lutpair47"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[6]_i_1\ : label is "soft_lutpair45"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[7]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[8]_i_1\ : label is "soft_lutpair46"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[9]_i_1\ : label is "soft_lutpair45"; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of icount_out0_carry : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__0\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__2\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__3\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__4\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__5\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__6\ : label is "{SYNTH-8 {cell *THIS*}}"; begin Q(31 downto 0) <= \^q\(31 downto 0); SR(0) <= \^sr\(0); counter_TC(0) <= \^counter_tc\(0); \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(31), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(31), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(31), O => \s_axi_rdata_i_reg[31]\ ); \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(21), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(21), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(21), O => \s_axi_rdata_i_reg[21]\ ); \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(20), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(20), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(20), O => \s_axi_rdata_i_reg[20]\ ); \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(19), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(19), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(19), O => \s_axi_rdata_i_reg[19]\ ); \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(18), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(18), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(18), O => \s_axi_rdata_i_reg[18]\ ); \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(17), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(17), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(17), O => \s_axi_rdata_i_reg[17]\ ); \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(16), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(16), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(16), O => \s_axi_rdata_i_reg[16]\ ); \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(15), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(15), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(15), O => \s_axi_rdata_i_reg[15]\ ); \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(14), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(14), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(14), O => \s_axi_rdata_i_reg[14]\ ); \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(13), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(13), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(13), O => \s_axi_rdata_i_reg[13]\ ); \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(12), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(12), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(12), O => \s_axi_rdata_i_reg[12]\ ); \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(30), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(30), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(30), O => \s_axi_rdata_i_reg[30]\ ); \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(11), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(11), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(11), O => \s_axi_rdata_i_reg[11]\ ); \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(10), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(10), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(10), O => \s_axi_rdata_i_reg[10]\ ); \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(9), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(9), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(9), O => \s_axi_rdata_i_reg[9]\ ); \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(8), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(8), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(8), O => \s_axi_rdata_i_reg[8]\ ); \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(7), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(7), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(7), O => \s_axi_rdata_i_reg[7]\ ); \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(6), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(6), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(6), O => \s_axi_rdata_i_reg[6]\ ); \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(5), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(5), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(5), O => \s_axi_rdata_i_reg[5]\ ); \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(4), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(4), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(4), O => \s_axi_rdata_i_reg[4]\ ); \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(3), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(3), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(3), O => \s_axi_rdata_i_reg[3]\ ); \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(2), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(2), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(2), O => \s_axi_rdata_i_reg[2]\ ); \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(29), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(29), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(29), O => \s_axi_rdata_i_reg[29]\ ); \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(1), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(1), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(1), O => \s_axi_rdata_i_reg[1]\ ); \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(0), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(0), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(0), O => \s_axi_rdata_i_reg[0]\ ); \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(28), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(28), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(28), O => \s_axi_rdata_i_reg[28]\ ); \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(27), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(27), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(27), O => \s_axi_rdata_i_reg[27]\ ); \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(26), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(26), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(26), O => \s_axi_rdata_i_reg[26]\ ); \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(25), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(25), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(25), O => \s_axi_rdata_i_reg[25]\ ); \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(24), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(24), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(24), O => \s_axi_rdata_i_reg[24]\ ); \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(23), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(23), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(23), O => \s_axi_rdata_i_reg[23]\ ); \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \^q\(22), I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, I3 => read_Mux_In(22), I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, I5 => \INFERRED_GEN.icount_out_reg[31]_0\(22), O => \s_axi_rdata_i_reg[22]\ ); GenerateOut0_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => \^sr\(0) ); \INFERRED_GEN.icount_out[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"A3" ) port map ( I0 => read_Mux_In(0), I1 => \^q\(0), I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[0]_i_1_n_0\ ); \INFERRED_GEN.icount_out[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(10), I1 => \icount_out0_carry__1_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[10]_i_1_n_0\ ); \INFERRED_GEN.icount_out[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(11), I1 => \icount_out0_carry__1_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[11]_i_1_n_0\ ); \INFERRED_GEN.icount_out[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(12), I1 => \icount_out0_carry__1_n_4\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[12]_i_1_n_0\ ); \INFERRED_GEN.icount_out[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(13), I1 => \icount_out0_carry__2_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[13]_i_1_n_0\ ); \INFERRED_GEN.icount_out[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(14), I1 => \icount_out0_carry__2_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[14]_i_1_n_0\ ); \INFERRED_GEN.icount_out[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(15), I1 => \icount_out0_carry__2_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[15]_i_1_n_0\ ); \INFERRED_GEN.icount_out[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(16), I1 => \icount_out0_carry__2_n_4\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[16]_i_1_n_0\ ); \INFERRED_GEN.icount_out[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(17), I1 => \icount_out0_carry__3_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[17]_i_1_n_0\ ); \INFERRED_GEN.icount_out[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(18), I1 => \icount_out0_carry__3_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[18]_i_1_n_0\ ); \INFERRED_GEN.icount_out[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(19), I1 => \icount_out0_carry__3_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[19]_i_1_n_0\ ); \INFERRED_GEN.icount_out[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(1), I1 => icount_out0_carry_n_7, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[1]_i_1_n_0\ ); \INFERRED_GEN.icount_out[20]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(20), I1 => \icount_out0_carry__3_n_4\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[20]_i_1_n_0\ ); \INFERRED_GEN.icount_out[21]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(21), I1 => \icount_out0_carry__4_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[21]_i_1_n_0\ ); \INFERRED_GEN.icount_out[22]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(22), I1 => \icount_out0_carry__4_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[22]_i_1_n_0\ ); \INFERRED_GEN.icount_out[23]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(23), I1 => \icount_out0_carry__4_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[23]_i_1_n_0\ ); \INFERRED_GEN.icount_out[24]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(24), I1 => \icount_out0_carry__4_n_4\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[24]_i_1_n_0\ ); \INFERRED_GEN.icount_out[25]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(25), I1 => \icount_out0_carry__5_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[25]_i_1_n_0\ ); \INFERRED_GEN.icount_out[26]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(26), I1 => \icount_out0_carry__5_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[26]_i_1_n_0\ ); \INFERRED_GEN.icount_out[27]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(27), I1 => \icount_out0_carry__5_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[27]_i_1_n_0\ ); \INFERRED_GEN.icount_out[28]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(28), I1 => \icount_out0_carry__5_n_4\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[28]_i_1_n_0\ ); \INFERRED_GEN.icount_out[29]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(29), I1 => \icount_out0_carry__6_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[29]_i_1_n_0\ ); \INFERRED_GEN.icount_out[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(2), I1 => icount_out0_carry_n_6, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[2]_i_1_n_0\ ); \INFERRED_GEN.icount_out[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(30), I1 => \icount_out0_carry__6_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[30]_i_1_n_0\ ); \INFERRED_GEN.icount_out[31]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(31), I1 => \icount_out0_carry__6_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[31]_i_2_n_0\ ); \INFERRED_GEN.icount_out[32]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000E200" ) port map ( I0 => \^counter_tc\(0), I1 => E(0), I2 => \icount_out0_carry__6_n_4\, I3 => s_axi_aresetn, I4 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[32]_i_1_n_0\ ); \INFERRED_GEN.icount_out[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(3), I1 => icount_out0_carry_n_5, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[3]_i_1_n_0\ ); \INFERRED_GEN.icount_out[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(4), I1 => icount_out0_carry_n_4, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[4]_i_1_n_0\ ); \INFERRED_GEN.icount_out[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(5), I1 => \icount_out0_carry__0_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[5]_i_1_n_0\ ); \INFERRED_GEN.icount_out[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(6), I1 => \icount_out0_carry__0_n_6\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[6]_i_1_n_0\ ); \INFERRED_GEN.icount_out[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(7), I1 => \icount_out0_carry__0_n_5\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[7]_i_1_n_0\ ); \INFERRED_GEN.icount_out[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(8), I1 => \icount_out0_carry__0_n_4\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[8]_i_1_n_0\ ); \INFERRED_GEN.icount_out[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => read_Mux_In(9), I1 => \icount_out0_carry__1_n_7\, I2 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[9]_i_1_n_0\ ); \INFERRED_GEN.icount_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[0]_i_1_n_0\, Q => \^q\(0), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[10]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[10]_i_1_n_0\, Q => \^q\(10), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[11]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[11]_i_1_n_0\, Q => \^q\(11), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[12]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[12]_i_1_n_0\, Q => \^q\(12), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[13]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[13]_i_1_n_0\, Q => \^q\(13), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[14]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[14]_i_1_n_0\, Q => \^q\(14), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[15]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[15]_i_1_n_0\, Q => \^q\(15), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[16]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[16]_i_1_n_0\, Q => \^q\(16), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[17]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[17]_i_1_n_0\, Q => \^q\(17), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[18]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[18]_i_1_n_0\, Q => \^q\(18), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[19]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[19]_i_1_n_0\, Q => \^q\(19), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[1]_i_1_n_0\, Q => \^q\(1), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[20]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[20]_i_1_n_0\, Q => \^q\(20), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[21]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[21]_i_1_n_0\, Q => \^q\(21), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[22]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[22]_i_1_n_0\, Q => \^q\(22), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[23]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[23]_i_1_n_0\, Q => \^q\(23), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[24]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[24]_i_1_n_0\, Q => \^q\(24), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[25]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[25]_i_1_n_0\, Q => \^q\(25), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[26]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[26]_i_1_n_0\, Q => \^q\(26), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[27]_i_1_n_0\, Q => \^q\(27), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[28]_i_1_n_0\, Q => \^q\(28), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[29]_i_1_n_0\, Q => \^q\(29), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[2]_i_1_n_0\, Q => \^q\(2), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[30]_i_1_n_0\, Q => \^q\(30), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[31]_i_2_n_0\, Q => \^q\(31), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[32]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \INFERRED_GEN.icount_out[32]_i_1_n_0\, Q => \^counter_tc\(0), R => '0' ); \INFERRED_GEN.icount_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[3]_i_1_n_0\, Q => \^q\(3), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[4]_i_1_n_0\, Q => \^q\(4), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[5]_i_1_n_0\, Q => \^q\(5), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[6]_i_1_n_0\, Q => \^q\(6), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[7]_i_1_n_0\, Q => \^q\(7), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[8]_i_1_n_0\, Q => \^q\(8), R => \^sr\(0) ); \INFERRED_GEN.icount_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => \INFERRED_GEN.icount_out[9]_i_1_n_0\, Q => \^q\(9), R => \^sr\(0) ); generateOutPre1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^counter_tc\(0), I1 => \counter_TC_Reg_reg[1]\(0), O => generateOutPre1_reg ); icount_out0_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => icount_out0_carry_n_0, CO(2) => icount_out0_carry_n_1, CO(1) => icount_out0_carry_n_2, CO(0) => icount_out0_carry_n_3, CYINIT => \^q\(0), DI(3 downto 1) => \^q\(3 downto 1), DI(0) => icount_out0_carry_i_1_n_0, O(3) => icount_out0_carry_n_4, O(2) => icount_out0_carry_n_5, O(1) => icount_out0_carry_n_6, O(0) => icount_out0_carry_n_7, S(3) => icount_out0_carry_i_2_n_0, S(2) => icount_out0_carry_i_3_n_0, S(1) => icount_out0_carry_i_4_n_0, S(0) => S(0) ); \icount_out0_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => icount_out0_carry_n_0, CO(3) => \icount_out0_carry__0_n_0\, CO(2) => \icount_out0_carry__0_n_1\, CO(1) => \icount_out0_carry__0_n_2\, CO(0) => \icount_out0_carry__0_n_3\, CYINIT => '0', DI(3 downto 0) => \^q\(7 downto 4), O(3) => \icount_out0_carry__0_n_4\, O(2) => \icount_out0_carry__0_n_5\, O(1) => \icount_out0_carry__0_n_6\, O(0) => \icount_out0_carry__0_n_7\, S(3) => \icount_out0_carry__0_i_1_n_0\, S(2) => \icount_out0_carry__0_i_2_n_0\, S(1) => \icount_out0_carry__0_i_3_n_0\, S(0) => \icount_out0_carry__0_i_4_n_0\ ); \icount_out0_carry__0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(7), I1 => \^q\(8), O => \icount_out0_carry__0_i_1_n_0\ ); \icount_out0_carry__0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(6), I1 => \^q\(7), O => \icount_out0_carry__0_i_2_n_0\ ); \icount_out0_carry__0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(5), I1 => \^q\(6), O => \icount_out0_carry__0_i_3_n_0\ ); \icount_out0_carry__0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(4), I1 => \^q\(5), O => \icount_out0_carry__0_i_4_n_0\ ); \icount_out0_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__0_n_0\, CO(3) => \icount_out0_carry__1_n_0\, CO(2) => \icount_out0_carry__1_n_1\, CO(1) => \icount_out0_carry__1_n_2\, CO(0) => \icount_out0_carry__1_n_3\, CYINIT => '0', DI(3 downto 0) => \^q\(11 downto 8), O(3) => \icount_out0_carry__1_n_4\, O(2) => \icount_out0_carry__1_n_5\, O(1) => \icount_out0_carry__1_n_6\, O(0) => \icount_out0_carry__1_n_7\, S(3) => \icount_out0_carry__1_i_1_n_0\, S(2) => \icount_out0_carry__1_i_2_n_0\, S(1) => \icount_out0_carry__1_i_3_n_0\, S(0) => \icount_out0_carry__1_i_4_n_0\ ); \icount_out0_carry__1_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(11), I1 => \^q\(12), O => \icount_out0_carry__1_i_1_n_0\ ); \icount_out0_carry__1_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(10), I1 => \^q\(11), O => \icount_out0_carry__1_i_2_n_0\ ); \icount_out0_carry__1_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(9), I1 => \^q\(10), O => \icount_out0_carry__1_i_3_n_0\ ); \icount_out0_carry__1_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(8), I1 => \^q\(9), O => \icount_out0_carry__1_i_4_n_0\ ); \icount_out0_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__1_n_0\, CO(3) => \icount_out0_carry__2_n_0\, CO(2) => \icount_out0_carry__2_n_1\, CO(1) => \icount_out0_carry__2_n_2\, CO(0) => \icount_out0_carry__2_n_3\, CYINIT => '0', DI(3 downto 0) => \^q\(15 downto 12), O(3) => \icount_out0_carry__2_n_4\, O(2) => \icount_out0_carry__2_n_5\, O(1) => \icount_out0_carry__2_n_6\, O(0) => \icount_out0_carry__2_n_7\, S(3) => \icount_out0_carry__2_i_1_n_0\, S(2) => \icount_out0_carry__2_i_2_n_0\, S(1) => \icount_out0_carry__2_i_3_n_0\, S(0) => \icount_out0_carry__2_i_4_n_0\ ); \icount_out0_carry__2_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(15), I1 => \^q\(16), O => \icount_out0_carry__2_i_1_n_0\ ); \icount_out0_carry__2_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(14), I1 => \^q\(15), O => \icount_out0_carry__2_i_2_n_0\ ); \icount_out0_carry__2_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(13), I1 => \^q\(14), O => \icount_out0_carry__2_i_3_n_0\ ); \icount_out0_carry__2_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(12), I1 => \^q\(13), O => \icount_out0_carry__2_i_4_n_0\ ); \icount_out0_carry__3\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__2_n_0\, CO(3) => \icount_out0_carry__3_n_0\, CO(2) => \icount_out0_carry__3_n_1\, CO(1) => \icount_out0_carry__3_n_2\, CO(0) => \icount_out0_carry__3_n_3\, CYINIT => '0', DI(3 downto 0) => \^q\(19 downto 16), O(3) => \icount_out0_carry__3_n_4\, O(2) => \icount_out0_carry__3_n_5\, O(1) => \icount_out0_carry__3_n_6\, O(0) => \icount_out0_carry__3_n_7\, S(3) => \icount_out0_carry__3_i_1_n_0\, S(2) => \icount_out0_carry__3_i_2_n_0\, S(1) => \icount_out0_carry__3_i_3_n_0\, S(0) => \icount_out0_carry__3_i_4_n_0\ ); \icount_out0_carry__3_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(19), I1 => \^q\(20), O => \icount_out0_carry__3_i_1_n_0\ ); \icount_out0_carry__3_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(18), I1 => \^q\(19), O => \icount_out0_carry__3_i_2_n_0\ ); \icount_out0_carry__3_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(17), I1 => \^q\(18), O => \icount_out0_carry__3_i_3_n_0\ ); \icount_out0_carry__3_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(16), I1 => \^q\(17), O => \icount_out0_carry__3_i_4_n_0\ ); \icount_out0_carry__4\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__3_n_0\, CO(3) => \icount_out0_carry__4_n_0\, CO(2) => \icount_out0_carry__4_n_1\, CO(1) => \icount_out0_carry__4_n_2\, CO(0) => \icount_out0_carry__4_n_3\, CYINIT => '0', DI(3 downto 0) => \^q\(23 downto 20), O(3) => \icount_out0_carry__4_n_4\, O(2) => \icount_out0_carry__4_n_5\, O(1) => \icount_out0_carry__4_n_6\, O(0) => \icount_out0_carry__4_n_7\, S(3) => \icount_out0_carry__4_i_1_n_0\, S(2) => \icount_out0_carry__4_i_2_n_0\, S(1) => \icount_out0_carry__4_i_3_n_0\, S(0) => \icount_out0_carry__4_i_4_n_0\ ); \icount_out0_carry__4_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(23), I1 => \^q\(24), O => \icount_out0_carry__4_i_1_n_0\ ); \icount_out0_carry__4_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(22), I1 => \^q\(23), O => \icount_out0_carry__4_i_2_n_0\ ); \icount_out0_carry__4_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(21), I1 => \^q\(22), O => \icount_out0_carry__4_i_3_n_0\ ); \icount_out0_carry__4_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(20), I1 => \^q\(21), O => \icount_out0_carry__4_i_4_n_0\ ); \icount_out0_carry__5\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__4_n_0\, CO(3) => \icount_out0_carry__5_n_0\, CO(2) => \icount_out0_carry__5_n_1\, CO(1) => \icount_out0_carry__5_n_2\, CO(0) => \icount_out0_carry__5_n_3\, CYINIT => '0', DI(3 downto 0) => \^q\(27 downto 24), O(3) => \icount_out0_carry__5_n_4\, O(2) => \icount_out0_carry__5_n_5\, O(1) => \icount_out0_carry__5_n_6\, O(0) => \icount_out0_carry__5_n_7\, S(3) => \icount_out0_carry__5_i_1_n_0\, S(2) => \icount_out0_carry__5_i_2_n_0\, S(1) => \icount_out0_carry__5_i_3_n_0\, S(0) => \icount_out0_carry__5_i_4_n_0\ ); \icount_out0_carry__5_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(27), I1 => \^q\(28), O => \icount_out0_carry__5_i_1_n_0\ ); \icount_out0_carry__5_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(26), I1 => \^q\(27), O => \icount_out0_carry__5_i_2_n_0\ ); \icount_out0_carry__5_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(25), I1 => \^q\(26), O => \icount_out0_carry__5_i_3_n_0\ ); \icount_out0_carry__5_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(24), I1 => \^q\(25), O => \icount_out0_carry__5_i_4_n_0\ ); \icount_out0_carry__6\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__5_n_0\, CO(3) => \NLW_icount_out0_carry__6_CO_UNCONNECTED\(3), CO(2) => \icount_out0_carry__6_n_1\, CO(1) => \icount_out0_carry__6_n_2\, CO(0) => \icount_out0_carry__6_n_3\, CYINIT => '0', DI(3) => '0', DI(2 downto 0) => \^q\(30 downto 28), O(3) => \icount_out0_carry__6_n_4\, O(2) => \icount_out0_carry__6_n_5\, O(1) => \icount_out0_carry__6_n_6\, O(0) => \icount_out0_carry__6_n_7\, S(3) => \icount_out0_carry__6_i_1_n_0\, S(2) => \icount_out0_carry__6_i_2_n_0\, S(1) => \icount_out0_carry__6_i_3_n_0\, S(0) => \icount_out0_carry__6_i_4_n_0\ ); \icount_out0_carry__6_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(31), O => \icount_out0_carry__6_i_1_n_0\ ); \icount_out0_carry__6_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(30), I1 => \^q\(31), O => \icount_out0_carry__6_i_2_n_0\ ); \icount_out0_carry__6_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(29), I1 => \^q\(30), O => \icount_out0_carry__6_i_3_n_0\ ); \icount_out0_carry__6_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(28), I1 => \^q\(29), O => \icount_out0_carry__6_i_4_n_0\ ); icount_out0_carry_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(1), O => icount_out0_carry_i_1_n_0 ); icount_out0_carry_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(3), I1 => \^q\(4), O => icount_out0_carry_i_2_n_0 ); icount_out0_carry_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(2), I1 => \^q\(3), O => icount_out0_carry_i_3_n_0 ); icount_out0_carry_i_4: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^q\(1), I1 => \^q\(2), O => icount_out0_carry_i_4_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f_3 is port ( \LOAD_REG_GEN[0].LOAD_REG_I\ : out STD_LOGIC_VECTOR ( 31 downto 0 ); generateOutPre0_reg : out STD_LOGIC; counter_TC : out STD_LOGIC_VECTOR ( 0 to 0 ); S : in STD_LOGIC_VECTOR ( 0 to 0 ); read_Mux_In : in STD_LOGIC_VECTOR ( 10 downto 0 ); load_Counter_Reg : in STD_LOGIC_VECTOR ( 0 to 0 ); \LOAD_REG_GEN[0].LOAD_REG_I_0\ : in STD_LOGIC_VECTOR ( 20 downto 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn_0 : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f_3 : entity is "counter_f"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f_3; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f_3 is signal \INFERRED_GEN.icount_out[32]_i_1_n_0\ : STD_LOGIC; signal \^load_reg_gen[0].load_reg_i\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^counter_tc\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \icount_out0_carry__0_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__0_n_1\ : STD_LOGIC; signal \icount_out0_carry__0_n_2\ : STD_LOGIC; signal \icount_out0_carry__0_n_3\ : STD_LOGIC; signal \icount_out0_carry__0_n_4\ : STD_LOGIC; signal \icount_out0_carry__0_n_5\ : STD_LOGIC; signal \icount_out0_carry__0_n_6\ : STD_LOGIC; signal \icount_out0_carry__0_n_7\ : STD_LOGIC; signal \icount_out0_carry__1_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_n_0\ : STD_LOGIC; signal \icount_out0_carry__1_n_1\ : STD_LOGIC; signal \icount_out0_carry__1_n_2\ : STD_LOGIC; signal \icount_out0_carry__1_n_3\ : STD_LOGIC; signal \icount_out0_carry__1_n_4\ : STD_LOGIC; signal \icount_out0_carry__1_n_5\ : STD_LOGIC; signal \icount_out0_carry__1_n_6\ : STD_LOGIC; signal \icount_out0_carry__1_n_7\ : STD_LOGIC; signal \icount_out0_carry__2_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_n_0\ : STD_LOGIC; signal \icount_out0_carry__2_n_1\ : STD_LOGIC; signal \icount_out0_carry__2_n_2\ : STD_LOGIC; signal \icount_out0_carry__2_n_3\ : STD_LOGIC; signal \icount_out0_carry__2_n_4\ : STD_LOGIC; signal \icount_out0_carry__2_n_5\ : STD_LOGIC; signal \icount_out0_carry__2_n_6\ : STD_LOGIC; signal \icount_out0_carry__2_n_7\ : STD_LOGIC; signal \icount_out0_carry__3_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_n_0\ : STD_LOGIC; signal \icount_out0_carry__3_n_1\ : STD_LOGIC; signal \icount_out0_carry__3_n_2\ : STD_LOGIC; signal \icount_out0_carry__3_n_3\ : STD_LOGIC; signal \icount_out0_carry__3_n_4\ : STD_LOGIC; signal \icount_out0_carry__3_n_5\ : STD_LOGIC; signal \icount_out0_carry__3_n_6\ : STD_LOGIC; signal \icount_out0_carry__3_n_7\ : STD_LOGIC; signal \icount_out0_carry__4_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_n_0\ : STD_LOGIC; signal \icount_out0_carry__4_n_1\ : STD_LOGIC; signal \icount_out0_carry__4_n_2\ : STD_LOGIC; signal \icount_out0_carry__4_n_3\ : STD_LOGIC; signal \icount_out0_carry__4_n_4\ : STD_LOGIC; signal \icount_out0_carry__4_n_5\ : STD_LOGIC; signal \icount_out0_carry__4_n_6\ : STD_LOGIC; signal \icount_out0_carry__4_n_7\ : STD_LOGIC; signal \icount_out0_carry__5_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_n_0\ : STD_LOGIC; signal \icount_out0_carry__5_n_1\ : STD_LOGIC; signal \icount_out0_carry__5_n_2\ : STD_LOGIC; signal \icount_out0_carry__5_n_3\ : STD_LOGIC; signal \icount_out0_carry__5_n_4\ : STD_LOGIC; signal \icount_out0_carry__5_n_5\ : STD_LOGIC; signal \icount_out0_carry__5_n_6\ : STD_LOGIC; signal \icount_out0_carry__5_n_7\ : STD_LOGIC; signal \icount_out0_carry__6_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_i_4__0_n_0\ : STD_LOGIC; signal \icount_out0_carry__6_n_1\ : STD_LOGIC; signal \icount_out0_carry__6_n_2\ : STD_LOGIC; signal \icount_out0_carry__6_n_3\ : STD_LOGIC; signal \icount_out0_carry__6_n_4\ : STD_LOGIC; signal \icount_out0_carry__6_n_5\ : STD_LOGIC; signal \icount_out0_carry__6_n_6\ : STD_LOGIC; signal \icount_out0_carry__6_n_7\ : STD_LOGIC; signal \icount_out0_carry_i_1__0_n_0\ : STD_LOGIC; signal \icount_out0_carry_i_2__0_n_0\ : STD_LOGIC; signal \icount_out0_carry_i_3__0_n_0\ : STD_LOGIC; signal \icount_out0_carry_i_4__0_n_0\ : STD_LOGIC; signal icount_out0_carry_n_0 : STD_LOGIC; signal icount_out0_carry_n_1 : STD_LOGIC; signal icount_out0_carry_n_2 : STD_LOGIC; signal icount_out0_carry_n_3 : STD_LOGIC; signal icount_out0_carry_n_4 : STD_LOGIC; signal icount_out0_carry_n_5 : STD_LOGIC; signal icount_out0_carry_n_6 : STD_LOGIC; signal icount_out0_carry_n_7 : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \NLW_icount_out0_carry__6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[0]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[10]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[11]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[12]_i_1__0\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[13]_i_1__0\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[14]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[15]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[16]_i_1__0\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[17]_i_1__0\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[18]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[19]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[1]_i_1__0\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[20]_i_1__0\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[21]_i_1__0\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[22]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[23]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[24]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[25]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[26]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[27]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[28]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[29]_i_1__0\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[2]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[30]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[31]_i_2__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[3]_i_1__0\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[4]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[5]_i_1__0\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[6]_i_1__0\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[7]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[8]_i_1__0\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[9]_i_1__0\ : label is "soft_lutpair29"; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of icount_out0_carry : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__0\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__1\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__2\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__3\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__4\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__5\ : label is "{SYNTH-8 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \icount_out0_carry__6\ : label is "{SYNTH-8 {cell *THIS*}}"; begin \LOAD_REG_GEN[0].LOAD_REG_I\(31 downto 0) <= \^load_reg_gen[0].load_reg_i\(31 downto 0); counter_TC(0) <= \^counter_tc\(0); \INFERRED_GEN.icount_out[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"8B" ) port map ( I0 => read_Mux_In(0), I1 => load_Counter_Reg(0), I2 => \^load_reg_gen[0].load_reg_i\(0), O => p_1_in(0) ); \INFERRED_GEN.icount_out[10]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(10), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__1_n_6\, O => p_1_in(10) ); \INFERRED_GEN.icount_out[11]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(0), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__1_n_5\, O => p_1_in(11) ); \INFERRED_GEN.icount_out[12]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(1), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__1_n_4\, O => p_1_in(12) ); \INFERRED_GEN.icount_out[13]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(2), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__2_n_7\, O => p_1_in(13) ); \INFERRED_GEN.icount_out[14]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(3), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__2_n_6\, O => p_1_in(14) ); \INFERRED_GEN.icount_out[15]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(4), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__2_n_5\, O => p_1_in(15) ); \INFERRED_GEN.icount_out[16]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(5), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__2_n_4\, O => p_1_in(16) ); \INFERRED_GEN.icount_out[17]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(6), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__3_n_7\, O => p_1_in(17) ); \INFERRED_GEN.icount_out[18]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(7), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__3_n_6\, O => p_1_in(18) ); \INFERRED_GEN.icount_out[19]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(8), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__3_n_5\, O => p_1_in(19) ); \INFERRED_GEN.icount_out[1]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(1), I1 => load_Counter_Reg(0), I2 => icount_out0_carry_n_7, O => p_1_in(1) ); \INFERRED_GEN.icount_out[20]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(9), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__3_n_4\, O => p_1_in(20) ); \INFERRED_GEN.icount_out[21]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(10), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__4_n_7\, O => p_1_in(21) ); \INFERRED_GEN.icount_out[22]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(11), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__4_n_6\, O => p_1_in(22) ); \INFERRED_GEN.icount_out[23]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(12), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__4_n_5\, O => p_1_in(23) ); \INFERRED_GEN.icount_out[24]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(13), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__4_n_4\, O => p_1_in(24) ); \INFERRED_GEN.icount_out[25]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(14), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__5_n_7\, O => p_1_in(25) ); \INFERRED_GEN.icount_out[26]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(15), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__5_n_6\, O => p_1_in(26) ); \INFERRED_GEN.icount_out[27]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(16), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__5_n_5\, O => p_1_in(27) ); \INFERRED_GEN.icount_out[28]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(17), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__5_n_4\, O => p_1_in(28) ); \INFERRED_GEN.icount_out[29]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(18), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__6_n_7\, O => p_1_in(29) ); \INFERRED_GEN.icount_out[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(2), I1 => load_Counter_Reg(0), I2 => icount_out0_carry_n_6, O => p_1_in(2) ); \INFERRED_GEN.icount_out[30]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(19), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__6_n_6\, O => p_1_in(30) ); \INFERRED_GEN.icount_out[31]_i_2__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_0\(20), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__6_n_5\, O => p_1_in(31) ); \INFERRED_GEN.icount_out[32]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000E200" ) port map ( I0 => \^counter_tc\(0), I1 => E(0), I2 => \icount_out0_carry__6_n_4\, I3 => s_axi_aresetn, I4 => load_Counter_Reg(0), O => \INFERRED_GEN.icount_out[32]_i_1_n_0\ ); \INFERRED_GEN.icount_out[3]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(3), I1 => load_Counter_Reg(0), I2 => icount_out0_carry_n_5, O => p_1_in(3) ); \INFERRED_GEN.icount_out[4]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(4), I1 => load_Counter_Reg(0), I2 => icount_out0_carry_n_4, O => p_1_in(4) ); \INFERRED_GEN.icount_out[5]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(5), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__0_n_7\, O => p_1_in(5) ); \INFERRED_GEN.icount_out[6]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(6), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__0_n_6\, O => p_1_in(6) ); \INFERRED_GEN.icount_out[7]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(7), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__0_n_5\, O => p_1_in(7) ); \INFERRED_GEN.icount_out[8]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(8), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__0_n_4\, O => p_1_in(8) ); \INFERRED_GEN.icount_out[9]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => read_Mux_In(9), I1 => load_Counter_Reg(0), I2 => \icount_out0_carry__1_n_7\, O => p_1_in(9) ); \INFERRED_GEN.icount_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(0), Q => \^load_reg_gen[0].load_reg_i\(0), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[10]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(10), Q => \^load_reg_gen[0].load_reg_i\(10), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[11]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(11), Q => \^load_reg_gen[0].load_reg_i\(11), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[12]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(12), Q => \^load_reg_gen[0].load_reg_i\(12), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[13]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(13), Q => \^load_reg_gen[0].load_reg_i\(13), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[14]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(14), Q => \^load_reg_gen[0].load_reg_i\(14), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[15]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(15), Q => \^load_reg_gen[0].load_reg_i\(15), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[16]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(16), Q => \^load_reg_gen[0].load_reg_i\(16), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[17]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(17), Q => \^load_reg_gen[0].load_reg_i\(17), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[18]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(18), Q => \^load_reg_gen[0].load_reg_i\(18), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[19]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(19), Q => \^load_reg_gen[0].load_reg_i\(19), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(1), Q => \^load_reg_gen[0].load_reg_i\(1), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[20]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(20), Q => \^load_reg_gen[0].load_reg_i\(20), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[21]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(21), Q => \^load_reg_gen[0].load_reg_i\(21), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[22]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(22), Q => \^load_reg_gen[0].load_reg_i\(22), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[23]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(23), Q => \^load_reg_gen[0].load_reg_i\(23), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[24]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(24), Q => \^load_reg_gen[0].load_reg_i\(24), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[25]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(25), Q => \^load_reg_gen[0].load_reg_i\(25), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[26]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(26), Q => \^load_reg_gen[0].load_reg_i\(26), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(27), Q => \^load_reg_gen[0].load_reg_i\(27), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(28), Q => \^load_reg_gen[0].load_reg_i\(28), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(29), Q => \^load_reg_gen[0].load_reg_i\(29), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(2), Q => \^load_reg_gen[0].load_reg_i\(2), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(30), Q => \^load_reg_gen[0].load_reg_i\(30), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(31), Q => \^load_reg_gen[0].load_reg_i\(31), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[32]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \INFERRED_GEN.icount_out[32]_i_1_n_0\, Q => \^counter_tc\(0), R => '0' ); \INFERRED_GEN.icount_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(3), Q => \^load_reg_gen[0].load_reg_i\(3), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(4), Q => \^load_reg_gen[0].load_reg_i\(4), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(5), Q => \^load_reg_gen[0].load_reg_i\(5), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(6), Q => \^load_reg_gen[0].load_reg_i\(6), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(7), Q => \^load_reg_gen[0].load_reg_i\(7), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(8), Q => \^load_reg_gen[0].load_reg_i\(8), R => s_axi_aresetn_0 ); \INFERRED_GEN.icount_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => E(0), D => p_1_in(9), Q => \^load_reg_gen[0].load_reg_i\(9), R => s_axi_aresetn_0 ); generateOutPre0_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^counter_tc\(0), I1 => Q(0), O => generateOutPre0_reg ); icount_out0_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => icount_out0_carry_n_0, CO(2) => icount_out0_carry_n_1, CO(1) => icount_out0_carry_n_2, CO(0) => icount_out0_carry_n_3, CYINIT => \^load_reg_gen[0].load_reg_i\(0), DI(3 downto 1) => \^load_reg_gen[0].load_reg_i\(3 downto 1), DI(0) => \icount_out0_carry_i_1__0_n_0\, O(3) => icount_out0_carry_n_4, O(2) => icount_out0_carry_n_5, O(1) => icount_out0_carry_n_6, O(0) => icount_out0_carry_n_7, S(3) => \icount_out0_carry_i_2__0_n_0\, S(2) => \icount_out0_carry_i_3__0_n_0\, S(1) => \icount_out0_carry_i_4__0_n_0\, S(0) => S(0) ); \icount_out0_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => icount_out0_carry_n_0, CO(3) => \icount_out0_carry__0_n_0\, CO(2) => \icount_out0_carry__0_n_1\, CO(1) => \icount_out0_carry__0_n_2\, CO(0) => \icount_out0_carry__0_n_3\, CYINIT => '0', DI(3 downto 0) => \^load_reg_gen[0].load_reg_i\(7 downto 4), O(3) => \icount_out0_carry__0_n_4\, O(2) => \icount_out0_carry__0_n_5\, O(1) => \icount_out0_carry__0_n_6\, O(0) => \icount_out0_carry__0_n_7\, S(3) => \icount_out0_carry__0_i_1__0_n_0\, S(2) => \icount_out0_carry__0_i_2__0_n_0\, S(1) => \icount_out0_carry__0_i_3__0_n_0\, S(0) => \icount_out0_carry__0_i_4__0_n_0\ ); \icount_out0_carry__0_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(7), I1 => \^load_reg_gen[0].load_reg_i\(8), O => \icount_out0_carry__0_i_1__0_n_0\ ); \icount_out0_carry__0_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(6), I1 => \^load_reg_gen[0].load_reg_i\(7), O => \icount_out0_carry__0_i_2__0_n_0\ ); \icount_out0_carry__0_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(5), I1 => \^load_reg_gen[0].load_reg_i\(6), O => \icount_out0_carry__0_i_3__0_n_0\ ); \icount_out0_carry__0_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(4), I1 => \^load_reg_gen[0].load_reg_i\(5), O => \icount_out0_carry__0_i_4__0_n_0\ ); \icount_out0_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__0_n_0\, CO(3) => \icount_out0_carry__1_n_0\, CO(2) => \icount_out0_carry__1_n_1\, CO(1) => \icount_out0_carry__1_n_2\, CO(0) => \icount_out0_carry__1_n_3\, CYINIT => '0', DI(3 downto 0) => \^load_reg_gen[0].load_reg_i\(11 downto 8), O(3) => \icount_out0_carry__1_n_4\, O(2) => \icount_out0_carry__1_n_5\, O(1) => \icount_out0_carry__1_n_6\, O(0) => \icount_out0_carry__1_n_7\, S(3) => \icount_out0_carry__1_i_1__0_n_0\, S(2) => \icount_out0_carry__1_i_2__0_n_0\, S(1) => \icount_out0_carry__1_i_3__0_n_0\, S(0) => \icount_out0_carry__1_i_4__0_n_0\ ); \icount_out0_carry__1_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(11), I1 => \^load_reg_gen[0].load_reg_i\(12), O => \icount_out0_carry__1_i_1__0_n_0\ ); \icount_out0_carry__1_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(10), I1 => \^load_reg_gen[0].load_reg_i\(11), O => \icount_out0_carry__1_i_2__0_n_0\ ); \icount_out0_carry__1_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(9), I1 => \^load_reg_gen[0].load_reg_i\(10), O => \icount_out0_carry__1_i_3__0_n_0\ ); \icount_out0_carry__1_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(8), I1 => \^load_reg_gen[0].load_reg_i\(9), O => \icount_out0_carry__1_i_4__0_n_0\ ); \icount_out0_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__1_n_0\, CO(3) => \icount_out0_carry__2_n_0\, CO(2) => \icount_out0_carry__2_n_1\, CO(1) => \icount_out0_carry__2_n_2\, CO(0) => \icount_out0_carry__2_n_3\, CYINIT => '0', DI(3 downto 0) => \^load_reg_gen[0].load_reg_i\(15 downto 12), O(3) => \icount_out0_carry__2_n_4\, O(2) => \icount_out0_carry__2_n_5\, O(1) => \icount_out0_carry__2_n_6\, O(0) => \icount_out0_carry__2_n_7\, S(3) => \icount_out0_carry__2_i_1__0_n_0\, S(2) => \icount_out0_carry__2_i_2__0_n_0\, S(1) => \icount_out0_carry__2_i_3__0_n_0\, S(0) => \icount_out0_carry__2_i_4__0_n_0\ ); \icount_out0_carry__2_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(15), I1 => \^load_reg_gen[0].load_reg_i\(16), O => \icount_out0_carry__2_i_1__0_n_0\ ); \icount_out0_carry__2_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(14), I1 => \^load_reg_gen[0].load_reg_i\(15), O => \icount_out0_carry__2_i_2__0_n_0\ ); \icount_out0_carry__2_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(13), I1 => \^load_reg_gen[0].load_reg_i\(14), O => \icount_out0_carry__2_i_3__0_n_0\ ); \icount_out0_carry__2_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(12), I1 => \^load_reg_gen[0].load_reg_i\(13), O => \icount_out0_carry__2_i_4__0_n_0\ ); \icount_out0_carry__3\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__2_n_0\, CO(3) => \icount_out0_carry__3_n_0\, CO(2) => \icount_out0_carry__3_n_1\, CO(1) => \icount_out0_carry__3_n_2\, CO(0) => \icount_out0_carry__3_n_3\, CYINIT => '0', DI(3 downto 0) => \^load_reg_gen[0].load_reg_i\(19 downto 16), O(3) => \icount_out0_carry__3_n_4\, O(2) => \icount_out0_carry__3_n_5\, O(1) => \icount_out0_carry__3_n_6\, O(0) => \icount_out0_carry__3_n_7\, S(3) => \icount_out0_carry__3_i_1__0_n_0\, S(2) => \icount_out0_carry__3_i_2__0_n_0\, S(1) => \icount_out0_carry__3_i_3__0_n_0\, S(0) => \icount_out0_carry__3_i_4__0_n_0\ ); \icount_out0_carry__3_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(19), I1 => \^load_reg_gen[0].load_reg_i\(20), O => \icount_out0_carry__3_i_1__0_n_0\ ); \icount_out0_carry__3_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(18), I1 => \^load_reg_gen[0].load_reg_i\(19), O => \icount_out0_carry__3_i_2__0_n_0\ ); \icount_out0_carry__3_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(17), I1 => \^load_reg_gen[0].load_reg_i\(18), O => \icount_out0_carry__3_i_3__0_n_0\ ); \icount_out0_carry__3_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(16), I1 => \^load_reg_gen[0].load_reg_i\(17), O => \icount_out0_carry__3_i_4__0_n_0\ ); \icount_out0_carry__4\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__3_n_0\, CO(3) => \icount_out0_carry__4_n_0\, CO(2) => \icount_out0_carry__4_n_1\, CO(1) => \icount_out0_carry__4_n_2\, CO(0) => \icount_out0_carry__4_n_3\, CYINIT => '0', DI(3 downto 0) => \^load_reg_gen[0].load_reg_i\(23 downto 20), O(3) => \icount_out0_carry__4_n_4\, O(2) => \icount_out0_carry__4_n_5\, O(1) => \icount_out0_carry__4_n_6\, O(0) => \icount_out0_carry__4_n_7\, S(3) => \icount_out0_carry__4_i_1__0_n_0\, S(2) => \icount_out0_carry__4_i_2__0_n_0\, S(1) => \icount_out0_carry__4_i_3__0_n_0\, S(0) => \icount_out0_carry__4_i_4__0_n_0\ ); \icount_out0_carry__4_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(23), I1 => \^load_reg_gen[0].load_reg_i\(24), O => \icount_out0_carry__4_i_1__0_n_0\ ); \icount_out0_carry__4_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(22), I1 => \^load_reg_gen[0].load_reg_i\(23), O => \icount_out0_carry__4_i_2__0_n_0\ ); \icount_out0_carry__4_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(21), I1 => \^load_reg_gen[0].load_reg_i\(22), O => \icount_out0_carry__4_i_3__0_n_0\ ); \icount_out0_carry__4_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(20), I1 => \^load_reg_gen[0].load_reg_i\(21), O => \icount_out0_carry__4_i_4__0_n_0\ ); \icount_out0_carry__5\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__4_n_0\, CO(3) => \icount_out0_carry__5_n_0\, CO(2) => \icount_out0_carry__5_n_1\, CO(1) => \icount_out0_carry__5_n_2\, CO(0) => \icount_out0_carry__5_n_3\, CYINIT => '0', DI(3 downto 0) => \^load_reg_gen[0].load_reg_i\(27 downto 24), O(3) => \icount_out0_carry__5_n_4\, O(2) => \icount_out0_carry__5_n_5\, O(1) => \icount_out0_carry__5_n_6\, O(0) => \icount_out0_carry__5_n_7\, S(3) => \icount_out0_carry__5_i_1__0_n_0\, S(2) => \icount_out0_carry__5_i_2__0_n_0\, S(1) => \icount_out0_carry__5_i_3__0_n_0\, S(0) => \icount_out0_carry__5_i_4__0_n_0\ ); \icount_out0_carry__5_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(27), I1 => \^load_reg_gen[0].load_reg_i\(28), O => \icount_out0_carry__5_i_1__0_n_0\ ); \icount_out0_carry__5_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(26), I1 => \^load_reg_gen[0].load_reg_i\(27), O => \icount_out0_carry__5_i_2__0_n_0\ ); \icount_out0_carry__5_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(25), I1 => \^load_reg_gen[0].load_reg_i\(26), O => \icount_out0_carry__5_i_3__0_n_0\ ); \icount_out0_carry__5_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(24), I1 => \^load_reg_gen[0].load_reg_i\(25), O => \icount_out0_carry__5_i_4__0_n_0\ ); \icount_out0_carry__6\: unisim.vcomponents.CARRY4 port map ( CI => \icount_out0_carry__5_n_0\, CO(3) => \NLW_icount_out0_carry__6_CO_UNCONNECTED\(3), CO(2) => \icount_out0_carry__6_n_1\, CO(1) => \icount_out0_carry__6_n_2\, CO(0) => \icount_out0_carry__6_n_3\, CYINIT => '0', DI(3) => '0', DI(2 downto 0) => \^load_reg_gen[0].load_reg_i\(30 downto 28), O(3) => \icount_out0_carry__6_n_4\, O(2) => \icount_out0_carry__6_n_5\, O(1) => \icount_out0_carry__6_n_6\, O(0) => \icount_out0_carry__6_n_7\, S(3) => \icount_out0_carry__6_i_1__0_n_0\, S(2) => \icount_out0_carry__6_i_2__0_n_0\, S(1) => \icount_out0_carry__6_i_3__0_n_0\, S(0) => \icount_out0_carry__6_i_4__0_n_0\ ); \icount_out0_carry__6_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(31), O => \icount_out0_carry__6_i_1__0_n_0\ ); \icount_out0_carry__6_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(30), I1 => \^load_reg_gen[0].load_reg_i\(31), O => \icount_out0_carry__6_i_2__0_n_0\ ); \icount_out0_carry__6_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(29), I1 => \^load_reg_gen[0].load_reg_i\(30), O => \icount_out0_carry__6_i_3__0_n_0\ ); \icount_out0_carry__6_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(28), I1 => \^load_reg_gen[0].load_reg_i\(29), O => \icount_out0_carry__6_i_4__0_n_0\ ); \icount_out0_carry_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(1), O => \icount_out0_carry_i_1__0_n_0\ ); \icount_out0_carry_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(3), I1 => \^load_reg_gen[0].load_reg_i\(4), O => \icount_out0_carry_i_2__0_n_0\ ); \icount_out0_carry_i_3__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(2), I1 => \^load_reg_gen[0].load_reg_i\(3), O => \icount_out0_carry_i_3__0_n_0\ ); \icount_out0_carry_i_4__0\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \^load_reg_gen[0].load_reg_i\(1), I1 => \^load_reg_gen[0].load_reg_i\(2), O => \icount_out0_carry_i_4__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mux_onehot_f is port ( D : out STD_LOGIC_VECTOR ( 31 downto 0 ); Bus_RNW_reg_reg : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[31]\ : in STD_LOGIC; Bus_RNW_reg_reg_0 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[30]\ : in STD_LOGIC; Bus_RNW_reg_reg_1 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[29]\ : in STD_LOGIC; Bus_RNW_reg_reg_2 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[28]\ : in STD_LOGIC; Bus_RNW_reg_reg_3 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[27]\ : in STD_LOGIC; Bus_RNW_reg_reg_4 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[26]\ : in STD_LOGIC; Bus_RNW_reg_reg_5 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[25]\ : in STD_LOGIC; Bus_RNW_reg_reg_6 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[24]\ : in STD_LOGIC; Bus_RNW_reg_reg_7 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[23]\ : in STD_LOGIC; Bus_RNW_reg_reg_8 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[22]\ : in STD_LOGIC; Bus_RNW_reg_reg_9 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[21]\ : in STD_LOGIC; Bus_RNW_reg_reg_10 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[20]\ : in STD_LOGIC; Bus_RNW_reg_reg_11 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[19]\ : in STD_LOGIC; Bus_RNW_reg_reg_12 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[18]\ : in STD_LOGIC; Bus_RNW_reg_reg_13 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[17]\ : in STD_LOGIC; Bus_RNW_reg_reg_14 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[16]\ : in STD_LOGIC; Bus_RNW_reg_reg_15 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[15]\ : in STD_LOGIC; Bus_RNW_reg_reg_16 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[14]\ : in STD_LOGIC; Bus_RNW_reg_reg_17 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[13]\ : in STD_LOGIC; Bus_RNW_reg_reg_18 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[12]\ : in STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[11]\ : in STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[10]\ : in STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[9]\ : in STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[8]\ : in STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[7]\ : in STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[6]\ : in STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[5]\ : in STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[4]\ : in STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[3]\ : in STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[2]\ : in STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[1]\ : in STD_LOGIC; \LOAD_REG_GEN[31].LOAD_REG_I\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[0]\ : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mux_onehot_f; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mux_onehot_f is signal \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\ : STD_LOGIC; signal cyout_1 : STD_LOGIC; signal \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; attribute BOX_TYPE of \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\ : label is "(MUXCY,XORCY)"; begin \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(31), CO(0) => cyout_1, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[31]\, S(0) => Bus_RNW_reg_reg ); \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(21), CO(0) => \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[21]\, S(0) => Bus_RNW_reg_reg_9 ); \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(20), CO(0) => \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[20]\, S(0) => Bus_RNW_reg_reg_10 ); \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(19), CO(0) => \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[19]\, S(0) => Bus_RNW_reg_reg_11 ); \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(18), CO(0) => \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[18]\, S(0) => Bus_RNW_reg_reg_12 ); \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(17), CO(0) => \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[17]\, S(0) => Bus_RNW_reg_reg_13 ); \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(16), CO(0) => \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[16]\, S(0) => Bus_RNW_reg_reg_14 ); \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(15), CO(0) => \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[15]\, S(0) => Bus_RNW_reg_reg_15 ); \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(14), CO(0) => \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[14]\, S(0) => Bus_RNW_reg_reg_16 ); \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(13), CO(0) => \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[13]\, S(0) => Bus_RNW_reg_reg_17 ); \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(12), CO(0) => \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[12]\, S(0) => Bus_RNW_reg_reg_18 ); \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(30), CO(0) => \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[30]\, S(0) => Bus_RNW_reg_reg_0 ); \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(11), CO(0) => \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[11]\, S(0) => \LOAD_REG_GEN[20].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(10), CO(0) => \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[10]\, S(0) => \LOAD_REG_GEN[21].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(9), CO(0) => \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[9]\, S(0) => \LOAD_REG_GEN[22].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(8), CO(0) => \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[8]\, S(0) => \LOAD_REG_GEN[23].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(7), CO(0) => \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[7]\, S(0) => \LOAD_REG_GEN[24].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(6), CO(0) => \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[6]\, S(0) => \LOAD_REG_GEN[25].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(5), CO(0) => \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[5]\, S(0) => \LOAD_REG_GEN[26].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(4), CO(0) => \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[4]\, S(0) => \LOAD_REG_GEN[27].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(3), CO(0) => \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[3]\, S(0) => \LOAD_REG_GEN[28].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(2), CO(0) => \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[2]\, S(0) => \LOAD_REG_GEN[29].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(29), CO(0) => \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[29]\, S(0) => Bus_RNW_reg_reg_1 ); \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(1), CO(0) => \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[1]\, S(0) => \LOAD_REG_GEN[30].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(0), CO(0) => \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[0]\, S(0) => \LOAD_REG_GEN[31].LOAD_REG_I\ ); \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(28), CO(0) => \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[28]\, S(0) => Bus_RNW_reg_reg_2 ); \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(27), CO(0) => \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[27]\, S(0) => Bus_RNW_reg_reg_3 ); \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(26), CO(0) => \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[26]\, S(0) => Bus_RNW_reg_reg_4 ); \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(25), CO(0) => \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[25]\, S(0) => Bus_RNW_reg_reg_5 ); \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(24), CO(0) => \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[24]\, S(0) => Bus_RNW_reg_reg_6 ); \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(23), CO(0) => \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[23]\, S(0) => Bus_RNW_reg_reg_7 ); \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_CO_UNCONNECTED\(3 downto 2), CO(1) => D(22), CO(0) => \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_n_0\, CYINIT => '0', DI(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_DI_UNCONNECTED\(3 downto 2), DI(1 downto 0) => B"11", O(3 downto 0) => \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_O_UNCONNECTED\(3 downto 0), S(3 downto 2) => \NLW_GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_CARRY4_S_UNCONNECTED\(3 downto 2), S(1) => \INFERRED_GEN.icount_out_reg[22]\, S(0) => Bus_RNW_reg_reg_8 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f is port ( ce_expnd_i_7 : out STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f is begin CS: unisim.vcomponents.LUT4 generic map( INIT => X"0010" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(2), I1 => \bus2ip_addr_i_reg[4]\(1), I2 => Q, I3 => \bus2ip_addr_i_reg[4]\(0), O => ce_expnd_i_7 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized1\ is port ( ce_expnd_i_5 : out STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized1\ : entity is "pselect_f"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized1\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized1\ is begin CS: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(2), I1 => \bus2ip_addr_i_reg[4]\(0), I2 => Q, I3 => \bus2ip_addr_i_reg[4]\(1), O => ce_expnd_i_5 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized3\ is port ( ce_expnd_i_3 : out STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized3\ : entity is "pselect_f"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized3\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized3\ is begin CS: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(1), I1 => \bus2ip_addr_i_reg[4]\(0), I2 => \bus2ip_addr_i_reg[4]\(2), I3 => Q, O => ce_expnd_i_3 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized4\ is port ( ce_expnd_i_2 : out STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized4\ : entity is "pselect_f"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized4\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized4\ is begin CS: unisim.vcomponents.LUT4 generic map( INIT => X"4000" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(1), I1 => \bus2ip_addr_i_reg[4]\(2), I2 => Q, I3 => \bus2ip_addr_i_reg[4]\(0), O => ce_expnd_i_2 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized5\ is port ( ce_expnd_i_1 : out STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized5\ : entity is "pselect_f"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized5\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized5\ is begin CS: unisim.vcomponents.LUT4 generic map( INIT => X"4000" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(0), I1 => \bus2ip_addr_i_reg[4]\(2), I2 => Q, I3 => \bus2ip_addr_i_reg[4]\(1), O => ce_expnd_i_1 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized6\ is port ( ce_expnd_i_0 : out STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); Q : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized6\ : entity is "pselect_f"; end \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized6\; architecture STRUCTURE of \decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized6\ is begin CS: unisim.vcomponents.LUT4 generic map( INIT => X"8000" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(1), I1 => \bus2ip_addr_i_reg[4]\(0), I2 => \bus2ip_addr_i_reg[4]\(2), I3 => Q, O => ce_expnd_i_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder is port ( \LOAD_REG_GEN[31].LOAD_REG_I\ : out STD_LOGIC; \TCSR0_GENERATE[23].TCSR0_FF_I\ : out STD_LOGIC; \s_axi_rdata_i_reg[12]\ : out STD_LOGIC; \s_axi_rdata_i_reg[13]\ : out STD_LOGIC; \s_axi_rdata_i_reg[14]\ : out STD_LOGIC; \s_axi_rdata_i_reg[15]\ : out STD_LOGIC; \s_axi_rdata_i_reg[16]\ : out STD_LOGIC; \s_axi_rdata_i_reg[17]\ : out STD_LOGIC; \s_axi_rdata_i_reg[18]\ : out STD_LOGIC; \s_axi_rdata_i_reg[19]\ : out STD_LOGIC; \s_axi_rdata_i_reg[20]\ : out STD_LOGIC; \s_axi_rdata_i_reg[21]\ : out STD_LOGIC; \s_axi_rdata_i_reg[22]\ : out STD_LOGIC; \s_axi_rdata_i_reg[23]\ : out STD_LOGIC; \s_axi_rdata_i_reg[24]\ : out STD_LOGIC; \s_axi_rdata_i_reg[25]\ : out STD_LOGIC; \s_axi_rdata_i_reg[26]\ : out STD_LOGIC; \s_axi_rdata_i_reg[27]\ : out STD_LOGIC; \s_axi_rdata_i_reg[28]\ : out STD_LOGIC; \s_axi_rdata_i_reg[29]\ : out STD_LOGIC; \s_axi_rdata_i_reg[30]\ : out STD_LOGIC; \s_axi_rdata_i_reg[31]\ : out STD_LOGIC; pair0_Select : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 1 downto 0 ); \s_axi_rdata_i_reg[11]\ : out STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I\ : out STD_LOGIC; \TCSR1_GENERATE[24].TCSR1_FF_I\ : out STD_LOGIC; \LOAD_REG_GEN[31].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[19].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[18].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[17].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[16].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[15].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[14].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[13].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[12].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[11].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[10].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[9].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[8].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[7].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[6].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[5].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[4].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[3].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[2].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[1].LOAD_REG_I\ : out STD_LOGIC; D_0 : out STD_LOGIC; \bus2ip_wrce__0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_wrce : out STD_LOGIC_VECTOR ( 1 downto 0 ); \LOAD_REG_GEN[31].LOAD_REG_I_1\ : out STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[19].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[18].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[17].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[16].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[15].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[14].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[13].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[12].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[11].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[10].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[9].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[8].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[7].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[6].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[5].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[4].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[3].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[2].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[1].LOAD_REG_I_0\ : out STD_LOGIC; D_1 : out STD_LOGIC; s_axi_rvalid_i_reg : out STD_LOGIC; s_axi_rvalid_i_reg_0 : out STD_LOGIC; s_axi_rvalid_i_reg_1 : out STD_LOGIC; s_axi_rvalid_i_reg_2 : out STD_LOGIC; s_axi_bvalid_i_reg : out STD_LOGIC; \TCSR0_GENERATE[23].TCSR0_FF_I_0\ : out STD_LOGIC; \TCSR1_GENERATE[23].TCSR1_FF_I\ : out STD_LOGIC; \s_axi_rdata_i_reg[10]\ : out STD_LOGIC; \s_axi_rdata_i_reg[0]\ : out STD_LOGIC; \s_axi_rdata_i_reg[0]_0\ : out STD_LOGIC; READ_DONE0_I : out STD_LOGIC; READ_DONE1_I : out STD_LOGIC; Q : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; read_Mux_In : in STD_LOGIC_VECTOR ( 87 downto 0 ); s_axi_aresetn : in STD_LOGIC; \state1__2\ : in STD_LOGIC; s_axi_arvalid_0 : in STD_LOGIC; \state_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arvalid : in STD_LOGIC; is_write_reg : in STD_LOGIC; is_read : in STD_LOGIC; \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\ : in STD_LOGIC_VECTOR ( 5 downto 0 ); s_axi_rready : in STD_LOGIC; s_axi_rvalid_i_reg_3 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_bvalid_i_reg_0 : in STD_LOGIC; bus2ip_rnw_i : in STD_LOGIC; D_2 : in STD_LOGIC; read_done1 : in STD_LOGIC; \bus2ip_addr_i_reg[4]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder is signal Bus_RNW_reg_i_1_n_0 : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg\ : STD_LOGIC; signal \^load_reg_gen[31].load_reg_i\ : STD_LOGIC; signal \^tcsr0_generate[23].tcsr0_ff_i\ : STD_LOGIC; signal ce_expnd_i_0 : STD_LOGIC; signal ce_expnd_i_1 : STD_LOGIC; signal ce_expnd_i_2 : STD_LOGIC; signal ce_expnd_i_3 : STD_LOGIC; signal ce_expnd_i_5 : STD_LOGIC; signal ce_expnd_i_6 : STD_LOGIC; signal ce_expnd_i_7 : STD_LOGIC; signal cs_ce_clr : STD_LOGIC; signal \eqOp__4\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal s_axi_arready_INST_0_i_4_n_0 : STD_LOGIC; signal \^s_axi_rvalid_i_reg\ : STD_LOGIC; signal \^s_axi_rvalid_i_reg_0\ : STD_LOGIC; signal \^s_axi_rvalid_i_reg_1\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal s_axi_wready_INST_0_i_1_n_0 : STD_LOGIC; signal s_axi_wready_INST_0_i_2_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of Bus_RNW_reg_i_1 : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_2\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_3\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_2\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[0].LOAD_REG_I_i_2__0\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[0].LOAD_REG_I_i_7\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[1].LOAD_REG_I_i_1__0\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[2].LOAD_REG_I_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[2].LOAD_REG_I_i_1__0\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[3].LOAD_REG_I_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[3].LOAD_REG_I_i_1__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[4].LOAD_REG_I_i_1__0\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[9].LOAD_REG_I_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of READ_DONE0_I_i_2 : label is "soft_lutpair7"; attribute SOFT_HLUTNM of READ_DONE1_I_i_2 : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \TCSR0_GENERATE[20].TCSR0_FF_I_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \TCSR0_GENERATE[21].TCSR0_FF_I_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \TCSR0_GENERATE[23].TCSR0_FF_I_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \TCSR0_GENERATE[24].TCSR0_FF_I_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \TCSR1_GENERATE[22].TCSR1_FF_I_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \TCSR1_GENERATE[23].TCSR1_FF_I_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \TCSR1_GENERATE[24].TCSR1_FF_I_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of s_axi_arready_INST_0_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of s_axi_arready_INST_0_i_2 : label is "soft_lutpair0"; attribute SOFT_HLUTNM of s_axi_arready_INST_0_i_3 : label is "soft_lutpair8"; attribute SOFT_HLUTNM of s_axi_arready_INST_0_i_4 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of s_axi_wready_INST_0_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of s_axi_wready_INST_0_i_2 : label is "soft_lutpair2"; begin \LOAD_REG_GEN[31].LOAD_REG_I\ <= \^load_reg_gen[31].load_reg_i\; \TCSR0_GENERATE[23].TCSR0_FF_I\ <= \^tcsr0_generate[23].tcsr0_ff_i\; s_axi_arready <= \^s_axi_arready\; s_axi_rvalid_i_reg <= \^s_axi_rvalid_i_reg\; s_axi_rvalid_i_reg_0 <= \^s_axi_rvalid_i_reg_0\; s_axi_rvalid_i_reg_1 <= \^s_axi_rvalid_i_reg_1\; s_axi_wready <= \^s_axi_wready\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => bus2ip_rnw_i, I1 => Q, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, O => Bus_RNW_reg_i_1_n_0 ); Bus_RNW_reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Bus_RNW_reg_i_1_n_0, Q => \^tcsr0_generate[23].tcsr0_ff_i\, R => '0' ); \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(84), O => \s_axi_rdata_i_reg[31]\ ); \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \s_axi_rdata_i_reg[0]_0\ ); \GEN.DATA_WIDTH_GEN[0].NUM_BUSES_GEN[1].MUXCY_GEN.MUXCY_I_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \s_axi_rdata_i_reg[0]\ ); \GEN.DATA_WIDTH_GEN[10].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(74), O => \s_axi_rdata_i_reg[21]\ ); \GEN.DATA_WIDTH_GEN[11].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(73), O => \s_axi_rdata_i_reg[20]\ ); \GEN.DATA_WIDTH_GEN[12].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(72), O => \s_axi_rdata_i_reg[19]\ ); \GEN.DATA_WIDTH_GEN[13].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(71), O => \s_axi_rdata_i_reg[18]\ ); \GEN.DATA_WIDTH_GEN[14].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(70), O => \s_axi_rdata_i_reg[17]\ ); \GEN.DATA_WIDTH_GEN[15].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(69), O => \s_axi_rdata_i_reg[16]\ ); \GEN.DATA_WIDTH_GEN[16].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(68), O => \s_axi_rdata_i_reg[15]\ ); \GEN.DATA_WIDTH_GEN[17].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(67), O => \s_axi_rdata_i_reg[14]\ ); \GEN.DATA_WIDTH_GEN[18].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(66), O => \s_axi_rdata_i_reg[13]\ ); \GEN.DATA_WIDTH_GEN[19].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(65), O => \s_axi_rdata_i_reg[12]\ ); \GEN.DATA_WIDTH_GEN[1].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(83), O => \s_axi_rdata_i_reg[30]\ ); \GEN.DATA_WIDTH_GEN[20].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0777FFFF" ) port map ( I0 => read_Mux_In(64), I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(87), I3 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I4 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \s_axi_rdata_i_reg[11]\ ); \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \s_axi_rdata_i_reg[10]\ ); \GEN.DATA_WIDTH_GEN[2].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(82), O => \s_axi_rdata_i_reg[29]\ ); \GEN.DATA_WIDTH_GEN[3].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(81), O => \s_axi_rdata_i_reg[28]\ ); \GEN.DATA_WIDTH_GEN[4].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(80), O => \s_axi_rdata_i_reg[27]\ ); \GEN.DATA_WIDTH_GEN[5].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(79), O => \s_axi_rdata_i_reg[26]\ ); \GEN.DATA_WIDTH_GEN[6].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(78), O => \s_axi_rdata_i_reg[25]\ ); \GEN.DATA_WIDTH_GEN[7].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(77), O => \s_axi_rdata_i_reg[24]\ ); \GEN.DATA_WIDTH_GEN[8].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(76), O => \s_axi_rdata_i_reg[23]\ ); \GEN.DATA_WIDTH_GEN[9].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"7F" ) port map ( I0 => \^tcsr0_generate[23].tcsr0_ff_i\, I1 => \^load_reg_gen[31].load_reg_i\, I2 => read_Mux_In(75), O => \s_axi_rdata_i_reg[22]\ ); \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_7, Q => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"1000" ) port map ( I0 => \bus2ip_addr_i_reg[4]\(2), I1 => \bus2ip_addr_i_reg[4]\(1), I2 => Q, I3 => \bus2ip_addr_i_reg[4]\(0), O => ce_expnd_i_6 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_6, Q => \^load_reg_gen[31].load_reg_i\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_5, Q => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_3, Q => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_2, Q => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_1, Q => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\, R => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[7].ce_out_i[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"EF" ) port map ( I0 => \^s_axi_wready\, I1 => \^s_axi_arready\, I2 => s_axi_aresetn, O => cs_ce_clr ); \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => Q, D => ce_expnd_i_0, Q => \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg\, R => cs_ce_clr ); \LOAD_REG_GEN[0].LOAD_REG_I_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(31), I1 => read_Mux_In(31), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => D_0 ); \LOAD_REG_GEN[0].LOAD_REG_I_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(31), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(63), O => D_1 ); \LOAD_REG_GEN[0].LOAD_REG_I_i_7\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \bus2ip_wrce__0\(0) ); \LOAD_REG_GEN[10].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(21), I1 => read_Mux_In(21), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[10].LOAD_REG_I\ ); \LOAD_REG_GEN[10].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(21), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(53), O => \LOAD_REG_GEN[10].LOAD_REG_I_0\ ); \LOAD_REG_GEN[11].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(20), I1 => read_Mux_In(20), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[11].LOAD_REG_I\ ); \LOAD_REG_GEN[11].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(20), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(52), O => \LOAD_REG_GEN[11].LOAD_REG_I_0\ ); \LOAD_REG_GEN[12].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(19), I1 => read_Mux_In(19), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[12].LOAD_REG_I\ ); \LOAD_REG_GEN[12].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(19), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(51), O => \LOAD_REG_GEN[12].LOAD_REG_I_0\ ); \LOAD_REG_GEN[13].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(18), I1 => read_Mux_In(18), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[13].LOAD_REG_I\ ); \LOAD_REG_GEN[13].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(18), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(50), O => \LOAD_REG_GEN[13].LOAD_REG_I_0\ ); \LOAD_REG_GEN[14].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(17), I1 => read_Mux_In(17), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[14].LOAD_REG_I\ ); \LOAD_REG_GEN[14].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(17), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(49), O => \LOAD_REG_GEN[14].LOAD_REG_I_0\ ); \LOAD_REG_GEN[15].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(16), I1 => read_Mux_In(16), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[15].LOAD_REG_I\ ); \LOAD_REG_GEN[15].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(16), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(48), O => \LOAD_REG_GEN[15].LOAD_REG_I_0\ ); \LOAD_REG_GEN[16].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(15), I1 => read_Mux_In(15), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[16].LOAD_REG_I\ ); \LOAD_REG_GEN[16].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(15), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(47), O => \LOAD_REG_GEN[16].LOAD_REG_I_0\ ); \LOAD_REG_GEN[17].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(14), I1 => read_Mux_In(14), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[17].LOAD_REG_I\ ); \LOAD_REG_GEN[17].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(14), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(46), O => \LOAD_REG_GEN[17].LOAD_REG_I_0\ ); \LOAD_REG_GEN[18].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(13), I1 => read_Mux_In(13), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[18].LOAD_REG_I\ ); \LOAD_REG_GEN[18].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(13), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(45), O => \LOAD_REG_GEN[18].LOAD_REG_I_0\ ); \LOAD_REG_GEN[19].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(12), I1 => read_Mux_In(12), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[19].LOAD_REG_I\ ); \LOAD_REG_GEN[19].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(12), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(44), O => \LOAD_REG_GEN[19].LOAD_REG_I_0\ ); \LOAD_REG_GEN[1].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(30), I1 => read_Mux_In(30), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[1].LOAD_REG_I\ ); \LOAD_REG_GEN[1].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(30), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(62), O => \LOAD_REG_GEN[1].LOAD_REG_I_0\ ); \LOAD_REG_GEN[20].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(11), I1 => read_Mux_In(11), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[20].LOAD_REG_I\ ); \LOAD_REG_GEN[20].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(11), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(43), O => \LOAD_REG_GEN[20].LOAD_REG_I_0\ ); \LOAD_REG_GEN[21].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(10), I1 => read_Mux_In(10), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[21].LOAD_REG_I\ ); \LOAD_REG_GEN[21].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(10), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(42), O => \LOAD_REG_GEN[21].LOAD_REG_I_0\ ); \LOAD_REG_GEN[22].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(9), I1 => read_Mux_In(9), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[22].LOAD_REG_I\ ); \LOAD_REG_GEN[22].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(9), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(41), O => \LOAD_REG_GEN[22].LOAD_REG_I_0\ ); \LOAD_REG_GEN[23].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(8), I1 => read_Mux_In(8), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[23].LOAD_REG_I\ ); \LOAD_REG_GEN[23].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(8), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(40), O => \LOAD_REG_GEN[23].LOAD_REG_I_0\ ); \LOAD_REG_GEN[24].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(7), I1 => read_Mux_In(7), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[24].LOAD_REG_I\ ); \LOAD_REG_GEN[24].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(7), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(39), O => \LOAD_REG_GEN[24].LOAD_REG_I_0\ ); \LOAD_REG_GEN[25].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(6), I1 => read_Mux_In(6), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[25].LOAD_REG_I\ ); \LOAD_REG_GEN[25].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(6), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(38), O => \LOAD_REG_GEN[25].LOAD_REG_I_0\ ); \LOAD_REG_GEN[26].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(5), I1 => read_Mux_In(5), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[26].LOAD_REG_I\ ); \LOAD_REG_GEN[26].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(5), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(37), O => \LOAD_REG_GEN[26].LOAD_REG_I_0\ ); \LOAD_REG_GEN[27].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(4), I1 => read_Mux_In(4), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[27].LOAD_REG_I\ ); \LOAD_REG_GEN[27].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(4), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(36), O => \LOAD_REG_GEN[27].LOAD_REG_I_0\ ); \LOAD_REG_GEN[28].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(3), I1 => read_Mux_In(3), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[28].LOAD_REG_I\ ); \LOAD_REG_GEN[28].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(3), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(35), O => \LOAD_REG_GEN[28].LOAD_REG_I_0\ ); \LOAD_REG_GEN[29].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(2), I1 => read_Mux_In(2), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[29].LOAD_REG_I\ ); \LOAD_REG_GEN[29].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(2), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(34), O => \LOAD_REG_GEN[29].LOAD_REG_I_0\ ); \LOAD_REG_GEN[2].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(29), I1 => read_Mux_In(29), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[2].LOAD_REG_I\ ); \LOAD_REG_GEN[2].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(29), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(61), O => \LOAD_REG_GEN[2].LOAD_REG_I_0\ ); \LOAD_REG_GEN[30].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(1), I1 => read_Mux_In(1), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[30].LOAD_REG_I\ ); \LOAD_REG_GEN[30].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(1), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(33), O => \LOAD_REG_GEN[30].LOAD_REG_I_0\ ); \LOAD_REG_GEN[31].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(0), I1 => read_Mux_In(0), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[31].LOAD_REG_I_0\ ); \LOAD_REG_GEN[31].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(0), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(32), O => \LOAD_REG_GEN[31].LOAD_REG_I_1\ ); \LOAD_REG_GEN[3].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(28), I1 => read_Mux_In(28), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[3].LOAD_REG_I\ ); \LOAD_REG_GEN[3].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(28), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(60), O => \LOAD_REG_GEN[3].LOAD_REG_I_0\ ); \LOAD_REG_GEN[4].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(27), I1 => read_Mux_In(27), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[4].LOAD_REG_I\ ); \LOAD_REG_GEN[4].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(27), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(59), O => \LOAD_REG_GEN[4].LOAD_REG_I_0\ ); \LOAD_REG_GEN[5].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(26), I1 => read_Mux_In(26), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[5].LOAD_REG_I\ ); \LOAD_REG_GEN[5].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(26), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(58), O => \LOAD_REG_GEN[5].LOAD_REG_I_0\ ); \LOAD_REG_GEN[6].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(25), I1 => read_Mux_In(25), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[6].LOAD_REG_I\ ); \LOAD_REG_GEN[6].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(25), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(57), O => \LOAD_REG_GEN[6].LOAD_REG_I_0\ ); \LOAD_REG_GEN[7].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(24), I1 => read_Mux_In(24), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[7].LOAD_REG_I\ ); \LOAD_REG_GEN[7].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(24), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(56), O => \LOAD_REG_GEN[7].LOAD_REG_I_0\ ); \LOAD_REG_GEN[8].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(23), I1 => read_Mux_In(23), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[8].LOAD_REG_I\ ); \LOAD_REG_GEN[8].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(23), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(55), O => \LOAD_REG_GEN[8].LOAD_REG_I_0\ ); \LOAD_REG_GEN[9].LOAD_REG_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"CCAC" ) port map ( I0 => s_axi_wdata(22), I1 => read_Mux_In(22), I2 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \LOAD_REG_GEN[9].LOAD_REG_I\ ); \LOAD_REG_GEN[9].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FB08" ) port map ( I0 => s_axi_wdata(22), I1 => \^load_reg_gen[31].load_reg_i\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => read_Mux_In(54), O => \LOAD_REG_GEN[9].LOAD_REG_I_0\ ); \MEM_DECODE_GEN[0].PER_CE_GEN[0].MULTIPLE_CES_THIS_CS_GEN.CE_I\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f port map ( Q => Q, \bus2ip_addr_i_reg[4]\(2 downto 0) => \bus2ip_addr_i_reg[4]\(2 downto 0), ce_expnd_i_7 => ce_expnd_i_7 ); \MEM_DECODE_GEN[0].PER_CE_GEN[2].MULTIPLE_CES_THIS_CS_GEN.CE_I\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized1\ port map ( Q => Q, \bus2ip_addr_i_reg[4]\(2 downto 0) => \bus2ip_addr_i_reg[4]\(2 downto 0), ce_expnd_i_5 => ce_expnd_i_5 ); \MEM_DECODE_GEN[0].PER_CE_GEN[4].MULTIPLE_CES_THIS_CS_GEN.CE_I\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized3\ port map ( Q => Q, \bus2ip_addr_i_reg[4]\(2 downto 0) => \bus2ip_addr_i_reg[4]\(2 downto 0), ce_expnd_i_3 => ce_expnd_i_3 ); \MEM_DECODE_GEN[0].PER_CE_GEN[5].MULTIPLE_CES_THIS_CS_GEN.CE_I\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized4\ port map ( Q => Q, \bus2ip_addr_i_reg[4]\(2 downto 0) => \bus2ip_addr_i_reg[4]\(2 downto 0), ce_expnd_i_2 => ce_expnd_i_2 ); \MEM_DECODE_GEN[0].PER_CE_GEN[6].MULTIPLE_CES_THIS_CS_GEN.CE_I\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized5\ port map ( Q => Q, \bus2ip_addr_i_reg[4]\(2 downto 0) => \bus2ip_addr_i_reg[4]\(2 downto 0), ce_expnd_i_1 => ce_expnd_i_1 ); \MEM_DECODE_GEN[0].PER_CE_GEN[7].MULTIPLE_CES_THIS_CS_GEN.CE_I\: entity work.\decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_pselect_f__parameterized6\ port map ( Q => Q, \bus2ip_addr_i_reg[4]\(2 downto 0) => \bus2ip_addr_i_reg[4]\(2 downto 0), ce_expnd_i_0 => ce_expnd_i_0 ); READ_DONE0_I_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^load_reg_gen[31].load_reg_i\, I1 => D_2, O => READ_DONE0_I ); READ_DONE1_I_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => read_done1, O => READ_DONE1_I ); \TCSR0_GENERATE[20].TCSR0_FF_I_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => bus2ip_wrce(1) ); \TCSR0_GENERATE[21].TCSR0_FF_I_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"32" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, O => pair0_Select ); \TCSR0_GENERATE[23].TCSR0_FF_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, I2 => s_axi_wdata(8), I3 => s_axi_aresetn, O => \TCSR0_GENERATE[23].TCSR0_FF_I_0\ ); \TCSR0_GENERATE[24].TCSR0_FF_I_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFEEEAEE" ) port map ( I0 => s_axi_wdata(10), I1 => read_Mux_In(86), I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I4 => s_axi_wdata(7), O => \TCSR0_GENERATE[24].TCSR0_FF_I\ ); \TCSR1_GENERATE[22].TCSR1_FF_I_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => bus2ip_wrce(0) ); \TCSR1_GENERATE[23].TCSR1_FF_I_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, I2 => s_axi_wdata(8), I3 => s_axi_aresetn, O => \TCSR1_GENERATE[23].TCSR1_FF_I\ ); \TCSR1_GENERATE[24].TCSR1_FF_I_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"EFEEEAEE" ) port map ( I0 => s_axi_wdata(10), I1 => read_Mux_In(85), I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I4 => s_axi_wdata(7), O => \TCSR1_GENERATE[24].TCSR1_FF_I\ ); s_axi_arready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFEFFFEFFFEFF" ) port map ( I0 => \^s_axi_rvalid_i_reg\, I1 => \^s_axi_rvalid_i_reg_0\, I2 => \^s_axi_rvalid_i_reg_1\, I3 => s_axi_arready_INST_0_i_4_n_0, I4 => is_read, I5 => \eqOp__4\, O => \^s_axi_arready\ ); s_axi_arready_INST_0_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^load_reg_gen[31].load_reg_i\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \^s_axi_rvalid_i_reg\ ); s_axi_arready_INST_0_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \^s_axi_rvalid_i_reg_0\ ); s_axi_arready_INST_0_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, I1 => \^tcsr0_generate[23].tcsr0_ff_i\, O => \^s_axi_rvalid_i_reg_1\ ); s_axi_arready_INST_0_i_4: unisim.vcomponents.LUT5 generic map( INIT => X"00FF01FF" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, I4 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, O => s_axi_arready_INST_0_i_4_n_0 ); s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_wready\, I1 => \state_reg[1]\(1), I2 => \state_reg[1]\(0), I3 => s_axi_bready, I4 => s_axi_bvalid_i_reg_0, O => s_axi_bvalid_i_reg ); s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_arready\, I1 => \state_reg[1]\(0), I2 => \state_reg[1]\(1), I3 => s_axi_rready, I4 => s_axi_rvalid_i_reg_3, O => s_axi_rvalid_i_reg_2 ); s_axi_wready_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"F777" ) port map ( I0 => s_axi_wready_INST_0_i_1_n_0, I1 => s_axi_wready_INST_0_i_2_n_0, I2 => is_write_reg, I3 => \eqOp__4\, O => \^s_axi_wready\ ); s_axi_wready_INST_0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F0F1" ) port map ( I0 => \^load_reg_gen[31].load_reg_i\, I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I2 => \^tcsr0_generate[23].tcsr0_ff_i\, I3 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, O => s_axi_wready_INST_0_i_1_n_0 ); s_axi_wready_INST_0_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"FF00FF01" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\, I2 => \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg\, I3 => \^tcsr0_generate[23].tcsr0_ff_i\, I4 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, O => s_axi_wready_INST_0_i_2_n_0 ); s_axi_wready_INST_0_i_3: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000100" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(4), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(2), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(3), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(5), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(0), I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(1), O => \eqOp__4\ ); \state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"77FC44FC" ) port map ( I0 => \state1__2\, I1 => \state_reg[1]\(0), I2 => s_axi_arvalid, I3 => \state_reg[1]\(1), I4 => \^s_axi_wready\, O => D(0) ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"5FFC50FC" ) port map ( I0 => \state1__2\, I1 => s_axi_arvalid_0, I2 => \state_reg[1]\(1), I3 => \state_reg[1]\(0), I4 => \^s_axi_arready\, O => D(1) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module is port ( \INFERRED_GEN.icount_out_reg[31]\ : out STD_LOGIC_VECTOR ( 52 downto 0 ); read_Mux_In : out STD_LOGIC_VECTOR ( 10 downto 0 ); generateOutPre0_reg : out STD_LOGIC; counter_TC : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn_0 : in STD_LOGIC; \TCSR0_GENERATE[27].TCSR0_FF_I\ : in STD_LOGIC; D_1 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_2\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_3\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_4\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_5\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_6\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_7\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_8\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_9\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_10\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_11\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_12\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_13\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_14\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_15\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_16\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_17\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_18\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_19\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_20\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_21\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_22\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_23\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_24\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_25\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_26\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_27\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_28\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_29\ : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 0 to 0 ); load_Counter_Reg : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module is signal \^inferred_gen.icount_out_reg[31]\ : STD_LOGIC_VECTOR ( 52 downto 0 ); signal \^read_mux_in\ : STD_LOGIC_VECTOR ( 10 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \LOAD_REG_GEN[0].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[10].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[11].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[12].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[13].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[14].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[15].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[16].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[17].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[18].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[19].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[1].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[20].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[21].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[22].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[23].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[24].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[25].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[26].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[27].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[28].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[29].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[2].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[30].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[31].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[3].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[4].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[5].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[6].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[7].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[8].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[9].LOAD_REG_I\ : label is "PRIMITIVE"; begin \INFERRED_GEN.icount_out_reg[31]\(52 downto 0) <= \^inferred_gen.icount_out_reg[31]\(52 downto 0); read_Mux_In(10 downto 0) <= \^read_mux_in\(10 downto 0); COUNTER_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f_3 port map ( E(0) => E(0), \LOAD_REG_GEN[0].LOAD_REG_I\(31 downto 0) => \^inferred_gen.icount_out_reg[31]\(31 downto 0), \LOAD_REG_GEN[0].LOAD_REG_I_0\(20 downto 0) => \^inferred_gen.icount_out_reg[31]\(52 downto 32), Q(0) => Q(0), S(0) => S(0), counter_TC(0) => counter_TC(0), generateOutPre0_reg => generateOutPre0_reg, load_Counter_Reg(0) => load_Counter_Reg(0), read_Mux_In(10 downto 0) => \^read_mux_in\(10 downto 0), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_aresetn_0 => s_axi_aresetn_0 ); \LOAD_REG_GEN[0].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => D_1, Q => \^inferred_gen.icount_out_reg[31]\(52), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[10].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_8\, Q => \^inferred_gen.icount_out_reg[31]\(42), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[11].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_9\, Q => \^inferred_gen.icount_out_reg[31]\(41), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[12].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_10\, Q => \^inferred_gen.icount_out_reg[31]\(40), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[13].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_11\, Q => \^inferred_gen.icount_out_reg[31]\(39), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[14].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_12\, Q => \^inferred_gen.icount_out_reg[31]\(38), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[15].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_13\, Q => \^inferred_gen.icount_out_reg[31]\(37), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[16].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_14\, Q => \^inferred_gen.icount_out_reg[31]\(36), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[17].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_15\, Q => \^inferred_gen.icount_out_reg[31]\(35), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[18].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_16\, Q => \^inferred_gen.icount_out_reg[31]\(34), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[19].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_17\, Q => \^inferred_gen.icount_out_reg[31]\(33), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[1].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, Q => \^inferred_gen.icount_out_reg[31]\(51), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[20].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_18\, Q => \^inferred_gen.icount_out_reg[31]\(32), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[21].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_19\, Q => \^read_mux_in\(10), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[22].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_20\, Q => \^read_mux_in\(9), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[23].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_21\, Q => \^read_mux_in\(8), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[24].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_22\, Q => \^read_mux_in\(7), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[25].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_23\, Q => \^read_mux_in\(6), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[26].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_24\, Q => \^read_mux_in\(5), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[27].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_25\, Q => \^read_mux_in\(4), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[28].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_26\, Q => \^read_mux_in\(3), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[29].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_27\, Q => \^read_mux_in\(2), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[2].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, Q => \^inferred_gen.icount_out_reg[31]\(50), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[30].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_28\, Q => \^read_mux_in\(1), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[31].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_29\, Q => \^read_mux_in\(0), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[3].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\, Q => \^inferred_gen.icount_out_reg[31]\(49), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[4].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_2\, Q => \^inferred_gen.icount_out_reg[31]\(48), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[5].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_3\, Q => \^inferred_gen.icount_out_reg[31]\(47), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[6].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_4\, Q => \^inferred_gen.icount_out_reg[31]\(46), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[7].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_5\, Q => \^inferred_gen.icount_out_reg[31]\(45), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[8].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_6\, Q => \^inferred_gen.icount_out_reg[31]\(44), R => s_axi_aresetn_0 ); \LOAD_REG_GEN[9].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[27].TCSR0_FF_I\, D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_7\, Q => \^inferred_gen.icount_out_reg[31]\(43), R => s_axi_aresetn_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module_0 is port ( \INFERRED_GEN.icount_out_reg[31]\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 31 downto 0 ); \s_axi_rdata_i_reg[0]\ : out STD_LOGIC; \s_axi_rdata_i_reg[1]\ : out STD_LOGIC; \s_axi_rdata_i_reg[2]\ : out STD_LOGIC; \s_axi_rdata_i_reg[3]\ : out STD_LOGIC; \s_axi_rdata_i_reg[4]\ : out STD_LOGIC; \s_axi_rdata_i_reg[5]\ : out STD_LOGIC; \s_axi_rdata_i_reg[6]\ : out STD_LOGIC; \s_axi_rdata_i_reg[7]\ : out STD_LOGIC; \s_axi_rdata_i_reg[8]\ : out STD_LOGIC; \s_axi_rdata_i_reg[9]\ : out STD_LOGIC; \s_axi_rdata_i_reg[10]\ : out STD_LOGIC; \s_axi_rdata_i_reg[11]\ : out STD_LOGIC; \s_axi_rdata_i_reg[12]\ : out STD_LOGIC; \s_axi_rdata_i_reg[13]\ : out STD_LOGIC; \s_axi_rdata_i_reg[14]\ : out STD_LOGIC; \s_axi_rdata_i_reg[15]\ : out STD_LOGIC; \s_axi_rdata_i_reg[16]\ : out STD_LOGIC; \s_axi_rdata_i_reg[17]\ : out STD_LOGIC; \s_axi_rdata_i_reg[18]\ : out STD_LOGIC; \s_axi_rdata_i_reg[19]\ : out STD_LOGIC; \s_axi_rdata_i_reg[20]\ : out STD_LOGIC; \s_axi_rdata_i_reg[21]\ : out STD_LOGIC; \s_axi_rdata_i_reg[22]\ : out STD_LOGIC; \s_axi_rdata_i_reg[23]\ : out STD_LOGIC; \s_axi_rdata_i_reg[24]\ : out STD_LOGIC; \s_axi_rdata_i_reg[25]\ : out STD_LOGIC; \s_axi_rdata_i_reg[26]\ : out STD_LOGIC; \s_axi_rdata_i_reg[27]\ : out STD_LOGIC; \s_axi_rdata_i_reg[28]\ : out STD_LOGIC; \s_axi_rdata_i_reg[29]\ : out STD_LOGIC; \s_axi_rdata_i_reg[30]\ : out STD_LOGIC; \s_axi_rdata_i_reg[31]\ : out STD_LOGIC; generateOutPre1_reg : out STD_LOGIC; counter_TC : out STD_LOGIC_VECTOR ( 0 to 0 ); \TCSR0_GENERATE[20].TCSR0_FF_I\ : in STD_LOGIC; D_2 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[30]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[29]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[28]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[27]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[26]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[25]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[24]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[23]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[22]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[21]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[20]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[19]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[18]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[17]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[16]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[15]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[14]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[13]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[12]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[11]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[10]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[9]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[8]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[7]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[6]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[5]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[4]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[3]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[2]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[1]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[0]\ : in STD_LOGIC; S : in STD_LOGIC_VECTOR ( 0 to 0 ); load_Counter_Reg : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[31]_0\ : in STD_LOGIC_VECTOR ( 31 downto 0 ); \counter_TC_Reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module_0 : entity is "count_module"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module_0; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module_0 is signal \^inferred_gen.icount_out_reg[31]\ : STD_LOGIC; signal read_Mux_In : STD_LOGIC_VECTOR ( 96 to 127 ); attribute BOX_TYPE : string; attribute BOX_TYPE of \LOAD_REG_GEN[0].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[10].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[11].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[12].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[13].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[14].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[15].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[16].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[17].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[18].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[19].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[1].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[20].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[21].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[22].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[23].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[24].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[25].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[26].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[27].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[28].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[29].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[2].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[30].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[31].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[3].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[4].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[5].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[6].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[7].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[8].LOAD_REG_I\ : label is "PRIMITIVE"; attribute BOX_TYPE of \LOAD_REG_GEN[9].LOAD_REG_I\ : label is "PRIMITIVE"; begin \INFERRED_GEN.icount_out_reg[31]\ <= \^inferred_gen.icount_out_reg[31]\; COUNTER_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_counter_f port map ( E(0) => E(0), \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\ => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, \INFERRED_GEN.icount_out_reg[31]_0\(31 downto 0) => \INFERRED_GEN.icount_out_reg[31]_0\(31 downto 0), Q(31 downto 0) => Q(31 downto 0), S(0) => S(0), SR(0) => \^inferred_gen.icount_out_reg[31]\, counter_TC(0) => counter_TC(0), \counter_TC_Reg_reg[1]\(0) => \counter_TC_Reg_reg[1]\(0), generateOutPre1_reg => generateOutPre1_reg, load_Counter_Reg(0) => load_Counter_Reg(0), read_Mux_In(31) => read_Mux_In(96), read_Mux_In(30) => read_Mux_In(97), read_Mux_In(29) => read_Mux_In(98), read_Mux_In(28) => read_Mux_In(99), read_Mux_In(27) => read_Mux_In(100), read_Mux_In(26) => read_Mux_In(101), read_Mux_In(25) => read_Mux_In(102), read_Mux_In(24) => read_Mux_In(103), read_Mux_In(23) => read_Mux_In(104), read_Mux_In(22) => read_Mux_In(105), read_Mux_In(21) => read_Mux_In(106), read_Mux_In(20) => read_Mux_In(107), read_Mux_In(19) => read_Mux_In(108), read_Mux_In(18) => read_Mux_In(109), read_Mux_In(17) => read_Mux_In(110), read_Mux_In(16) => read_Mux_In(111), read_Mux_In(15) => read_Mux_In(112), read_Mux_In(14) => read_Mux_In(113), read_Mux_In(13) => read_Mux_In(114), read_Mux_In(12) => read_Mux_In(115), read_Mux_In(11) => read_Mux_In(116), read_Mux_In(10) => read_Mux_In(117), read_Mux_In(9) => read_Mux_In(118), read_Mux_In(8) => read_Mux_In(119), read_Mux_In(7) => read_Mux_In(120), read_Mux_In(6) => read_Mux_In(121), read_Mux_In(5) => read_Mux_In(122), read_Mux_In(4) => read_Mux_In(123), read_Mux_In(3) => read_Mux_In(124), read_Mux_In(2) => read_Mux_In(125), read_Mux_In(1) => read_Mux_In(126), read_Mux_In(0) => read_Mux_In(127), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, \s_axi_rdata_i_reg[0]\ => \s_axi_rdata_i_reg[0]\, \s_axi_rdata_i_reg[10]\ => \s_axi_rdata_i_reg[10]\, \s_axi_rdata_i_reg[11]\ => \s_axi_rdata_i_reg[11]\, \s_axi_rdata_i_reg[12]\ => \s_axi_rdata_i_reg[12]\, \s_axi_rdata_i_reg[13]\ => \s_axi_rdata_i_reg[13]\, \s_axi_rdata_i_reg[14]\ => \s_axi_rdata_i_reg[14]\, \s_axi_rdata_i_reg[15]\ => \s_axi_rdata_i_reg[15]\, \s_axi_rdata_i_reg[16]\ => \s_axi_rdata_i_reg[16]\, \s_axi_rdata_i_reg[17]\ => \s_axi_rdata_i_reg[17]\, \s_axi_rdata_i_reg[18]\ => \s_axi_rdata_i_reg[18]\, \s_axi_rdata_i_reg[19]\ => \s_axi_rdata_i_reg[19]\, \s_axi_rdata_i_reg[1]\ => \s_axi_rdata_i_reg[1]\, \s_axi_rdata_i_reg[20]\ => \s_axi_rdata_i_reg[20]\, \s_axi_rdata_i_reg[21]\ => \s_axi_rdata_i_reg[21]\, \s_axi_rdata_i_reg[22]\ => \s_axi_rdata_i_reg[22]\, \s_axi_rdata_i_reg[23]\ => \s_axi_rdata_i_reg[23]\, \s_axi_rdata_i_reg[24]\ => \s_axi_rdata_i_reg[24]\, \s_axi_rdata_i_reg[25]\ => \s_axi_rdata_i_reg[25]\, \s_axi_rdata_i_reg[26]\ => \s_axi_rdata_i_reg[26]\, \s_axi_rdata_i_reg[27]\ => \s_axi_rdata_i_reg[27]\, \s_axi_rdata_i_reg[28]\ => \s_axi_rdata_i_reg[28]\, \s_axi_rdata_i_reg[29]\ => \s_axi_rdata_i_reg[29]\, \s_axi_rdata_i_reg[2]\ => \s_axi_rdata_i_reg[2]\, \s_axi_rdata_i_reg[30]\ => \s_axi_rdata_i_reg[30]\, \s_axi_rdata_i_reg[31]\ => \s_axi_rdata_i_reg[31]\, \s_axi_rdata_i_reg[3]\ => \s_axi_rdata_i_reg[3]\, \s_axi_rdata_i_reg[4]\ => \s_axi_rdata_i_reg[4]\, \s_axi_rdata_i_reg[5]\ => \s_axi_rdata_i_reg[5]\, \s_axi_rdata_i_reg[6]\ => \s_axi_rdata_i_reg[6]\, \s_axi_rdata_i_reg[7]\ => \s_axi_rdata_i_reg[7]\, \s_axi_rdata_i_reg[8]\ => \s_axi_rdata_i_reg[8]\, \s_axi_rdata_i_reg[9]\ => \s_axi_rdata_i_reg[9]\ ); \LOAD_REG_GEN[0].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => D_2, Q => read_Mux_In(96), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[10].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[21]\, Q => read_Mux_In(106), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[11].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[20]\, Q => read_Mux_In(107), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[12].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[19]\, Q => read_Mux_In(108), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[13].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[18]\, Q => read_Mux_In(109), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[14].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[17]\, Q => read_Mux_In(110), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[15].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[16]\, Q => read_Mux_In(111), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[16].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[15]\, Q => read_Mux_In(112), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[17].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[14]\, Q => read_Mux_In(113), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[18].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[13]\, Q => read_Mux_In(114), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[19].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[12]\, Q => read_Mux_In(115), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[1].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[30]\, Q => read_Mux_In(97), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[20].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[11]\, Q => read_Mux_In(116), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[21].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[10]\, Q => read_Mux_In(117), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[22].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[9]\, Q => read_Mux_In(118), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[23].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[8]\, Q => read_Mux_In(119), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[24].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[7]\, Q => read_Mux_In(120), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[25].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[6]\, Q => read_Mux_In(121), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[26].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[5]\, Q => read_Mux_In(122), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[27].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[4]\, Q => read_Mux_In(123), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[28].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[3]\, Q => read_Mux_In(124), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[29].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[2]\, Q => read_Mux_In(125), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[2].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[29]\, Q => read_Mux_In(98), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[30].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[1]\, Q => read_Mux_In(126), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[31].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[0]\, Q => read_Mux_In(127), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[3].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[28]\, Q => read_Mux_In(99), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[4].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[27]\, Q => read_Mux_In(100), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[5].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[26]\, Q => read_Mux_In(101), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[6].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[25]\, Q => read_Mux_In(102), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[7].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[24]\, Q => read_Mux_In(103), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[8].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[23]\, Q => read_Mux_In(104), R => \^inferred_gen.icount_out_reg[31]\ ); \LOAD_REG_GEN[9].LOAD_REG_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => \TCSR0_GENERATE[20].TCSR0_FF_I\, D => \INFERRED_GEN.icount_out_reg[22]\, Q => read_Mux_In(105), R => \^inferred_gen.icount_out_reg[31]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_timer_control is port ( generateout0 : out STD_LOGIC; generateout1 : out STD_LOGIC; interrupt : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 1 downto 0 ); \INFERRED_GEN.icount_out_reg[0]\ : out STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I_0\ : out STD_LOGIC; \TCSR1_GENERATE[23].TCSR1_FF_I_0\ : out STD_LOGIC; D_0 : out STD_LOGIC; read_done1 : out STD_LOGIC; load_Counter_Reg : out STD_LOGIC_VECTOR ( 0 to 1 ); \s_axi_rdata_i_reg[0]\ : out STD_LOGIC; \s_axi_rdata_i_reg[1]\ : out STD_LOGIC; \s_axi_rdata_i_reg[2]\ : out STD_LOGIC; \s_axi_rdata_i_reg[3]\ : out STD_LOGIC; \s_axi_rdata_i_reg[4]\ : out STD_LOGIC; \s_axi_rdata_i_reg[5]\ : out STD_LOGIC; \s_axi_rdata_i_reg[6]\ : out STD_LOGIC; \s_axi_rdata_i_reg[7]\ : out STD_LOGIC; \s_axi_rdata_i_reg[8]\ : out STD_LOGIC; \s_axi_rdata_i_reg[9]\ : out STD_LOGIC; \s_axi_rdata_i_reg[10]\ : out STD_LOGIC; R : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \INFERRED_GEN.icount_out_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); PWM_FF_I : out STD_LOGIC; S : out STD_LOGIC_VECTOR ( 0 to 0 ); \LOAD_REG_GEN[24].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I_0\ : out STD_LOGIC; \INFERRED_GEN.icount_out_reg[4]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); \INFERRED_GEN.icount_out_reg[32]\ : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[32]_0\ : in STD_LOGIC; bus2ip_wrce : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 9 downto 0 ); \LOAD_REG_GEN[21].LOAD_REG_I\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); pair0_Select : in STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I_1\ : in STD_LOGIC; \TCSR1_GENERATE[24].TCSR1_FF_I_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : in STD_LOGIC; counter_TC : in STD_LOGIC_VECTOR ( 0 to 1 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : in STD_LOGIC; pwm0 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); Bus_RNW_reg : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : in STD_LOGIC; \bus2ip_wrce__0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); freeze : in STD_LOGIC; capturetrig0 : in STD_LOGIC; capturetrig1 : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_timer_control; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_timer_control is signal \^d_0\ : STD_LOGIC; signal GenerateOut00 : STD_LOGIC; signal GenerateOut10 : STD_LOGIC; signal \^inferred_gen.icount_out_reg[0]\ : STD_LOGIC; signal Interrupt0 : STD_LOGIC; signal \LOAD_REG_GEN[0].LOAD_REG_I_i_3_n_0\ : STD_LOGIC; signal \LOAD_REG_GEN[0].LOAD_REG_I_i_5_n_0\ : STD_LOGIC; signal Load_Counter_Reg028_out : STD_LOGIC; signal Load_Counter_Reg030_out : STD_LOGIC; signal Load_Counter_Reg031_out : STD_LOGIC; signal \Load_Counter_Reg0__0\ : STD_LOGIC; signal \^q\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal READ_DONE0_I_i_3_n_0 : STD_LOGIC; signal READ_DONE1_I_i_1_n_0 : STD_LOGIC; signal READ_DONE1_I_i_3_n_0 : STD_LOGIC; signal R_0 : STD_LOGIC; signal \TCSR0_GENERATE[23].TCSR0_FF_I_i_2_n_0\ : STD_LOGIC; signal \^tcsr0_generate[24].tcsr0_ff_i_0\ : STD_LOGIC; signal \TCSR0_Set2__0\ : STD_LOGIC; signal \^tcsr1_generate[23].tcsr1_ff_i_0\ : STD_LOGIC; signal \TCSR1_GENERATE[23].TCSR1_FF_I_i_2_n_0\ : STD_LOGIC; signal captureTrig0_d : STD_LOGIC; signal captureTrig0_d0 : STD_LOGIC; signal captureTrig0_d2 : STD_LOGIC; signal captureTrig0_pulse_d1 : STD_LOGIC; signal captureTrig0_pulse_d1_i_1_n_0 : STD_LOGIC; signal captureTrig0_pulse_d2 : STD_LOGIC; signal captureTrig1_d : STD_LOGIC; signal captureTrig1_d0 : STD_LOGIC; signal captureTrig1_d2 : STD_LOGIC; signal counter_TC_Reg2 : STD_LOGIC; signal generateOutPre0 : STD_LOGIC; signal generateOutPre1 : STD_LOGIC; signal \^generateout0\ : STD_LOGIC; signal \^generateout1\ : STD_LOGIC; signal p_33_in : STD_LOGIC; signal p_38_in : STD_LOGIC; signal read_Mux_In : STD_LOGIC_VECTOR ( 21 to 63 ); signal \^read_done1\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of GenerateOut0_i_2 : label is "soft_lutpair50"; attribute SOFT_HLUTNM of GenerateOut1_i_1 : label is "soft_lutpair50"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[31]_i_4\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \INFERRED_GEN.icount_out[31]_i_4__0\ : label is "soft_lutpair51"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[0].LOAD_REG_I_i_3\ : label is "soft_lutpair53"; attribute SOFT_HLUTNM of \LOAD_REG_GEN[0].LOAD_REG_I_i_5\ : label is "soft_lutpair53"; attribute BOX_TYPE : string; attribute BOX_TYPE of READ_DONE0_I : label is "PRIMITIVE"; attribute IS_CE_INVERTED : string; attribute IS_CE_INVERTED of READ_DONE0_I : label is "1'b0"; attribute IS_S_INVERTED : string; attribute IS_S_INVERTED of READ_DONE0_I : label is "1'b0"; attribute BOX_TYPE of READ_DONE1_I : label is "PRIMITIVE"; attribute IS_CE_INVERTED of READ_DONE1_I : label is "1'b0"; attribute IS_S_INVERTED of READ_DONE1_I : label is "1'b0"; attribute SOFT_HLUTNM of READ_DONE1_I_i_3 : label is "soft_lutpair52"; attribute BOX_TYPE of \TCSR0_GENERATE[20].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[20].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[20].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[21].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[21].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[21].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[22].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[22].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[22].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[23].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[23].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[23].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[24].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[24].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[24].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[25].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[25].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[25].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[26].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[26].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[26].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[27].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[27].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[27].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[28].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[28].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[28].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[29].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[29].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[29].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[30].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[30].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[30].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR0_GENERATE[31].TCSR0_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR0_GENERATE[31].TCSR0_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR0_GENERATE[31].TCSR0_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[21].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[21].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[21].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[22].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[22].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[22].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[23].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[23].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[23].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[24].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[24].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[24].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[25].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[25].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[25].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[26].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[26].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[26].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[27].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[27].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[27].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[28].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[28].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[28].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[29].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[29].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[29].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[30].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[30].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[30].TCSR1_FF_I\ : label is "1'b0"; attribute BOX_TYPE of \TCSR1_GENERATE[31].TCSR1_FF_I\ : label is "PRIMITIVE"; attribute IS_CE_INVERTED of \TCSR1_GENERATE[31].TCSR1_FF_I\ : label is "1'b0"; attribute IS_S_INVERTED of \TCSR1_GENERATE[31].TCSR1_FF_I\ : label is "1'b0"; attribute SOFT_HLUTNM of captureTrig0_pulse_d1_i_1 : label is "soft_lutpair52"; begin D_0 <= \^d_0\; \INFERRED_GEN.icount_out_reg[0]\ <= \^inferred_gen.icount_out_reg[0]\; Q(1 downto 0) <= \^q\(1 downto 0); \TCSR0_GENERATE[24].TCSR0_FF_I_0\ <= \^tcsr0_generate[24].tcsr0_ff_i_0\; \TCSR1_GENERATE[23].TCSR1_FF_I_0\ <= \^tcsr1_generate[23].tcsr1_ff_i_0\; generateout0 <= \^generateout0\; generateout1 <= \^generateout1\; read_done1 <= \^read_done1\; \GEN.DATA_WIDTH_GEN[21].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(10), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(21), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(53), O => \s_axi_rdata_i_reg[10]\ ); \GEN.DATA_WIDTH_GEN[22].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(9), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(22), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(54), O => \s_axi_rdata_i_reg[9]\ ); \GEN.DATA_WIDTH_GEN[23].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(8), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(23), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(55), O => \s_axi_rdata_i_reg[8]\ ); \GEN.DATA_WIDTH_GEN[24].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(7), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => \^tcsr0_generate[24].tcsr0_ff_i_0\, I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => \^tcsr1_generate[23].tcsr1_ff_i_0\, O => \s_axi_rdata_i_reg[7]\ ); \GEN.DATA_WIDTH_GEN[25].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(6), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(25), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(57), O => \s_axi_rdata_i_reg[6]\ ); \GEN.DATA_WIDTH_GEN[26].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(5), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(26), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(58), O => \s_axi_rdata_i_reg[5]\ ); \GEN.DATA_WIDTH_GEN[27].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(4), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(27), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(59), O => \s_axi_rdata_i_reg[4]\ ); \GEN.DATA_WIDTH_GEN[28].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(3), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(28), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(60), O => \s_axi_rdata_i_reg[3]\ ); \GEN.DATA_WIDTH_GEN[29].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(2), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(29), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(61), O => \s_axi_rdata_i_reg[2]\ ); \GEN.DATA_WIDTH_GEN[30].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(1), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(30), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(62), O => \s_axi_rdata_i_reg[1]\ ); \GEN.DATA_WIDTH_GEN[31].NUM_BUSES_GEN[0].MUXCY_GEN.MUXCY_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000077707770777" ) port map ( I0 => \LOAD_REG_GEN[21].LOAD_REG_I\(0), I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, I3 => read_Mux_In(31), I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, I5 => read_Mux_In(63), O => \s_axi_rdata_i_reg[0]\ ); GenerateOut0_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"B800" ) port map ( I0 => generateOutPre1, I1 => \^inferred_gen.icount_out_reg[0]\, I2 => generateOutPre0, I3 => read_Mux_In(29), O => GenerateOut00 ); GenerateOut0_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GenerateOut00, Q => \^generateout0\, R => SR(0) ); GenerateOut1_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"8F808080" ) port map ( I0 => generateOutPre0, I1 => read_Mux_In(29), I2 => \^inferred_gen.icount_out_reg[0]\, I3 => read_Mux_In(61), I4 => generateOutPre1, O => GenerateOut10 ); GenerateOut1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GenerateOut10, Q => \^generateout1\, R => SR(0) ); \INFERRED_GEN.icount_out[31]_i_3\: unisim.vcomponents.LUT5 generic map( INIT => X"AAFEAAAA" ) port map ( I0 => read_Mux_In(26), I1 => read_Mux_In(22), I2 => read_Mux_In(27), I3 => read_Mux_In(31), I4 => counter_TC(0), O => Load_Counter_Reg030_out ); \INFERRED_GEN.icount_out[31]_i_3__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFAAEAAAAAAAEA" ) port map ( I0 => read_Mux_In(58), I1 => counter_TC(1), I2 => read_Mux_In(59), I3 => read_Mux_In(63), I4 => read_Mux_In(54), I5 => counter_TC(0), O => \Load_Counter_Reg0__0\ ); \INFERRED_GEN.icount_out[31]_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"FF40" ) port map ( I0 => read_Mux_In(31), I1 => counter_TC(1), I2 => read_Mux_In(27), I3 => read_Mux_In(58), O => Load_Counter_Reg028_out ); \INFERRED_GEN.icount_out[31]_i_4__0\: unisim.vcomponents.LUT4 generic map( INIT => X"FF40" ) port map ( I0 => read_Mux_In(31), I1 => counter_TC(1), I2 => read_Mux_In(27), I3 => read_Mux_In(26), O => Load_Counter_Reg031_out ); \INFERRED_GEN.icount_out[31]_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40FFFFFF400000" ) port map ( I0 => read_Mux_In(31), I1 => counter_TC(1), I2 => read_Mux_In(27), I3 => read_Mux_In(58), I4 => \^inferred_gen.icount_out_reg[0]\, I5 => \Load_Counter_Reg0__0\, O => load_Counter_Reg(1) ); \INFERRED_GEN.icount_out[31]_i_7__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FF40FFFFFF400000" ) port map ( I0 => read_Mux_In(31), I1 => counter_TC(1), I2 => read_Mux_In(27), I3 => read_Mux_In(26), I4 => \^inferred_gen.icount_out_reg[0]\, I5 => Load_Counter_Reg030_out, O => load_Counter_Reg(0) ); INPUT_DOUBLE_REGS: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync port map ( captureTrig0_d0 => captureTrig0_d0, capturetrig0 => capturetrig0, read_Mux_In(0) => read_Mux_In(28), s_axi_aclk => s_axi_aclk ); INPUT_DOUBLE_REGS2: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_1 port map ( captureTrig1_d0 => captureTrig1_d0, capturetrig1 => capturetrig1, read_Mux_In(0) => read_Mux_In(60), s_axi_aclk => s_axi_aclk ); INPUT_DOUBLE_REGS3: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_2 port map ( E(0) => E(0), \INFERRED_GEN.icount_out_reg[0]\(0) => \INFERRED_GEN.icount_out_reg[0]_0\(0), \INFERRED_GEN.icount_out_reg[1]\(1 downto 0) => \INFERRED_GEN.icount_out_reg[1]\(1 downto 0), \INFERRED_GEN.icount_out_reg[4]\(0) => \INFERRED_GEN.icount_out_reg[4]\(0), Load_Counter_Reg028_out => Load_Counter_Reg028_out, Load_Counter_Reg030_out => Load_Counter_Reg030_out, Load_Counter_Reg031_out => Load_Counter_Reg031_out, \Load_Counter_Reg0__0\ => \Load_Counter_Reg0__0\, S(0) => S(0), \TCSR0_GENERATE[20].TCSR0_FF_I\ => \^inferred_gen.icount_out_reg[0]\, \TCSR0_GENERATE[24].TCSR0_FF_I\ => \^tcsr0_generate[24].tcsr0_ff_i_0\, \TCSR1_GENERATE[24].TCSR1_FF_I\ => \^tcsr1_generate[23].tcsr1_ff_i_0\, counter_TC(0 to 1) => counter_TC(0 to 1), freeze => freeze, generateOutPre0 => generateOutPre0, read_Mux_In(7) => read_Mux_In(22), read_Mux_In(6) => read_Mux_In(27), read_Mux_In(5) => read_Mux_In(30), read_Mux_In(4) => read_Mux_In(31), read_Mux_In(3) => read_Mux_In(54), read_Mux_In(2) => read_Mux_In(59), read_Mux_In(1) => read_Mux_In(62), read_Mux_In(0) => read_Mux_In(63), s_axi_aclk => s_axi_aclk ); Interrupt_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => read_Mux_In(25), I1 => read_Mux_In(23), I2 => read_Mux_In(57), I3 => read_Mux_In(55), O => Interrupt0 ); Interrupt_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Interrupt0, Q => interrupt, R => SR(0) ); \LOAD_REG_GEN[0].LOAD_REG_I_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"E000FFFFE000E000" ) port map ( I0 => read_Mux_In(27), I1 => \^d_0\, I2 => R_0, I3 => read_Mux_In(31), I4 => Bus_RNW_reg, I5 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, O => \LOAD_REG_GEN[24].LOAD_REG_I\ ); \LOAD_REG_GEN[0].LOAD_REG_I_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFF8080808" ) port map ( I0 => \LOAD_REG_GEN[0].LOAD_REG_I_i_3_n_0\, I1 => p_38_in, I2 => \^inferred_gen.icount_out_reg[0]\, I3 => \LOAD_REG_GEN[0].LOAD_REG_I_i_5_n_0\, I4 => p_33_in, I5 => \bus2ip_wrce__0\(0), O => \LOAD_REG_GEN[24].LOAD_REG_I_0\ ); \LOAD_REG_GEN[0].LOAD_REG_I_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => read_Mux_In(59), I1 => \^read_done1\, O => \LOAD_REG_GEN[0].LOAD_REG_I_i_3_n_0\ ); \LOAD_REG_GEN[0].LOAD_REG_I_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"F4F4F40400000000" ) port map ( I0 => captureTrig1_d2, I1 => captureTrig1_d, I2 => \^inferred_gen.icount_out_reg[0]\, I3 => READ_DONE1_I_i_3_n_0, I4 => READ_DONE0_I_i_3_n_0, I5 => read_Mux_In(63), O => p_38_in ); \LOAD_REG_GEN[0].LOAD_REG_I_i_5\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => read_Mux_In(27), I1 => \^read_done1\, O => \LOAD_REG_GEN[0].LOAD_REG_I_i_5_n_0\ ); \LOAD_REG_GEN[0].LOAD_REG_I_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"F4F4F40400000000" ) port map ( I0 => captureTrig1_d2, I1 => captureTrig1_d, I2 => \^inferred_gen.icount_out_reg[0]\, I3 => READ_DONE1_I_i_3_n_0, I4 => READ_DONE0_I_i_3_n_0, I5 => read_Mux_In(31), O => p_33_in ); PWM_FF_I_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"AB" ) port map ( I0 => \^generateout1\, I1 => read_Mux_In(22), I2 => read_Mux_In(54), O => R ); PWM_FF_I_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \^generateout0\, I1 => pwm0, O => PWM_FF_I ); READ_DONE0_I: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, Q => \^d_0\, R => R_0 ); READ_DONE0_I_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"AA00AA00ABFFAA00" ) port map ( I0 => READ_DONE0_I_i_3_n_0, I1 => \^q\(1), I2 => counter_TC(0), I3 => \^inferred_gen.icount_out_reg[0]\, I4 => captureTrig0_d, I5 => captureTrig0_d2, O => R_0 ); READ_DONE0_I_i_3: unisim.vcomponents.LUT3 generic map( INIT => X"A8" ) port map ( I0 => counter_TC_Reg2, I1 => captureTrig0_pulse_d2, I2 => captureTrig0_pulse_d1, O => READ_DONE0_I_i_3_n_0 ); READ_DONE1_I: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, Q => \^read_done1\, R => READ_DONE1_I_i_1_n_0 ); READ_DONE1_I_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"E0E0EFE0" ) port map ( I0 => READ_DONE0_I_i_3_n_0, I1 => READ_DONE1_I_i_3_n_0, I2 => \^inferred_gen.icount_out_reg[0]\, I3 => captureTrig1_d, I4 => captureTrig1_d2, O => READ_DONE1_I_i_1_n_0 ); READ_DONE1_I_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"0004" ) port map ( I0 => captureTrig0_d2, I1 => captureTrig0_d, I2 => counter_TC(0), I3 => \^q\(1), O => READ_DONE1_I_i_3_n_0 ); \TCSR0_GENERATE[20].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(9), Q => \^inferred_gen.icount_out_reg[0]\, R => SR(0) ); \TCSR0_GENERATE[21].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => pair0_Select, D => s_axi_wdata(8), Q => read_Mux_In(21), R => SR(0) ); \TCSR0_GENERATE[22].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(7), Q => read_Mux_In(22), R => SR(0) ); \TCSR0_GENERATE[23].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \TCSR0_GENERATE[23].TCSR0_FF_I_i_2_n_0\, Q => read_Mux_In(23), R => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ ); \TCSR0_GENERATE[23].TCSR0_FF_I_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFF3F2F0F2" ) port map ( I0 => generateOutPre0, I1 => read_Mux_In(31), I2 => \TCSR0_Set2__0\, I3 => \^inferred_gen.icount_out_reg[0]\, I4 => generateOutPre1, I5 => read_Mux_In(23), O => \TCSR0_GENERATE[23].TCSR0_FF_I_i_2_n_0\ ); \TCSR0_GENERATE[23].TCSR0_FF_I_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"A8AAA80000000000" ) port map ( I0 => read_Mux_In(31), I1 => READ_DONE0_I_i_3_n_0, I2 => READ_DONE1_I_i_3_n_0, I3 => \^inferred_gen.icount_out_reg[0]\, I4 => captureTrig0_pulse_d1_i_1_n_0, I5 => \^tcsr0_generate[24].tcsr0_ff_i_0\, O => \TCSR0_Set2__0\ ); \TCSR0_GENERATE[24].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => pair0_Select, D => \TCSR0_GENERATE[24].TCSR0_FF_I_1\, Q => \^tcsr0_generate[24].tcsr0_ff_i_0\, R => SR(0) ); \TCSR0_GENERATE[25].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(6), Q => read_Mux_In(25), R => SR(0) ); \TCSR0_GENERATE[26].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(5), Q => read_Mux_In(26), R => SR(0) ); \TCSR0_GENERATE[27].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(4), Q => read_Mux_In(27), R => SR(0) ); \TCSR0_GENERATE[28].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(3), Q => read_Mux_In(28), R => SR(0) ); \TCSR0_GENERATE[29].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(2), Q => read_Mux_In(29), R => SR(0) ); \TCSR0_GENERATE[30].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(1), Q => read_Mux_In(30), R => SR(0) ); \TCSR0_GENERATE[31].TCSR0_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(1), D => s_axi_wdata(0), Q => read_Mux_In(31), R => SR(0) ); \TCSR1_GENERATE[21].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => pair0_Select, D => s_axi_wdata(8), Q => read_Mux_In(53), R => SR(0) ); \TCSR1_GENERATE[22].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(7), Q => read_Mux_In(54), R => SR(0) ); \TCSR1_GENERATE[23].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => '1', D => \TCSR1_GENERATE[23].TCSR1_FF_I_i_2_n_0\, Q => read_Mux_In(55), R => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ ); \TCSR1_GENERATE[23].TCSR1_FF_I_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00008F80" ) port map ( I0 => \^tcsr1_generate[23].tcsr1_ff_i_0\, I1 => READ_DONE1_I_i_1_n_0, I2 => read_Mux_In(63), I3 => generateOutPre1, I4 => \^inferred_gen.icount_out_reg[0]\, I5 => read_Mux_In(55), O => \TCSR1_GENERATE[23].TCSR1_FF_I_i_2_n_0\ ); \TCSR1_GENERATE[24].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => pair0_Select, D => \TCSR1_GENERATE[24].TCSR1_FF_I_0\, Q => \^tcsr1_generate[23].tcsr1_ff_i_0\, R => SR(0) ); \TCSR1_GENERATE[25].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(6), Q => read_Mux_In(57), R => SR(0) ); \TCSR1_GENERATE[26].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(5), Q => read_Mux_In(58), R => SR(0) ); \TCSR1_GENERATE[27].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(4), Q => read_Mux_In(59), R => SR(0) ); \TCSR1_GENERATE[28].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(3), Q => read_Mux_In(60), R => SR(0) ); \TCSR1_GENERATE[29].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(2), Q => read_Mux_In(61), R => SR(0) ); \TCSR1_GENERATE[30].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(1), Q => read_Mux_In(62), R => SR(0) ); \TCSR1_GENERATE[31].TCSR1_FF_I\: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_wrce(0), D => s_axi_wdata(0), Q => read_Mux_In(63), R => SR(0) ); captureTrig0_d2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => captureTrig0_d, Q => captureTrig0_d2, R => SR(0) ); captureTrig0_d_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => captureTrig0_d0, Q => captureTrig0_d, R => SR(0) ); captureTrig0_pulse_d1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => captureTrig0_d, I1 => captureTrig0_d2, O => captureTrig0_pulse_d1_i_1_n_0 ); captureTrig0_pulse_d1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => captureTrig0_pulse_d1_i_1_n_0, Q => captureTrig0_pulse_d1, R => SR(0) ); captureTrig0_pulse_d2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => captureTrig0_pulse_d1, Q => captureTrig0_pulse_d2, R => SR(0) ); captureTrig1_d2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => captureTrig1_d, Q => captureTrig1_d2, R => SR(0) ); captureTrig1_d_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => captureTrig1_d0, Q => captureTrig1_d, R => SR(0) ); counter_TC_Reg2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \^q\(1), Q => counter_TC_Reg2, R => SR(0) ); \counter_TC_Reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => counter_TC(0), Q => \^q\(1), R => SR(0) ); \counter_TC_Reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => counter_TC(1), Q => \^q\(0), R => SR(0) ); generateOutPre0_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \INFERRED_GEN.icount_out_reg[32]_0\, Q => generateOutPre0, R => SR(0) ); generateOutPre1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \INFERRED_GEN.icount_out_reg[32]\, Q => generateOutPre1, R => SR(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment is port ( \LOAD_REG_GEN[31].LOAD_REG_I\ : out STD_LOGIC; \TCSR0_GENERATE[23].TCSR0_FF_I\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; \s_axi_rdata_i_reg[12]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[13]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[14]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[15]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[16]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[17]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[18]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[19]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[20]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[21]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[22]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[23]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[24]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[25]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[26]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[27]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[28]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[29]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[30]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[31]_0\ : out STD_LOGIC; pair0_Select : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; \s_axi_rdata_i_reg[11]_0\ : out STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I\ : out STD_LOGIC; \TCSR1_GENERATE[24].TCSR1_FF_I\ : out STD_LOGIC; \LOAD_REG_GEN[31].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[19].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[18].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[17].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[16].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[15].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[14].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[13].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[12].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[11].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[10].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[9].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[8].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[7].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[6].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[5].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[4].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[3].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[2].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[1].LOAD_REG_I\ : out STD_LOGIC; D_0 : out STD_LOGIC; \bus2ip_wrce__0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_wrce : out STD_LOGIC_VECTOR ( 1 downto 0 ); \LOAD_REG_GEN[31].LOAD_REG_I_1\ : out STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[19].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[18].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[17].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[16].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[15].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[14].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[13].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[12].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[11].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[10].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[9].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[8].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[7].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[6].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[5].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[4].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[3].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[2].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[1].LOAD_REG_I_0\ : out STD_LOGIC; D_1 : out STD_LOGIC; s_axi_rvalid_i_reg_0 : out STD_LOGIC; s_axi_rvalid_i_reg_1 : out STD_LOGIC; s_axi_rvalid_i_reg_2 : out STD_LOGIC; \TCSR0_GENERATE[23].TCSR0_FF_I_0\ : out STD_LOGIC; \TCSR1_GENERATE[23].TCSR1_FF_I\ : out STD_LOGIC; \s_axi_rdata_i_reg[10]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[0]_0\ : out STD_LOGIC; \s_axi_rdata_i_reg[0]_1\ : out STD_LOGIC; READ_DONE0_I : out STD_LOGIC; READ_DONE1_I : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); bus2ip_reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; read_Mux_In : in STD_LOGIC_VECTOR ( 87 downto 0 ); s_axi_aresetn : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D_2 : in STD_LOGIC; read_done1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment is signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal I_DECODER_n_100 : STD_LOGIC; signal I_DECODER_n_101 : STD_LOGIC; signal I_DECODER_n_25 : STD_LOGIC; signal I_DECODER_n_26 : STD_LOGIC; signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 2 ); signal \bus2ip_addr_i[2]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[3]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[4]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[4]_i_2_n_0\ : STD_LOGIC; signal bus2ip_rnw_i : STD_LOGIC; signal bus2ip_rnw_i06_out : STD_LOGIC; signal clear : STD_LOGIC; signal is_read : STD_LOGIC; signal is_read_i_1_n_0 : STD_LOGIC; signal is_write : STD_LOGIC; signal is_write_i_1_n_0 : STD_LOGIC; signal is_write_reg_n_0 : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 5 downto 0 ); signal rst : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal \s_axi_rdata_i[31]_i_1_n_0\ : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal start2 : STD_LOGIC; signal start2_i_1_n_0 : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \state1__2\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[4]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \bus2ip_addr_i[4]_i_2\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of bus2ip_rnw_i_i_1 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of start2_i_1 : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \state[1]_i_3\ : label is "soft_lutpair16"; begin s_axi_bvalid <= \^s_axi_bvalid\; s_axi_rvalid <= \^s_axi_rvalid\; \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), O => plusOp(0) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), O => plusOp(1) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), O => plusOp(2) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), O => plusOp(3) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(4), O => plusOp(4) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => state(0), I1 => state(1), O => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt[5]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I4 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(4), I5 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(5), O => plusOp(5) ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(0), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(1), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(2), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(3), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(4), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(4), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(5), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(5), R => clear ); I_DECODER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_address_decoder port map ( D(1) => I_DECODER_n_25, D(0) => I_DECODER_n_26, D_0 => D_0, D_1 => D_1, D_2 => D_2, \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[5]\(5 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(5 downto 0), \LOAD_REG_GEN[10].LOAD_REG_I\ => \LOAD_REG_GEN[10].LOAD_REG_I\, \LOAD_REG_GEN[10].LOAD_REG_I_0\ => \LOAD_REG_GEN[10].LOAD_REG_I_0\, \LOAD_REG_GEN[11].LOAD_REG_I\ => \LOAD_REG_GEN[11].LOAD_REG_I\, \LOAD_REG_GEN[11].LOAD_REG_I_0\ => \LOAD_REG_GEN[11].LOAD_REG_I_0\, \LOAD_REG_GEN[12].LOAD_REG_I\ => \LOAD_REG_GEN[12].LOAD_REG_I\, \LOAD_REG_GEN[12].LOAD_REG_I_0\ => \LOAD_REG_GEN[12].LOAD_REG_I_0\, \LOAD_REG_GEN[13].LOAD_REG_I\ => \LOAD_REG_GEN[13].LOAD_REG_I\, \LOAD_REG_GEN[13].LOAD_REG_I_0\ => \LOAD_REG_GEN[13].LOAD_REG_I_0\, \LOAD_REG_GEN[14].LOAD_REG_I\ => \LOAD_REG_GEN[14].LOAD_REG_I\, \LOAD_REG_GEN[14].LOAD_REG_I_0\ => \LOAD_REG_GEN[14].LOAD_REG_I_0\, \LOAD_REG_GEN[15].LOAD_REG_I\ => \LOAD_REG_GEN[15].LOAD_REG_I\, \LOAD_REG_GEN[15].LOAD_REG_I_0\ => \LOAD_REG_GEN[15].LOAD_REG_I_0\, \LOAD_REG_GEN[16].LOAD_REG_I\ => \LOAD_REG_GEN[16].LOAD_REG_I\, \LOAD_REG_GEN[16].LOAD_REG_I_0\ => \LOAD_REG_GEN[16].LOAD_REG_I_0\, \LOAD_REG_GEN[17].LOAD_REG_I\ => \LOAD_REG_GEN[17].LOAD_REG_I\, \LOAD_REG_GEN[17].LOAD_REG_I_0\ => \LOAD_REG_GEN[17].LOAD_REG_I_0\, \LOAD_REG_GEN[18].LOAD_REG_I\ => \LOAD_REG_GEN[18].LOAD_REG_I\, \LOAD_REG_GEN[18].LOAD_REG_I_0\ => \LOAD_REG_GEN[18].LOAD_REG_I_0\, \LOAD_REG_GEN[19].LOAD_REG_I\ => \LOAD_REG_GEN[19].LOAD_REG_I\, \LOAD_REG_GEN[19].LOAD_REG_I_0\ => \LOAD_REG_GEN[19].LOAD_REG_I_0\, \LOAD_REG_GEN[1].LOAD_REG_I\ => \LOAD_REG_GEN[1].LOAD_REG_I\, \LOAD_REG_GEN[1].LOAD_REG_I_0\ => \LOAD_REG_GEN[1].LOAD_REG_I_0\, \LOAD_REG_GEN[20].LOAD_REG_I\ => \LOAD_REG_GEN[20].LOAD_REG_I\, \LOAD_REG_GEN[20].LOAD_REG_I_0\ => \LOAD_REG_GEN[20].LOAD_REG_I_0\, \LOAD_REG_GEN[21].LOAD_REG_I\ => \LOAD_REG_GEN[21].LOAD_REG_I\, \LOAD_REG_GEN[21].LOAD_REG_I_0\ => \LOAD_REG_GEN[21].LOAD_REG_I_0\, \LOAD_REG_GEN[22].LOAD_REG_I\ => \LOAD_REG_GEN[22].LOAD_REG_I\, \LOAD_REG_GEN[22].LOAD_REG_I_0\ => \LOAD_REG_GEN[22].LOAD_REG_I_0\, \LOAD_REG_GEN[23].LOAD_REG_I\ => \LOAD_REG_GEN[23].LOAD_REG_I\, \LOAD_REG_GEN[23].LOAD_REG_I_0\ => \LOAD_REG_GEN[23].LOAD_REG_I_0\, \LOAD_REG_GEN[24].LOAD_REG_I\ => \LOAD_REG_GEN[24].LOAD_REG_I\, \LOAD_REG_GEN[24].LOAD_REG_I_0\ => \LOAD_REG_GEN[24].LOAD_REG_I_0\, \LOAD_REG_GEN[25].LOAD_REG_I\ => \LOAD_REG_GEN[25].LOAD_REG_I\, \LOAD_REG_GEN[25].LOAD_REG_I_0\ => \LOAD_REG_GEN[25].LOAD_REG_I_0\, \LOAD_REG_GEN[26].LOAD_REG_I\ => \LOAD_REG_GEN[26].LOAD_REG_I\, \LOAD_REG_GEN[26].LOAD_REG_I_0\ => \LOAD_REG_GEN[26].LOAD_REG_I_0\, \LOAD_REG_GEN[27].LOAD_REG_I\ => \LOAD_REG_GEN[27].LOAD_REG_I\, \LOAD_REG_GEN[27].LOAD_REG_I_0\ => \LOAD_REG_GEN[27].LOAD_REG_I_0\, \LOAD_REG_GEN[28].LOAD_REG_I\ => \LOAD_REG_GEN[28].LOAD_REG_I\, \LOAD_REG_GEN[28].LOAD_REG_I_0\ => \LOAD_REG_GEN[28].LOAD_REG_I_0\, \LOAD_REG_GEN[29].LOAD_REG_I\ => \LOAD_REG_GEN[29].LOAD_REG_I\, \LOAD_REG_GEN[29].LOAD_REG_I_0\ => \LOAD_REG_GEN[29].LOAD_REG_I_0\, \LOAD_REG_GEN[2].LOAD_REG_I\ => \LOAD_REG_GEN[2].LOAD_REG_I\, \LOAD_REG_GEN[2].LOAD_REG_I_0\ => \LOAD_REG_GEN[2].LOAD_REG_I_0\, \LOAD_REG_GEN[30].LOAD_REG_I\ => \LOAD_REG_GEN[30].LOAD_REG_I\, \LOAD_REG_GEN[30].LOAD_REG_I_0\ => \LOAD_REG_GEN[30].LOAD_REG_I_0\, \LOAD_REG_GEN[31].LOAD_REG_I\ => \LOAD_REG_GEN[31].LOAD_REG_I\, \LOAD_REG_GEN[31].LOAD_REG_I_0\ => \LOAD_REG_GEN[31].LOAD_REG_I_0\, \LOAD_REG_GEN[31].LOAD_REG_I_1\ => \LOAD_REG_GEN[31].LOAD_REG_I_1\, \LOAD_REG_GEN[3].LOAD_REG_I\ => \LOAD_REG_GEN[3].LOAD_REG_I\, \LOAD_REG_GEN[3].LOAD_REG_I_0\ => \LOAD_REG_GEN[3].LOAD_REG_I_0\, \LOAD_REG_GEN[4].LOAD_REG_I\ => \LOAD_REG_GEN[4].LOAD_REG_I\, \LOAD_REG_GEN[4].LOAD_REG_I_0\ => \LOAD_REG_GEN[4].LOAD_REG_I_0\, \LOAD_REG_GEN[5].LOAD_REG_I\ => \LOAD_REG_GEN[5].LOAD_REG_I\, \LOAD_REG_GEN[5].LOAD_REG_I_0\ => \LOAD_REG_GEN[5].LOAD_REG_I_0\, \LOAD_REG_GEN[6].LOAD_REG_I\ => \LOAD_REG_GEN[6].LOAD_REG_I\, \LOAD_REG_GEN[6].LOAD_REG_I_0\ => \LOAD_REG_GEN[6].LOAD_REG_I_0\, \LOAD_REG_GEN[7].LOAD_REG_I\ => \LOAD_REG_GEN[7].LOAD_REG_I\, \LOAD_REG_GEN[7].LOAD_REG_I_0\ => \LOAD_REG_GEN[7].LOAD_REG_I_0\, \LOAD_REG_GEN[8].LOAD_REG_I\ => \LOAD_REG_GEN[8].LOAD_REG_I\, \LOAD_REG_GEN[8].LOAD_REG_I_0\ => \LOAD_REG_GEN[8].LOAD_REG_I_0\, \LOAD_REG_GEN[9].LOAD_REG_I\ => \LOAD_REG_GEN[9].LOAD_REG_I\, \LOAD_REG_GEN[9].LOAD_REG_I_0\ => \LOAD_REG_GEN[9].LOAD_REG_I_0\, Q => start2, READ_DONE0_I => READ_DONE0_I, READ_DONE1_I => READ_DONE1_I, \TCSR0_GENERATE[23].TCSR0_FF_I\ => \TCSR0_GENERATE[23].TCSR0_FF_I\, \TCSR0_GENERATE[23].TCSR0_FF_I_0\ => \TCSR0_GENERATE[23].TCSR0_FF_I_0\, \TCSR0_GENERATE[24].TCSR0_FF_I\ => \TCSR0_GENERATE[24].TCSR0_FF_I\, \TCSR1_GENERATE[23].TCSR1_FF_I\ => \TCSR1_GENERATE[23].TCSR1_FF_I\, \TCSR1_GENERATE[24].TCSR1_FF_I\ => \TCSR1_GENERATE[24].TCSR1_FF_I\, \bus2ip_addr_i_reg[4]\(2) => bus2ip_addr(0), \bus2ip_addr_i_reg[4]\(1) => bus2ip_addr(1), \bus2ip_addr_i_reg[4]\(0) => bus2ip_addr(2), bus2ip_rnw_i => bus2ip_rnw_i, bus2ip_wrce(1 downto 0) => bus2ip_wrce(1 downto 0), \bus2ip_wrce__0\(0) => \bus2ip_wrce__0\(0), is_read => is_read, is_write_reg => is_write_reg_n_0, pair0_Select => pair0_Select, read_Mux_In(87 downto 0) => read_Mux_In(87 downto 0), read_done1 => read_done1, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_arvalid_0 => \state[1]_i_3_n_0\, s_axi_bready => s_axi_bready, s_axi_bvalid_i_reg => I_DECODER_n_101, s_axi_bvalid_i_reg_0 => \^s_axi_bvalid\, \s_axi_rdata_i_reg[0]\ => \s_axi_rdata_i_reg[0]_0\, \s_axi_rdata_i_reg[0]_0\ => \s_axi_rdata_i_reg[0]_1\, \s_axi_rdata_i_reg[10]\ => \s_axi_rdata_i_reg[10]_0\, \s_axi_rdata_i_reg[11]\ => \s_axi_rdata_i_reg[11]_0\, \s_axi_rdata_i_reg[12]\ => \s_axi_rdata_i_reg[12]_0\, \s_axi_rdata_i_reg[13]\ => \s_axi_rdata_i_reg[13]_0\, \s_axi_rdata_i_reg[14]\ => \s_axi_rdata_i_reg[14]_0\, \s_axi_rdata_i_reg[15]\ => \s_axi_rdata_i_reg[15]_0\, \s_axi_rdata_i_reg[16]\ => \s_axi_rdata_i_reg[16]_0\, \s_axi_rdata_i_reg[17]\ => \s_axi_rdata_i_reg[17]_0\, \s_axi_rdata_i_reg[18]\ => \s_axi_rdata_i_reg[18]_0\, \s_axi_rdata_i_reg[19]\ => \s_axi_rdata_i_reg[19]_0\, \s_axi_rdata_i_reg[20]\ => \s_axi_rdata_i_reg[20]_0\, \s_axi_rdata_i_reg[21]\ => \s_axi_rdata_i_reg[21]_0\, \s_axi_rdata_i_reg[22]\ => \s_axi_rdata_i_reg[22]_0\, \s_axi_rdata_i_reg[23]\ => \s_axi_rdata_i_reg[23]_0\, \s_axi_rdata_i_reg[24]\ => \s_axi_rdata_i_reg[24]_0\, \s_axi_rdata_i_reg[25]\ => \s_axi_rdata_i_reg[25]_0\, \s_axi_rdata_i_reg[26]\ => \s_axi_rdata_i_reg[26]_0\, \s_axi_rdata_i_reg[27]\ => \s_axi_rdata_i_reg[27]_0\, \s_axi_rdata_i_reg[28]\ => \s_axi_rdata_i_reg[28]_0\, \s_axi_rdata_i_reg[29]\ => \s_axi_rdata_i_reg[29]_0\, \s_axi_rdata_i_reg[30]\ => \s_axi_rdata_i_reg[30]_0\, \s_axi_rdata_i_reg[31]\ => \s_axi_rdata_i_reg[31]_0\, s_axi_rready => s_axi_rready, s_axi_rvalid_i_reg => s_axi_rvalid_i_reg_0, s_axi_rvalid_i_reg_0 => s_axi_rvalid_i_reg_1, s_axi_rvalid_i_reg_1 => s_axi_rvalid_i_reg_2, s_axi_rvalid_i_reg_2 => I_DECODER_n_100, s_axi_rvalid_i_reg_3 => \^s_axi_rvalid\, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, \state1__2\ => \state1__2\, \state_reg[1]\(1 downto 0) => state(1 downto 0) ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFF0200" ) port map ( I0 => s_axi_araddr(0), I1 => state(0), I2 => state(1), I3 => s_axi_arvalid, I4 => s_axi_awaddr(0), O => \bus2ip_addr_i[2]_i_1_n_0\ ); \bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFF0200" ) port map ( I0 => s_axi_araddr(1), I1 => state(0), I2 => state(1), I3 => s_axi_arvalid, I4 => s_axi_awaddr(1), O => \bus2ip_addr_i[3]_i_1_n_0\ ); \bus2ip_addr_i[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000000EA" ) port map ( I0 => s_axi_arvalid, I1 => s_axi_awvalid, I2 => s_axi_wvalid, I3 => state(1), I4 => state(0), O => \bus2ip_addr_i[4]_i_1_n_0\ ); \bus2ip_addr_i[4]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"FEFF0200" ) port map ( I0 => s_axi_araddr(2), I1 => state(0), I2 => state(1), I3 => s_axi_arvalid, I4 => s_axi_awaddr(2), O => \bus2ip_addr_i[4]_i_2_n_0\ ); \bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[4]_i_1_n_0\, D => \bus2ip_addr_i[2]_i_1_n_0\, Q => bus2ip_addr(2), R => rst ); \bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[4]_i_1_n_0\, D => \bus2ip_addr_i[3]_i_1_n_0\, Q => bus2ip_addr(1), R => rst ); \bus2ip_addr_i_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[4]_i_1_n_0\, D => \bus2ip_addr_i[4]_i_2_n_0\, Q => bus2ip_addr(0), R => rst ); bus2ip_rnw_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"10" ) port map ( I0 => state(0), I1 => state(1), I2 => s_axi_arvalid, O => bus2ip_rnw_i06_out ); bus2ip_rnw_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => \bus2ip_addr_i[4]_i_1_n_0\, D => bus2ip_rnw_i06_out, Q => bus2ip_rnw_i, R => rst ); is_read_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"3FFA000A" ) port map ( I0 => s_axi_arvalid, I1 => \state1__2\, I2 => state(0), I3 => state(1), I4 => is_read, O => is_read_i_1_n_0 ); is_read_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_read_i_1_n_0, Q => is_read, R => rst ); is_write_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0040FFFF00400000" ) port map ( I0 => s_axi_arvalid, I1 => s_axi_awvalid, I2 => s_axi_wvalid, I3 => state(1), I4 => is_write, I5 => is_write_reg_n_0, O => is_write_i_1_n_0 ); is_write_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F88800000000FFFF" ) port map ( I0 => \^s_axi_rvalid\, I1 => s_axi_rready, I2 => \^s_axi_bvalid\, I3 => s_axi_bready, I4 => state(0), I5 => state(1), O => is_write ); is_write_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_write_i_1_n_0, Q => is_write_reg_n_0, R => rst ); rst_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => bus2ip_reset, Q => rst, R => '0' ); s_axi_bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => I_DECODER_n_101, Q => \^s_axi_bvalid\, R => rst ); \s_axi_rdata_i[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => state(0), I1 => state(1), O => \s_axi_rdata_i[31]_i_1_n_0\ ); \s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(0), Q => s_axi_rdata(0), R => rst ); \s_axi_rdata_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(10), Q => s_axi_rdata(10), R => rst ); \s_axi_rdata_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(11), Q => s_axi_rdata(11), R => rst ); \s_axi_rdata_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(12), Q => s_axi_rdata(12), R => rst ); \s_axi_rdata_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(13), Q => s_axi_rdata(13), R => rst ); \s_axi_rdata_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(14), Q => s_axi_rdata(14), R => rst ); \s_axi_rdata_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(15), Q => s_axi_rdata(15), R => rst ); \s_axi_rdata_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(16), Q => s_axi_rdata(16), R => rst ); \s_axi_rdata_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(17), Q => s_axi_rdata(17), R => rst ); \s_axi_rdata_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(18), Q => s_axi_rdata(18), R => rst ); \s_axi_rdata_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(19), Q => s_axi_rdata(19), R => rst ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(1), Q => s_axi_rdata(1), R => rst ); \s_axi_rdata_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(20), Q => s_axi_rdata(20), R => rst ); \s_axi_rdata_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(21), Q => s_axi_rdata(21), R => rst ); \s_axi_rdata_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(22), Q => s_axi_rdata(22), R => rst ); \s_axi_rdata_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(23), Q => s_axi_rdata(23), R => rst ); \s_axi_rdata_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(24), Q => s_axi_rdata(24), R => rst ); \s_axi_rdata_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(25), Q => s_axi_rdata(25), R => rst ); \s_axi_rdata_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(26), Q => s_axi_rdata(26), R => rst ); \s_axi_rdata_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(27), Q => s_axi_rdata(27), R => rst ); \s_axi_rdata_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(28), Q => s_axi_rdata(28), R => rst ); \s_axi_rdata_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(29), Q => s_axi_rdata(29), R => rst ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(2), Q => s_axi_rdata(2), R => rst ); \s_axi_rdata_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(30), Q => s_axi_rdata(30), R => rst ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(31), Q => s_axi_rdata(31), R => rst ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(3), Q => s_axi_rdata(3), R => rst ); \s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(4), Q => s_axi_rdata(4), R => rst ); \s_axi_rdata_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(5), Q => s_axi_rdata(5), R => rst ); \s_axi_rdata_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(6), Q => s_axi_rdata(6), R => rst ); \s_axi_rdata_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(7), Q => s_axi_rdata(7), R => rst ); \s_axi_rdata_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(8), Q => s_axi_rdata(8), R => rst ); \s_axi_rdata_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => \s_axi_rdata_i[31]_i_1_n_0\, D => D(9), Q => s_axi_rdata(9), R => rst ); s_axi_rvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => I_DECODER_n_100, Q => \^s_axi_rvalid\, R => rst ); start2_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000000F8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, I2 => s_axi_arvalid, I3 => state(1), I4 => state(0), O => start2_i_1_n_0 ); start2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => start2_i_1_n_0, Q => start2, R => rst ); \state[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \state1__2\ ); \state[1]_i_3\: unisim.vcomponents.LUT3 generic map( INIT => X"08" ) port map ( I0 => s_axi_wvalid, I1 => s_axi_awvalid, I2 => s_axi_arvalid, O => \state[1]_i_3_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => I_DECODER_n_26, Q => state(0), R => rst ); \state_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => I_DECODER_n_25, Q => state(1), R => rst ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_tc_core is port ( D : out STD_LOGIC_VECTOR ( 31 downto 0 ); \INFERRED_GEN.icount_out_reg[0]\ : out STD_LOGIC_VECTOR ( 87 downto 0 ); bus2ip_reset : out STD_LOGIC; generateout0 : out STD_LOGIC; generateout1 : out STD_LOGIC; interrupt : out STD_LOGIC; D_0 : out STD_LOGIC; read_done1 : out STD_LOGIC; pwm0 : out STD_LOGIC; Bus_RNW_reg_reg : in STD_LOGIC; Bus_RNW_reg_reg_0 : in STD_LOGIC; Bus_RNW_reg_reg_1 : in STD_LOGIC; Bus_RNW_reg_reg_2 : in STD_LOGIC; Bus_RNW_reg_reg_3 : in STD_LOGIC; Bus_RNW_reg_reg_4 : in STD_LOGIC; Bus_RNW_reg_reg_5 : in STD_LOGIC; Bus_RNW_reg_reg_6 : in STD_LOGIC; Bus_RNW_reg_reg_7 : in STD_LOGIC; Bus_RNW_reg_reg_8 : in STD_LOGIC; Bus_RNW_reg_reg_9 : in STD_LOGIC; Bus_RNW_reg_reg_10 : in STD_LOGIC; Bus_RNW_reg_reg_11 : in STD_LOGIC; Bus_RNW_reg_reg_12 : in STD_LOGIC; Bus_RNW_reg_reg_13 : in STD_LOGIC; Bus_RNW_reg_reg_14 : in STD_LOGIC; Bus_RNW_reg_reg_15 : in STD_LOGIC; Bus_RNW_reg_reg_16 : in STD_LOGIC; Bus_RNW_reg_reg_17 : in STD_LOGIC; Bus_RNW_reg_reg_18 : in STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I\ : in STD_LOGIC; D_1 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_2\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_3\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_4\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_5\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_6\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_7\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_8\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_9\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_10\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_11\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_12\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_13\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_14\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_15\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_16\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_17\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_18\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_19\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_20\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_21\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_22\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_23\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_24\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_25\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_26\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_27\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_28\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_29\ : in STD_LOGIC; D_2 : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[30]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[29]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[28]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[27]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[26]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[25]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[24]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[23]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[22]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[21]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[20]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[19]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[18]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[17]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[16]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[15]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[14]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[13]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[12]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[11]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[10]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[9]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[8]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[7]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[6]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[5]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[4]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[3]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[2]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[1]\ : in STD_LOGIC; \INFERRED_GEN.icount_out_reg[0]_0\ : in STD_LOGIC; bus2ip_wrce : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 9 downto 0 ); pair0_Select : in STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I\ : in STD_LOGIC; \TCSR1_GENERATE[24].TCSR1_FF_I\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_30\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_31\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : in STD_LOGIC; Bus_RNW_reg : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : in STD_LOGIC; \bus2ip_wrce__0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); freeze : in STD_LOGIC; capturetrig0 : in STD_LOGIC; capturetrig1 : in STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_tc_core; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_tc_core is signal COUNTER_0_I_n_64 : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_33\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_34\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_35\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_36\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_37\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_38\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_39\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_40\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_41\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_42\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_43\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_44\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_45\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_46\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_47\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_48\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_49\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_50\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_51\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_52\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_53\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_54\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_55\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_56\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_57\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_58\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_59\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_60\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_61\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_62\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_63\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_64\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I_n_65\ : STD_LOGIC; signal \^inferred_gen.icount_out_reg[0]\ : STD_LOGIC_VECTOR ( 87 downto 0 ); signal R : STD_LOGIC; signal TIMER_CONTROL_I_n_12 : STD_LOGIC; signal TIMER_CONTROL_I_n_13 : STD_LOGIC; signal TIMER_CONTROL_I_n_14 : STD_LOGIC; signal TIMER_CONTROL_I_n_15 : STD_LOGIC; signal TIMER_CONTROL_I_n_16 : STD_LOGIC; signal TIMER_CONTROL_I_n_17 : STD_LOGIC; signal TIMER_CONTROL_I_n_18 : STD_LOGIC; signal TIMER_CONTROL_I_n_19 : STD_LOGIC; signal TIMER_CONTROL_I_n_20 : STD_LOGIC; signal TIMER_CONTROL_I_n_21 : STD_LOGIC; signal TIMER_CONTROL_I_n_22 : STD_LOGIC; signal TIMER_CONTROL_I_n_24 : STD_LOGIC; signal TIMER_CONTROL_I_n_25 : STD_LOGIC; signal TIMER_CONTROL_I_n_26 : STD_LOGIC; signal TIMER_CONTROL_I_n_27 : STD_LOGIC; signal TIMER_CONTROL_I_n_28 : STD_LOGIC; signal TIMER_CONTROL_I_n_29 : STD_LOGIC; signal TIMER_CONTROL_I_n_3 : STD_LOGIC; signal TIMER_CONTROL_I_n_30 : STD_LOGIC; signal TIMER_CONTROL_I_n_4 : STD_LOGIC; signal \^bus2ip_reset\ : STD_LOGIC; signal counter_TC : STD_LOGIC_VECTOR ( 0 to 1 ); signal load_Counter_Reg : STD_LOGIC_VECTOR ( 0 to 1 ); signal \^pwm0\ : STD_LOGIC; signal read_Mux_In : STD_LOGIC_VECTOR ( 85 to 95 ); attribute BOX_TYPE : string; attribute BOX_TYPE of PWM_FF_I : label is "PRIMITIVE"; attribute IS_S_INVERTED : string; attribute IS_S_INVERTED of PWM_FF_I : label is "1'b0"; begin \INFERRED_GEN.icount_out_reg[0]\(87 downto 0) <= \^inferred_gen.icount_out_reg[0]\(87 downto 0); bus2ip_reset <= \^bus2ip_reset\; pwm0 <= \^pwm0\; COUNTER_0_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module port map ( D_1 => D_1, E(0) => TIMER_CONTROL_I_n_24, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_10\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_10\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_11\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_11\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_12\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_12\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_13\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_13\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_14\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_14\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_15\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_15\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_16\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_16\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_17\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_17\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_18\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_18\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_19\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_19\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_2\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_2\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_20\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_20\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_21\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_21\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_22\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_22\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_23\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_23\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_24\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_24\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_25\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_25\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_26\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_26\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_27\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_27\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_28\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_28\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_29\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_29\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_3\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_3\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_4\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_4\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_5\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_5\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_6\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_6\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_7\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_7\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_8\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_8\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_9\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_9\, \INFERRED_GEN.icount_out_reg[31]\(52 downto 0) => \^inferred_gen.icount_out_reg[0]\(84 downto 32), Q(0) => TIMER_CONTROL_I_n_3, S(0) => TIMER_CONTROL_I_n_27, \TCSR0_GENERATE[27].TCSR0_FF_I\ => TIMER_CONTROL_I_n_28, counter_TC(0) => counter_TC(0), generateOutPre0_reg => COUNTER_0_I_n_64, load_Counter_Reg(0) => load_Counter_Reg(0), read_Mux_In(10) => read_Mux_In(85), read_Mux_In(9) => read_Mux_In(86), read_Mux_In(8) => read_Mux_In(87), read_Mux_In(7) => read_Mux_In(88), read_Mux_In(6) => read_Mux_In(89), read_Mux_In(5) => read_Mux_In(90), read_Mux_In(4) => read_Mux_In(91), read_Mux_In(3) => read_Mux_In(92), read_Mux_In(2) => read_Mux_In(93), read_Mux_In(1) => read_Mux_In(94), read_Mux_In(0) => read_Mux_In(95), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_aresetn_0 => \^bus2ip_reset\ ); \GEN_SECOND_TIMER.COUNTER_1_I\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_count_module_0 port map ( D_2 => D_2, E(0) => TIMER_CONTROL_I_n_25, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\, \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\ => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\, \INFERRED_GEN.icount_out_reg[0]\ => \INFERRED_GEN.icount_out_reg[0]_0\, \INFERRED_GEN.icount_out_reg[10]\ => \INFERRED_GEN.icount_out_reg[10]\, \INFERRED_GEN.icount_out_reg[11]\ => \INFERRED_GEN.icount_out_reg[11]\, \INFERRED_GEN.icount_out_reg[12]\ => \INFERRED_GEN.icount_out_reg[12]\, \INFERRED_GEN.icount_out_reg[13]\ => \INFERRED_GEN.icount_out_reg[13]\, \INFERRED_GEN.icount_out_reg[14]\ => \INFERRED_GEN.icount_out_reg[14]\, \INFERRED_GEN.icount_out_reg[15]\ => \INFERRED_GEN.icount_out_reg[15]\, \INFERRED_GEN.icount_out_reg[16]\ => \INFERRED_GEN.icount_out_reg[16]\, \INFERRED_GEN.icount_out_reg[17]\ => \INFERRED_GEN.icount_out_reg[17]\, \INFERRED_GEN.icount_out_reg[18]\ => \INFERRED_GEN.icount_out_reg[18]\, \INFERRED_GEN.icount_out_reg[19]\ => \INFERRED_GEN.icount_out_reg[19]\, \INFERRED_GEN.icount_out_reg[1]\ => \INFERRED_GEN.icount_out_reg[1]\, \INFERRED_GEN.icount_out_reg[20]\ => \INFERRED_GEN.icount_out_reg[20]\, \INFERRED_GEN.icount_out_reg[21]\ => \INFERRED_GEN.icount_out_reg[21]\, \INFERRED_GEN.icount_out_reg[22]\ => \INFERRED_GEN.icount_out_reg[22]\, \INFERRED_GEN.icount_out_reg[23]\ => \INFERRED_GEN.icount_out_reg[23]\, \INFERRED_GEN.icount_out_reg[24]\ => \INFERRED_GEN.icount_out_reg[24]\, \INFERRED_GEN.icount_out_reg[25]\ => \INFERRED_GEN.icount_out_reg[25]\, \INFERRED_GEN.icount_out_reg[26]\ => \INFERRED_GEN.icount_out_reg[26]\, \INFERRED_GEN.icount_out_reg[27]\ => \INFERRED_GEN.icount_out_reg[27]\, \INFERRED_GEN.icount_out_reg[28]\ => \INFERRED_GEN.icount_out_reg[28]\, \INFERRED_GEN.icount_out_reg[29]\ => \INFERRED_GEN.icount_out_reg[29]\, \INFERRED_GEN.icount_out_reg[2]\ => \INFERRED_GEN.icount_out_reg[2]\, \INFERRED_GEN.icount_out_reg[30]\ => \INFERRED_GEN.icount_out_reg[30]\, \INFERRED_GEN.icount_out_reg[31]\ => \^bus2ip_reset\, \INFERRED_GEN.icount_out_reg[31]_0\(31 downto 0) => \^inferred_gen.icount_out_reg[0]\(63 downto 32), \INFERRED_GEN.icount_out_reg[3]\ => \INFERRED_GEN.icount_out_reg[3]\, \INFERRED_GEN.icount_out_reg[4]\ => \INFERRED_GEN.icount_out_reg[4]\, \INFERRED_GEN.icount_out_reg[5]\ => \INFERRED_GEN.icount_out_reg[5]\, \INFERRED_GEN.icount_out_reg[6]\ => \INFERRED_GEN.icount_out_reg[6]\, \INFERRED_GEN.icount_out_reg[7]\ => \INFERRED_GEN.icount_out_reg[7]\, \INFERRED_GEN.icount_out_reg[8]\ => \INFERRED_GEN.icount_out_reg[8]\, \INFERRED_GEN.icount_out_reg[9]\ => \INFERRED_GEN.icount_out_reg[9]\, Q(31 downto 0) => \^inferred_gen.icount_out_reg[0]\(31 downto 0), S(0) => TIMER_CONTROL_I_n_30, \TCSR0_GENERATE[20].TCSR0_FF_I\ => TIMER_CONTROL_I_n_29, counter_TC(0) => counter_TC(1), \counter_TC_Reg_reg[1]\(0) => TIMER_CONTROL_I_n_4, generateOutPre1_reg => \GEN_SECOND_TIMER.COUNTER_1_I_n_65\, load_Counter_Reg(0) => load_Counter_Reg(1), s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, \s_axi_rdata_i_reg[0]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_33\, \s_axi_rdata_i_reg[10]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_43\, \s_axi_rdata_i_reg[11]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_44\, \s_axi_rdata_i_reg[12]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_45\, \s_axi_rdata_i_reg[13]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_46\, \s_axi_rdata_i_reg[14]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_47\, \s_axi_rdata_i_reg[15]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_48\, \s_axi_rdata_i_reg[16]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_49\, \s_axi_rdata_i_reg[17]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_50\, \s_axi_rdata_i_reg[18]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_51\, \s_axi_rdata_i_reg[19]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_52\, \s_axi_rdata_i_reg[1]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_34\, \s_axi_rdata_i_reg[20]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_53\, \s_axi_rdata_i_reg[21]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_54\, \s_axi_rdata_i_reg[22]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_55\, \s_axi_rdata_i_reg[23]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_56\, \s_axi_rdata_i_reg[24]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_57\, \s_axi_rdata_i_reg[25]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_58\, \s_axi_rdata_i_reg[26]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_59\, \s_axi_rdata_i_reg[27]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_60\, \s_axi_rdata_i_reg[28]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_61\, \s_axi_rdata_i_reg[29]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_62\, \s_axi_rdata_i_reg[2]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_35\, \s_axi_rdata_i_reg[30]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_63\, \s_axi_rdata_i_reg[31]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_64\, \s_axi_rdata_i_reg[3]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_36\, \s_axi_rdata_i_reg[4]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_37\, \s_axi_rdata_i_reg[5]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_38\, \s_axi_rdata_i_reg[6]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_39\, \s_axi_rdata_i_reg[7]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_40\, \s_axi_rdata_i_reg[8]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_41\, \s_axi_rdata_i_reg[9]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_42\ ); PWM_FF_I: unisim.vcomponents.FDRE generic map( INIT => '0', IS_C_INVERTED => '0', IS_D_INVERTED => '0', IS_R_INVERTED => '0' ) port map ( C => s_axi_aclk, CE => '1', D => TIMER_CONTROL_I_n_26, Q => \^pwm0\, R => R ); READ_MUX_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_mux_onehot_f port map ( Bus_RNW_reg_reg => Bus_RNW_reg_reg, Bus_RNW_reg_reg_0 => Bus_RNW_reg_reg_0, Bus_RNW_reg_reg_1 => Bus_RNW_reg_reg_1, Bus_RNW_reg_reg_10 => Bus_RNW_reg_reg_10, Bus_RNW_reg_reg_11 => Bus_RNW_reg_reg_11, Bus_RNW_reg_reg_12 => Bus_RNW_reg_reg_12, Bus_RNW_reg_reg_13 => Bus_RNW_reg_reg_13, Bus_RNW_reg_reg_14 => Bus_RNW_reg_reg_14, Bus_RNW_reg_reg_15 => Bus_RNW_reg_reg_15, Bus_RNW_reg_reg_16 => Bus_RNW_reg_reg_16, Bus_RNW_reg_reg_17 => Bus_RNW_reg_reg_17, Bus_RNW_reg_reg_18 => Bus_RNW_reg_reg_18, Bus_RNW_reg_reg_2 => Bus_RNW_reg_reg_2, Bus_RNW_reg_reg_3 => Bus_RNW_reg_reg_3, Bus_RNW_reg_reg_4 => Bus_RNW_reg_reg_4, Bus_RNW_reg_reg_5 => Bus_RNW_reg_reg_5, Bus_RNW_reg_reg_6 => Bus_RNW_reg_reg_6, Bus_RNW_reg_reg_7 => Bus_RNW_reg_reg_7, Bus_RNW_reg_reg_8 => Bus_RNW_reg_reg_8, Bus_RNW_reg_reg_9 => Bus_RNW_reg_reg_9, D(31 downto 0) => D(31 downto 0), \INFERRED_GEN.icount_out_reg[0]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_33\, \INFERRED_GEN.icount_out_reg[10]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_43\, \INFERRED_GEN.icount_out_reg[11]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_44\, \INFERRED_GEN.icount_out_reg[12]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_45\, \INFERRED_GEN.icount_out_reg[13]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_46\, \INFERRED_GEN.icount_out_reg[14]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_47\, \INFERRED_GEN.icount_out_reg[15]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_48\, \INFERRED_GEN.icount_out_reg[16]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_49\, \INFERRED_GEN.icount_out_reg[17]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_50\, \INFERRED_GEN.icount_out_reg[18]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_51\, \INFERRED_GEN.icount_out_reg[19]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_52\, \INFERRED_GEN.icount_out_reg[1]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_34\, \INFERRED_GEN.icount_out_reg[20]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_53\, \INFERRED_GEN.icount_out_reg[21]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_54\, \INFERRED_GEN.icount_out_reg[22]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_55\, \INFERRED_GEN.icount_out_reg[23]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_56\, \INFERRED_GEN.icount_out_reg[24]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_57\, \INFERRED_GEN.icount_out_reg[25]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_58\, \INFERRED_GEN.icount_out_reg[26]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_59\, \INFERRED_GEN.icount_out_reg[27]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_60\, \INFERRED_GEN.icount_out_reg[28]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_61\, \INFERRED_GEN.icount_out_reg[29]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_62\, \INFERRED_GEN.icount_out_reg[2]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_35\, \INFERRED_GEN.icount_out_reg[30]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_63\, \INFERRED_GEN.icount_out_reg[31]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_64\, \INFERRED_GEN.icount_out_reg[3]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_36\, \INFERRED_GEN.icount_out_reg[4]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_37\, \INFERRED_GEN.icount_out_reg[5]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_38\, \INFERRED_GEN.icount_out_reg[6]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_39\, \INFERRED_GEN.icount_out_reg[7]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_40\, \INFERRED_GEN.icount_out_reg[8]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_41\, \INFERRED_GEN.icount_out_reg[9]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_42\, \LOAD_REG_GEN[20].LOAD_REG_I\ => \LOAD_REG_GEN[20].LOAD_REG_I\, \LOAD_REG_GEN[21].LOAD_REG_I\ => TIMER_CONTROL_I_n_22, \LOAD_REG_GEN[22].LOAD_REG_I\ => TIMER_CONTROL_I_n_21, \LOAD_REG_GEN[23].LOAD_REG_I\ => TIMER_CONTROL_I_n_20, \LOAD_REG_GEN[24].LOAD_REG_I\ => TIMER_CONTROL_I_n_19, \LOAD_REG_GEN[25].LOAD_REG_I\ => TIMER_CONTROL_I_n_18, \LOAD_REG_GEN[26].LOAD_REG_I\ => TIMER_CONTROL_I_n_17, \LOAD_REG_GEN[27].LOAD_REG_I\ => TIMER_CONTROL_I_n_16, \LOAD_REG_GEN[28].LOAD_REG_I\ => TIMER_CONTROL_I_n_15, \LOAD_REG_GEN[29].LOAD_REG_I\ => TIMER_CONTROL_I_n_14, \LOAD_REG_GEN[30].LOAD_REG_I\ => TIMER_CONTROL_I_n_13, \LOAD_REG_GEN[31].LOAD_REG_I\ => TIMER_CONTROL_I_n_12 ); TIMER_CONTROL_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_timer_control port map ( Bus_RNW_reg => Bus_RNW_reg, D_0 => D_0, E(0) => TIMER_CONTROL_I_n_24, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\ => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_30\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_31\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, \INFERRED_GEN.icount_out_reg[0]\ => \^inferred_gen.icount_out_reg[0]\(87), \INFERRED_GEN.icount_out_reg[0]_0\(0) => TIMER_CONTROL_I_n_25, \INFERRED_GEN.icount_out_reg[1]\(1) => \^inferred_gen.icount_out_reg[0]\(33), \INFERRED_GEN.icount_out_reg[1]\(0) => \^inferred_gen.icount_out_reg[0]\(1), \INFERRED_GEN.icount_out_reg[32]\ => \GEN_SECOND_TIMER.COUNTER_1_I_n_65\, \INFERRED_GEN.icount_out_reg[32]_0\ => COUNTER_0_I_n_64, \INFERRED_GEN.icount_out_reg[4]\(0) => TIMER_CONTROL_I_n_30, \LOAD_REG_GEN[21].LOAD_REG_I\(10) => read_Mux_In(85), \LOAD_REG_GEN[21].LOAD_REG_I\(9) => read_Mux_In(86), \LOAD_REG_GEN[21].LOAD_REG_I\(8) => read_Mux_In(87), \LOAD_REG_GEN[21].LOAD_REG_I\(7) => read_Mux_In(88), \LOAD_REG_GEN[21].LOAD_REG_I\(6) => read_Mux_In(89), \LOAD_REG_GEN[21].LOAD_REG_I\(5) => read_Mux_In(90), \LOAD_REG_GEN[21].LOAD_REG_I\(4) => read_Mux_In(91), \LOAD_REG_GEN[21].LOAD_REG_I\(3) => read_Mux_In(92), \LOAD_REG_GEN[21].LOAD_REG_I\(2) => read_Mux_In(93), \LOAD_REG_GEN[21].LOAD_REG_I\(1) => read_Mux_In(94), \LOAD_REG_GEN[21].LOAD_REG_I\(0) => read_Mux_In(95), \LOAD_REG_GEN[24].LOAD_REG_I\ => TIMER_CONTROL_I_n_28, \LOAD_REG_GEN[24].LOAD_REG_I_0\ => TIMER_CONTROL_I_n_29, PWM_FF_I => TIMER_CONTROL_I_n_26, Q(1) => TIMER_CONTROL_I_n_3, Q(0) => TIMER_CONTROL_I_n_4, R => R, S(0) => TIMER_CONTROL_I_n_27, SR(0) => \^bus2ip_reset\, \TCSR0_GENERATE[24].TCSR0_FF_I_0\ => \^inferred_gen.icount_out_reg[0]\(86), \TCSR0_GENERATE[24].TCSR0_FF_I_1\ => \TCSR0_GENERATE[24].TCSR0_FF_I\, \TCSR1_GENERATE[23].TCSR1_FF_I_0\ => \^inferred_gen.icount_out_reg[0]\(85), \TCSR1_GENERATE[24].TCSR1_FF_I_0\ => \TCSR1_GENERATE[24].TCSR1_FF_I\, bus2ip_wrce(1 downto 0) => bus2ip_wrce(1 downto 0), \bus2ip_wrce__0\(0) => \bus2ip_wrce__0\(0), capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, counter_TC(0 to 1) => counter_TC(0 to 1), freeze => freeze, generateout0 => generateout0, generateout1 => generateout1, interrupt => interrupt, load_Counter_Reg(0 to 1) => load_Counter_Reg(0 to 1), pair0_Select => pair0_Select, pwm0 => \^pwm0\, read_done1 => read_done1, s_axi_aclk => s_axi_aclk, \s_axi_rdata_i_reg[0]\ => TIMER_CONTROL_I_n_12, \s_axi_rdata_i_reg[10]\ => TIMER_CONTROL_I_n_22, \s_axi_rdata_i_reg[1]\ => TIMER_CONTROL_I_n_13, \s_axi_rdata_i_reg[2]\ => TIMER_CONTROL_I_n_14, \s_axi_rdata_i_reg[3]\ => TIMER_CONTROL_I_n_15, \s_axi_rdata_i_reg[4]\ => TIMER_CONTROL_I_n_16, \s_axi_rdata_i_reg[5]\ => TIMER_CONTROL_I_n_17, \s_axi_rdata_i_reg[6]\ => TIMER_CONTROL_I_n_18, \s_axi_rdata_i_reg[7]\ => TIMER_CONTROL_I_n_19, \s_axi_rdata_i_reg[8]\ => TIMER_CONTROL_I_n_20, \s_axi_rdata_i_reg[9]\ => TIMER_CONTROL_I_n_21, s_axi_wdata(9 downto 0) => s_axi_wdata(9 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif is port ( \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : out STD_LOGIC; Bus_RNW_reg : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; \s_axi_rdata_i_reg[12]\ : out STD_LOGIC; \s_axi_rdata_i_reg[13]\ : out STD_LOGIC; \s_axi_rdata_i_reg[14]\ : out STD_LOGIC; \s_axi_rdata_i_reg[15]\ : out STD_LOGIC; \s_axi_rdata_i_reg[16]\ : out STD_LOGIC; \s_axi_rdata_i_reg[17]\ : out STD_LOGIC; \s_axi_rdata_i_reg[18]\ : out STD_LOGIC; \s_axi_rdata_i_reg[19]\ : out STD_LOGIC; \s_axi_rdata_i_reg[20]\ : out STD_LOGIC; \s_axi_rdata_i_reg[21]\ : out STD_LOGIC; \s_axi_rdata_i_reg[22]\ : out STD_LOGIC; \s_axi_rdata_i_reg[23]\ : out STD_LOGIC; \s_axi_rdata_i_reg[24]\ : out STD_LOGIC; \s_axi_rdata_i_reg[25]\ : out STD_LOGIC; \s_axi_rdata_i_reg[26]\ : out STD_LOGIC; \s_axi_rdata_i_reg[27]\ : out STD_LOGIC; \s_axi_rdata_i_reg[28]\ : out STD_LOGIC; \s_axi_rdata_i_reg[29]\ : out STD_LOGIC; \s_axi_rdata_i_reg[30]\ : out STD_LOGIC; \s_axi_rdata_i_reg[31]\ : out STD_LOGIC; pair0_Select : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; \s_axi_rdata_i_reg[11]\ : out STD_LOGIC; \TCSR0_GENERATE[24].TCSR0_FF_I\ : out STD_LOGIC; \TCSR1_GENERATE[24].TCSR1_FF_I\ : out STD_LOGIC; \LOAD_REG_GEN[31].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[19].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[18].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[17].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[16].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[15].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[14].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[13].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[12].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[11].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[10].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[9].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[8].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[7].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[6].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[5].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[4].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[3].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[2].LOAD_REG_I\ : out STD_LOGIC; \LOAD_REG_GEN[1].LOAD_REG_I\ : out STD_LOGIC; D_0 : out STD_LOGIC; \bus2ip_wrce__0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_wrce : out STD_LOGIC_VECTOR ( 1 downto 0 ); \LOAD_REG_GEN[31].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[30].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[29].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[28].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[27].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[26].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[25].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[24].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[23].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[22].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[21].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[20].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[19].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[18].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[17].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[16].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[15].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[14].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[13].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[12].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[11].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[10].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[9].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[8].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[7].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[6].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[5].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[4].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[3].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[2].LOAD_REG_I_0\ : out STD_LOGIC; \LOAD_REG_GEN[1].LOAD_REG_I_0\ : out STD_LOGIC; D_1 : out STD_LOGIC; s_axi_rvalid_i_reg : out STD_LOGIC; s_axi_rvalid_i_reg_0 : out STD_LOGIC; s_axi_rvalid_i_reg_1 : out STD_LOGIC; \TCSR0_GENERATE[23].TCSR0_FF_I\ : out STD_LOGIC; \TCSR1_GENERATE[23].TCSR1_FF_I\ : out STD_LOGIC; \s_axi_rdata_i_reg[10]\ : out STD_LOGIC; \s_axi_rdata_i_reg[0]\ : out STD_LOGIC; \s_axi_rdata_i_reg[0]_0\ : out STD_LOGIC; READ_DONE0_I : out STD_LOGIC; READ_DONE1_I : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); bus2ip_reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; read_Mux_In : in STD_LOGIC_VECTOR ( 87 downto 0 ); s_axi_aresetn : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); D_2 : in STD_LOGIC; read_done1 : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 31 downto 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif is begin I_SLAVE_ATTACHMENT: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_slave_attachment port map ( D(31 downto 0) => D(31 downto 0), D_0 => D_0, D_1 => D_1, D_2 => D_2, \LOAD_REG_GEN[10].LOAD_REG_I\ => \LOAD_REG_GEN[10].LOAD_REG_I\, \LOAD_REG_GEN[10].LOAD_REG_I_0\ => \LOAD_REG_GEN[10].LOAD_REG_I_0\, \LOAD_REG_GEN[11].LOAD_REG_I\ => \LOAD_REG_GEN[11].LOAD_REG_I\, \LOAD_REG_GEN[11].LOAD_REG_I_0\ => \LOAD_REG_GEN[11].LOAD_REG_I_0\, \LOAD_REG_GEN[12].LOAD_REG_I\ => \LOAD_REG_GEN[12].LOAD_REG_I\, \LOAD_REG_GEN[12].LOAD_REG_I_0\ => \LOAD_REG_GEN[12].LOAD_REG_I_0\, \LOAD_REG_GEN[13].LOAD_REG_I\ => \LOAD_REG_GEN[13].LOAD_REG_I\, \LOAD_REG_GEN[13].LOAD_REG_I_0\ => \LOAD_REG_GEN[13].LOAD_REG_I_0\, \LOAD_REG_GEN[14].LOAD_REG_I\ => \LOAD_REG_GEN[14].LOAD_REG_I\, \LOAD_REG_GEN[14].LOAD_REG_I_0\ => \LOAD_REG_GEN[14].LOAD_REG_I_0\, \LOAD_REG_GEN[15].LOAD_REG_I\ => \LOAD_REG_GEN[15].LOAD_REG_I\, \LOAD_REG_GEN[15].LOAD_REG_I_0\ => \LOAD_REG_GEN[15].LOAD_REG_I_0\, \LOAD_REG_GEN[16].LOAD_REG_I\ => \LOAD_REG_GEN[16].LOAD_REG_I\, \LOAD_REG_GEN[16].LOAD_REG_I_0\ => \LOAD_REG_GEN[16].LOAD_REG_I_0\, \LOAD_REG_GEN[17].LOAD_REG_I\ => \LOAD_REG_GEN[17].LOAD_REG_I\, \LOAD_REG_GEN[17].LOAD_REG_I_0\ => \LOAD_REG_GEN[17].LOAD_REG_I_0\, \LOAD_REG_GEN[18].LOAD_REG_I\ => \LOAD_REG_GEN[18].LOAD_REG_I\, \LOAD_REG_GEN[18].LOAD_REG_I_0\ => \LOAD_REG_GEN[18].LOAD_REG_I_0\, \LOAD_REG_GEN[19].LOAD_REG_I\ => \LOAD_REG_GEN[19].LOAD_REG_I\, \LOAD_REG_GEN[19].LOAD_REG_I_0\ => \LOAD_REG_GEN[19].LOAD_REG_I_0\, \LOAD_REG_GEN[1].LOAD_REG_I\ => \LOAD_REG_GEN[1].LOAD_REG_I\, \LOAD_REG_GEN[1].LOAD_REG_I_0\ => \LOAD_REG_GEN[1].LOAD_REG_I_0\, \LOAD_REG_GEN[20].LOAD_REG_I\ => \LOAD_REG_GEN[20].LOAD_REG_I\, \LOAD_REG_GEN[20].LOAD_REG_I_0\ => \LOAD_REG_GEN[20].LOAD_REG_I_0\, \LOAD_REG_GEN[21].LOAD_REG_I\ => \LOAD_REG_GEN[21].LOAD_REG_I\, \LOAD_REG_GEN[21].LOAD_REG_I_0\ => \LOAD_REG_GEN[21].LOAD_REG_I_0\, \LOAD_REG_GEN[22].LOAD_REG_I\ => \LOAD_REG_GEN[22].LOAD_REG_I\, \LOAD_REG_GEN[22].LOAD_REG_I_0\ => \LOAD_REG_GEN[22].LOAD_REG_I_0\, \LOAD_REG_GEN[23].LOAD_REG_I\ => \LOAD_REG_GEN[23].LOAD_REG_I\, \LOAD_REG_GEN[23].LOAD_REG_I_0\ => \LOAD_REG_GEN[23].LOAD_REG_I_0\, \LOAD_REG_GEN[24].LOAD_REG_I\ => \LOAD_REG_GEN[24].LOAD_REG_I\, \LOAD_REG_GEN[24].LOAD_REG_I_0\ => \LOAD_REG_GEN[24].LOAD_REG_I_0\, \LOAD_REG_GEN[25].LOAD_REG_I\ => \LOAD_REG_GEN[25].LOAD_REG_I\, \LOAD_REG_GEN[25].LOAD_REG_I_0\ => \LOAD_REG_GEN[25].LOAD_REG_I_0\, \LOAD_REG_GEN[26].LOAD_REG_I\ => \LOAD_REG_GEN[26].LOAD_REG_I\, \LOAD_REG_GEN[26].LOAD_REG_I_0\ => \LOAD_REG_GEN[26].LOAD_REG_I_0\, \LOAD_REG_GEN[27].LOAD_REG_I\ => \LOAD_REG_GEN[27].LOAD_REG_I\, \LOAD_REG_GEN[27].LOAD_REG_I_0\ => \LOAD_REG_GEN[27].LOAD_REG_I_0\, \LOAD_REG_GEN[28].LOAD_REG_I\ => \LOAD_REG_GEN[28].LOAD_REG_I\, \LOAD_REG_GEN[28].LOAD_REG_I_0\ => \LOAD_REG_GEN[28].LOAD_REG_I_0\, \LOAD_REG_GEN[29].LOAD_REG_I\ => \LOAD_REG_GEN[29].LOAD_REG_I\, \LOAD_REG_GEN[29].LOAD_REG_I_0\ => \LOAD_REG_GEN[29].LOAD_REG_I_0\, \LOAD_REG_GEN[2].LOAD_REG_I\ => \LOAD_REG_GEN[2].LOAD_REG_I\, \LOAD_REG_GEN[2].LOAD_REG_I_0\ => \LOAD_REG_GEN[2].LOAD_REG_I_0\, \LOAD_REG_GEN[30].LOAD_REG_I\ => \LOAD_REG_GEN[30].LOAD_REG_I\, \LOAD_REG_GEN[30].LOAD_REG_I_0\ => \LOAD_REG_GEN[30].LOAD_REG_I_0\, \LOAD_REG_GEN[31].LOAD_REG_I\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \LOAD_REG_GEN[31].LOAD_REG_I_0\ => \LOAD_REG_GEN[31].LOAD_REG_I\, \LOAD_REG_GEN[31].LOAD_REG_I_1\ => \LOAD_REG_GEN[31].LOAD_REG_I_0\, \LOAD_REG_GEN[3].LOAD_REG_I\ => \LOAD_REG_GEN[3].LOAD_REG_I\, \LOAD_REG_GEN[3].LOAD_REG_I_0\ => \LOAD_REG_GEN[3].LOAD_REG_I_0\, \LOAD_REG_GEN[4].LOAD_REG_I\ => \LOAD_REG_GEN[4].LOAD_REG_I\, \LOAD_REG_GEN[4].LOAD_REG_I_0\ => \LOAD_REG_GEN[4].LOAD_REG_I_0\, \LOAD_REG_GEN[5].LOAD_REG_I\ => \LOAD_REG_GEN[5].LOAD_REG_I\, \LOAD_REG_GEN[5].LOAD_REG_I_0\ => \LOAD_REG_GEN[5].LOAD_REG_I_0\, \LOAD_REG_GEN[6].LOAD_REG_I\ => \LOAD_REG_GEN[6].LOAD_REG_I\, \LOAD_REG_GEN[6].LOAD_REG_I_0\ => \LOAD_REG_GEN[6].LOAD_REG_I_0\, \LOAD_REG_GEN[7].LOAD_REG_I\ => \LOAD_REG_GEN[7].LOAD_REG_I\, \LOAD_REG_GEN[7].LOAD_REG_I_0\ => \LOAD_REG_GEN[7].LOAD_REG_I_0\, \LOAD_REG_GEN[8].LOAD_REG_I\ => \LOAD_REG_GEN[8].LOAD_REG_I\, \LOAD_REG_GEN[8].LOAD_REG_I_0\ => \LOAD_REG_GEN[8].LOAD_REG_I_0\, \LOAD_REG_GEN[9].LOAD_REG_I\ => \LOAD_REG_GEN[9].LOAD_REG_I\, \LOAD_REG_GEN[9].LOAD_REG_I_0\ => \LOAD_REG_GEN[9].LOAD_REG_I_0\, READ_DONE0_I => READ_DONE0_I, READ_DONE1_I => READ_DONE1_I, \TCSR0_GENERATE[23].TCSR0_FF_I\ => Bus_RNW_reg, \TCSR0_GENERATE[23].TCSR0_FF_I_0\ => \TCSR0_GENERATE[23].TCSR0_FF_I\, \TCSR0_GENERATE[24].TCSR0_FF_I\ => \TCSR0_GENERATE[24].TCSR0_FF_I\, \TCSR1_GENERATE[23].TCSR1_FF_I\ => \TCSR1_GENERATE[23].TCSR1_FF_I\, \TCSR1_GENERATE[24].TCSR1_FF_I\ => \TCSR1_GENERATE[24].TCSR1_FF_I\, bus2ip_reset => bus2ip_reset, bus2ip_wrce(1 downto 0) => bus2ip_wrce(1 downto 0), \bus2ip_wrce__0\(0) => \bus2ip_wrce__0\(0), pair0_Select => pair0_Select, read_Mux_In(87 downto 0) => read_Mux_In(87 downto 0), read_done1 => read_done1, s_axi_aclk => s_axi_aclk, s_axi_araddr(2 downto 0) => s_axi_araddr(2 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2 downto 0) => s_axi_awaddr(2 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rdata_i_reg[0]_0\ => \s_axi_rdata_i_reg[0]\, \s_axi_rdata_i_reg[0]_1\ => \s_axi_rdata_i_reg[0]_0\, \s_axi_rdata_i_reg[10]_0\ => \s_axi_rdata_i_reg[10]\, \s_axi_rdata_i_reg[11]_0\ => \s_axi_rdata_i_reg[11]\, \s_axi_rdata_i_reg[12]_0\ => \s_axi_rdata_i_reg[12]\, \s_axi_rdata_i_reg[13]_0\ => \s_axi_rdata_i_reg[13]\, \s_axi_rdata_i_reg[14]_0\ => \s_axi_rdata_i_reg[14]\, \s_axi_rdata_i_reg[15]_0\ => \s_axi_rdata_i_reg[15]\, \s_axi_rdata_i_reg[16]_0\ => \s_axi_rdata_i_reg[16]\, \s_axi_rdata_i_reg[17]_0\ => \s_axi_rdata_i_reg[17]\, \s_axi_rdata_i_reg[18]_0\ => \s_axi_rdata_i_reg[18]\, \s_axi_rdata_i_reg[19]_0\ => \s_axi_rdata_i_reg[19]\, \s_axi_rdata_i_reg[20]_0\ => \s_axi_rdata_i_reg[20]\, \s_axi_rdata_i_reg[21]_0\ => \s_axi_rdata_i_reg[21]\, \s_axi_rdata_i_reg[22]_0\ => \s_axi_rdata_i_reg[22]\, \s_axi_rdata_i_reg[23]_0\ => \s_axi_rdata_i_reg[23]\, \s_axi_rdata_i_reg[24]_0\ => \s_axi_rdata_i_reg[24]\, \s_axi_rdata_i_reg[25]_0\ => \s_axi_rdata_i_reg[25]\, \s_axi_rdata_i_reg[26]_0\ => \s_axi_rdata_i_reg[26]\, \s_axi_rdata_i_reg[27]_0\ => \s_axi_rdata_i_reg[27]\, \s_axi_rdata_i_reg[28]_0\ => \s_axi_rdata_i_reg[28]\, \s_axi_rdata_i_reg[29]_0\ => \s_axi_rdata_i_reg[29]\, \s_axi_rdata_i_reg[30]_0\ => \s_axi_rdata_i_reg[30]\, \s_axi_rdata_i_reg[31]_0\ => \s_axi_rdata_i_reg[31]\, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_rvalid_i_reg_0 => s_axi_rvalid_i_reg, s_axi_rvalid_i_reg_1 => s_axi_rvalid_i_reg_0, s_axi_rvalid_i_reg_2 => s_axi_rvalid_i_reg_1, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer is port ( capturetrig0 : in STD_LOGIC; capturetrig1 : in STD_LOGIC; generateout0 : out STD_LOGIC; generateout1 : out STD_LOGIC; pwm0 : out STD_LOGIC; interrupt : out STD_LOGIC; freeze : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 4 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 4 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC ); attribute C_COUNT_WIDTH : integer; attribute C_COUNT_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is 32; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is "zynq"; attribute C_GEN0_ASSERT : string; attribute C_GEN0_ASSERT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is "1'b1"; attribute C_GEN1_ASSERT : string; attribute C_GEN1_ASSERT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is "1'b1"; attribute C_ONE_TIMER_ONLY : integer; attribute C_ONE_TIMER_ONLY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is 5; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is 32; attribute C_TRIG0_ASSERT : string; attribute C_TRIG0_ASSERT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is "1'b1"; attribute C_TRIG1_ASSERT : string; attribute C_TRIG1_ASSERT of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is "1'b1"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer : entity is "yes"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer is signal \<const0>\ : STD_LOGIC; signal AXI4_LITE_I_n_10 : STD_LOGIC; signal AXI4_LITE_I_n_100 : STD_LOGIC; signal AXI4_LITE_I_n_101 : STD_LOGIC; signal AXI4_LITE_I_n_102 : STD_LOGIC; signal AXI4_LITE_I_n_103 : STD_LOGIC; signal AXI4_LITE_I_n_104 : STD_LOGIC; signal AXI4_LITE_I_n_105 : STD_LOGIC; signal AXI4_LITE_I_n_106 : STD_LOGIC; signal AXI4_LITE_I_n_11 : STD_LOGIC; signal AXI4_LITE_I_n_12 : STD_LOGIC; signal AXI4_LITE_I_n_13 : STD_LOGIC; signal AXI4_LITE_I_n_14 : STD_LOGIC; signal AXI4_LITE_I_n_15 : STD_LOGIC; signal AXI4_LITE_I_n_16 : STD_LOGIC; signal AXI4_LITE_I_n_17 : STD_LOGIC; signal AXI4_LITE_I_n_18 : STD_LOGIC; signal AXI4_LITE_I_n_19 : STD_LOGIC; signal AXI4_LITE_I_n_20 : STD_LOGIC; signal AXI4_LITE_I_n_21 : STD_LOGIC; signal AXI4_LITE_I_n_22 : STD_LOGIC; signal AXI4_LITE_I_n_23 : STD_LOGIC; signal AXI4_LITE_I_n_27 : STD_LOGIC; signal AXI4_LITE_I_n_28 : STD_LOGIC; signal AXI4_LITE_I_n_29 : STD_LOGIC; signal AXI4_LITE_I_n_30 : STD_LOGIC; signal AXI4_LITE_I_n_31 : STD_LOGIC; signal AXI4_LITE_I_n_32 : STD_LOGIC; signal AXI4_LITE_I_n_33 : STD_LOGIC; signal AXI4_LITE_I_n_34 : STD_LOGIC; signal AXI4_LITE_I_n_35 : STD_LOGIC; signal AXI4_LITE_I_n_36 : STD_LOGIC; signal AXI4_LITE_I_n_37 : STD_LOGIC; signal AXI4_LITE_I_n_38 : STD_LOGIC; signal AXI4_LITE_I_n_39 : STD_LOGIC; signal AXI4_LITE_I_n_4 : STD_LOGIC; signal AXI4_LITE_I_n_40 : STD_LOGIC; signal AXI4_LITE_I_n_41 : STD_LOGIC; signal AXI4_LITE_I_n_42 : STD_LOGIC; signal AXI4_LITE_I_n_43 : STD_LOGIC; signal AXI4_LITE_I_n_44 : STD_LOGIC; signal AXI4_LITE_I_n_45 : STD_LOGIC; signal AXI4_LITE_I_n_46 : STD_LOGIC; signal AXI4_LITE_I_n_47 : STD_LOGIC; signal AXI4_LITE_I_n_48 : STD_LOGIC; signal AXI4_LITE_I_n_49 : STD_LOGIC; signal AXI4_LITE_I_n_5 : STD_LOGIC; signal AXI4_LITE_I_n_50 : STD_LOGIC; signal AXI4_LITE_I_n_51 : STD_LOGIC; signal AXI4_LITE_I_n_52 : STD_LOGIC; signal AXI4_LITE_I_n_53 : STD_LOGIC; signal AXI4_LITE_I_n_54 : STD_LOGIC; signal AXI4_LITE_I_n_55 : STD_LOGIC; signal AXI4_LITE_I_n_56 : STD_LOGIC; signal AXI4_LITE_I_n_57 : STD_LOGIC; signal AXI4_LITE_I_n_58 : STD_LOGIC; signal AXI4_LITE_I_n_59 : STD_LOGIC; signal AXI4_LITE_I_n_6 : STD_LOGIC; signal AXI4_LITE_I_n_60 : STD_LOGIC; signal AXI4_LITE_I_n_65 : STD_LOGIC; signal AXI4_LITE_I_n_66 : STD_LOGIC; signal AXI4_LITE_I_n_67 : STD_LOGIC; signal AXI4_LITE_I_n_68 : STD_LOGIC; signal AXI4_LITE_I_n_69 : STD_LOGIC; signal AXI4_LITE_I_n_7 : STD_LOGIC; signal AXI4_LITE_I_n_70 : STD_LOGIC; signal AXI4_LITE_I_n_71 : STD_LOGIC; signal AXI4_LITE_I_n_72 : STD_LOGIC; signal AXI4_LITE_I_n_73 : STD_LOGIC; signal AXI4_LITE_I_n_74 : STD_LOGIC; signal AXI4_LITE_I_n_75 : STD_LOGIC; signal AXI4_LITE_I_n_76 : STD_LOGIC; signal AXI4_LITE_I_n_77 : STD_LOGIC; signal AXI4_LITE_I_n_78 : STD_LOGIC; signal AXI4_LITE_I_n_79 : STD_LOGIC; signal AXI4_LITE_I_n_8 : STD_LOGIC; signal AXI4_LITE_I_n_80 : STD_LOGIC; signal AXI4_LITE_I_n_81 : STD_LOGIC; signal AXI4_LITE_I_n_82 : STD_LOGIC; signal AXI4_LITE_I_n_83 : STD_LOGIC; signal AXI4_LITE_I_n_84 : STD_LOGIC; signal AXI4_LITE_I_n_85 : STD_LOGIC; signal AXI4_LITE_I_n_86 : STD_LOGIC; signal AXI4_LITE_I_n_87 : STD_LOGIC; signal AXI4_LITE_I_n_88 : STD_LOGIC; signal AXI4_LITE_I_n_89 : STD_LOGIC; signal AXI4_LITE_I_n_9 : STD_LOGIC; signal AXI4_LITE_I_n_90 : STD_LOGIC; signal AXI4_LITE_I_n_91 : STD_LOGIC; signal AXI4_LITE_I_n_92 : STD_LOGIC; signal AXI4_LITE_I_n_93 : STD_LOGIC; signal AXI4_LITE_I_n_94 : STD_LOGIC; signal AXI4_LITE_I_n_95 : STD_LOGIC; signal AXI4_LITE_I_n_97 : STD_LOGIC; signal AXI4_LITE_I_n_98 : STD_LOGIC; signal AXI4_LITE_I_n_99 : STD_LOGIC; signal \COUNTER_0_I/D\ : STD_LOGIC; signal \GEN_SECOND_TIMER.COUNTER_1_I/D\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : STD_LOGIC; signal \TIMER_CONTROL_I/D\ : STD_LOGIC; signal \TIMER_CONTROL_I/pair0_Select\ : STD_LOGIC; signal \TIMER_CONTROL_I/read_done1\ : STD_LOGIC; signal bus2ip_reset : STD_LOGIC; signal bus2ip_wrce : STD_LOGIC_VECTOR ( 0 to 4 ); signal \bus2ip_wrce__0\ : STD_LOGIC_VECTOR ( 5 to 5 ); signal ip2bus_data : STD_LOGIC_VECTOR ( 0 to 31 ); signal read_Mux_In : STD_LOGIC_VECTOR ( 20 to 191 ); signal \^s_axi_wready\ : STD_LOGIC; begin s_axi_awready <= \^s_axi_wready\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_wready <= \^s_axi_wready\; AXI4_LITE_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_lite_ipif port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, D(31) => ip2bus_data(0), D(30) => ip2bus_data(1), D(29) => ip2bus_data(2), D(28) => ip2bus_data(3), D(27) => ip2bus_data(4), D(26) => ip2bus_data(5), D(25) => ip2bus_data(6), D(24) => ip2bus_data(7), D(23) => ip2bus_data(8), D(22) => ip2bus_data(9), D(21) => ip2bus_data(10), D(20) => ip2bus_data(11), D(19) => ip2bus_data(12), D(18) => ip2bus_data(13), D(17) => ip2bus_data(14), D(16) => ip2bus_data(15), D(15) => ip2bus_data(16), D(14) => ip2bus_data(17), D(13) => ip2bus_data(18), D(12) => ip2bus_data(19), D(11) => ip2bus_data(20), D(10) => ip2bus_data(21), D(9) => ip2bus_data(22), D(8) => ip2bus_data(23), D(7) => ip2bus_data(24), D(6) => ip2bus_data(25), D(5) => ip2bus_data(26), D(4) => ip2bus_data(27), D(3) => ip2bus_data(28), D(2) => ip2bus_data(29), D(1) => ip2bus_data(30), D(0) => ip2bus_data(31), D_0 => \GEN_SECOND_TIMER.COUNTER_1_I/D\, D_1 => \COUNTER_0_I/D\, D_2 => \TIMER_CONTROL_I/D\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \LOAD_REG_GEN[10].LOAD_REG_I\ => AXI4_LITE_I_n_51, \LOAD_REG_GEN[10].LOAD_REG_I_0\ => AXI4_LITE_I_n_86, \LOAD_REG_GEN[11].LOAD_REG_I\ => AXI4_LITE_I_n_50, \LOAD_REG_GEN[11].LOAD_REG_I_0\ => AXI4_LITE_I_n_85, \LOAD_REG_GEN[12].LOAD_REG_I\ => AXI4_LITE_I_n_49, \LOAD_REG_GEN[12].LOAD_REG_I_0\ => AXI4_LITE_I_n_84, \LOAD_REG_GEN[13].LOAD_REG_I\ => AXI4_LITE_I_n_48, \LOAD_REG_GEN[13].LOAD_REG_I_0\ => AXI4_LITE_I_n_83, \LOAD_REG_GEN[14].LOAD_REG_I\ => AXI4_LITE_I_n_47, \LOAD_REG_GEN[14].LOAD_REG_I_0\ => AXI4_LITE_I_n_82, \LOAD_REG_GEN[15].LOAD_REG_I\ => AXI4_LITE_I_n_46, \LOAD_REG_GEN[15].LOAD_REG_I_0\ => AXI4_LITE_I_n_81, \LOAD_REG_GEN[16].LOAD_REG_I\ => AXI4_LITE_I_n_45, \LOAD_REG_GEN[16].LOAD_REG_I_0\ => AXI4_LITE_I_n_80, \LOAD_REG_GEN[17].LOAD_REG_I\ => AXI4_LITE_I_n_44, \LOAD_REG_GEN[17].LOAD_REG_I_0\ => AXI4_LITE_I_n_79, \LOAD_REG_GEN[18].LOAD_REG_I\ => AXI4_LITE_I_n_43, \LOAD_REG_GEN[18].LOAD_REG_I_0\ => AXI4_LITE_I_n_78, \LOAD_REG_GEN[19].LOAD_REG_I\ => AXI4_LITE_I_n_42, \LOAD_REG_GEN[19].LOAD_REG_I_0\ => AXI4_LITE_I_n_77, \LOAD_REG_GEN[1].LOAD_REG_I\ => AXI4_LITE_I_n_60, \LOAD_REG_GEN[1].LOAD_REG_I_0\ => AXI4_LITE_I_n_95, \LOAD_REG_GEN[20].LOAD_REG_I\ => AXI4_LITE_I_n_41, \LOAD_REG_GEN[20].LOAD_REG_I_0\ => AXI4_LITE_I_n_76, \LOAD_REG_GEN[21].LOAD_REG_I\ => AXI4_LITE_I_n_40, \LOAD_REG_GEN[21].LOAD_REG_I_0\ => AXI4_LITE_I_n_75, \LOAD_REG_GEN[22].LOAD_REG_I\ => AXI4_LITE_I_n_39, \LOAD_REG_GEN[22].LOAD_REG_I_0\ => AXI4_LITE_I_n_74, \LOAD_REG_GEN[23].LOAD_REG_I\ => AXI4_LITE_I_n_38, \LOAD_REG_GEN[23].LOAD_REG_I_0\ => AXI4_LITE_I_n_73, \LOAD_REG_GEN[24].LOAD_REG_I\ => AXI4_LITE_I_n_37, \LOAD_REG_GEN[24].LOAD_REG_I_0\ => AXI4_LITE_I_n_72, \LOAD_REG_GEN[25].LOAD_REG_I\ => AXI4_LITE_I_n_36, \LOAD_REG_GEN[25].LOAD_REG_I_0\ => AXI4_LITE_I_n_71, \LOAD_REG_GEN[26].LOAD_REG_I\ => AXI4_LITE_I_n_35, \LOAD_REG_GEN[26].LOAD_REG_I_0\ => AXI4_LITE_I_n_70, \LOAD_REG_GEN[27].LOAD_REG_I\ => AXI4_LITE_I_n_34, \LOAD_REG_GEN[27].LOAD_REG_I_0\ => AXI4_LITE_I_n_69, \LOAD_REG_GEN[28].LOAD_REG_I\ => AXI4_LITE_I_n_33, \LOAD_REG_GEN[28].LOAD_REG_I_0\ => AXI4_LITE_I_n_68, \LOAD_REG_GEN[29].LOAD_REG_I\ => AXI4_LITE_I_n_32, \LOAD_REG_GEN[29].LOAD_REG_I_0\ => AXI4_LITE_I_n_67, \LOAD_REG_GEN[2].LOAD_REG_I\ => AXI4_LITE_I_n_59, \LOAD_REG_GEN[2].LOAD_REG_I_0\ => AXI4_LITE_I_n_94, \LOAD_REG_GEN[30].LOAD_REG_I\ => AXI4_LITE_I_n_31, \LOAD_REG_GEN[30].LOAD_REG_I_0\ => AXI4_LITE_I_n_66, \LOAD_REG_GEN[31].LOAD_REG_I\ => AXI4_LITE_I_n_30, \LOAD_REG_GEN[31].LOAD_REG_I_0\ => AXI4_LITE_I_n_65, \LOAD_REG_GEN[3].LOAD_REG_I\ => AXI4_LITE_I_n_58, \LOAD_REG_GEN[3].LOAD_REG_I_0\ => AXI4_LITE_I_n_93, \LOAD_REG_GEN[4].LOAD_REG_I\ => AXI4_LITE_I_n_57, \LOAD_REG_GEN[4].LOAD_REG_I_0\ => AXI4_LITE_I_n_92, \LOAD_REG_GEN[5].LOAD_REG_I\ => AXI4_LITE_I_n_56, \LOAD_REG_GEN[5].LOAD_REG_I_0\ => AXI4_LITE_I_n_91, \LOAD_REG_GEN[6].LOAD_REG_I\ => AXI4_LITE_I_n_55, \LOAD_REG_GEN[6].LOAD_REG_I_0\ => AXI4_LITE_I_n_90, \LOAD_REG_GEN[7].LOAD_REG_I\ => AXI4_LITE_I_n_54, \LOAD_REG_GEN[7].LOAD_REG_I_0\ => AXI4_LITE_I_n_89, \LOAD_REG_GEN[8].LOAD_REG_I\ => AXI4_LITE_I_n_53, \LOAD_REG_GEN[8].LOAD_REG_I_0\ => AXI4_LITE_I_n_88, \LOAD_REG_GEN[9].LOAD_REG_I\ => AXI4_LITE_I_n_52, \LOAD_REG_GEN[9].LOAD_REG_I_0\ => AXI4_LITE_I_n_87, READ_DONE0_I => AXI4_LITE_I_n_105, READ_DONE1_I => AXI4_LITE_I_n_106, \TCSR0_GENERATE[23].TCSR0_FF_I\ => AXI4_LITE_I_n_100, \TCSR0_GENERATE[24].TCSR0_FF_I\ => AXI4_LITE_I_n_28, \TCSR1_GENERATE[23].TCSR1_FF_I\ => AXI4_LITE_I_n_101, \TCSR1_GENERATE[24].TCSR1_FF_I\ => AXI4_LITE_I_n_29, bus2ip_reset => bus2ip_reset, bus2ip_wrce(1) => bus2ip_wrce(0), bus2ip_wrce(0) => bus2ip_wrce(4), \bus2ip_wrce__0\(0) => \bus2ip_wrce__0\(5), pair0_Select => \TIMER_CONTROL_I/pair0_Select\, read_Mux_In(87) => read_Mux_In(20), read_Mux_In(86) => read_Mux_In(24), read_Mux_In(85) => read_Mux_In(56), read_Mux_In(84) => read_Mux_In(64), read_Mux_In(83) => read_Mux_In(65), read_Mux_In(82) => read_Mux_In(66), read_Mux_In(81) => read_Mux_In(67), read_Mux_In(80) => read_Mux_In(68), read_Mux_In(79) => read_Mux_In(69), read_Mux_In(78) => read_Mux_In(70), read_Mux_In(77) => read_Mux_In(71), read_Mux_In(76) => read_Mux_In(72), read_Mux_In(75) => read_Mux_In(73), read_Mux_In(74) => read_Mux_In(74), read_Mux_In(73) => read_Mux_In(75), read_Mux_In(72) => read_Mux_In(76), read_Mux_In(71) => read_Mux_In(77), read_Mux_In(70) => read_Mux_In(78), read_Mux_In(69) => read_Mux_In(79), read_Mux_In(68) => read_Mux_In(80), read_Mux_In(67) => read_Mux_In(81), read_Mux_In(66) => read_Mux_In(82), read_Mux_In(65) => read_Mux_In(83), read_Mux_In(64) => read_Mux_In(84), read_Mux_In(63) => read_Mux_In(128), read_Mux_In(62) => read_Mux_In(129), read_Mux_In(61) => read_Mux_In(130), read_Mux_In(60) => read_Mux_In(131), read_Mux_In(59) => read_Mux_In(132), read_Mux_In(58) => read_Mux_In(133), read_Mux_In(57) => read_Mux_In(134), read_Mux_In(56) => read_Mux_In(135), read_Mux_In(55) => read_Mux_In(136), read_Mux_In(54) => read_Mux_In(137), read_Mux_In(53) => read_Mux_In(138), read_Mux_In(52) => read_Mux_In(139), read_Mux_In(51) => read_Mux_In(140), read_Mux_In(50) => read_Mux_In(141), read_Mux_In(49) => read_Mux_In(142), read_Mux_In(48) => read_Mux_In(143), read_Mux_In(47) => read_Mux_In(144), read_Mux_In(46) => read_Mux_In(145), read_Mux_In(45) => read_Mux_In(146), read_Mux_In(44) => read_Mux_In(147), read_Mux_In(43) => read_Mux_In(148), read_Mux_In(42) => read_Mux_In(149), read_Mux_In(41) => read_Mux_In(150), read_Mux_In(40) => read_Mux_In(151), read_Mux_In(39) => read_Mux_In(152), read_Mux_In(38) => read_Mux_In(153), read_Mux_In(37) => read_Mux_In(154), read_Mux_In(36) => read_Mux_In(155), read_Mux_In(35) => read_Mux_In(156), read_Mux_In(34) => read_Mux_In(157), read_Mux_In(33) => read_Mux_In(158), read_Mux_In(32) => read_Mux_In(159), read_Mux_In(31) => read_Mux_In(160), read_Mux_In(30) => read_Mux_In(161), read_Mux_In(29) => read_Mux_In(162), read_Mux_In(28) => read_Mux_In(163), read_Mux_In(27) => read_Mux_In(164), read_Mux_In(26) => read_Mux_In(165), read_Mux_In(25) => read_Mux_In(166), read_Mux_In(24) => read_Mux_In(167), read_Mux_In(23) => read_Mux_In(168), read_Mux_In(22) => read_Mux_In(169), read_Mux_In(21) => read_Mux_In(170), read_Mux_In(20) => read_Mux_In(171), read_Mux_In(19) => read_Mux_In(172), read_Mux_In(18) => read_Mux_In(173), read_Mux_In(17) => read_Mux_In(174), read_Mux_In(16) => read_Mux_In(175), read_Mux_In(15) => read_Mux_In(176), read_Mux_In(14) => read_Mux_In(177), read_Mux_In(13) => read_Mux_In(178), read_Mux_In(12) => read_Mux_In(179), read_Mux_In(11) => read_Mux_In(180), read_Mux_In(10) => read_Mux_In(181), read_Mux_In(9) => read_Mux_In(182), read_Mux_In(8) => read_Mux_In(183), read_Mux_In(7) => read_Mux_In(184), read_Mux_In(6) => read_Mux_In(185), read_Mux_In(5) => read_Mux_In(186), read_Mux_In(4) => read_Mux_In(187), read_Mux_In(3) => read_Mux_In(188), read_Mux_In(2) => read_Mux_In(189), read_Mux_In(1) => read_Mux_In(190), read_Mux_In(0) => read_Mux_In(191), read_done1 => \TIMER_CONTROL_I/read_done1\, s_axi_aclk => s_axi_aclk, s_axi_araddr(2 downto 0) => s_axi_araddr(4 downto 2), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2 downto 0) => s_axi_awaddr(4 downto 2), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), \s_axi_rdata_i_reg[0]\ => AXI4_LITE_I_n_103, \s_axi_rdata_i_reg[0]_0\ => AXI4_LITE_I_n_104, \s_axi_rdata_i_reg[10]\ => AXI4_LITE_I_n_102, \s_axi_rdata_i_reg[11]\ => AXI4_LITE_I_n_27, \s_axi_rdata_i_reg[12]\ => AXI4_LITE_I_n_4, \s_axi_rdata_i_reg[13]\ => AXI4_LITE_I_n_5, \s_axi_rdata_i_reg[14]\ => AXI4_LITE_I_n_6, \s_axi_rdata_i_reg[15]\ => AXI4_LITE_I_n_7, \s_axi_rdata_i_reg[16]\ => AXI4_LITE_I_n_8, \s_axi_rdata_i_reg[17]\ => AXI4_LITE_I_n_9, \s_axi_rdata_i_reg[18]\ => AXI4_LITE_I_n_10, \s_axi_rdata_i_reg[19]\ => AXI4_LITE_I_n_11, \s_axi_rdata_i_reg[20]\ => AXI4_LITE_I_n_12, \s_axi_rdata_i_reg[21]\ => AXI4_LITE_I_n_13, \s_axi_rdata_i_reg[22]\ => AXI4_LITE_I_n_14, \s_axi_rdata_i_reg[23]\ => AXI4_LITE_I_n_15, \s_axi_rdata_i_reg[24]\ => AXI4_LITE_I_n_16, \s_axi_rdata_i_reg[25]\ => AXI4_LITE_I_n_17, \s_axi_rdata_i_reg[26]\ => AXI4_LITE_I_n_18, \s_axi_rdata_i_reg[27]\ => AXI4_LITE_I_n_19, \s_axi_rdata_i_reg[28]\ => AXI4_LITE_I_n_20, \s_axi_rdata_i_reg[29]\ => AXI4_LITE_I_n_21, \s_axi_rdata_i_reg[30]\ => AXI4_LITE_I_n_22, \s_axi_rdata_i_reg[31]\ => AXI4_LITE_I_n_23, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_rvalid_i_reg => AXI4_LITE_I_n_97, s_axi_rvalid_i_reg_0 => AXI4_LITE_I_n_98, s_axi_rvalid_i_reg_1 => AXI4_LITE_I_n_99, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => \^s_axi_wready\, s_axi_wvalid => s_axi_wvalid ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); TC_CORE_I: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_tc_core port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, Bus_RNW_reg_reg => AXI4_LITE_I_n_23, Bus_RNW_reg_reg_0 => AXI4_LITE_I_n_22, Bus_RNW_reg_reg_1 => AXI4_LITE_I_n_21, Bus_RNW_reg_reg_10 => AXI4_LITE_I_n_12, Bus_RNW_reg_reg_11 => AXI4_LITE_I_n_11, Bus_RNW_reg_reg_12 => AXI4_LITE_I_n_10, Bus_RNW_reg_reg_13 => AXI4_LITE_I_n_9, Bus_RNW_reg_reg_14 => AXI4_LITE_I_n_8, Bus_RNW_reg_reg_15 => AXI4_LITE_I_n_7, Bus_RNW_reg_reg_16 => AXI4_LITE_I_n_6, Bus_RNW_reg_reg_17 => AXI4_LITE_I_n_5, Bus_RNW_reg_reg_18 => AXI4_LITE_I_n_4, Bus_RNW_reg_reg_2 => AXI4_LITE_I_n_20, Bus_RNW_reg_reg_3 => AXI4_LITE_I_n_19, Bus_RNW_reg_reg_4 => AXI4_LITE_I_n_18, Bus_RNW_reg_reg_5 => AXI4_LITE_I_n_17, Bus_RNW_reg_reg_6 => AXI4_LITE_I_n_16, Bus_RNW_reg_reg_7 => AXI4_LITE_I_n_15, Bus_RNW_reg_reg_8 => AXI4_LITE_I_n_14, Bus_RNW_reg_reg_9 => AXI4_LITE_I_n_13, D(31) => ip2bus_data(0), D(30) => ip2bus_data(1), D(29) => ip2bus_data(2), D(28) => ip2bus_data(3), D(27) => ip2bus_data(4), D(26) => ip2bus_data(5), D(25) => ip2bus_data(6), D(24) => ip2bus_data(7), D(23) => ip2bus_data(8), D(22) => ip2bus_data(9), D(21) => ip2bus_data(10), D(20) => ip2bus_data(11), D(19) => ip2bus_data(12), D(18) => ip2bus_data(13), D(17) => ip2bus_data(14), D(16) => ip2bus_data(15), D(15) => ip2bus_data(16), D(14) => ip2bus_data(17), D(13) => ip2bus_data(18), D(12) => ip2bus_data(19), D(11) => ip2bus_data(20), D(10) => ip2bus_data(21), D(9) => ip2bus_data(22), D(8) => ip2bus_data(23), D(7) => ip2bus_data(24), D(6) => ip2bus_data(25), D(5) => ip2bus_data(26), D(4) => ip2bus_data(27), D(3) => ip2bus_data(28), D(2) => ip2bus_data(29), D(1) => ip2bus_data(30), D(0) => ip2bus_data(31), D_0 => \TIMER_CONTROL_I/D\, D_1 => \COUNTER_0_I/D\, D_2 => \GEN_SECOND_TIMER.COUNTER_1_I/D\, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]\ => AXI4_LITE_I_n_100, \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg[0]_0\ => AXI4_LITE_I_n_102, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => AXI4_LITE_I_n_95, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => AXI4_LITE_I_n_94, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ => AXI4_LITE_I_n_93, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_10\ => AXI4_LITE_I_n_84, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_11\ => AXI4_LITE_I_n_83, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_12\ => AXI4_LITE_I_n_82, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_13\ => AXI4_LITE_I_n_81, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_14\ => AXI4_LITE_I_n_80, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_15\ => AXI4_LITE_I_n_79, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_16\ => AXI4_LITE_I_n_78, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_17\ => AXI4_LITE_I_n_77, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_18\ => AXI4_LITE_I_n_76, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_19\ => AXI4_LITE_I_n_75, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_2\ => AXI4_LITE_I_n_92, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_20\ => AXI4_LITE_I_n_74, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_21\ => AXI4_LITE_I_n_73, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_22\ => AXI4_LITE_I_n_72, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_23\ => AXI4_LITE_I_n_71, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_24\ => AXI4_LITE_I_n_70, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_25\ => AXI4_LITE_I_n_69, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_26\ => AXI4_LITE_I_n_68, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_27\ => AXI4_LITE_I_n_67, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_28\ => AXI4_LITE_I_n_66, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_29\ => AXI4_LITE_I_n_65, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_3\ => AXI4_LITE_I_n_91, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_30\ => AXI4_LITE_I_n_105, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_31\ => AXI4_LITE_I_n_97, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_4\ => AXI4_LITE_I_n_90, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_5\ => AXI4_LITE_I_n_89, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_6\ => AXI4_LITE_I_n_88, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_7\ => AXI4_LITE_I_n_87, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_8\ => AXI4_LITE_I_n_86, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_9\ => AXI4_LITE_I_n_85, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => AXI4_LITE_I_n_99, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => AXI4_LITE_I_n_101, \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => AXI4_LITE_I_n_98, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ => AXI4_LITE_I_n_106, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ => AXI4_LITE_I_n_103, \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg[6]\ => AXI4_LITE_I_n_104, \INFERRED_GEN.icount_out_reg[0]\(87) => read_Mux_In(20), \INFERRED_GEN.icount_out_reg[0]\(86) => read_Mux_In(24), \INFERRED_GEN.icount_out_reg[0]\(85) => read_Mux_In(56), \INFERRED_GEN.icount_out_reg[0]\(84) => read_Mux_In(64), \INFERRED_GEN.icount_out_reg[0]\(83) => read_Mux_In(65), \INFERRED_GEN.icount_out_reg[0]\(82) => read_Mux_In(66), \INFERRED_GEN.icount_out_reg[0]\(81) => read_Mux_In(67), \INFERRED_GEN.icount_out_reg[0]\(80) => read_Mux_In(68), \INFERRED_GEN.icount_out_reg[0]\(79) => read_Mux_In(69), \INFERRED_GEN.icount_out_reg[0]\(78) => read_Mux_In(70), \INFERRED_GEN.icount_out_reg[0]\(77) => read_Mux_In(71), \INFERRED_GEN.icount_out_reg[0]\(76) => read_Mux_In(72), \INFERRED_GEN.icount_out_reg[0]\(75) => read_Mux_In(73), \INFERRED_GEN.icount_out_reg[0]\(74) => read_Mux_In(74), \INFERRED_GEN.icount_out_reg[0]\(73) => read_Mux_In(75), \INFERRED_GEN.icount_out_reg[0]\(72) => read_Mux_In(76), \INFERRED_GEN.icount_out_reg[0]\(71) => read_Mux_In(77), \INFERRED_GEN.icount_out_reg[0]\(70) => read_Mux_In(78), \INFERRED_GEN.icount_out_reg[0]\(69) => read_Mux_In(79), \INFERRED_GEN.icount_out_reg[0]\(68) => read_Mux_In(80), \INFERRED_GEN.icount_out_reg[0]\(67) => read_Mux_In(81), \INFERRED_GEN.icount_out_reg[0]\(66) => read_Mux_In(82), \INFERRED_GEN.icount_out_reg[0]\(65) => read_Mux_In(83), \INFERRED_GEN.icount_out_reg[0]\(64) => read_Mux_In(84), \INFERRED_GEN.icount_out_reg[0]\(63) => read_Mux_In(128), \INFERRED_GEN.icount_out_reg[0]\(62) => read_Mux_In(129), \INFERRED_GEN.icount_out_reg[0]\(61) => read_Mux_In(130), \INFERRED_GEN.icount_out_reg[0]\(60) => read_Mux_In(131), \INFERRED_GEN.icount_out_reg[0]\(59) => read_Mux_In(132), \INFERRED_GEN.icount_out_reg[0]\(58) => read_Mux_In(133), \INFERRED_GEN.icount_out_reg[0]\(57) => read_Mux_In(134), \INFERRED_GEN.icount_out_reg[0]\(56) => read_Mux_In(135), \INFERRED_GEN.icount_out_reg[0]\(55) => read_Mux_In(136), \INFERRED_GEN.icount_out_reg[0]\(54) => read_Mux_In(137), \INFERRED_GEN.icount_out_reg[0]\(53) => read_Mux_In(138), \INFERRED_GEN.icount_out_reg[0]\(52) => read_Mux_In(139), \INFERRED_GEN.icount_out_reg[0]\(51) => read_Mux_In(140), \INFERRED_GEN.icount_out_reg[0]\(50) => read_Mux_In(141), \INFERRED_GEN.icount_out_reg[0]\(49) => read_Mux_In(142), \INFERRED_GEN.icount_out_reg[0]\(48) => read_Mux_In(143), \INFERRED_GEN.icount_out_reg[0]\(47) => read_Mux_In(144), \INFERRED_GEN.icount_out_reg[0]\(46) => read_Mux_In(145), \INFERRED_GEN.icount_out_reg[0]\(45) => read_Mux_In(146), \INFERRED_GEN.icount_out_reg[0]\(44) => read_Mux_In(147), \INFERRED_GEN.icount_out_reg[0]\(43) => read_Mux_In(148), \INFERRED_GEN.icount_out_reg[0]\(42) => read_Mux_In(149), \INFERRED_GEN.icount_out_reg[0]\(41) => read_Mux_In(150), \INFERRED_GEN.icount_out_reg[0]\(40) => read_Mux_In(151), \INFERRED_GEN.icount_out_reg[0]\(39) => read_Mux_In(152), \INFERRED_GEN.icount_out_reg[0]\(38) => read_Mux_In(153), \INFERRED_GEN.icount_out_reg[0]\(37) => read_Mux_In(154), \INFERRED_GEN.icount_out_reg[0]\(36) => read_Mux_In(155), \INFERRED_GEN.icount_out_reg[0]\(35) => read_Mux_In(156), \INFERRED_GEN.icount_out_reg[0]\(34) => read_Mux_In(157), \INFERRED_GEN.icount_out_reg[0]\(33) => read_Mux_In(158), \INFERRED_GEN.icount_out_reg[0]\(32) => read_Mux_In(159), \INFERRED_GEN.icount_out_reg[0]\(31) => read_Mux_In(160), \INFERRED_GEN.icount_out_reg[0]\(30) => read_Mux_In(161), \INFERRED_GEN.icount_out_reg[0]\(29) => read_Mux_In(162), \INFERRED_GEN.icount_out_reg[0]\(28) => read_Mux_In(163), \INFERRED_GEN.icount_out_reg[0]\(27) => read_Mux_In(164), \INFERRED_GEN.icount_out_reg[0]\(26) => read_Mux_In(165), \INFERRED_GEN.icount_out_reg[0]\(25) => read_Mux_In(166), \INFERRED_GEN.icount_out_reg[0]\(24) => read_Mux_In(167), \INFERRED_GEN.icount_out_reg[0]\(23) => read_Mux_In(168), \INFERRED_GEN.icount_out_reg[0]\(22) => read_Mux_In(169), \INFERRED_GEN.icount_out_reg[0]\(21) => read_Mux_In(170), \INFERRED_GEN.icount_out_reg[0]\(20) => read_Mux_In(171), \INFERRED_GEN.icount_out_reg[0]\(19) => read_Mux_In(172), \INFERRED_GEN.icount_out_reg[0]\(18) => read_Mux_In(173), \INFERRED_GEN.icount_out_reg[0]\(17) => read_Mux_In(174), \INFERRED_GEN.icount_out_reg[0]\(16) => read_Mux_In(175), \INFERRED_GEN.icount_out_reg[0]\(15) => read_Mux_In(176), \INFERRED_GEN.icount_out_reg[0]\(14) => read_Mux_In(177), \INFERRED_GEN.icount_out_reg[0]\(13) => read_Mux_In(178), \INFERRED_GEN.icount_out_reg[0]\(12) => read_Mux_In(179), \INFERRED_GEN.icount_out_reg[0]\(11) => read_Mux_In(180), \INFERRED_GEN.icount_out_reg[0]\(10) => read_Mux_In(181), \INFERRED_GEN.icount_out_reg[0]\(9) => read_Mux_In(182), \INFERRED_GEN.icount_out_reg[0]\(8) => read_Mux_In(183), \INFERRED_GEN.icount_out_reg[0]\(7) => read_Mux_In(184), \INFERRED_GEN.icount_out_reg[0]\(6) => read_Mux_In(185), \INFERRED_GEN.icount_out_reg[0]\(5) => read_Mux_In(186), \INFERRED_GEN.icount_out_reg[0]\(4) => read_Mux_In(187), \INFERRED_GEN.icount_out_reg[0]\(3) => read_Mux_In(188), \INFERRED_GEN.icount_out_reg[0]\(2) => read_Mux_In(189), \INFERRED_GEN.icount_out_reg[0]\(1) => read_Mux_In(190), \INFERRED_GEN.icount_out_reg[0]\(0) => read_Mux_In(191), \INFERRED_GEN.icount_out_reg[0]_0\ => AXI4_LITE_I_n_30, \INFERRED_GEN.icount_out_reg[10]\ => AXI4_LITE_I_n_40, \INFERRED_GEN.icount_out_reg[11]\ => AXI4_LITE_I_n_41, \INFERRED_GEN.icount_out_reg[12]\ => AXI4_LITE_I_n_42, \INFERRED_GEN.icount_out_reg[13]\ => AXI4_LITE_I_n_43, \INFERRED_GEN.icount_out_reg[14]\ => AXI4_LITE_I_n_44, \INFERRED_GEN.icount_out_reg[15]\ => AXI4_LITE_I_n_45, \INFERRED_GEN.icount_out_reg[16]\ => AXI4_LITE_I_n_46, \INFERRED_GEN.icount_out_reg[17]\ => AXI4_LITE_I_n_47, \INFERRED_GEN.icount_out_reg[18]\ => AXI4_LITE_I_n_48, \INFERRED_GEN.icount_out_reg[19]\ => AXI4_LITE_I_n_49, \INFERRED_GEN.icount_out_reg[1]\ => AXI4_LITE_I_n_31, \INFERRED_GEN.icount_out_reg[20]\ => AXI4_LITE_I_n_50, \INFERRED_GEN.icount_out_reg[21]\ => AXI4_LITE_I_n_51, \INFERRED_GEN.icount_out_reg[22]\ => AXI4_LITE_I_n_52, \INFERRED_GEN.icount_out_reg[23]\ => AXI4_LITE_I_n_53, \INFERRED_GEN.icount_out_reg[24]\ => AXI4_LITE_I_n_54, \INFERRED_GEN.icount_out_reg[25]\ => AXI4_LITE_I_n_55, \INFERRED_GEN.icount_out_reg[26]\ => AXI4_LITE_I_n_56, \INFERRED_GEN.icount_out_reg[27]\ => AXI4_LITE_I_n_57, \INFERRED_GEN.icount_out_reg[28]\ => AXI4_LITE_I_n_58, \INFERRED_GEN.icount_out_reg[29]\ => AXI4_LITE_I_n_59, \INFERRED_GEN.icount_out_reg[2]\ => AXI4_LITE_I_n_32, \INFERRED_GEN.icount_out_reg[30]\ => AXI4_LITE_I_n_60, \INFERRED_GEN.icount_out_reg[3]\ => AXI4_LITE_I_n_33, \INFERRED_GEN.icount_out_reg[4]\ => AXI4_LITE_I_n_34, \INFERRED_GEN.icount_out_reg[5]\ => AXI4_LITE_I_n_35, \INFERRED_GEN.icount_out_reg[6]\ => AXI4_LITE_I_n_36, \INFERRED_GEN.icount_out_reg[7]\ => AXI4_LITE_I_n_37, \INFERRED_GEN.icount_out_reg[8]\ => AXI4_LITE_I_n_38, \INFERRED_GEN.icount_out_reg[9]\ => AXI4_LITE_I_n_39, \LOAD_REG_GEN[20].LOAD_REG_I\ => AXI4_LITE_I_n_27, \TCSR0_GENERATE[24].TCSR0_FF_I\ => AXI4_LITE_I_n_28, \TCSR1_GENERATE[24].TCSR1_FF_I\ => AXI4_LITE_I_n_29, bus2ip_reset => bus2ip_reset, bus2ip_wrce(1) => bus2ip_wrce(0), bus2ip_wrce(0) => bus2ip_wrce(4), \bus2ip_wrce__0\(0) => \bus2ip_wrce__0\(5), capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, freeze => freeze, generateout0 => generateout0, generateout1 => generateout1, interrupt => interrupt, pair0_Select => \TIMER_CONTROL_I/pair0_Select\, pwm0 => pwm0, read_done1 => \TIMER_CONTROL_I/read_done1\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_wdata(9 downto 7) => s_axi_wdata(11 downto 9), s_axi_wdata(6 downto 0) => s_axi_wdata(6 downto 0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( capturetrig0 : in STD_LOGIC; capturetrig1 : in STD_LOGIC; generateout0 : out STD_LOGIC; generateout1 : out STD_LOGIC; pwm0 : out STD_LOGIC; interrupt : out STD_LOGIC; freeze : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 4 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 4 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zqynq_lab_1_design_axi_timer_0_1,axi_timer,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute x_core_info : string; attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_timer,Vivado 2017.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute C_COUNT_WIDTH : integer; attribute C_COUNT_WIDTH of U0 : label is 32; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "zynq"; attribute C_GEN0_ASSERT : string; attribute C_GEN0_ASSERT of U0 : label is "1'b1"; attribute C_GEN1_ASSERT : string; attribute C_GEN1_ASSERT of U0 : label is "1'b1"; attribute C_ONE_TIMER_ONLY : integer; attribute C_ONE_TIMER_ONLY of U0 : label is 0; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 5; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_TRIG0_ASSERT : string; attribute C_TRIG0_ASSERT of U0 : label is "1'b1"; attribute C_TRIG1_ASSERT : string; attribute C_TRIG1_ASSERT of U0 : label is "1'b1"; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; begin U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_timer port map ( capturetrig0 => capturetrig0, capturetrig1 => capturetrig1, freeze => freeze, generateout0 => generateout0, generateout1 => generateout1, interrupt => interrupt, pwm0 => pwm0, s_axi_aclk => s_axi_aclk, s_axi_araddr(4 downto 0) => s_axi_araddr(4 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(4 downto 0) => s_axi_awaddr(4 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
MarkBlanco/FPGA_Sandbox
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ipshared/7b8d/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd
7
95183
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block UFDIHZ8tPohvQ03JKl8Ab7VCFwan82VcEoPv4kFqeG/t/q+tLoLax1xt43dYL6YMLLWzb3obUAnu ys/b40MHXQ== `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pqzAfVAmb8fi0dLqvnsTBpCZz3siUrZ1FgOwLGzt0bg2hOh47Xqrk1LWaLKGWozqy3RdI2m3ObMH y403dy06+CdtDBo/6URw5gTko1vPNPr/dwn80wH6aEtBS7CY8kCmmubcbzpUUIxDePjzQJ51+R/X 4y8zECfAFiY9BjBoOUo= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YIAIoivYuovUhP/9NtCBzxxswEZ+ZplrKywj55uiiM7AEtwBCTn18nv+DzzQvycAV+WyERfocHCy zRoddyZDvRjX0yf/BJi4fb4MnGonSl00l2DrDY+hZfgUC66Jo8dFsKs3HfrDMpgpKWH2pFXV4hom Je7afzxjSKIckgSFd5o0u9mCfyVgcLVE2elH4jsDn3/PuyFsgI7gXjXJayKJIx/WH3IBBEM5NrT2 vBYhCpm2BKwbqadcgQN1+xT97D/ikBYNEAEUBviCU9FhIk6qtY3tBT0IT2rkZHyrn1v/+hOBdgOp mKiWqWon9Z+5MiXTEvhDFy9cHC3WO0T6bPlWiA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Sslej6prXSX3TzxLu3EZP/PM3gfH2jDtWIa8w95/mlBkOBK1KrW1UAW4a0lubYhCBQHbLCFU1qt3 aCZPN/W7cncenyrK6YpUVhQgrU58PRU5TJjpax3tkh+X+gb1Qt4zjdtgyzMI5IyX5BVVkXdpOh3b YUHIVxX2kM8j+UgpoA7aAl5NFN4Q3m6HImtkDKmBv0UYyPyQFclgtL3f/vpp7y2/Gcwhc7EC2gS/ lz9jwpn24amq2kRVYKTSgZ5Lc/jWpXdGca5U6i7+5IiKMzbG6Z/mxBI09zYo9fQCAwX/2wtb6bHN 7tavms7epBGKWZBtNd3ZMRGw/LS7kn7dForryQ== `protect key_keyowner = "Xilinx", key_keyname = "xilinxt_2017_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block EoimSjPZDMxx1VE1u42hP0ikj2V/18NF2gZ+R1emuTdv6R8kWzueyyoHutlGLeKHiqEJoiBzZe8c /V07BKe6xOfcJcb1JfuUJgX5ZjibaxD6a2X8tP42BsHeWzdIZo8cvjCLcHiTbS1vEEF8bCSu40pj hrsqFUZfVR+1kQmTRuFdzsXTG5tuclNAoN/W2ZbZQQHsPG+YT6Rl16RwYjQ6OGvDsV/MFjS3yPc7 Ol2lebbdoJLlY587okdXjaaQFzIOx288GEw4ucsel/ieu/epPFAVAafN/BXxUsRSA3bi69J4y5Cj K2Ojh3qXrHDMM7wozLec/AZ3P4zHZXP3QbjuQA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VELOCE-RSA", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lGGsZQK9vhPrgtjZ399S8UA4UpHskaLxhHf6L5Hg5dap3rQjD+CsaXaNH1LkzCXkSdnAUtk5pgHn DD4ew4oJm3DrZ948XAIvMWZY0vvIaow5H8f5Se3uElzzMiHIjNnSGA/YQToU1sSQAFh5m+xE+6Qa Vfre0bnCTcAtnbbiI6U= `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-2", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GcLBRfEPT+xNmXc6IQDhilG0BhL/UDB3In8u+jIhr96QfMuGqk3mQr53HJ/CPQEDtN/V4Kw9irdJ VjGXJEYS/ciK8aSMPPxIs/fYacGEjfNm0uIU58mJNbe8UiApZLERMmIPJsVfaZ4Xs3kDzu513vCy hzXD1NIwDXXE73PxbIP/F4a0ATsKQsn8G19DmmDqqI/UyBMrDBSfHJ7iGowCennoKUZCaeS5VeJE JNMo2L2J0O3lCck5qg2S80AKfpiiinUSehSIi0swk0Okw5XsmW1eaRPzXLTbAwTtGi55G6SADn3K 1huspOZyosgsS9+IAT7XWhJt7X9QxPOb+iut5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920) `protect data_block +yn8KQFsoTcMLTD4v0Hresj522kutCVSi31/VkizV7SGcmDZOHFbu5wQPFYZB/ojKfYZ6J5yMD3i fTZeVzEqKqpzX6G7lJqyB3/tEjKUpjU5hvTNoeOTRqA5/zAGOqZyuOVxNdR7CmMvM+pIYB5SqGsU 4YzmxB9TXBvV886skwXbrMySDBOFQix0Ezt8a4FjkujQuJtw4RikQCx27PwKHS5Z811rS+aoxpVA bYuvLd8Mq5huwwOesWwsiTVEbPOG4+S/g5eQd0Xh7AIF4MPuMewQ95eQ9X5UWsGTrqwCwvJ0B8y5 C7LSYzCUUT4srzfdKUt5V0MltPtzOYk+566zYv2hNk+u3lfzDiW2IJbet2h1nqHJIna91XCm5f7i rznKhkOov1qiwA4hwSdcLqOStBxeIHBdimDOFeQvM/p8E1iNqPlqFM2O42MiLwZHRdKYS7BHOk2F OQ6J4IhfzurluPj/EFdAcQ99olxr1ITlC1594+QW9DhWQSQE6sn8NFiev3p9Y2qJffkO+4kLkTsw pOIfCo1M4d/+EUccM8/5Ak3RB2uCu8ZzNxaHOFBxKqCuu4lisS/ISTpA344gagbct8AgFz57WtHZ SJQRB2NMyE9cWPrygDyVZIs0N6U11/4jR+spgCKOpOuGh24UKoQ4U5/RnPw4dMPgBDUBqvbCicr1 VSl57cfW0pkr7qb/qRlFBl+FMinSwTfIxahitmm4GBb/TW2j01VCQfTkBq6NsLvGPLQ/5i63y0Zd Jivka/lxrUfBz/SX0uCzgEuWDOIQjFESgBPsC09Trx4tYr0ng9N37CX+5geCmZfnxc8kuBLRwzQG DhtdB23491MbV3iolOXGXwFLvb1VqkNCc3Hd4uX/BC4N104ELxWM5PliJ+gb7wO//WX0GDRqObEH XDhRlMFSpz2VoUza8bxYrCgrYqJcpKzlasXQt5poK4jIOktv5UpFzGNS/ZMTSQZxRnogk9mP5mJm x8ajonTNTlv/i6xX0ZPqh4mRHeSwI28PNGz6gYZX+Nm2iTAgl+M+K7z4eXu8/6OB9K2vMYfZsAo7 vRVGngsrNhuhVz53bL4pRam3we6vBgPBv9rNNd91+7tOpdWu6sbkfJ++0H8rV69eEJvFsfj64zFZ lh3Yeyc+7ZwUYs8M1M8INXBzq70LBsOl/QHRoCMXue4DSwnxVTeP0+VtJHcr4/o8WewXbjt1n4NL CngsMBvsI2d/Wy1Zi8nUA/arnuyeoPll4Kb5Qjqx6m80+S8Hb/61Wu2s3K51JVPhiO6cKMavZ8uj MJlPJcl9UPMP7LeYHz6mWEXqFeuHNiKBoVJeOKmRwTCxUGKRjmJDum2L64D9IwYUAQH2MnDhTi+q C40O5n8/CG+T/FrCSh5vmEPVqzoYOnGZaRxf+q1XI25SnI1wSidf6HxrSL695O6KgXtNCy7XgRd7 t9yLohi7Y4NkB681Dj725YYvKXyn76bQNveFznD59p0W14LjrGJaIYli36jIqaoSaVq7sNWgJS7d 22IcZOX94H7z8IS4Kbx1zMM/PFu395LOuQXWo4/4Q+jSF2ONa15kdcEjixOyk7rDy/U8UOEIorxR vtG8qhH45eYsIjsN4agh6CnHtt1hW4iu0s1vqdUouV1Oke2tvdlkRFulbTvi0l05bAjh5Qzwtrpv 1RlowfDUDyrE+vLoKvGtyYHVTucE2t4K9ahn4H8HU5NjHmI+MGN5mbuDf1AK3kU4Z3I7I6dl8Mmu NUfN9YGJKOPCB4zjaBd3mFRzIpDfHl5hvsEgyOMLeqrgB6t/OrIHY1470JYhk4+QUwRwc95h9qp0 EOT/OF4BH0ZFVqnDTui6IDquywQ5bhVF2zwTDjxuMY7nD+V8TT/Q92FIW2I0bpAQOEbuZJXknVTT jaGYSulVoThWWum8qdwCZkzQKDcMKshvv0J+tFsvudETg8VMi5JGvBJ+OGM6LqZ0mbDHaBRIlRGE ZHyaBQHsWlybmqEBxnqnDb/AD1WwZ0nWDRwLUOUaIPWqcoI2KtGOwkp3/osqNCkrJa4CPqVjLBOq ms0D+GFAAytXZyUjFvRNaFBJJIVeTgcpBVrWfAW98i4VEC2wsrIIzgNCQEunovk394ZbPVRS7K7f IY91xs/0y2r6oPuw/rPDLcNuy9eC1/nv9x4fHgdH2pjjVeuMlvJxrlEp60uCuS8/NOWHUX1pvEXd fIOdtji59bw4n1iKjyGHGLW5pu9HUYCWWMr2mpdMYaN0FZB5MNBSeo8pC+Q1iCWcm+PJ6CWYSiVW WFI1q3S9pEJuLp6Z/tcxJegEnaUDSJUUQB1jfndmNKaeTq2RQGdEiiWUtoToiedb19a7wlDKAIr8 r7vV/HC9eLxz8eAeck6qkWrksVvURmL0FK5OBkSuDSzSvuOkBUWytJnp8mAR05wbyKbgQPxDIbiN FTA3OLuRtIBVuAqFWGs8LCFSzINhZktJGVQ2lM6AKocxYeK6qYgzaVEJY36bUqGGR+G7o0t02dky 75caD6j4e83G+4SH8LePJtAo11fB5gs7uAoCTB2deBxoXS94kX1AyaXONE7Mjcc/eD9xSvRjUEsZ IOTWXhec4tCVG5YdpLciBLpAyzIpSCmDzCPl7vZ6UuRthKlA5FgNxqehWqCp+q/YcZtncHmq90Tn hkGFI88OE42Ik+nzAP7bAF6jqZ5yhvg8X+0ui8zCobLncmDhC0KRXwy4oPeHNJ4Fmfz7KT4rtZrJ l+DKJ3iDH1HiFH25SAP9ohDGU9QGrLqxwfeI6k2nrUCRTbwF69+vCqKFg/uoYxbWqH9vBcn7rzVK Xs0/3oL8tTiO3+OmPqw4BsKnu7+YIgWNUbsb/PFsyPut/EZP+3Rq0+uld+/9Km/WL9Rypo/tUdnO sXm7MsSIt0dTfHjw0XT7J3+Fyg1H/4tHDeC4b+QO5hQFP4xUv29Icc/kdXHTw/FQvE8nBsQhxv3I MaDyXCyO3bTaIt/RgNL5mEX4qp7ZHUX7vRStlDpiTtwkk5T9plxlqgP3oeHfQNthT+kqu4b9Adp0 RZmdh7GARGf1xeSPulLsvpYY3YGtIv5QTM0YeRKYJlAXA6mbb/bFY7ZsmRWYeAj0sMfgwPEyMX1J LYlwk7AE/1x03+7YGYjK//Kzv6zVG0ytwGSusLy1pnojLV6gO8Sjq18k1t2Nm8zNZEgoNZ8IGCHA uB39pcG52zdhkWTmylFzPXwVTDfJbkvvqLLX6Erkr3Ao+snL3+hCxGKfpVucjQhncf1EbBbzmtBK OQIS/6HQca1hr+clPGaE1SsRAe7RYjQ9IgXRk7JIJuT/a0SuaBC+Q35THla4RmlypEDxxxalI+QC 8OJHvnQfk8P39P8CaHy34F8ihKjQm2bxxR6a6K/D/PoyiTXah1nxi53JYgdPrwJFjYvm9Uf1hoAf QZ2mz0tkdQ2QnGXZKhPJMU6g+H5rpavA8pUyV9K1+Q+K8rp7n5ytP6tyj25Br17Vt7DorXIthBLN 87qCI6HjMQn9jqWi7WRheBAD3qOAWZgpbN5vs3F/ouQ/yZqyQ93PSzs0hinoCv8cDQtEh+zf0yE5 f2nb4y2kzMkcgmE89N7f+BzQ95NDEyArjQadyEH81jCpo3yiQ1ZJuo3p05lazZZ90Oln7OSSXcc3 gGTRHwfbY+goW+HcGWng4Kd3zUv3hvxV3fbqQevLGoGpPmI3t8t9vr4obD89fAmCADGi7mPirVfr insLEemnimnyTP2Z/ynqsrqGJ9hv621vLhZzJcYbAe20IV1N7I51nV6/klkZjksyv+6l32yRIToF n0/6dO/wHACxvtRbOzKqeoEOAPNSZkHz3gBLX0w6nLapWPxyTqdalxx5LxmKeaZw5jd7tT6UVyu7 YycXDtz/V44zJg43QgqSnvxPxJWlMVdfOOFX7lWP2M59qlvCqa4LHxNszLk1LTDUZU1pemCsD9cu TCYzUPu4M0d7EQeu0PGplb445WHBDWQ8REmuDKT9VE5fZXRLo7rBvHORXmN37c1rivclSdpxkCOp rsQ40eQwnG1Is3zJsgRiL4KFKF2MXLoVdGsOP4YoQTyhinH7pUisJdKMJGj/Okw6WaXMHAHdbKiQ A2FG82/9Kos88c/RpHRpYKJnhJcQ/auve/6B+MtrfcltfGOV7OjvlJ9flBbjlxN4W5lMvuRrQmp3 Uq1HZ+IuZXCjCT3x4xz7q1hmQGKByOzHxpdfbkW3LeTJd/bh6wEN+Ai3MRqI8dZKwfqpcV221FUm OjhvjApsF5SF1b3S1YEb7sV0emezUdN9qqr0KeNoRuYiDjwfQHDEETfVct2OUGNKdzLuyNsYY09T fCS6HagJOVQcy0Cm8KZAnncPRDVeENNGfA8fEwS140bjlvBquuaZmynJmirvPzHbPbQ/4JbEDgAz BcQzaRI7IEJiKAPCNz9MUORighwp2YZLfWvLkKtMeywJKegIIHsSBCQFnjyyiRys70Pxn+psrhk0 d50teIAetoDRZ1sC83M0jA5mqDWQsCPd5/avfhcc1vTaL8iOjIhmYEPh86+2TaPoRZzIkd1L9uWP QKmtuoF/ow+DveMSaXEvvk2j+O43pV93uXQBV5ufycTXbs/ZxMG7jkD70m98i0Kb562sPOZSmK20 hiwMGb5y4VESu+Qmh7lVB/KzafiBk4W/+UODIGNlMecYDR5mCjEi1T2mbPj7GPYvtaxaRisO2fB3 xyWXpAMAkxX6+75ZhqU1xnEg6QiTkteY8fhrr8D2lIiGSOFx0Xm+Xu5j9t6GcjkAQr4WX61hezuj 2avb2GLQIpW/PT1CicqL7PZmfWKR+HXolJWxFIOAp7WJM1Jr6eXn4vW+jyCnO/NSnkjm/XMD4K7d 9gTdjbyCCEs+nDdERFicmWSuUh/7q26lCacGZCmj0WFMG8u1tcm48Sbtm63DrhHy6vSEMULnkAJK KUWIYbKAtHt5dZjb/JRWMdBWc/xDd4qBIzU3orLCpxk4TRrdrHz5p6+/8F9TAfkOUrN84diwDwVc 1MLPLOct591Te25fbaQCY+bdTMP+vSL/piudRsVSojmXzC39W8bZp3tTiQYmPfqeCy/O2YWFrvc4 iJoZEizxBRZoQR3h5+9tsQ1nonBkDEyg85rUrdyNJMEDphOb7vMVgW5NqTuhSZv8ZAPkyiiW4gOZ 0dPY+ou6b93QorTuj2rOj9o1NlaOtSpTJamd8Tqd4X9pLAX8MUAMan34xS+CdtWmfVioXhiqTqiB 5azhCwHL9ZMVA2lj57IhO72mv6Zhj+oH2tKz3L1oO3YxHsIbn1DvI6THyrTpnYDXvNQBckN2w2Bu 4Mnt1gT4awR9yhnM9eNyyBkjdv3Aq20nrf0cTMsHytguEtGUpkttCotjKHybhWIC3HLOHGnW8ZFB PgtKGpPDsbLrbGXT2nyWqaEB0hUZkliRn0w5c+DVc1GEmk+HuBjEmvs3Y5Bv4/qjwV2pIXKUftcr 1LPg36YM4lHgUnByWAh5bPGq9+QLWfUCELDHCVpa3tC+Ak/s+CUFZGhdvxzQjx0udpDYM6MzQLJ3 dSbX0oSGbruTbS8+rPjjCooqpVN03NNYD/PO+6ZlPd8V75jt6T3aZVDbbo/NkZM6+MhIJ1hMiuML KWMUUfVIJWl2eQ1jpaeuSznrLuI+Z2WfiVWjyadhu1XvKIaN7O8SuAIQHdPmCVS2+yw1lsLdnrAm YfSG+8qiXbOHkLnDxiXp1Gzfu899+X4w3aySt3oHzDK/yPoHcaumJq0HmiPL3wxwm+RI5FFRgTcB n2bwj3uaWqZUGQgi/vMZHdKIJXqjFZAc9qccFxRNlXcdJlnFkKg8kZ01/h7UoNtsfsB7VSBOlA3Z rdBzG7N5xnR4zDnbgfkoP+K2W932xYnYn5dEoJAqGI/KvCKgxxpOL/oIEIituxEv6+RbBXoxlbBa 40gErMjyeaYVXPcnaKqXOsDo/pXH1shBVmxJMjRNPMI+FhjFizc7yhmMLerMn2ZgLW/Lj8lQwmZg T5ic3uUGKZCmMiWnYE2sgSeNQiI8v7BxtN3M5bojEWFYetVblE/vwQWWqmIUNhJAKgAhdOJziJZ+ bljkxkp5Q+VoTFpavlV4LneqTOaoRj6SSbuTa9830KZJhHuFh9hrvqToOl2zUBOBowcQTfoEs6ln jWhf7KG6i4RsEKg8xxV1d7WLrYsR+mtoYNht7fB9KTQu3JCS3TI5jACGly8+c0tegv8qCHBflM8P MNrU5Hme1fjBkC438LyQis+w24LffrmL3XZCPBmkhLIFL1fkm8sIU+oqKtb5VQIVR6sMaTFUoZ+G rX3wS8ek8nxJkY/a+0FpWuBYx5kpAMZsq4ISkSI6ldiEoz85zZvpT18W50mMbqMNLjeW1OwW592H yPo5zGxzeBCDwBlaF0R6j9ePgzjVqM/wPHumzA+aUXhLB1zc3wUyhS3jN1Hkc15NJyy86Fy0R6Ug Pw5q/7Cm9Oh0O0xJi5cbhBvfJQW/NVljMTQzgp6Z2+6yxMKwszpEG8wnzpr99LGx1phfzzaGI0vn KTmRgWRdm35bzNindnQmDi8s5uqN93/z5KhvMi5yO0IepMBYtbNNBsKMHmHZPrgRp2JIMyNwM7NZ ctDaslf+UbRyT8cvq0uqDAI9F9O0Hh66MQyl0wkSE9voHXLyLo8xLTFCwXcY3CvP2Q7wi4nYlvsS i1DjHE45cWWKesac3gzmsLt4ZMZGFtFOTuEff6ZRXe8zuLeAEVeOZgEy/WacbGlYWBZqIFWVOTLu 5WO2c+UFq9rkPb3FAlrAOGpqQ4UoFP1fh1c6QzypFTOWjCVn8ZiUkznX7icoeIlnfFlrQHQ7yWds Ox9Y0krtjQjqbFQefm2KB+ElCXmdMdzOP5Ab1f/g4DTelX1fBvqbgYvJ9mQkkt00U4fvGJZz7qQ3 Kz2xkx5HQkpWI7ThDNFb2sg53k7OW5z05NdmEBhFqjm09FEha4nsTygqFe9hzqgf2TQ7mYnrHd+m mo5AiqzzHCmmc/i9ZMRXPHZdwgKO9cBoznTOUOSOL8IzaNZIZOl5KrWWVjRTDwvKACQTgbQWCEpe VkNqwny+QLnRgfH/KIBdiXgRhXKVuznxZOdJq7A5dElwLpRz5s6KcreK3gxEc5EyHqDkNEvYCmLm u6c9MMALj7xIRWInKolsj7T6WXJI7UbtfxqUgwCouXegxe7t1j75yf6x5ITtIADFQXh2BlIVRBH2 tlKmQBoCK0hRcMMbXgXJVrdMq9PVyeCHT2yhQI7PrQD8KsUToYqxpmoO7+fPRPXfsZ38njf114Rt bxCBYsCVXC3+AzASUngTj0Wx0LJV67ZcwgtGjjyeZdzqDhO+6ulc6HL6qV/W2VIjDSsQxISSUDx2 itOsdZDAhibU2/JY+GbqY1l+AmpgtHj13q7IurL044HK0y08cBHEY/3A1eJ2nxdg8PtzWbLF7r/I Gan7xYa1r9Sf1ePvYb5FEL0jrfqV23mDwHaSTbMRFUrrEEilNMOsXf6enR2fAJyMiQEbwChbjuy3 +tOuOkZaPRcPlFnx5ickOhubS1ReXghi/sK4sN84j5HbaDU4pdjYVhAUwhEbGf0xPHPhyX/1WHk3 ml71WrY5x3LqsqX95HWH5RkxZcAv2pqltxTWE6H2VaWSbPZgKaF1kxfDr+016HEomb8iC8rKo6ZF 3QZgQ6MeNXv3EmKf9P5p9BQcuc6cKNcIXWLggiZmGaftavtlaFbWfmBe4/HfZ1pvN40w/Vt/2k+3 T/HNPN2/6WibA0yXRUYwqd33wgnqCQMUxIkzmuyVihI5lqhOOLxr9vGX6hiFB73QCgDzYIikZ5hH NlFvIJ48NmzPU7j2HNDZQBo/dizu1PhbaQblNN1U1HkfUkUveuO5R7Wp9zs0iES3Hh445SF6KIsP XLWuPg3mnJDEXFJt2wfOAi6GTCzWEdNbumYwv5MY+7z88nzJbbJl5Ex78sS9zpoDrNP05B3KFxBj wCZ/J8gsHGfKo8DaDcVsS5a+ZEvQcdxcI3E1nU78uv4HNOBMmxV+ix3UmgZRWMuRPPeZFRUPu5ff G/16i8PO0sJ1VGdnLkC9dZSkhJTl81We3HiXp6twRTgeogreyfeemX+iNSUZrbvfCyTHEax6qMqv O4dmyT//GG0gIQcdYN9gmXkK0GTRzuJBKBqSFlaIoXujcw8zPH5A4tZ8ZsbmjWHEsliehnjXqiEA sABWFNxF9zE5CiELbF3+hX4aEBVfvM34Y6o8PM+l8B8FAHYazUHhrPNBSj+o4ziruFra4If0/qf1 FlEocpmgu69S092jx+BfxuwbbC/sqIbZnfwo0+tRt8PZCYjZJnKzZvVLvZFskjjtNJgK7cXQH8+k YNX+vGuR1EUd+0DuGBOXFtO6CmeRgZY5j3G9GK5GJJW+ai8pmrhL/8ZsIeDLNGPF3DVZBsYhi1nb pJWTDWArHy/pAcRgDUo4EFCmrc3m4siiUs8pJoZS18eNZLrvTm20qXGiTWdvFAFIJWnUjN/Cc5Ak gkGYAxdAKBDVSZFMx1Phr2aFRo9nlzeN0TjIx/KgRCbAKpb2Mv74kJMFIZuCz0YBtYk9mTItj5jG pBMiussnszupzoj3ozhYm5+evu15r/8lGM7XUWxb0lUyuo46jMs/BB16cTsRuHKP+ryQQ0U3XYme T3sCxDUR0i7E8+PAhbNoCY/RNYZCy2v/F4kNyXsYDhLvbOa2ACsJxX8WbfNb+XR4dntAG3zJqTO2 lIu0O3RJazSzzaAa000vtYiicQmpqjkXLDdJteRJrbwFNF/ctidtq0GKpsuptiWpWX445560KsrT GwwAjWXVSvhPS0EpUrcmsInnX2WJH6woWpFJvywo+srE+HeUis9qeWnW6tsdl128zbWRrKClQZK6 dDGIK8edQDeMcnEv1YKAnaXPI4CqkALfygds6h2D611Glw5epnBxT3uEhHrRZO1cE6YkTRUHR6dK XIp66slPp0OHiYlwuFv2w6ySyjAbUAsLnBtPIwaO7AUTb/WnkIoV8WBoZOPIA07D+2pL2aNLtVnd gEBuQw85E54CGqIXpD+z40P4Ky6S/qpoc8AqWez/jCCMGnpbwU0WRuAp+O/6eVXwKjoQgc5l/9Wc DGz8zcr4Uxh6szfz/Jd5pmEHv2HaRJlP2JvP/EnR+Uge0u8FIFmdR7SDYrZf5bn/WsNP7GMLLUIF k07voz7ZS4KiXSAJWDgvv/OzOVzW9dLHfvhwe+9JDbiMt5q8AaR/zySTB7HGj6M+ZVYc52tmw1d7 94J5AwIz8p1+4TCqFhcbaRHrlQzCk93P3OpcZY/allTBBu9PZcEo6/GFfmCbSh9WIJdxtu/7risC 9i2p3iULiRTKM/yx5Ti+qk7Y8NyvlnbOXtzQrRAqUldZ3yb7HccY2xP+gF+b3hov4eDO0V65ltHU mOQFV1UJ+rD1b1LVlNmQTvdEGi0zKB42P+OEDsP8gP5Ccvae1yHz194AcjChKmByfNYsrf8O+Npd 3TyfouKnXEI3/C0Pfy/hWsjv3VCtUMnVvcJQ4ktOQ+K0JOFEcau+TXdpyBe6hy0777z/jGLTiGxl 2slmlE4xtHbaZQK9p1LrerlXH6B7TU4RfHinOCefzkGCPoH49xJO2T5BGYIEf1DQaq8qDxbors45 mlQuH1n9FGHOAwewXlbzi6+++D3Du3GYmSoOjHfpk72+JVaT5d8FLVseXf5L0zsm5IAopwNA+KXg lW+TpmP1/6zfUQfU9GY1HMLeaNCVY+9QnCaobaXrllr+/7USXj25w4QuRoFeNayNCgCm5Db9DxHP 00Vek7El8TJ0l+riO9GCR4eAa7OK3Fpx0MOO5Rcg+oAJDv73l4xIW1zJhsYkeyslDc4134RzeYq6 fpCrJ805RshD+suJK25neszLhfeCReV/1oDmGCEFqYH9eou3qIRDdEwjiXv48jBGbuqJA1JlHpgG RkRLdVUchhwz5h5HeHQPIFezpKHnMr4Ei/PjtyDiYlCUG4xAad+WTVS3uVyyZbTdntkuMsF/j877 2I4vI87B+HnXycRXE3XpHczz4TddAcvEjgOfVmEPHn0VQDujFGX1EEHuZccHYVe4xt5k2GOG1VzK yMQfUngNH2TH4rZc6pzX3PAghN8I6CHGb0NOTlnCYUli2SOmI049ca6YRhN50VmJ7ULzXWlJCabf +7gA6Q3QO0YSaSd6pCIIp3ZP0NRXnKq0hEh3uYjNZwmhutrkPhAMCrzbhOjIi/khr0rq9+aoaP0J cH0/2Q0K+57hjhkYAGpYFSYIYEjnBrePvtxp9ff4EpmcH54jBBn1bW3FA/Gyhaxy/uREXgsNhpEr HnNDJ/q41rZDOYXiNRbzadQ6aiffWNdX+p2dbpHGBJ9zJzbW7eJgqpe5y9a6QpQjkytLsWn4LNZp kIM3HjXG57uA6CxlUwge5VS+Mi4HQFoDB+M3CBRQIlZdrPfe09zH6o3jyZRIY9XXW1w1BydGcSoC WGduWO9aGZQk5kYU0Rc50dvoBcnmMahkIypk/EhdCSbyrAkai1LmsUBRT6ntE35zwg2l9gd5+Ufz +xMb9L2zxrmZW+oC9Ed60klG/g4E1wkxH4ByJwGS0hnh2A0zTzzykTwhrsspvwkuSLlzxhDiE13B IZGomQIy+1K/N+9PK0L+pr4dXHjHPo6G8PO0N9fYdh0Bti0jJAx6kkSz0FgErVpIm62PXqpFFHRl Xtfwir330IdsaShqfMtYQl3SrKrplq/7hfMeDpONm8FMLn2sjPJYeZkl/vefdu1Qa3Yvb4i+XY6F EbT4Y42SParGY+H/ul9uRWXgQG+TuXCeovwkG/vKdoHHdioGQcp4HGRqgGY1xtY8JIPFoU3JiUXj mFUJuiBPZNMMcmLiLPY6GS0m9xqTfZMhvv3dVdh8Vt2UTvv7gIFSgmNqe4UI0eBN5SDKp46Eoj+F 8y2L8wW+M/qUMAkS+fEejW+svTocHQ5GhptB6ZjUOppMyOeCP7TOyJYi0uHdfoFr/FfsGqJKvAF2 xxAsDTcpvnaRCTrGY6uGMPDcu/Cl0LtBA9+cQFvZcsZSYzgEUrf9zqXC/kQr0f7Jh0R8httI5/dd 3FlV4TSD+eKjzAmaovsJC+KcWAEbAhol7AlaxyON5qFjJ0PR9nciLpJ5jqOk8y4lXQfP9yGwJltK AakwvYk7gaFCLIZGoD3lpcotczNHcHkscXuuzP8WleFlBxnAF4w8f4kXL65TMrmsDh6tVHSaNx9X a8HJQSAwm6lV+IFxrToM1R1pR8WtehAgOj2e53HSb6Siv3u1VClQ/SNBN+EWimI8b7mYp6AtwtBg xODGxxOuMjU2Gbm1w1VMrXEa9HkFjP6c6xOI1IvkE5i8dNMP5r59iNIi5YVHsLVlvAnI0Xr45znb WeooG4SfmyEJZN/gMTVDGdToGB8txdtypGEi5Bm6RKK9RqmclHmVCm0Ywlpr5bFLpka19VeWwh7F HOU7nti4PUWeykPKTHiAKXZBshp6IQJsXogiY5Gl3tHvGHwCeoBlC4d6Ilfs3OA4RbtWPCBG8SY2 vIcLLvEnT2AjbYMvFyMvdCreLaaDIg/IUexRRTTEw/UZ9imMueeARDHnkW+F7d2benhEq7mpQhCD TowCG9UdfwwgjMgmAskMD4RygoX5AzFlvhGf0Wf/B+ACpv2KhqoMFDFj+7a0p41R8sRRRBrGcIpX qibzJ4rY7ZcTSONFxhor0mxeA7OglS6LtdRLYv6Vp08r34pJ6i4TXCA8++FNo16SXtG+QnjZsPtp 2LyR2piDT5qLP2zQZDm9h/uoKwmPCCP44Kw/almdbh8iNmON7fy7Mz53lYVAjsTZQcjVDyGQcaQW aoNSROl09jUB/Mjv4CuPSDBRNmsmce603PYIcMtF8z8aZiiK2B4AmPgznCb19Hc6Y+C4oanGGqdl cWwMQ8rnhDCBFSZU+F3zfokFSN6H7sKjiMFQfq4XhgrG+KUI9gJmJHvA7OPIMFVJZX2i1yoWzNp8 lX7muuFZF1AijLamL6DM5OLi1Y28AHDBH9R0XWoywhsYVykxczBwLoumj40VHFcc084KNh9EFHD7 cs9riMSVZJtVQyhbXlVCRIseBpSMd5Dktu9OxPOUCx0Px6y9HS48p9DJEZ9JxWweHCXQ4hIMpP9b 12R30xridGd3fUuj7yh4oRV2mhOsfgAaN+TrJaOzn1tvPZaQKIlMl4UtQddwdQ15unP3aNcyWUt7 MbA/dNuOObGfr+if8sZqIwgTXqLhyYJyacJTRFNtpAWtcMSYX1gdM6I2lBR1NyC1z0Ow7KoQzong x8GPvwkOxdbG45fr5WMEUrAc1Km2Arnl4MyBNoVa1aP+5Cco24tS2lFeqtG3R5Y6FEk3vY2uTcqn kBqBOrgBU6FiYXQaOpipcuW72cdnPByqGAWn/z4U817G+0wTj3+DpVMRe9IZo6ubK8YeI9QYYhjK bnGJYPFNvw4gKLXRPaQXCTUux5wiuxM0fDLfQkc9nIOwBLae/q4ESLJS/BoAoONvti6Oqms7u0VX cHuFMCFyIgmeuLhmzFR1X1PBF5gDf/NcRSGklSU7UJKiVYd7KPxp0n8EZme+Amjgsf2wVga2wuQS Hut9KATyc8Uw5YLrGIMXEjF7n+zG4aatSsvkut8rD0u7ftuPvIZAC4LQSp72MdatqnASo0LZXxyY apurETuBx9DY6cvdUD15os6YluLaiKJTGqCjPZY8YbwRuxrzEULu88viHRs6lwPpgtfTR9taKosT PZycVQLEQv92x9oD9tDV3WkL0bmlUeN5zY7hRrf2hDlS6HAV4iEYZKbE5hMbSwe5VCJJTxt1OMlT fmL/NxJVFRhuywdvXu68HdBiaaWkBsk2V+P6H+r2FnRNofQvtxvvQf6oJY5N3Rjv7bfK6F0gcS5C xWjWRGZ0bFCbA7iN+lWUGX6G78uPZ+4cbcbrhAOJflFw0wwGmtTtRY0D36qgnJ4jzj/GErFvu+zx Gmiw5/u1d9HvQnPqk7Ydv+e6AMrZV2psgEuChURyuG4pwUYKFpj03Vk/7HKPWWvocSMjuaPCFSQi ZMwFW+EtRwvSKJFVKFnsTBCV+cbCZS5M3Gt85b8kJ+NAy6RV5G3bS35B0/s+d0tTMaGbJDS6JhkP XFcFm4f+pqyEuMe1jB65WE7XnFQVNxZoZjPTgv+s4z7Ej53oXVBhbdwkC8zAh/hZnVGs0fatQWxm DzpTjf8+23a0gjvhKrkbawB9YzWuC9K6n/GbSf6LCPVF3IArhpdK0cVGZpISVt4jM/UIYXS72P/A /qscmB+Tcr7wVolVwxfX5W2mu9InxfoQ1MtuojjOim2Tog8Jtdk56fxPq1gNEdE6aWVoUOyrVZJE LzlOGP4brREe1weitVOM1uCDvBEPUWvVd4lWIV51dqBElm7UOALoYB7yke+Z1mmj5/y5IKZBQbxp vh/s8QppwMZCVfeheho9kthnIFC0U/VEDjrT99QN+tkmKEYdoexptuOYgDNDQ12AFNTeH5YEzWEz VVNy9DIEaFXn54MQHr6coTcl1S172yLN8mLEKjnx7ioMG6N6XnUZBxA2MwCPV3tnut42MsLA4Ml9 Dy6olOgIfUBBoSGg/46aVoB32B9UuH87pTFnIGG0CR3GBsMYeJZIhVavF9YFmvOqPmEH6kXTZGBF 8g8B250STBg9VGUPlUV/BzxXW23iVyTkBKLsMZJcPX/F2CZf+JEysFgNVRUdwZ+UWOMARedxtJ02 yH0hNuH7vm/sY+LWMeiUE0vj9rmsDlGDfAc3nXRNXDedUT5zqQF36HjyIbC34v74stqGoHE8PIkM 8T/6Cew/sdPeF773xhsD+FBTriUXuPEVvAE4DENgIQCFhf9B3uuTUiBPIa6+yFGd+mdsoQW5yQTt O1IlkUh7nhNNDcaRPiOlsKQeTFfRBQRyFbYnxBjaeV33/F82Lt/z782v30ev1eSPVPL38GmbSXAH AasbSPLdrlU0hZrpyTrSS5rT/Ivb8fGRit1IMyWXC/VKY/G7yFtzFfjMXCHon3X5ezh4AVo5DdL9 aomLY8J8owJ4hG02TYLaRBO6Tx6NepsVHU28gYfflCpDyJ3lx2LCmKjVvy36pEYYA7WRq9O9gzep AOWORehCvTkIRD6kBJyemChAAWDID+YOn+OCIVwmiXLK27tF0oV198LkOjk+b0fDTJlZ8Bdo1Jyx xCip3UBQ7djttLZwovySMJkyBkNO/f8+iG3io7hIHpjfGIgGnHSLXIuaPfFd80rhaLJBhTNSuSqt QQ+3afyYxDrN7aBZIjw1ws9Z8+LSrcIkuwnwuANzpXVlKzTOMbd7ELcmZrO1oUR7ETVzZt+f21fc aNed8M3cO5QiST5k1IFiX2Ibpmm58kW+1F8RrYEp/gKrIEXMtuBkpf9c6rFRQNqzdc3b0/Duquil JLDtCtP6B6+F3veGxE+z3G1D5RYp/N7IyG7InLlZ6HfiZilh81AsLxlHjYyZZiZLwPvL0GH9BDI8 5CDsJGIw3fh+1MOCzisnPulbE1feiDno1L/rzlGINVU4MdwX602eqkHM+TP+phSGRO/8BkP1Bxqv B78+RfcGXn+4r4c2TBRAfTPl4KKm/KEJiMbdvFA4Fgd9PSbz8K7GYeKMW/rkHiXImMqoYsD2/YE2 m9HfLPT7j3K1LSn/k6HjTZAWkF1RaT7GMygfm+awrHbh8+Y6wlj7XFyZOk896ZOZUol4S1LVJyNp FazBJy74cUb4HYV5qdmHOoDSUimJ8IW6sZDv2ESdvjJXL7JOqZtvPZz4OI5YJWM2JUOU8Swc0zu1 3O5XCLGt23HilZodTJGRbLYRy2ZsaeZ7neZK8sR9HU9SVZXXVydFF1rzEIhcZd0b8klbFREoCZ0A X+7hHdmncRSU+4UM4NCPqtFgng0fErJAm9q3MtaHU8kUKP30zxyOKnUZWMGTeGkceFZYIyTrA79Z zlW7ts8TwiMm1YSeIKu+xBJ89bS5X75TRZ9kw43xrLCsdFLt5SdClD1r6xpunIac6nenj+S6BvQY RrEWQP8qLpl5tsPYsr1y6ZqmkuUp9/8l6YDFSnMAH6/+Wd43X3oI2p1cmz1GwW42s6D5yjnKG9rD 8bVdMZf+GKN8RRNv04Y+Ps/xttnTVCr18sbWteIJ/QOKdMPWJnVgyu/wE35h5sPD2HLP9Z9u5B17 z5X+nj3NevChfWnTPRctGX5qnlucgaNDN1U1xM1Z4cW3TW9ALPKM5eHHbHbWB+ndbWPpexO1II32 WGagjDyvAiuTskGYanP+ZSLImSkw078cwS3IpamSp5wbWOnjAb+UOfzJ+PjTbua6HL4PpmbIuP22 frhUOopdwZFmDO+CPNg6nMMxaMyGU4DYbkj/ymnzqSllb/oWk0vA40GkiBUKzrJalHGT10Qys+S3 8SUeZkxB/Jd6AlSD/oBvgOiNN/CWHqV0bvwipB6lJs+p0zy6JWmbbQTMKqQnHQrBf6ucrR/MO6Y/ vNgJxNniMQHcR15KZg93MjBc0jAuAj/ufKhCBuFBjZHRSa7cora7Bi4gYgzsPZywejpjYRESHF/Z aHcNeDm1n3ZuYiKO+lKUwfqBEfOQhKUX7BWM2ZL+i+zmAp4BkVEysrm1xyukYOExMkQWMQNt0blL gXO6BPQIDptk3gvjiPZuvs9J7zUBMJVKi+fPKMM9iuXR7S3QhkjjGJmVykUHJGC2RCceKQNKIUYR yPSjNBG0wbrrqSaUYyUHncxx27jl1Dim+wmXjcwmlJ5hd5qwun7U1RKaFMZcXBEArIM2hYcRZlhl zPCMfLtBvMyn5lKasC19BeI+4hizE7s9SFJgEJ0F97UcfvdCsYnUFags5SttcLqj+pynU4tqkQj/ KSCG0sD5gaFXGE6qg0xYDZcnLWN1cAKN5k1wwOx/tZlZvUtUa04XQhRrqkMsfG3fOwDyKH8+xvBD 81/ymCFPtFNrsI2tOV/Y4A8yrNlqc8+O55/rZ7qBVAZWylEwc6B3om/6ckVs2fLOdCwMVZdvuqDu yVbBGqpJvfYaHgTZmFCGlDTQwRYJWoE0mna9ojthkMXhgAFbDbetyKn5lU1Z0sHo0ECganIwsr/F vjpPILRRiAxYmFQc5n7svx6/2DY2Rmr25bqYoUgW5KH0EEX/17/RBvmNlCk/mGuvG1T/EoYHkKFT G4BYoUydReJqEsCfWBz5NLGYl3GrrRWZQiIZuIE/S8uUOwCgI5jOkcuj2q6T82o1ST00QrEh86V2 7sKpjfo6gkrINb9eGBBTQS0ZYcoyonYsv6/4LCk1stACg/o32b+k8eY5xYjRbpYv8EDqcVZ0Goyj T+8owaHQI46ld43cKBfCE7Zm3cG618tdWwvkyIGtuGuBLlXbJmJykjFlBdUFeWqlg9FB62wNPgpV rlodCA56y3T0yMuzObNBw3VcWqULk3dUUu4lzz2hOouWWrGqRRowxJ9AbPf6BCQlJRjvWqNCLmHV 2NYmnp0EMQ7vie3mmWMNfixNqJsPu9bxFbGKDWkJ1RbkuNUfRO3uBs6cOwcJed3Qok5z7kN+7cF4 IiXI2JckETrMSs83P00QM67hg6cTUGMtreyeHoxeThDjTU5/2sQwWFdyK1p2COZDFP63CG59HKnV AT8udbjLEZRvMx74zaiHzfukHGF3XmfL27bECR/4YI7loq0nxq3bJilwFdt7fp5x9mGEiQFkO/ki ItrSc+fEkB+K1zpt5BkjRi6CSKthQpUr41F3uBmLPR0jWxw4/ZYQV+266vEFwWNGB2Ns6k8H2S9i Gw/C7oIbhXWmhRU3o66C48adN1wK4yTftSLJppT51+ndCGz+QVQjsCmcJylpt6jFMZBjF8yRFDT0 Jx5UOl709DfYS+GIMQtKutj2Ma8y2mhBFNkRcoAUtG1cbI1Nv/VAeFz90jZFJQPnWCa6TGqOjTkt Aqcan8cqj8PJybdMGwf3QDm9AiKCHto2cUHr8RrdEZjvXq8xZTUT676+ZdrKxm6XaZKnyZu1SCJC mVTBylWx+xLBsDYCdJK7anLmd91SQzaFFRKw7e6Wnh6qwjGJ3/O3Yc11fR8eR34YTReSeQgTznam NaOmfWG30f7YTtoKbEPVKC9e0ftEcG/Vi3Ww6++rdACgZNEYzr8ddz7vkaIuj5QrFoKS8k5eR9TY KWoBzbetH+7Z1QVXXPVBmetpI4Eat7vdZrBIyvufbWca47K+1adHuyt9yBAz0rx6cqIh0ka1WW8M kLB4bElRdS99SP6x6CJ0+yn/E9uE3sl+z1MJk4rkpRaZA3Dvmq9ubH+C2NDx7Vfy6qGuh1dL2exg qV7gsCGk1ejmaX1vCU0TCrL4Oj5K92j7TJ+IWJnolC1+Fz2alrXzUsWiCUiOqM2zblj1efee8J7k 3B8eeALWpHRt4dd8fbHetagK4K9gMK4gKNLWCsinpYAJ9ba+qmGCbowqoIY+12cUapSaGrLTYPWX XWmmUFA+tw2l0O9LB4tzGheNW6yZW3M9UNUIshrTLu4hh2dyOLhwJ2B67l3ACUl3Qf45pYEAsoXd rk0OxIUvMiTn9zAPjWSHSgc4eDofnIndG/pRNLQKXWGq/TCXzY5w561xziI2LNKGROfmEb7nHayP Zh4FxqJ8HX/GTgJ06DQmfsfjMyhIJgsT9iktbtpn5fmKpQRqSbURdz/GtYvD8Ao7NOytZtZAY6lJ d5pRxntYxDOFmMH+SiZFI8EF1GBadOknHGTRWFQkTqk7vhjXNnkNcIlPy9WxQqBVTKK+JEBxTVd7 ms2nmrxhZp7kzoKDPfOGvYUJxPNl+IkxOE47Zeibc8qSlHr1TqPjomSTrxTv/NHybZE5R28JlCmf XgcKq8U6CITOeDslRPJm6ejluM/U09YuF5+E+hKfswf9IKBxvGAuUpoOYDWA2QNOQ+96O3RNg2Tq g2pWicOhDwYyVEh/psSjmKSjPg7mOr9ZjmaTxGq/eLfPvrCZ7qlg0ZxkZpHCtKAtxfZrCmE7/6yd CxlSYpJ5xRc5HRvcgDm7sKeDRMhZIs2iLq6ua/ccjKafPRpweE3MtJKIZMnyjOzIpEVjqIV073Jj QzQwAOGEN6ub9w1sZAQ8wZJU5MWEFpbgmJvbcI3WdF/lhUh/CBrbCc6e9UQr0H+bwxbIUkrpZ2UH vlh/bxenZRIkK7ochdWQt27zLbDqQt+3dA6qV+AeDDO9PIfQlzC1VtVaWd9UuSvbKqGE6fC+MRcQ PMFwX9o4YdgtuWQk9gwWpS96EB/39MVkLLHfPe2LLPOHK5347Oz7xO/rylpK+vpetGeZ/JBnQu/7 84LWabEvz6aXt6bIsTl82xa8zMjBS2ZuACf5om3ogJEX+ME2Wtvt567GzJn8n4e2SC/j5EzipHIc 7lYmbDlSHIdOXIO28oxAtNcX/HmMai1tE2BbEaYSB2o/3HFGLf9/TAcXqhfYfAkl2s13OEktXYfN gWqTd66sPelSvhJQqWxGTnrjkC1MaozUkFbAvaqb5VIuM5FzCS3cKVpR0W1RcS29F/jGlV6fYgJz UI1IzGh0MOiKmjHkYSzmh5d67qgwTA90L6DvYRIAi/G/KbZK2eNO/lcg/d16DyoS5Qovzub5oXbL m25l89AHZG/n3ScXC5LuxcapjfwCJKQVRDGjZxvJXqmat2W7DjwkhlaZEdItorRecQxVLO+mtLgH mEmREl02Ok17Yur27nSIyj3snEhcKtwPffrjG4agOAbRQPEV9zx4RIIEp7s09QVTtk3uX2hj5Ase 5rSZAtnjzvsSijWBFvf0vyW3tjQOeL/Ht6FwsWyCgKVC69lIgtRnEGexHruQXCATYYYiqa4UjsHH uAPzmq7k+4YfHG9BIuD4ql5UUXFeaVm/Pv5AHv/+72syKjcsbzKyDthHkdl1PGrL7L1kEbampbrs jwCI8z73fZvlxGJz2cHLx0WNJFi0uE2s7bWgXaJuUO8+J7tJqymsvPL+UOglzptgGzAWUHIQY2LG We4uwEbW4tqndHn72CEBytRjTp3PqGXeZzX/jPDY5DtK9DHyt2GdomxpskTHK0VaJnfhU7omyt6t w58gMAx3PT3exqinmgBYy+8htRlIuFJyZtKwKVem+OeBQeN+MQeu5mwJor+fVMf7VfhY7l3by5jS ylNT2fbQHNYOQAEvDM9CTnGRb2KAzdBxnunQE+Bp6huRwv+TY5uKFoiyw0b1kBAu/yINZdOvsDDJ 9IuQp2oE9rN+IB9aNjc52kTulx34Kd/QLCchiTZLRbNwJBh7j+ndiN/YbHo2eiljwGBzOQTcgZkj PSd2unU+f5w0+HPd9ZGqBlm0pvboDZA7+moYG7PimKbaTbti04a2RcM9v4aLq95KGnIQ7qMBJ9fI LNJdBhG2zTCZiPkiHBAHWlKviI0YEhrDoSlELkgSVNPX1gymSoyuuOsJY0AfbmIl1oL+4nDzKUqZ XTqMmdaElu40nFhLFvmixciYdP5NHDbP8KxcGaKi0JPk85mzioKg8AwzVsKWuEshg4smL88y1OmT sxrtkLyiOAGhmCX787vuJ3IOFIxspQQMAni3gS2mwnFtZ2G1dHs9OI+nb0NTema9+909W0gE8Ltb 6twynxKvVSx8+ESy98oMkIB1Zu4WtwJeXgY2rFs4qH8A4v8uo4t/T5CWWp8K9AuUpKyDXGCovri2 A21xIwIt+fzQyNHHCDZDjNyZ1y3LyW5jFOv4zJ09nef8TOJ3JGutdL7v1lavg+D/W/p+0+hOuza2 /VbGxse65hm37+s45GJHBitVz5GVgd5qAcwQImhtdDuQtHf4Oz3OsaLWNi2C8JPj59BlUP4iII9P +xiKpdxORrgove6dtIDhG4x9F11Pfe405pDoGoIQzNMNHmKFXkBTHkggt1pCBOZskVU0PJqENwf0 ZNHGQgFQz/8WC/HmAfNS+KKITW4aaRSnxihqiJG9raEylOC6kTKK8f242D0DvoswtukkCX6fOlzh C5M+vFJwrLZGOrzYAkTp6foiZmebRx85rRKCcXCkFRMjkjtUxHoCniHBlqqIRfwLR7wms313Tnez o+1C+9+wEWFAwZmYwvHM+NTQmn5nDnBfJXcCe2h6G7cNusuu97Ej8XGp4plnu+Sz6/51MonVXKIy CZKgKHVlJEWvkGiIjAxjfFXcW3JGkdzbk18pvij4VMPCTDAKIgWbEIo8EXlfU9gCbROltaFQ8HZA /9ISH6wKX5lhYOZmW4J/sadTPUU+Ok3k0FW88ms8qAfK4hk8lEvgSS3+X7rVeMHBin20eXxhvQ1B 2Yp3/oCk8BYZpAWqUZShl2q9tEHb9AObQKj0pdxJDUT1+kSBZjnsIA4UwzILmcDv5VnlFVfLGyPK LVVql4/MiIKhIHSVAdIk71UXRi50C5pKo2hzUaW6v6SURIf6DvE0qUKDzHpwHomMs6VVSH94c57C 6Psf0S55Yg2brSCchcQQAgs3GYZxVX0X4rHOHDuQZgrfzWCP92dwsOhywyL7yC2/Fk28Y+dSVg6x 4PwRvh+VDo/RlHi3u2TYk9A7v5VqBom3bowxKBkZHMDsxb6j0blHH5M7fRJPSwWzsKscM1hQH5Hj mF5bS3m18o9owrr9eBEhFULZdSPGFGrPE+C366mmIzZxT59AUCfRjPvqhASe3G4ooFUEQ9Dst1X3 GHVO6PxV18nmBhJMmQxJFfcrJOb1ExEaC+XoqDHT0lWYz/UdV7e7Q/jIpQesaKGrq5/2cf2R6d/d cvhawglue484I1IVH1tBwp47lL1Ongd5elDEWadmcTeXVdyOT62WX6ALTLqSyw95Npy1r+zjf5GA PzLRvQogkuOimuaIgK8udmaPRDP79g6Jxn3frAmQ7AcBEf/8d71Kvt3pdR8VFBlyyTqem+Rw5S8c PFzLiQVXtMdHVIABYFiLS80fFEg6YZIj1B8mqklwhjZ8aWlgAGjL4WdbUzhRXuauvRLnFTpefYol F1GddgA6sKQuJ7OPZQbP9z/jJCzfiSsTC9ZZyy9Lyr4PgigoZ4pD3oIL/xyanU0ZxLdtJ9Pl5v7W Y7BNYh8LaMj4LZ4L4k6yrXEoNpKIQWykgJdJnnPIlmlo/CXqXidJtI1kzu0scka9nrTtB7LAFArR N3ZVJJNDhX3Rwl9/eRfdLmESczUsFkjgWzws7Fcx49mZhsvSMftHd/Ktu2lb6rC7O4/0n9ptvD94 W/SFBpmhR/y3zi7maFqZ00p+LbFgIXW4/ASFC9s7B1ZwIDgla9/C/x7abafPESrslKlnMHFv9pHR /1TAiX64KxwPOLELGdPwMlgKDAzTYH/wRk5LwjThHFFhPN+7cteljTPjt0O0oDgtOXviHGjrVR/H vK8kJeN+Kzqr0vK0iGNF6Eoj+oqrQvaazHJPM/acPebTw3ZR8qEdguAdJx2PPHW80zWr8XdEKp6U troYCPnQlY5HWu8ECefkwPh11aZjqGBZDiMShs+QDUpcV7x3L9KN31B8gS+YVQfRG9cXZS0ACgj5 E6dbk+2z2z4P3pe7LZvLn3GDYftbU+ijWlTBA4z3uFZK1qPdlXlwc54paVGnqJ7EnLsCP6ZC0lvF qk2QlInugZuJNzLfmh9FR4KK9FC7n0h/yCZH5h0VXYPbOpUQNV81GhlSuq+WFzDxglRzwKd5YfVj SyGqway58tmGubNpTV/0GtDYS05Fut4vHUKvNdsJmVFEDGj6BM/FG+l0CDRgK3AF2VsRH9zUJOfg 0srFVrdMXljK7b8l5K7O7chRr4WDoWpAyGtg9FWzkJIvjSB4/tE2XKpsh2CO9ji634Z9uGVWzsXl sRdWfG82ujBA/eSTd+wXgYyQEVdihtMU3ktR9kW9j7aO+TqkZyc1UVMjLv+t28WLNMWhGElVSsSN bhacd6BZtk3/7x31Nf+KtRoZZf8O9Mu12AJK78DhxvzaKT4zIk2r3pYmzbkNAY29jf9xTT5LtDaf tuWCnBzCTC6y4EUD88/Pnep4ZGQ2gRsEQ2snpKOII3jktb4N3oeaFm9HOC5BMpGv33VtlRK+bkxf MCHUu2GopTgJPqHuTWioQw2IUpAmrF2WcPm9ZGhSfb45DknvCluqndlv2Re16T2Nu5gRUBsL/j3X pbrMrXQyIPNvNV3nmg5joK1aUtMSEGBjifzxlvHXvaiPEGdK7QnqU/02mA3rHxGelrCYEThKbdlW 4wjLN29ZdPdmnOAj9XcJGp839xfXZxWgYdPh9QAkWjYdO3zWl9di3RrXDj2/i7HI6Rvxr+jtzO7y mGn3bcCcjh3wM+Ottsbi9I8Wcr2XYmxqZitePgWpKtTiGvZc4ce7DQ2PArcJSiJSC9+5qPe8pY0r sQC/TIWM81QhjLJ7/g08bCjTuj3VMdmuBcBMzmWzAAqYn4U9zRBlLMwwAvJOLA45W6GzD2XVYIE/ mCpy8aQjUt80oevlcO0ODoc642PfzmDBfhpNFEm/1EY6Ljv8++SPrt5fymeAmfupQkCPOxntKpTE y9ErucicvzqmYA4vWowvCyyKM2o5PE5Mw6wwcRBFGs26DRbWycDpmUyIH+hRp7OI2rTQV7V2KH1v lzzHRFP9TIIzYCyfD4laje+y9CX6bHcmCSGQR3PtyfrZ9R+ex7Tf0nErZ+rzH591mssO+D0rT4ZR 4uq9gtBj+8qwMZZJUxGOoKQRUHOiU52MsxVYtZo/+ePaVuQ8T0mUrsge4jokTPyJqaqLSElXNG6Y izS3INXFmx+3xOo8CA0jUCXSHA+M0aBUJ7dElCdGn5aFPj0BTWG1S4/Qxs4ilMgMtus0BCXC9zqg v3iplzhc9iG5Wtus2Qv+EvdnkPk2ZMpSNRzdDuBD23Gw8O+YiPpfewph9+xtHNQW9319zDsSy41Q I93lyiydgmdwWmJ1saCYqlj+w2Pt+hAsPpyhMVxJnHTIixHAfmHVY5gJNgPTFtdTqjwDosGwvkOJ QN3eb3mArh9sIOHrj7gs/VTnMl15nT2Wu3KMt5f5QxhZAsXaRIutv5piN3/zoO6H6gdHZHUm2A8W j6UXutw8WUJwXyBSqnMSyttrQ5jVtgd8IFt1EDZqa0KEQoUB6MpakqTz0sQCTFj5NCzkfdyfIcq4 OGHGrVxZrU+5ys9lqiWMipRvUl6c5mDVsa2dly/t7frPNiTsGgQ+1nMRgE4V9vc13GqD4TUKktT1 Mq9kfJAhLSn1grU6FN6nmfkcoOZJMKQnZqJu0Qd2iU2o+XEVpFkTJkSyKkDkz+BcXbMTO4E75V9e uGLyiQUi9ODx8sXbeit0ebNPvNv2R0kO6kcsUArMurb8vKi0xZ9xY4K8y+6/1+xlQ3zqYwA9seEw SUBJlKcHy2Enx4VEMh4Xo8CTq1l0eg+E6Zf3WRrbnwMFP41GY/1Dai+lKzyjrjb3i9Kom1sxcLp0 a06qQTYk9TUOR01gN6q+hIepKsv5TyRexU+GIlDzBuABlk1IFonzyyA6UkPWxvTs+G/RzIKsYmAU jIWhcEn9ojUjNWK1As5FxZDyfj9GO8LaPyrZ6bh/gOCsDCNixfnkpbKv/n6Fa+YZNIQ7QT9sxNKc I9q/85PDDsdqieySiTLzWnUq/cSH1rrVqpeDywqBq04/t7hE7zNgzr1qU2mN80ZB/IHxHG183j1z fo8FngflP9Y/cQoOtg/AJ7T3NkAT4BNYRCWfwOJk5nOC9QCH3kn0ohEICS0JbX21btrqVGqmAyCs v076jB8KRKIvZ6jnX6LQGKhP6iAK7JCusxAYj2TMlZ7eKVbd19l2L1mdmsfh9xjJbK5R+msaZrb7 yqv6NztLKCMuUFmryUzzeLRmR9nb8UOCGREYZ/X/ezbmrpmhjlwstM/k9CMFODysHI8nOAnZIXnE C+Tac9QibozHN2nii/Gmp4zhzytEtjAigILpRxTugjk/Wei0CMJIZla8ej6L71wwkK6lo2em7P9P 0B5aU5GSkTPOM7VS4hjmhFLpvIO8M4K8bJ8OgTVV3MqMPhLY16/61CNeQWa+yQFroNh91GjRGvQ9 Hzu4jjcb/c7teYjvKrStXypwVIeF27aOgVo1UYfPOiZgQHN69z/pAPi32Na96W/hlJpmwrOhJqdt CqkDk5i2jZLZs5H1HWIAXIZUoaUh7dbXfHSseRIrzbctCKWAFgKZqkGfUxnnedd261J5FhcjhinX eFJrmSzaZznY2frG78mfyIoqvQlnh6pYMnBSGOqUWZQg0NLoJNV7lBJneqVwjZdnS+RXCCV+wTf7 loS/oC2UvNGyZjKd4chRWIAPcAHQoJa+hxma7wVlrYYwVEkDd2AgKnEHPhCS0p1zdqsaG26Rjt27 uWc467JDJ+VUSl9jKhWuj9uYLk0NH5GSQStVRslhf2IV0DYM5iJ1Sz3xtnfm6tq8teQmO+xOpZQd BZzlOB1brTrWSHJEVwUe4j88VNfp/SqnDrLx9QH5zJTTFEIGcg+haw5inxp/U7N6tWjW6suw4M+u 3p6ztz1myvr8e79rFAS3Vrpd1XmpSDIclD0kfM49a7QQJUn/rdj3QbP0SmvzzQfPqVTeVVFZQcq7 0Se9E5Ab9ElZtKOZrZBh8kdCGkZ64T8NYNjowpmzIgjdwo1DOgwusaNlz89UU+FcfCvJQPF9yj9s 5YNYEo/zyZLTJd6Xd66COAbRaRVo8spKrFfPHE8YJkMsnEDBMegQ33x1E7Ieso1tXiZlVvOUoRoH 8XjChzJN0nDosoQ3Xxr/SyyBT87BQLikGMaClcsddAoQYB8crk/sZOXNp1BeWML2l2w2YTcWfhj9 TLqi7ogk0CvRrrtCgTNsCg/YeI0fPkZ26V1Vc0BbdsD1U0G7TXDwrrluuHscXsZ0l/cV4lTvri8S c4yaTgw0GGKecq/YMmdrxHchHbQG8SRS+m9V3puT3uycHJkQp7hOaZI7AzkV6432wEbkPzj92GTL tULsp6AoznH4DRuptzb+NdLQ00qw7tn00ZlOxh0z1p7RXrpxCV9i2O4l98OoJt2b0X55DGAF7JGK Ja8nBMenRRJ4cQyj/oAPgnkUYnl6kA9pEOwKbFCOppCUAAhNy8qThd+DNm4BkANNvA95kPj3PMrd TgjWsjNDOCCMr36wnZ/C4znb9rAXRLH51ZeXQc2aCRUIzftBFmjGlxrSZeU4Ev5p3OA/T7nGuMdZ KPlrCmiu10kP5qNlUHDoeteLMk40QHIShrbt8GqFOkE4gkqtxOueC70jSpFAJl7TOZc+z+ot1AAa RWJM8FkJMKOet67LU1JSJ3YMgtFl3rMDK354kt2II+PdiZ6XIr2Faeo6BTBe8hXSp3ifT3bGdqLg imjpbM7D0U6z28gAswn0VY/IfGhX+bFbVvmpP5CJ3HXNRYicghScp7QE2JwhE2HMkrn7WmncVoC5 iW+cWEj0JK+L0AhQH98p0pBn8lofG/bXfaHrTdZxhSmwftmq4+zwsq59jFKsTx2OPUvogEp6V1Ix g6KOiZ/Eadlbwc7xrjsgjBW18qd8mbUVG93dNyCXoV/pnLv0wYRXUrs9QkFkQJepguIWBM4Z6twL Y5+HOJt9APrcFcZHbzxfW015zMx0JlczEMsB6VETC02KL7AsWsQflLL8R7p8z8PAfBZhL/0kS65a bka/PUgB/8VimlVY+zg7Lwdhzoa7YyeOUdpF2BC9Nq6RT8jRuwl7E2qlZDc/VgwWmON6GdSB9vRY +A5o8gw69UX16FVcXM7Go5bk68UWDX40zeQNuVXMz3nNJI8MIltsnTEjIUSVRRLbgwIOQYmzASHA oZM299Yxd8L/KJTHvTLrbQr396clI3T6vktlIS18J2QJsRGoAST1dyAIy2Qacr/RWzCH/RVmpLpj IesZXFXeLEZDcxDKLawLYZQWP1gaMFzeuxz3coP40qxwnXkn9K+lmzdGBO9qc1s7ndRqvGwb4nqf UAOMaq5JIaWXe5E8+e+bsqJ+FFqXZdsYX/6aCFkN1qHT3vHk5esW+mC+uXHOXgEPoCWnX0nZcj0o NDVxe7bUGJ56f0ax75HwI/D9JKPXUFeZaaaHAcYFaW0oYet+nrpqs2beXninjuNyvq3a+YfX+EIl UzPpoHVETcfWpP0fsE43fDQZjZUsi9+tGQCy4G/XawcWeNQ1ERUZXcPnpjbCThjku/gRrCt52yDd 1U4un3yEpHau2na+eSgCU53TwLLvH5dRpT19mnGtfPulb79o46WeagEwXrEnTZpTCpGMZ11JT3N1 8jpqUMufGUdP7LxuTWcIaKbaz8Xv9sflG1K0Ean0wcwemOBiYEz82zoV+RZ7sxTUabO01TvLipjY GjQKUL0mEBBbrLJz4qmw/vrMqAV+I2IDX88BCxGZz9gQqZNt/ISSvlPcJS7wTx6BXoQ1mbm8R+XH 8/wJSbvM/oJoVMwCwfqYhpaANRRnEwayGmkRyU+dgyTcqpDnTMLgn5v1Kz2CA0LJIm/RzrcFpKO5 NI0I9UjcKcbekToOGGh+gPd1BXlRf8l5Lv7Fgl2XByibTk9MigSBq23wZO7qoGid4aE++i0Lq60H bizA/i2CAhbbuuwt7TBi6esLpyrXD5wBAfVqPm0ILruEeXWpAVfGU1RwLAB22f3xS56PAqceRGEW wS2KPXw7yejTIro+WQXJEAiDaMVBCjdcE5xFiEfIFaikaGIA1YgZIBzlw2oWEcjjOdMq6CTfbkD6 zbscAvc4XPKmOEFVWDZ5dHwV7mRLDeHGaIe81Xs+69q7HljA09xOlt1XqeacKkAQCQLh54pE1DeB cmnu0fdwG5xlXMl9cFMtL+wLaUI3cn0KWu45ryA172OBGQaMSHPkBhYcPQd7IbsXnGtPAF7nbxpH JA03i5zEcOvi/gOy1EfbmVHs21zm2LbBNIkkazVulJyGbeN4Fk88+ju3ikqGPZQ7GkpTY4PRT6jp EM7cfCG1c0fVlhjIbYXVz+q08/Sn1te1DbhXH55tjnxt5Hmo4zbKqyFSEyEWw4UWG9KYtycxlv0a O/nkqbkQmXl8goD40Vbq8GnXjBbzW/d/ot2wnB3VawoiiN7rJGUwf++6urW9ZbN91NIFHZIPlpCh BWb1qRiDi/iJ4c2X6n26JSbIckjrbAdtpdnYYXq1ntaw4cPPQe4Vf5+CbAVO2v6PJif3up1EKn2R ZwBx5tY4kcMECdFeFIlma1y1YigEBbJ9msjLoHpsAge6j6JVPW+u0jmtLgz/Tw+6ztV72K5pI7vg xYVUXk16/iboXvNxDkdA649HGEcYBJHKVRrfPJPJQ5pGNCKfD82/yapKk5ezRMjj8ArFUA8AH5gn O9bH47GQz4rLOaJuiFXtCOr2tKi6Ylf0bBaZAF6zom3Otmo5GU9/zwZPPv/ZEYcGXqIc+czBsiLx bmn5Tp0Wd4PcQ9c3McuP9mlKW8Dmyw15yrx93VCO4WTShq8wpeN0CpngxCdsGwa2S5pyYMwzD+8q 80/gsgZMSfo55nSLaK7u+5G+ETFbkFHyzvpmz1iP8UNO43vclyAd7gHGF9ALjjHaNugXnj7UigJu L9MuWJQP30QySbLvT3EiTtmuJitIWpAAZTGa8CrR895JhN5Ft5pidg8YBDjezjwziNPQOrxg260H v48VPSl7JET2W648A34AvhAXm3W16/YyeC7H8N4GOMHb9zcI5uyf1c77XLByBeZEd9HwQPLXM0La 411AjJutXPzdHsloPPAybWyS/wZMmv5VGd85YDTn9I7mrbTmUAOERWo8/1W1G52X5zVhp81ItC+R 9Lkw6kzqKRZr41x09/SsHIf7C/pmxb0RSapzsWRJDIIZN4T9KMnq8XxXQ8ggONy6Tyo6S5rI9m7b CbJnwTkUR+zCisxt3MaBJWk+d/jP1gj4C7QNBwbpIG1QI7G1lPHCc/Y+B+UPWk/S8brh+8K8Zgyk CsuJRoLKb/AIYiGNzYr49KhqiFvrt9WDZqRgbeQOCxDfjaXbcAudhm7+4L+SKOQrtgG0EbifP6ff /1SJwEltz0zFW0L9BH28vrnOsHqBj3pIzR0uyPOQ54C1ztvlGq4pmR6tTfx8Y+4pBPyOvf1AEafL 4fiHOVdF+wMknzOOwlhEwlgrHh1Mf0D3b4KvtQIYpwJis/jHiONGZNJhISHEtUJJ77EJfza80mQZ /7aFEstpM1Ztvr2xYms6pn6ASpBPUQtY8W6pY7Psd+aseTK1jz4ebSUV8W95Sj1M79vjvMIQxA5R 4wkxerERCy6lQh+TgdxubUaLpVlZGgR3mUz36M023+dJaJ1aPS01dksC9Eo9zBMDUN9TQWQHtn/N pCjH8y8DzhirJl/cuHpm3bHEzBMcMtQt9XLY27OU78tY1xy0z5a2okn2g00PDzFyytxBRSeMZyiO TedvsF49nI86higQrx1yKlToNQMHlab1iaKejlB463NVKCuYsbGlsh+7Bn8Fll/txwqiNboEZkfk Fd0rqrFchE5yNxB/2cjM30iq19si9O41qe1lawTECPZrdxsML7IPbPbBaZkAF0oQ82hYHFeGwFm9 6YZqBwFlq+wTBHZsrVgWfT+vYllr0HArBdPATQQ/75TBgjS5Z4ZNHhEohlfSoBJb56Ju7dhcH1H+ 9Z0PrfAR8Atse0sJiEpF4WgOqNmNZTmiddnTe/2QXqNccqEowrqj13uMh4P02I90LZ7R4FEtztPD ngRfvmKwwuAlj1nxQW9CY035bdTUVKzTGtS5+XZNURcthwj8c1UAVGFazJvaaHi/JR5SZu7x4aM+ QQuajwi43CqQe8QGmtyDo4Iv04RCqbJ1DB0GbaJuUfVMEdeXhE2bKG3YUOviAf+wkj/kYd3IViXq tOx+sgPz9DEvtcg6MYMSPPDG9gD398db0qn+Ccd7u33dwOlrbVFDTDperB1HQ9DN2lYL4fpemkEv hgfzmNfrexxG7Z0XTx8q/2ZDrfnPADANt7hF8ao8qbfPbDf+rBdBDaz1fUexiyjp0uygzHytoSqE /QkIte8nQLToasnOUKb5rKfLLN4IgcsP191pHlENQfIPXSOfehHNgbHNQEp6NekT3uPmIAhiQInX eWj4IyZa9dbyydqsc9mMef7DZXJ4oJ32TB41ZCwpjl9Ip4qBb42e+fMTfGdxNvEomQmL7/b/Yex5 bJb8O19fHErr1ENyW/ENOa+zYE7Bol1/4RfK7LW0Z1xmXn1/y/UpwhWmlQNgIW16T5pCqVfZyBCT 58tTOE+zlaqbIN6ee258oH2PG00JH87iu/Eb6oTkgs153SFvwvCV4NY2MjcNRCkeNG1KgAvoZTAx 5WQRVdgJ/xUdqOp2NUGfr1PQ/4hfE82GW6Fk/N8by6spucKg9zHe+yRzHg0cndes/3oNW2Srep+B +Kweha3igdVOP2PJxKBfbJ1wUK6ocUMw45Z0zAyeFU0Ul+1v7yw3q6OYIo0rfePDl8ZRgaEmDZJt ko1ShEoYHts1asPvjWVWHKzdtR08dUsPnNoS04PQKS7U0qmqNtgvzD5cigNX7mBENqNpMIXpwB2n +am7SbC779KxsJ6fbjRLfDBwbYOpXFYE0rfDwCvjt6Ke4OPVBtiY7LkS6cHAhwzOoYTL+U8RQdE3 XofQsRAnr5D3T+BgDXtykwkw+62YJG8p4YR6fdjhtOVRdCUGQojAASmVA8FEJg2bEnmTMc+lFXti PbTCgz6+9Xvb9xPVrlVRhQcaIcQDRw2ffvSxVi3ADdgzIpWz4AYHuxpRxGrcLR4u+3S/wR0YvrKn E27TFt/AHmeTYAfpm4Scp8OEbAm8OJHagn9Sws8bUhpmmSc/2T7n/p35jR3FvbAe+NLmTKl4YtDZ LwYrOs3gzQluQFqmbGAx/75FU7MRLY7qCuQ5sPfe5Kp16TmUR1ugOuprYcsTGded+bckfmrtgtTY x75YcW8atyXduBCr30nZC2ov8cZ6y0Jp6XpbQD8ON7cYGRyU1duFsR+yx91i1ip4J4ybDwk7vQdF o8vyCcqyz00RNGzqcz+FOxddBdjBb8Yd6ckHHXhDsGx6gEQ2cJLO1ttBct8Wg2owNqCdtnjVxnQz meZaQUlSLzJ9tr+bYKgg8LHlZpmT/c04GgLKQiT/ql57g0ePQXX1Z1qVjEOjgo0EA619Ek3jECT7 y5LYOud0gOuViCeNx5fjInvQWCPgXJVONZBDM4W/eO08l2Jj5e/kvd+p27Itgoz3B3Gr9n0IvoCw fTex4jtyEyVHRsNmF6I462ugr8Kw2CKcIm6nPASjXe24QlpuRfOX/yhYpDBj6kglSs8qgaZg3py9 YPTrJqopN1pC1BVI72eb32B6f1ozj9qYtKc60HSj2eRx0qj4tbWQWKnm8QvBWWf1Y6fzd8JeyujT 976ayro7Og3UTU6xLgV01npCTo4dSgsMRIQbdaGp/C1JbOxtLJjk4qVCfJ/Bzq+jsIdYoL3LUvS0 Bdq474HyeeonctpE+vxrgw11XCuTLf2o9g2nGn9L9TPIoXySk/hihYonzL8iCEMdNMWNH6LT0osW ZP/7ruduVDUI/jkD6Eea++xS0KhcTauiQNJgWYcJBTpdzlX2QEpzodgkhWwMEfI4F+XWkz78v8g4 dI98IEu070NALUVD9Lx1LSShEE8h9+z9oGMORGOkoad57T41iVWELNRAzFDclL4ZFdCq4jt3a6mJ tKzi3Dq2STdV/92STb1ocaW0QyCVb+/Hyjtu9WD6LFTnqH9pRIMrdxXEjDost4AmtAjTKtMtMopf Br0krySfOIU4M/DmLlHIxHIwkSOz9kQTGmnuf8b+/Ukdo2lgtzbWs6E81SViYXo8ykilyMCWLxCH F5fRhAMOECWe/XZ7/YL4U++imY7cJY/RW0BqLZG2QF21n4EqbmTJ86tLAw3+renJdCs7FNAmNusm 59ZTjZYG7JXb4bLtwtI3lI/drm2+MtX3nVHtOsyXY45TAJgIISjnuTXaE3Ug1OIsXBdkaFvWzCeE PRMvjBdXdhovzp0um7QaI1Os7V0KoA8CHxIQpH5kTMvwBvqgHDGRUJEGhgvviHEC6g8YmGL9N4p8 y8qFqueSXow1jZ7GWF93My+hcKyZpPGVEWXh+Cfqx3VibqTCnvEiyaKTaO6OuKbstbyaxH6EAWFh 9Ctd/WQvpz3fGG2hPNoEEB3t+6gIrJPSDSFgfubyxUATkJTAFeT1BIQhcLfulI7G7TEJMh+irX/C mXk++HdC0khSCiwynWzpFTn1S/C0MWFjl4HzlBfrcOcyxsTDOia6t1XUsDJ3lsKAv+JkY1z6m0VJ FGoqfsT88/x9aeM5KGCtBESaPyuOJURmldi8wlwoT0PLRpQU3QpqZCvhOJbhBe90QJrLnRxOgOsE mgOVT/M6uZK+ntdkRllaPao4Oh4usOubV1J4MK2iqdL2Tq/TbnsgK8BciHhxDmts5oJ9afozStCj TFaAlFLvhM7fyWxkMVSxseLV4eahiJpEX1z3HS5Ycc7N8isNsHx+Dcc7nejYUzpit9IGlacm5Hrw K003uqaQ1Ak9v1FiNdweEfwKbhcIqb/WWm3Ypu21aZVQ58LGzP7Nv24LTxLYukVO2He7RhLIQni3 33WVVNLmMtXb/JnRPJ1ZBhU0slszzBhKJ2Qc/NWU34XJ/DJv+XclkdoXyXHvfWbsHbSLg5Ti1bxs MzVrwm2z+ZP0ifjLbUocWWmJZjyBHOVJ96qxxkKssyB34fxybcqckBZcYoq2ot5Jsob37e/Nq/Ts LSEFiF6LzdtpzWQ4luOzuNAXEVsjHZmuwxhx2lVT9Yr/Q6TlYdJNxuR/U9RfqvyujEUFaVsx2+A0 +hxbnICkhDFGZMV6mnw9bP0hbiwCbRA3Rz6ij8dXQa+nKDO0ZQoaustO/XpxX6ectmTdQ3LCPCXv S6LAQLlw8PMMd0pVit9Ij9yJXkQBrP9hrp8PUZqBL/Fj71hn8gnnVhgrjsvDMNqIhKCVrjxGI3Mj fXBgiHOs1GgyJUy0VnleKhBAmJf5Ym0I9v+9gHjqHuVQgqrvNrMXKKOCJK+0H9V4uStxZLIQv4/7 SeimFvsS3WGWni/vV5y76B5l5JjtLo8WhDQ+Yy5TOfOlnDUdAIc+Pz2ZcMtIAUy7eGnsHB3cONcR 0vWK/9I+pTzJ1469exePl9SeT+2y7julVdKIpZKcu2hgrxOw6TAXS+Oj8KsKSjjZwNQXzbEGKx/v Ak8kqvwcDdulvZnMX/XBigyO6b5VHP0tO6wwcsG8p0EMHVvwwHToCeCRyQYLMkRDBthk5/1Zpo35 I4LfRJ8Bi8h7jzdpOyV2/j8OQZLa/uD1KUa765W2ZWSreAUAeogbS2diyl7XqbLSKVTimxl5XhOI SXJXMUNptEp50VOP6iXWtdRf/ae0tsAcxZpRYe2HCRCjflDlvWZCW5+1z2aXNjlMSWhApeWuKMj6 PgkaiJfpiLZoZxnXgIN7AS7p65OtOTp1qKGNaecUG0M+B/1igHfVBXADrWdRtl5Wo7KsQXU8Wqaf kOKoyc96AZVMtkUG6D2wUsWFyfKzeg4K5GtK0/g4JAqazzpu41+lNJQ3FvC1LiFcUmBEYgY84fni LAnxYrt+oVst5dAXll1Q/4BMWYrhwX8zC93Z37lYJ375qijxEc6lG1afmipnyQIuppcNzomkqXsy bbIwWkLF/+Jj7eM1HVcuTptrptaaEDqVtbkr1XzYl23xnrneOrCKDyFYzjpCWAJQI0mm6BaZwasX 5jdUbUdESaz4GB4/kXbOEk4/JwOQyyWY5sL6o0P+AlB322GBVGnF8aS0sdUrvyJffhiytLidIe8x Fz33U3BnSTIxECScrPsLPZAdsRNqpc+Azc27IGoNu6CWQJzPIxX09LPVYHk6Fa6slukbf3XS0I9/ dew4XkX9aDAvJs0G+xyccN/kPHITTCEQPiISNhaWENGaIYjaH/tWGQ1glysBfd9paKPQoOE7cU4o 7U4+MZm1tKJxB9bImBdBeYuFcNIfhMK8dzUpyve5a6Y6tW3sCTrvXRz7WCJhgVjbsrUkTDUE4m/v CjJoGEVFnQ+y4YLiD1T2LeWbldWQbfX/q3K178aDK6Pkbd//S3VgkOMJFUL0duWWIKmXntrQXmkw 9q+fvKyE9gxOhGJPGQNohCIUHH1VzNu1ly8ud5BI2UnIEo2lEcNw2sYCucWJduHM1/RoqK9fdVzW gA3nBtpbZHE/hgYXBBHeMV20FJjKBH1dZDh3/aghIibswBAY4xvvKdQM4oDk7dsmByBI9fZgfJ0R trMF/x5hWI0XZvvNJ0wXddtfzCBH7E7R+OQVzMc3Y+ww3VE/iGJlR1HpJvSDJxcVrQuEeAz89M6a yk/bzRZntcMP56u/U2SqDmlvWyxU2qIn4NkxhOgyVzISY8P/c8hI95zQqLR7ZXd2Bt/Zfo83knt7 tKnP0C4pNHxfIyJmwhi4uMoTL+A09xMIwE+ekaFTldB0R6wAzM7+9mOOksyCi33xz/ese1pFqrif a/DHwGCuMGQjCvAsH/lVc6ggbZ1M7GRqzjkh1ly4WgJO21KpQHCi9bRBi28hcpf0S0cd0asOcb+Q qgUlyETVcwHDKjzMIVhF4sQ1Sz7KoIr2IBlO47zvuZ9swqAWR+z/6lPMws4i3LeA42N8HkYmyt4T xCi9CNpRt61wQk4oMNuIlS40n8AKlH/iPPLrYxKKFNM0vERM7W91D/6UHkkpzLN+Oo5qCthyVoCQ fFUVXnJskveB2b6t/0QbSFghLzt8HZo/gXp5RgvyNfTAA4/NYiuGHBf8g9u8gzRpZm2Lb7fIxejr FsNRNw8rl/W06jfHEPMuCDXibLNtbk8ElwocqZ0hDpETMNOayUPHqdtzvSY6fcdXqiTP2XoQAct+ nNQJP1nD59vagK6dEOQ/dTK2Nda+uCb09BH/dgUzfncJgJf9HZtSwKFyMG+ZL1eoWrXdpHpnWlgN aS/JBQ1QQTgGMrVx2KHrI9JMTFVI/mxQRRuXYgVA83cwNASjiH3M7ylf+XcMIYyaqGk2kvryaF2b mhDVeIqWQZdhhNjr7oW6/PqySv5bBqKI+FPqcPBnQ8S9nRaBzFmMGt0qf68yio/QKl+K53bNLnT3 EdZ0ayajsH2dziF8FXVxnubRfpSzHj3ZhM75iv1cWTloA0i41Dy14L41sL5w1GIrgRxQ7z18G96+ mLsHYp1g72iu5RSiY2EpjcSIihC6i2e39QHauC0NHus86OMhfbZ3yLImZgEko63vKEQF4koiF2hH UapUlt+Eye3xKHQaqOAQV8I7U2ZxW8k0jkG/DSKjvsVULe3cDgpWVF2WxwudxRyY+eWc85KR4Jsb I975O929iQJNIJd3xRCMAozDOwAn8A2dSNEe2fJ1R90cviU6sgoVKW+MD/flmoEtOi1ZSh3eiJum ieYMRn+LyVpB70fXFO51tjwsSq3oSOzMTL0VE5Ndd8DTSgurLxJpi9e/xIyEm+5kE0A5/KAsUYF+ eCx5bAw/HW05Y3M1lmNq36ZxWNPjTH9I5ky4ESbADCOVBDMqpTwbbdFfkYuKcQtU04p+TIuvBZYX S09ZkyRVWsQLJld4PklR1paVnKLOA/brRNvnGc5EWrcsRwoW+jJccUmrJI9sn4rUh/KFFOBJduOi zjcPRLTKf1Lo7A+qsKkEZJ00ombL5gJSMB6+xaeRPGSrACbx+gytzDsex9Zmq6OfagZyOhkqNu7v 1U/3rjWqwNDYIThOfUIUURS4yJtHsD2KdOYhCnuOfoDKOPzXF5kS3tzThHQ4oMQ8R5wgUjXyeCFN s4JodZkwi8A/Dj20AKqAworESn0D5dxM+HOuRYb+gTdwRCW0dqOiKRqUz3Fc/N3jcyy4va6DE6YD OOfOGKwIO8N3WLvzouq5tNxHmV7g5p32FL5uYKhPwE0aLQZLVozLgZn221+QshC5UE5bfP9Ds6GZ 92CB5M5BDXNaZUnSUHGicR6JdhQNVXdoRTmJzVDJWcLj/0ATvchkGiNUZsijun5aG1ua1SAMKJEo 4g6nVaiMrsjCVn0ZNkMSmrcrB4gpUzR/O+f4/rvDsw6QWn4bQ5HeoMgC+CIskitCkcadsUH4uzXd BNIOPIruK+8iPMLa/ZMdVX5oFVryjPsHjKATdAeVkau/Do3jNjd6dcaEoRZFTREnhMzQEsQlIN/h 1JYdFDQrP6jyyRyuAbxuc5Iv0nMXrkGmpEmmEzeil6VokIHR2L3o2mgQeAHIiIFjN2mTgiG1cwfF EjsoUAsrdQhmp9d2Z8StwOtTTl0G7nPmv3IRHUT2D+6tSqI6tg7SIz61Z9pgPvKe0kCBbh78CZp6 SzokUc2WBQL0hm9nUujbWP3Jd+8LZ69KG8JSMoJj2gCjbiNtVEU+HHf88xPvMDO0d4/pysvs2/Pp aoTgnOTtrzlTw6AZDSQDaidWnpGVlDWja8u408HsFfW685FTMXqXW7hE2THiQj7EEat6s9Nuprks cD7u/BGJvXosSV23rbLINzIoWDHFWMVwSDSdTW6qkyRZjepreLYh9VugtujRIxjlH2wejvXBbrO+ xFcVH9eteAc0WKqhhhqqJFeos/XjQnhczX5k9A0pFLqI6GYSQ+PHbny3ETUM8J3gnVDaiOhml9Rh hEQSCtqYevLcPQFIq7VbT/WOujcqvJw04UZcWNbvrEwEA9sIX/OdsFpHqE3bwShiYDksrl1WrZLd P1wM565JTq9nx06guPaYE8Rfq0Phmfm/gt5QnMqFG2dx5ku2qM7Ak+j45vzP0IzpknmvrZArGHjT JWo+Z0StEXts2lC9peNL0G6Nq19NJlW2B9FKJWfh74TUk9YVHISL61jyM0ySQ9abKu1qC4K2W+GB Rlvc+ErQacSxEYymHuzlh6yNgz5buFUN7TSicPubwfyfou+jMc1eatgykmbPv02EZVlAk1IH8Ymg 3JvJpWnExNPlZxU638p3xCr+wcZ5iPBcrvdKsaWImtnP9/kxtgKGcCiy7cVZwXqrHnk+aWsR4hMq 7BxiEBtK5pWl8CN2ZacvhpfBID9nY0g2exze6ju6pO/B7FZ+3nTMQ0ohvBmNf7IxKVUcwB7lFDD2 DVAtT1A/WtlJnpr1s+zHTISKYYhfCwMckkqFxZ0IB4CQVndfWAEgXdBrzItTgsOWwJXzqLektaQi 5zUat6sCwxlTTNznRmu52O0XzJufXstYIxAm8X8Az0J2GzDn+mosguKiVgMHJaEQjyPJ+bbwkQLa fyTsmAP5ZstixcLO89qZu24nq7wcqyItI42g60U8useQ1CHiTzcr9ub8Vtw2zgtxDLme5Ao6qb71 uZ45dB3vhyumsh19D0QMVdef0C5PkzBpgm4O/geStf41Vpy4XqfaZH2f8h77IY0/B8zS7XKJsqrq efZaNa48yTWllpzLNLU4rpRSA7ZKYNgAhHmXfiTgqHd5BThvHM2AhdxBsZx0q/l+G2Ipu1Ajx1og HquprnxiCOXS7fqm2/7uROUC6VuP/ZzvblgcTu4Lg1j5TYNIMMFXLY1//VpAZLcAV32alDaqYhuL EWs18A4f/hR/n0vwhjroOsncscCJ+kUjqnVR/o1IRBl+Mr5Zu+IXEylEPsRRV5H9CRRyJ8JKxz9l GL6CMEnNWXZGk8BN8ZiM+glZmEIw+dOm3be8KXGI/s7dUtsHY5u+S9BB8iD/LA0ziln1u0VSvUI7 5Rd+k+fyoV3yT32uRONb5AgPijx2WcPBM1i1OclCmETpJOcYG38FYLP4y7x3okV0hWoRid8bpTtO fAwtXFYwxvBVqhVpO9DNnnUjrA34IWYuyqW3P9w1d6f4V5rO0MW5wl0Jv1f1ffjZijFX2TlFuRnh yF7I+2WLDaDDtRzVlK3lIkX/7UKzpgCVsj02qZTg2HRY7nUKliSTKiHgl2K6tgePTIAYPMbBDYbe bUx7DWHidfhxUZKDPYdioEpolfQ3lIThdhabdPEfazLMDwLfi7oNigKRX5fDIG0g5E72s8pKO7tz 428sd9pIxps4gvNgrjlSiilh/fudhfjYrnN/5Vjx0s/LXDUqt29efdgVrr7uCBLBwg9RsPByUMd6 C9b5COEcsvtJrRznNQwYLs1e6gmiYET6D23/oegusPWqnW0VbE7qFwxxioUVqqkNTq0pCJ9neI/J 4qZpNu5R6qHJanl5ePd1uN3+ITHRFutDoQXgx6V23JjUWoU2tbVQV9QnUufk2K3gN04il9cp6UAP 4jPdWdaBnjMNMK42+p9S+8MHSNf6eURKQBYWlYfv2gh5u42fKCiN5jpasMUKOffqLphv9NAzhTYD 1UUEYBXQJADMx7nXFSZUll4f5fTfJ9z2X865nEQp1e64rUOBmQZyHnrMCNNWs9s9aPXYJZj0pTlc KW2aBN7SCMDya0n+rJDhB0E6aPRjTeCaBVfMzG+FSdhCIdrK8Ik+BW96NCjcVpeQslVt3ZjVZMnK tGwk4gdCzuFrY7IueG+vuoCDlCzeyZ3P1MlzoERQRUbenWwlRuLpGrd9WyTV40UUa20lfc+SaEUR JxaOS41zWOF32DxVFud36jUVKPGj50d58TbLg79BPcVfWSuoQ2jpECadZ+LlPGNMZP+u4iVbz2fo /vMdJ0AgR+cVcyhPUMywqEV4G5jx/3ue+O1KxDUMcMYiGCdoiCHSLSnpnieRG+rMfbEbjjUdgYiQ ohx9/cBH8VEF/AfPbwrE5TM9tYWP9iBE4CQ406NXgrL2WTxZxEFxNUMxoFikwm35kg3v8iQdtpY5 zG2RE8qlPJNrJYWRB4rkkDlvFH/xzYOo5k7TdvwNVgewY/Z690q7sRRwZ88L0+ucDWVx8HRWuL+E gweaerm/vwx355ox7S2vM7BN4X7F5yU/xCbhFTSh66Bd7ra0C7Sc9yd7tkfc5axy3qwgzWAwjZmY /8lUQQ9iOAKwn8DSF0ASLB3fGAUp1YA7/hfmLfJxpM0Qlg74hcAX3cKHwIEBVgk5Yep8OPkGMT6s nKPQkBcdStyd/9HcaAomBt90FOXS807VhsnjVmhsvefEyiEbLQbckKlk+MkgCtvU/1Wz8rgQK+qt 4y2qta7WD23ydlX1gEOXB0TCFtOOL6KdNJkAkaO2/czHpfmQOGofQcslvXCO4ZnO0OHM3Yd7HjKw 9uC8roEkxekWNwFn+LXvKfO7VtZ+R8BnzU3hPQknhzLWcgBNJNKygWCNOrlcjikSfpWQM3L1sEeN 5F5cLYafHLHLirDJ+SmAkj8eGQZKm6cBE3aMlQOFKfjRUsbu2gAwvaRKzwBcHGu2GZr3YVToxZeY khfocsrWdyDajc1d1eZ1gb5/HM0FwAdI4q4DMD7HsIDQb4yp3xO69QymGXl4SO5pZWyEWv7MVU7X Wp+1pM6MUjhiPrO9p9U80rdoCF0rxXVb8MxxcGdBcMHF15muTjVa332RYnIsV/66S0DKTn3qyIBp TEqZ/DpTbVQ2CCTp15YY3AuzCOBQr691kFPftDNz33fgkLTBG5GZhO58m46k0NMFToe07gGGEOp8 5l5NMJOvVgjVBLi8nQG5FJxoXqkCZOHLfV2jKJo7/sXRMDP5pm3leDkJLpIec/vJh5652zsdv58b b7j7gi1rZfyvjSEF5GYagYscluOfS4UUnfGqkBY4kVR+QCUdEkfGrzLJo5KXy4xbg3sHFaxME3PH g+GCBeOKRgwqAL4TZFLeLgXy+moq+enedPz5kc6nRzePIqFXjrVvEXwOGoX18SnSMbZ0m8QUmlDU KtqQq7bY8corIRKMCqeBT5iySzxUMIw85zSFlx/11BurcTonmnl4MvmL5sCaffrTe6G8EX1l/pPL tTKdU0e5GHY4GiHKBAMC4i0Bdb3KPyZzlUQVWSLxVyQc8R0YyzMYPwWFCc5VuNc0yk5eQ8GxHrGO pT9jEdjhtNLZqsR0LjjcH/6foHZ64uqvD7yhFaNMcWG+wueSJakNJAoAftcV1skKHioDgSBxFiA8 rCn8p6IojUQjUEaAO9IfasOaa4igPgeOsyTQbjwgeJhk65otIUngPurR4h9OIBkMlAi4N9yIdRi7 HSDUCFLlrB+LGemELy2iYHf08QIXAGkjgPP58D68N0H1QcuuTwl3kr1q8NUnRF9eIiAxU8OPH17+ GWTi72qFrupjhldklbsqY4zQTE0IVY1q64/5suvmk/tKVFaSptQMGE/ajfR2LJJnNiQzRmKTqcxW CTUd7QMfanVtICwC3g9n+7XCu0Upz08yA+ZEiMfDDsoLQqa1jKvgAaHYtpqfpQ41mKmjfUE6BS9m ReswX2XDIYINprceOTw48WO+TtXv5sa1Xm0hRSHAwZJmfYmINUybeLI5rWFDNsEPAjqQIJFq7WeD lOqbolvOos9+7W4hb+8x1LeHhGaiEhCa00UkQ8+lXy8iblsxAhRWd4qv2O1hg57fTEB5C6m6bD8X +znv5NgXdGlRIUoH4U7+CnteWugy1XISLTHiUNqyRZFH/lCIlpu7Z4eTvhuK72UC90iMTCWxBgJS ei3tYtWsaGnFBxvtNKTgau4xp3RnFkuslXzct9Elk67bzFflbDxMcEuJhZw9U2pcysq9YsV9jszr RFq/zyWapU1FmZ3aey5it/XsHpUErCxKPLPN3p/JtRRmD4qLvF5QpzTeqAxMUiLQCf2rO5JRo0Fu z/m5/0I0vRDNwwPaJQqnm3aBBkJrMZ8UdvglRtH2RsRsJ1E/6yIkKtoKeeHeMfihNHL8lP/7f/PM F+Zu0Op2ge2Nb1pMdBBXpOWikFQPTxCA07PxQgL9m+P0T9tF1yjRMiS0UUXRxa8Qe6VOIDsozF19 WT+2KF5XgNSwr8/wW6SfsTGQ9pdjQxUxdfIEQZ6kP5Xa2oQ93H/ZZ8j6/pvtohFPgC/AuTkAB7sZ 18ogH4TTxLN+7XRIxHzdlepo7bBywBIfbyDP+lr5yHIv8we7y1ONjv8gmbHG7pH7Rghigwr1c1UC al0Mv6EmZDN9mrMKud2DbxBYmZZgqVuXqEajdx3qICIU1jszB0DngQM0b3zuyQki3VKJXe9TvTwy gSyowqAl8nXzgakMfHVVUEeJu5EZb6mo+RnZ7mMmaPc3YfO7kcNdlC5ITKfoCfQImyikhc7RfDLe VVLPMGeR+1z27YsEW2JbGPDhzGUa0Pum+7qebomRzdTWlmCymWmgSxSq7kJJ9Kr9aClnF4spluq7 FKRG9NiUDnItKjcjObjNzj5ajMpLF7l5y5e1Ybsu9vRrmh6dTXblcvpOKf1Iuk+Cc5B3T1liqfs1 rmUD3velbg4/SSMPd+RG31zHMpCVhQoI8qBQlQ+tkHE47ED9k3IlpRLqY+DXpAPLzqSvHjtQtUPI beIyXF/5fI6CrnL6I8+DcnhAujhBn1mpjFcOAideoHotPI+zeBEY/NOWeYqFRUdm+7eE2XKZdI0d l1nhBj/G68EHGPwtbHrF6TZlQ3Q4nTVPYJJtkYTtN85mXbmf/R9plLkISypjK6GAvzUa0HQLf3f4 LeI+rvH84MoGoBxCC2RqLMN5qviUkblCn08ugtEnXqpdkwREgZbU7P/9wQfMt2OWA4CLUPN5fHAK gBkZFT921+Efy0MdtFiPHLvg5Hb3Tz+vlbfl9meIhEizcEnJoE2XgCZ6zxMB+aDLcOgU+esAQA0U rPWSlppKyPL0CdnwOZD7uvF4+s0QH3r7FycPlprKn0/2v/xKhJFDOMfMl1cI6BqoWqY7qPbL3eOL vDxtW+oDWAmF+cQc2yxeUkN58JyNg15nZxD6WqZbF3gpnGxJcGrxJsCDVNKgHJXHn6br2car02Ja 6x7EMH+ZAkwy2nTAAHOBn2LhlQsNCtpI3q8tB9JizkBuhyqL8igF4Nra0V7ksyZ2wkRMlJEEXrrW 1dY2c/OJ7IGM4z289W/Kq9u8zEMzyV4joo//xCdD8ylf9uX4B4hQDF+peQKHRRG+F1x0EWUMPBDD nQKReTCKy4KFqUjfSRWdYOqL8Ldn7iIr8C7qJ3E0TO18ngyuxouCngqQL5RksF9CI+ztlDAVTNNb tC3k9Fw/vhqbd3tBGS+sLb6kBKUBMcl9kjh3hL5BJmP4wAstNadi8xisQqcdLxsDhTUeGAtV+Wzd ddBqJZDrZz5V5CubiGfuazXZTjSWBJnexRIfUjZOlCXuVACoW42AqbUKxzHu0/wp5NyF2l1opu+W Gf5v414N7l3J6NB85nHKJjh1yBzRJB3FlmcBO72gbiIMaIi6lYBRKKS3GvQhvZkE12EDkRIigNZQ SrHL+tVzvm7fRRPPAg3P/dgld40juiFuLHuoKIe4boWFnevHNbYA59Dww8GSxGei2IrrR1GFi80E cYapahCvzXHpU5ybBXJUmGMC8S98IV4m+wgw5QXnZcRKqRym8wWs8DVKowKuPr5Rwo1xcAui67My ZNako6GC3WAcGDdSLI5TagYG0YmQvXKm426EvkLhO7IPdtAY00ycuDtavXxYxWONf+e8qrkISZCJ grhmc7ti9ZwVgpwuPmdPrqq6zdC51DDyTKl5LlwY6dG94DQu2yEv14HrvHh+BikHkJz2tiCb9eHt xy3kIn8VqRMKpoj54NZ8ZWYLWOVCbeIJGynGl23QDWlW+8mmGmdfve0741uGb0Lecwa+L5kcXQYG 9ADVp6ZvqlW1B+XVD3upD8sZO+G9Fvd5rrmb3ErAG6Vd/A9bVjHQ4OFZT0K5vQMAMpSojSZHMQL4 TQ646n0JNeQ2a15j3lKqOV95PtfUsJOxMfHMGVQmKr0wj7+Zm4SSrT5ui5f0fDYe1mgIFlv/rUDb lXh1ENEMrrCtksDqX9VEC42fA9B4yaiBbR7DI27b2Fnu3GN/8yw+LCvXZT47ntWzW2BsHNIXru7x e9dixWJBII/1mKMxi1+OH0tGOXGf2CmcsSFRhAju2XdJH0Puaf40XZYqTSWMAXUguH57oMeyIJpc Kw7BghSaKR8SQUKyXhchC7u+1ZY7J2uOYwUzIG6ipj0Pbob3iHHDYIrRSYXlPOOJBhE6PEDf6d3f 9rxo4vaWI6qWKHccbM2Qx2iKJNpPa8sg9CkIvbu2IsMbNYORTab3D0p3TT1mHc+02DRQE3FX7K64 97gZbokYK2NN2i+jtV/zTKaPoDKuk4WG3rwNmety4GQMePAcqqDCYs4ta2rBdA4nXIK00oKafjjj 33YkbGVw6VMb0nYEL8gjHzqqfDXH6j83EnEH3Wdxr0VQ9y0swzggC9x4Ee6+K56YUozN/3PPE4AE 1pf3rhK5660wfYRmNypZyYPwyjGP7NnDr82YSf5yVlEKwdtx8M115m/dt3tIN1GS8kAK4tCGEXt+ /hlK7BgmopBnCarEg77cpMMCrSwjgVQ00DPcnEmmMJ2APVhfuw8usvDHkr5QWD71tvZVwpppRftr oByoaCDqNzoGHvJmnTvtAuXUMpUmDmC4fokmgm/fqUEyWCQZCXEzdwlDlMSc9NTYCwTFfv9B2vRK 7axkIkyVNA1/VvYJYgkBxgWHKIdkukp4KfJkCzHqhUTf1XWFynEgrSYa45Ohm6u5bphkV2B3fr5e 4T2xd/KLO8FPtuBO21RoLBlCs98Hot787FcEUnbs/zPczNTKG7dddusGMWkSe4hL85BU1cjdDfUh R4Ofh1Ef8Eku5e00LIY9WtBdCbVXeCv844ZDFL9DwaJ8EmTpfr83mm/0Px0ojHTCE79d4I3l/uUp miKNHFD+hZm3zpB+Y7jlulcXsKhrkwFqGRKb9eKVx0GYTslZ48+c4jGFY8Evl0sU6ZGJ2+i3WMfp ABX81dPO5UoQ6aS618AO+aA28AsmkxFVjLgCkE3VARnyDd7g1AGXcyd2jR66LbaiIPZmmUbYbiL8 EYJxEE8yp0wioIXpoWc4ZYNc2wDrETy55zYRZ11kTNcDfGtYJjpn7hPLuarT5xaRizBMqS7Nfb40 Tx2wwLYR/A1ZAUw6sA/m2fLwYp/E/ICqM3RIH+9hQNpDREtej7LgEmJQ8TTeJ6W96kORwWbxhlaB AUG8yYMwhO82KWkUthI3V/aXjsfKFeUxPyMRdB9QCEAIPRpm0TzmYPAYjMNgpqsn+gQ5KfI7UDnZ yBDK+PWSJGuNYAfX9TnwJ7T7MJ3OE5bi8QKuoOcbCBD+vNev2E5F9EqZLuv4esx6pxDhBB9wKnoX orBjagy59iAUYt+2vaQS4TN3PaugMnDgh9559PxobSa2wLEY6EAjCHZEpIO2Xxnv23Fu6YojySTN +ffExO9otpgRwApPCAsAuq9UMfGM++/Tzv7oG4P2AsFWAnpXO/sUTyXHC5enwzKwsBM8iCW734Ux 6hDaikMCjiW14ta+NfL742xSkKDI9Mu8jp3XbpDLL8UNulKaKtR88mT5CqLC2UHyOZ8s117zdwIh uqm5GMV95Wk/ZazF3Aua+UBDCXQImn6sse8eUo8GVC1zrFD7cRJFWniSy7hkCkp1f4YFafnLY0Tx aKafwpazI5vlNoOZiZKIHyqGeC6dzAyQ6VymDReVzsR/4AqnYTZknX6Auan/uK7uRuMkVcu8qyxJ bEaJ87PkLdU35VvfMF+4FlUL3hkDy054fltBL0elbMMeSfeH1tJyH4KrQBAOPhNcC+e/X1nIXsDm 6FMp0S1iV774Nz4WyDf0SIxP2qNxxLACfyTRUCutgHSXLNi8awSiArkqvzlH8yHwzzE2o1qSCp96 BimarA1KfwxYhCfqPqKxrcQRXGBsNQ3WvDlt5HGnTt1VikjFPzIVSX0dQgHnPVn8D1v800qrqPQO 3vh007zP9MT9IOVUNWkJ7PqX/oOd+WBMWeMhpphWEklmrGgPeFHWs3bh5bJGWf2nWfoV9DIuY4e3 ZQWN6z8Hs0Nca3/uV8tie1AGZs/LCtM+CRSoKf5pxB4qWbWcIpQP6u2o142hOKJhpNrMmW6hPD4v Jj6VmNDmQzZIr4j6oGNQ0J8lXVxqoOyPLRzyNQgCE/EC2aB6x8EzfNVSzET8NjuFIHQ4AWAcWAOF YIOxiNMJrSZ1lpm8Hi1eV3XYCvWK7UVV9lR8KbyqRUfVAg3UzpLdTi4sKaba/qLplMZ+wyOiAY3p gkaJkmBkjqQmlA5LpMDrFQTp8BdAMGuwwNumD7/D+GGQ4E6BCUq7lKAOVA56PlKhB51wzWQ4M+nK VtCsCbltbSouPa3ZUvFUNG9TR7GE8cjdkzgZmN42B4WiQvX1yWGG3C+mRYuFgynk+Qt29J27Y/gd mus73Iq9YA1bTqsrS5aRZ/k9jsI1Njx8fUHl4G6Kd+UQdaWHzhQ370v8mUKrgudNApAtGgjy8dsj jnz+WYVuFOQ6Ypvh2jpq+56oudJpSSFZctazzh1z1idpbHVwsrgXbqQ/+SBUg5fXPcLt4RxtXU1Y 7tIOt5tq4pXMC+FcreVYT44PrCDX2Pe/O7H5Fkyc5Tgb+8DruBwpGm1jttQYwTMbb0fV3fCbmNAc 5fRqLApb8doHw7FKM8KZ7ANLalVKE9Y6ASqyIl9Ygkz6LlweO2zNoMsGZUZfGQ5nES3JqOcgYP/l xt/QZq0rzgRj8LMfLRoOar5Rp6sSCOFtucrpCzCwlWIHOZTiELtAqjJA7i49vA9PmyK5k1s1eIDH cEpb0w4FDPWFnpmFHQC5foW3lO0JURBKu8FDsCmlAq7N6rwhAHdTWR4ER5kmGpwy+CT8np6lLwBo s0bHIz+PE8izPtMCBIkfv0F7fcSbT88xG9tRfbNKzCuThT1pTGz9dJCk67CgC+TVvV2D1Q7P2eRh mG53gb1/QJuRJYZBwp51bfzSB/gkj/W3IKyXepOmpl86XCulBeV0S3egZmBSpB26AxmFDS95XwCv TAqVUlL+bpWV4kk+k1De6FwyEEtAJUEsY+wQW9HcRUU3+yGOlMWHRRwJEkBUPHbgRlSB1IV4P+OZ aNGSNrqp700Er2iaehi2IjkvyDDf+IYI4PEKL2TadSCh3VXOM7zQ1SQDPTFdfiez20miKnm6XdPe QngR/Jg54U88Uum8G0SkdnysFStJuqOiN3sFO8qgEcuD4poGGBfIDgognQkJ/mA+M0cb/dH2Caer kG2XVe0BKw5Eef3DRYIJh5wNuoIguaVZUQO/WLjlk3PRG/PJ1XmYWHSgBSQqMnjztYiEip0hVZTc Uk57+LaMDtPg9DlRnaHv8rcE+p6Sgjuzjw4uOAAW1OSnxYrgOgllrMnjDtgqHPMxxdnFtABCiyQK kab6yCY5jXpX75GvW1K30mKQDDZLYDrVUm9xP03ToUlyALfTN6Tb1wldbqhl1lzAAghq+0irczzn hNQZ4CtOfORk7fne6IDqq/IIJFCP7u8KtiLKKAKMVxCJqa2ghBywqZZLM7A78H6TnduWV97MxSXe OzeD1bGHF5+shZgyk3vAVeigzR4+7UFCbZVBvVP1mvcJLBGhIVTsTiHwqw9Udrfw45wSvlGxx+0T bK0R2CYwDC+PDXSOWKiR0coiwyWiwnXmFSHcyPYShTDAra86hUeAI8F6CZ4UyfNfzulXfeSz3NgJ MfLVNB9MN0AoQC57rpUKVm9ifoTg3OWjK2Gj+0XChrFkmER2xn3cMpzNEUi87GLkjXElNS6tPgMT F2jez1y/ZaT6WGktAgMRx5+y+N3WRhPhckLRF1XdoImlsmegEUIJPM8I8YPHBSXvMlZzkKOdGrL2 bSrH5vmazvRrYf+VHSt9BEEUPql5BRAbEbqdHjrQn3uLWqGuEV0qdO4F+88hHJ+fhcjSKHvRnlSx mwiVttr+zbthaSqifvH0N8yCdQYGLtau9SUnv9I7ohpW7t9YypR2tDGt7yXRordRvZqxMyv8An0p wYtCLdKtLjVYwx5A6ABzt8AwIeE1q1vImQb+oIcpczChou68BuXAtfcl27tKBl6cAoyb+2FdK0V3 NSBezpgUDfCmsdIfdyO17A1NWzQX43Z3IcOw22GOPLgkg5QJ5vlzz1rkYHTNh6sDC87wEAFbE1RS 8BAooxHePWHJxChW0XQl9wiHhd9Y5DmnPrtrX9/bx318gyd6FOnFQ0oMT3OEPjX2EVJG1l96qZz1 NQKXy73HDKJD7J440r2Af6q98aYMBYH1nRT1SzsHhPIR0rehxTpABzWY7ZHjPlWU74Xrf7Gyb6cZ OiNDPqVb33ABHXHpjSQrLcN4aMU3n9wZsrNvmmP3bhrCCShJwx+pKNlSqOAjMPYBODoFLEKhKzjl rVjN5FtxVBCChvezt6Gpe2/tqMeGYFuxHh2gL5+HS9nlNrmXGuMqjh1DtUulkllnAg54v+eHyKYO r0VUztgeBG/2OeYTcrPJTJaZaG4ncNpbdhP8MzNGRJJZaSAHap+hotainswrr9rD+yoFytvpE4X5 sC+OF//Z1dnu8kzy//raVKa1bBhNOb+9BLx7rdlJt0uaFSTI/Wr/EoXHpId9v3RoBBhC6nERyjm7 UiXTlOSoJfy24t2tX7n1SNmRsULeZrfI3gjZmSo90Bi+MWCx+8lPvTf6koklpYLDuqquiSgY9wEa t0ueLpl17kd3/H7WUXaAVgjgejVJsjorXPOneRi4RYfjbwekYed7ce6bv+jwhOBtDSEFVZYNwQ90 9BmCjpxtCqWqvvGyaSFuRT6thb1Zhrrq4N+G2WYaDQ9dTgu2SbafRuCYHy+tEAzY0kVCcmahoiiS 1UxBJdWA6CGT4ZgY0fkgEIrdBZXU/ZUdqLTgMR4DUNmFhCRKsNWFiKaBwG/68hpayjCmenuD3yo3 sKH1W4RcyLUMKuw23DUPlsvv2KWeP3J0efmU19nT9kjJqmJ0le/alQAGjTwtlV501KoC2KOi5BkZ ZKuvVNWvzgZWuQkterdnkML+Ri9fqX8NZuXcnrAch405xTMp/JB70RkPCZuLFeMRpUMOpYzTV1uW cX4QM9flLw0u12/e0mhCuqoLV4xYQk3F3UdozRbDfwRQRTKGQP70Q0KGXEXhigvVSlvNJBWmWMpH ApgRht4RZnQcganBgmRrKBXah3SSn2BjLPNvCSsYHd8fESV7LjAzZVdw1ZWVjn0jWQ25dRe3RWng fVoohsZ8gR6hM+0g/G+cnlZoGKDyhNvApms/QbZAP6ModCYQGT9NUA7YZVzm+a8uEhtxWdU8oeyu CfySpX+uiF222bHu/FPGZCWUAl1p7BqAuLXNXPxhcH6RYD1q5Q9YeIx8naJ80QtZ8GxYL+hqW8WB iqGQy9/Rv/4DUQQ3+7iLmEJhDWjSzm8MJh+U7FbrmQLpNq7xoK5Gym9SAExKUCYZQt43XlYVGZAo 2m+8NjZSYMPyikx/SbaljZcqXm6al1+lvR2hQZ9VD9hvk3uTE/A7RthAd21baEDoV9BrOFjHNaks 66RVTXJoDCwVEOwvMKDXj0hsYT1kDM3juqjLRZ/YHysIKQPDgBgNDySVSxw6qeVroQFYrshJkcrW Tg0HOi6ex8gCvo41O6nN5KdYvioD5VV3WI1GxWrZU0nxj+hSWle2hEgKoXQhtttGrfUTCcIe5W/q r/BlqG924Tv3DmBQ0DoylutBhGKTLEoLS8dmnkPqq1wSNX04uiyGZIWYHk9jzj35xQ5Rq0ulM1CL 6wuqnCovDGTlJ/0P3pAupozZxfEoDiRGQqJ0se+7IKukrF3raHZlBVBYnZJCB9TpWrvxME9waI0R YNseM7fNkwKAJjtzel57rbvUduA4aDnH6wu6dOXGHorvoo2h5F0sqXXsoIuEK1fXg0biSLYd7/6p sx7kUjcYFAWMaY51C6mTOqZcshLbxQAnN7VkvovTr2uQ7XGyT1jr82Rjs7DB9TsOE5WMiHTqz/40 V/NA4QC9h1CiOaCfDzluzSkg1NvXW9ntg82S6uuol5cc+efxEa4R+4GJ1ItUoRLWd6IrPdvOjyeD UHMbEto58amFsjkO2RexvXzezXqucD2pSq2+1IG67Um9xG3LfVnNrRCvvFzNfOXWrllhBKMiakJ3 1+FFpthJcv+9ftc1cqHQzHIbZKDPZxByNUk18MkSyW8w/aCYAi6xZTiepkNcTJxhrdfuSbyGWSmb 9yrgKGebNVSl5SNoUvNkDoRKMZnCV153KvAAyQYwnrI58UJprWIVTlPADvhl1xY1iM6GFUweG0hI 3USmv0vUcyj1HWJ7rNep95MpNqglc6EcClSSQQo1/nsKC0vvJz6qp4QhJvEEdA41llqsmtU+V7hw c2X4ItvTwh1qw14E0XBp7poCwxpxh+hoMq9LaSD8XMw8+iruLM/PZSsR7PN0LR8SzGIP8GVk9174 m1LyEu3Fyu5Fv+MKbp11Fx5XHWgk2ihU7mG48G+K+2BhGz4ZET/YGGk3GJha+hsSysBUKETriM/H 69SfNkNCz9P+IqSDwJZlJQaeojzjUHvg071vpPDqt7RSO93Q2u2hWrA5Dn8tGHLhEaRhfEDcu+WS E0XV30GFd8wVoDJ2+QeUKJpHogHo3KmOR7iDfJklC57HQ+lsrnlhyxurm25eyuIMcEwopnG4CY7K KxpmndX8ypax7oVRVp0h1U6UBFlaTrzLpCuZccSRX4pfAKRaYJjQnv5Kri5q5Lv5rkzSBRdruNM7 TjojmUueBEYQwSoB4+LSesX95pHkxriyNrrfvvCC9IyqjtKeD3vA9mESeXg74GuuOVu3jCcUiPbo abQ9pgwkc1Tz7xf223Rlj1lNIm+t7jMxwZ/oolDAlrMjWDbJJkE5VNm08nyCvdyiei/5JwUpNRfH ZQEutA9fKE6IkOEI6m7Vd/cWQIPF56SVnnfoTFIbijREXLLc4gnddV1Xz8tHEZrIlLob/VHROv6Z NXsIlLvRdIev1hXVYTQ+pS4QI/tUT3mgG4JchxTU5+I3wxPvIuASmp5AVTiIup0mgzOobuK6A+N7 JDXbEtS0tGWNl7x3yzdbC2OGA7l/mNvHxOHkThATSUAv8p0hYqgLKuHhYkCHqYvszC/aLTc7LONN x/p++tLNnWfxFpDhbLqICe1edTRQG5yJfUFLDqA2rT5YrVDdH+0caw1t0uA5ONAnM8jwXhfuEAUW 5w7rQKjLGqseL4AE+nhgT9mNq/0/cwz5E1QReQkJ63bVoGiTux+EaA+ADXrzHEskAiz5UXmIFx0A N4mcH64W7vvPUR9GKV2VTc3+7O/l/3w4dLniIm/27PCq9UCHXHxLxIrmaaU+hJ6w6tfyeOYwOZfm iD0Gp1g5mNPi8lNroZQJYANt1OAhEoJrH1J30Xb6qqppZLpcvdQyGQtelzZ4m+o+1lcifQSF+ZeJ 9q3LO7l7vFlK4IgOlxlMZIem7uTzzL5Bf3+KvJc3LkrzkcfD7FIipqpfl33Pwf9/oAFXViEN2CIr Bx/RmN8wVLZ4CFeQgzEBiHWjlhaa9jeZAV2E3hpYny+/sPx5GnvClIZNatk2259bkz/PBRBc/ttn EouwbVpPXXm6cDX3XPl/SsUIZoWfo+1FPIf6NBCjx8TGmbwuRsJ9nH/e8GYJzJvxrS5N7Yfo11HL c0kcRPEcOwF8b+1GFPf7AoyF6O21PuiKcykAFxYegCvTMXOPefUMex43WE9bp9rPnChLWef50eVD LvcB7D/1SHJ8Na2FkdgT4kw/4tZr1Xn6Z/mU60afm0Sw3ESTUDjn1B6igsqAxwbObTyQ6wgdunFB AJLLyN1XLIxWy1XRrm1cW0pbrMnglsLOH0QIa1wIp2RC8ea6cRYLqz2Xv/FrZOzNajbobN6AnpPK xzVUetvA4MQK9sG4sF0YEkp3df7tbS6jQyBgym/QWpihtMPppWwhmwFVRd4DnZ2SsNMTghJbGHWU fli8ZLAfnOBkmRaMeRrDZ7L40Hh8VuyLB6wUE7O2ehZuQTWtJFBjIm4lf0o97rPcOKmq7/MXC4P0 Q00g6V13gl3bVp6X3e1x2VHeu2txEgleaxoa9rG25jXJj6oLR08Tmfb/X5Is5uTPdlCiOkKiW9BC sVmZFIMlmgZWav54wSHmpSm9ZNR/zArJrzCmfQ3gGFhan5QNmw0+aemUfP4Wqt8PDgnZ9ibRaeKu c1uo0AnwkvU4njKRBStbKqz/AY/FjAmNJOLY88/UTZapqP9HZviDqwvOP1PbMWS+NY97Q9Q711La hr4DDLzbT7ka6VdVAl9XqzMOHULHWQQ9Q6z5VdSdLDXJfjaPnntq7204pxmMHTGbvkyb8erW/B0q OAj+Ssbf4diZvm/AghcKe2Z3/UVA9AFl5L6dyQsKpnFaGXWzCZw1udLj1UuMQl3K8onMGiLi1sU6 /PZuLvV3ut+u4V2u99rmxvef9E5YX2iQxG4TeuXEtIB2JJIW5ZtR6YSiPRctiQ42FWWfRXBzZ8jQ GiVFwh7/7vKM211EPQdK+WvmrB8dmH4WeIux4j12DKfqoC4ho8FLP8gZkp/LAhREdeW7u4EKiw9i 5RskG0jxFjd6Dg1bJkMyVWfBqsVSaFspdiv7I71Ii6/j5Xq2cH+z7G70VfFfrZXSDbVpaUvuHaj3 sL5lS5mK+Z4PlovFHR1qYQxmdNNIEWOfIGEDra1lyGyQtXK+jy23bXJCMlnr0//5Lf15Kfc2n0B7 ys/6ULPf4TBVsEpg3V8MT8RVE+KpkFJ0fy8dW3Uk+u88kOzNB2AwH7azEdVbrKJ/tzBjHVfdRSDJ vyYd8qnvo57nNfulsIgwKUmGLizZTE8Mv1BIPRIa0klKA7DZUAOMCST2rTs5W5WjC8dglY3y9IkC AjPkV6iehWv9krKdOWIVWYC4xfwB1LAtXxyhAPX9JVOcySKmFtblv1fgKlJ6UPdMlhvh3PJdvqFo bOxdthuOTzvEdjjbl2Ou9+PMMIgM2Jv66RCeDTArUySwqBnq/XF86O2rWNeiQ7uocFZQuxg2x7BG vjW7dMqJ79s3p75QegK7h6e78PHf/K0XgAbdo/HNinBhD8Q9zEzZ97+6tyh9CgfE5sfSgz5zWRBv xhdJpLbBmBeGHxhAXGzDSxHqWQQz/pnPb2k8wv/FaU88jEXUrQK++FxZPazUTk5S6kQOFpUupJP8 iGFSYiu+2meELyKPGiAR9NiXRwBklhUfzPQnPWbJqVyx7enGb8sJ1AmpYqC/eWiWR1c8l4aaTCcL UAgzhDR7RZInONdhoyw8xZT4IDyJle0383tKERd0fNdKf2Jn4iCFs0vSutNhm4RQyiAUwt5Nz7Zq 8XJ71BkB1SITDWyfIjhUgj85zHUgTSupO1I0NcZ60vtgXqPBU1CWTNpQjQEVPrDjqQebBxk3BM6I /o3AamGHHnEVuiVllQHrBfOSiCk9ztv+hdMIhScHeMVgZ/l6+VMHz4BiLOGP+jy7CA8BsfuetOam urYz2dm6QtTScEZIRbA3UN7YKf2kAF1TzYSbUA30KO2hKeVOHv+dLDjhoNHXBGyyqIPL5N83n0UW 8qh5qMLo0TLikakXiqq2xE2Qyt6PfE/FhVeANSRn65lesf9G7+iMMF2Bqb188xyQFFCgjmaFl53J 0FO7mvzpISSz2xZSNkEYlkuyzX6MbK/wRG5PvrQZv5//LIJdEBjXV8f3rxyaQDPFuPiLXS+ckQw4 L+vv8EUJj82CqYsGPt+TQIVwyEPF/ZvFhvY+DAkuDqNFipyv/H/uUYM97ytxmNmRXAmWHt27JQ54 C+vzNH113U24n4YkHlE5Uv0SgNIldplavY32HTKFfhX+rYw3h4Uftlogf9d5T3U3xwOu7LeV2flj 9esZGrPYhl+HPOKVEVNNb6memki41DYn6XTCfR/fQPkA+3s5FQsNsGK52L2z2k4y/brMwVAiVNE8 csnc6p1ISTLeCEWHeXBDissTpCNorXTAivbVXAw9iIYxnxDUdih/we169hSyLVUMRlJUhggaK+Xc m4vU3KLRHNJZqtAknaW5Icpnmq7pGCl+oZGPkLAuoEfmeXLKBKbd7dRykrNdWdOJ7l6ksM6rslng pD3ctiZd6JZZKx+zJpmxl7LfXhCGf/7ui54Z3PH0SMD8Gc5dsuk6sveQ8+ROQJk0VvSxvijbGH5S dDpfZX+u3dXpdUKXck5DyqOhXVuZ8sHvRWA2y/3oCH/ywpvMYGYRVEqvixZMwFoRa7OfAgjPmwuF pbpCUG6yD8EKFeQJDz5mQOZDmuaV39CPd4RmMOhQu89cXWPmfa5PGfC5SnrXMLpUsKp/QrKpwdpw z+2u66d75juHkZwettsCkq9ojNqLRMtLdjReGdkQ7btNaVLULMqYeV1EzMWCAoXdzGv1Sz5I2qwT IfEm9zNwHuU3nC9xl59p4IrrH2DueXiz73M6g0S9sd/XiG05MPYJKNJ27jEPcBWm+OMmVqe4vjpd XXHAk+5YGva1TQSM+f6QWZOG3ErKrvbGtRinSCVI7Y7EGZh+0eFyR3ftM42FOiwNCjs52JFLFvmH zvkliBQcpYPqTLvrtJNI+dpnot/picfgfwgx8ReJBYec48U8Lrjzc6TNbDvugAZc94i++iAqQyNd NZgAyKs6UsU8cklyUAXNOoQxroNOtHBDr4XY04McEDxRTM3zZh7X89s4FEnEhmMlLl/4qHgZnGo0 GeXnB1BeLyOEOGFOmdjkW28UQhZQgOitYigi/E5U/yLN0h/rpPihQeb0GxBTMqcBZDyT1Qqvi6K5 9geYvRiYiC9PVdv4IsNczU0JUluGK3VXsSlYkL+JAqkn21ngH0G2cdi4IeJHT1Fdd0mW7lREiL05 U0X9vw3IRsqhbVwwIyjt+silbMe7uu7jkeWOJ3D0JRiMMrAnmxTWTJxKnJr2g8DsUAWisa7Bdjnb IzPnIxaId2lbf5bwVj1LuVSiDa3T3CgKlOrnPpqbA/c9OBBicVmWc7ekNQ0R523pfh98kffhUeWQ KP0qhXVwwdNNAfMRwDvyOGf7nbtUGayuwxloFtNUidgEqFODJPxuatZbQdh4WjMy7Al8/4XmiV1a O+McGO0ODLvpG4u30rwX8YNuvQ4aKGqjjgruQqsrWKPhihwYYx2GKPVn0hU4/zMOnvEP6pYn7qzZ qVrPZMVn7jXk3O4oE4g1h1HNB4lTAKr+XRM6M/KcF6j3v9Vr0qbgla5mN3emmu4zRWrVpYJVR4VN XBqFQMh073khGU3Qw5JogT5PqGRn1giqSa/Va6sIKvYA37cKBLqp12iArZT90IVa5whKuuUZlNyA Z9Sndk5pVpjaM+eEXF/oc5p80L0wDK3uQJmhMq1OM/+kbQcZXqOa4ZLV85iA8nGkhFPA5KxDAy7z TgPQCsfkuNCjwpNf6aaw65bBhS6JzAxtu4fP/h2xct3KqIK7XBWyKjAgXkdK3RRXkct/Dyn8MD97 KnW7Bq1RMTPg6mCpfpPJ/JQXrmetjtyE3wjvsQCRGnyXj5q7wfbauffYyNA2Aibw4PX6yGSBBob5 ry6UhPEwUFCW5PaWRZ+8KwrLIsv2GSVME9g+VXH0M5xbrWrqT53hWpT4QBBnLloHJpxptuNaHnUM LIznd4N8ft+Ksc1/HZauUHqAe0XAGvF5J8Z8gqVys/f44tt80r2jWdAGATD1GtYuaKimRMilanKR kU4YIs8zVmf84e6ZZCq9n+glsByY/0YCvfa1XpvqaJlX8BSeqpy2EMveOo8aPgVrMiIMURiW3vtj R9YuN3h3RalEbs2R7p7YODdgV5bTioliv08eT9XDUjjDFsIb9ZaMpq9NHyREJTLSMTMz/318mf6i 1Db86/fSk/O1yYLea97Wc+QZGN3NS9gksE85TFeqeWc1wNPU6WzXGcbx11uvG4rkqmI5BkwzzaiU QxJ3NilK2g8lvHc9CtM5EvKK0G4tST9tWWn+O72xBqtE055i9FzhkWpjlCoOEQoPiJKmTfT1HqRE dtJqyNCzyA6NiYtcMHquZvEFR3xt7TPa9e+NHxBvMAE+XDhXKJt01GbF6JGRaAPItXyV6fb7aK/1 GPj6U8MzcU2LCpRR2jBHnbR8ZnRDL40Qu1Kgi5ATYcD+L2zgXIrTf2INJP0+wKAzpOIRIVU5HYBc pRBHh+ZMWPBQhLqnT0QpjMwLJIKRU6q6ssMe8P6EkIm9EesZ0sx3F5tgn/m28ROntKqcd5gh/NRa 5yTjV8r3X7qj59R9qeRIB6JHiYkeDMDSj2W/7miYEz587bEYQdM09QtixWfXuHtMYynD9zSRR0y9 ap3mDgwWnmqR0QeQ0//Edbfs47liWwv4yMgGbfeOpbg0rGGPfGgd5uH/CT3o8jUbVue8Ocqx6Yz9 5xdslqBOc0cJgfspLYiH/k0lm392ycmRkYy1GBWHBFIyIST/36sgPgg2NjAbHe3t6J/jky+bPRXF w8A7sBEHSHMWJZe6AERuOlECqrQ2esxMgjfjtACXhj4oCl02wsIu3ypdQxeP+18DzT90OpkqZWZ3 72KTkZ2ho34tN4J6STOEnkHj8ZdbTaPIU+FARV+dN2LDPtD3m70yHjjgbjhxDGJpCtNAsA+sIc+A FUvppgxocx5xoLIyVTfxHLsHWM7ZZTtp6EUSQUi30GyzlgycByY0vwSkLTowWRAkjpJ8CCUjjkXk cNAL9gJT/BstULlNQ/N3zYW0XbiBuTW+18WntMzlf5N5W1xbYr7QWXfwWPzqEOZ1TyC9evwFLP8I 0uH5pe+CzeQnDvDABGqUvmM3fd6FO4Bqf6ggEdsJrW22TSe+qtMqIzYat8GxRsDPYjCWBgvwUx27 tOnJEBg6v28vbhgyj9M4oTtUDstrEAeh2PZeB+iruKZECJTWUHK9nM7iIjIQcVh32gGY53qRzJvO dUh/IDW14idGczL4cWJePiordf5KQbtecf91g9GF/ncY2cI8S/l3zEvGLogsfaIlRTmsRUwQzyuN vwBa/wziddxjkHk4hg36wAqP8lyaaHNkDkGlHCYQr9+iv6GMBSR4F/Y0lwTTxCyNRMIzgyOAO5sa felSeYXi0rGG3JMHPWKPX3jjeFoHPBK0X98srb6W6d6mIe/QV/nvhL5yVOLXqyqwSwPply0WkWC2 wUw7Bl9e204I1KYqXHGNF5qSvMLp+SPFAjpjgDiV/8lwppwMjNhGD1lfouu0hGn48l9Df3DRI+Mx TbKyQ+wCN3f7SWTLeSFZOPR9vA52T+Y+CM9STe6Rx8nrRT6Y/MDAPoTsaCwGrNC6E/jGir/h9BTB FqG3w96I+OIeasuJ/LmBdT2NM306q3pFv8OEexrEOnUoovrV2kjJivo4FkeWaizM1LLoNt8uLv0M kl5C9bSdZfeVGlK4sV3InRDH/HXftJBkU9I+9V8CdPsIZF/0QKoX3M9GA1g9GeKFE+a38k5KjDYs hurKNJ5Li8AxJnVJegZQPGZSnGEgFnXISwC4ifIQhLblKLUNskDiGVbc2N1yT7pD6Q+n8WbVWh/M EPl9JNlgjQZZLne7gQlHapzm/vszaAbYrSc5r6KdQZDk9GbgEOgTbCvYGKdZYDNThToZUtPYaE0M S8p9Oa4A1WV1o/RkPWxmiwIZYszDxrNs7Tg7dKAdPbwxQxjaq/xIozbJ1Zme8xPUeMlcEX+pYkWA LROyM/gaN27U4glqF8UXIuhQgCcfSpNvHwI1LqAz8UaDU+8FFLr1x8qaoZCG9faYQ68c8KXTy/zE zna2nnZAvyRAFWqisU7jV4pGTXcc0i5fYQQuOp5mE5/QlWb8SqFzv22GiVWt9t4BN6vuVxmx2ygf +GgHMpD6R/mv0B3fmvG0iKGwvYMap9bfw1YMEz0/uHrYezgxY5KMeXj3BwhidKdVRXomYyeWl3X5 /r54KjsCd0w0rvt9YaC4wxw5T1/mnEFm9Ob5xarIN01Z0jHxRlU4YgPzmaYzAVFpA0b4Lxf/b0gp jOnvv2pN6WpCb61G1Cw9yzgj5VlGuVKT/vDcylLydGTabVDMcQTnU5uPF8oVgemwJ9R1IziAUEm+ YjesOUiGii3GuFDmhCr6eyhGAJe3MjCy7aNuoIVxFwau4GTSISP6QPrgxH9s4bY6aBTse5oc8N3s 1PJjGcHh2S62miJyufaWMQ57pg/fAJEAXGtcEJ9fCKzi8k2KfBVz7lqzaXgestggMsZAscSP9wZD pyxTmpoV0YGS+OAs9SF/wQOmp/JITjWsDA/NggJFoeyL16NZqPB3B50w3zG6meu4iuxtijga1pxw S/cyUMaXrg1Y/SFU5cvXFF06ji4Zh6ikqaTzVFLqDdY/iKa5a6uebymxemeuI1SwAiWp449h7bC7 AKM05xtWu1WaavVKb8B+TGQpwvW7TV3TqIJye8cDkkXErcB9j+SG6pHSic+zZRDwuTEmU1Orp50z 5yj7qZkNznC+swNWXm/ziTIJdi9PTMZTqT5AQqErt7WQ6X50xbZm0sawiGnwHAcEo3H34EApMwL0 4ZfBI7v99QXIpZhBxQcqwGuK9A6rZ0JYM/azabOKXe7IeTMKIEU+DXmoZyq1t10nopHNN9wxWZ2U nDMaN4XWxeynL65TZMSexTK0rp8Q0xpjR64zjk9+YmXTr71ze0aTe5crk5FN9nPawH4sm3cIwD23 SoUKZvg1JSYZulquXxTiz1pS+MW8lWwgh0cgL1qsWfFVHXoTzTj7l0nEJqRh7CnyYWP/esKuE2IX jzK5GZHmb1Hsc4muExnuAt9yNBAjAmnSUpKydtT01nw6AMBkXqJeGrzo0M0zicsNQLHgFkSXz8L0 MeT3T1SSRssJZH0nIUakZGuqg9ZjjjgCoAj1ppSn+mO+DUiliHo4LjxbpSJswx0cwVFs8a65eFgb UPi6TaA2Bp8GYxf7KMRvrzZCt787+Ev0q4DeG9TgD6A1fh0si2SYPNZ3qptgJ62b/q2bLYB23XN7 94Q7mKj+2Sz55POYA/CYf4ViHbX1+PjRQFqaosFxQG3rkQR1OM8rn1gStUyFy3jlCDi3pnR4oW8o VnDWnxPk37w9RL6DWCyuZrhSliXEs20GZf1Ut1vWlwAdZFcqm7wDp3rWoHG7WKEJiHXC0rLmJHZK 2aOXhgK7c4ZSHHVvWd5Lt6KMZOdugeuYZt4GF4mIIbT+m1hP66iWtSl/8oiGIDnYY7ErQL5cufFY tMXbYccghJH9PJkfiLtrV1eK9tzaDcT5tlVl49tBmS6w3KNsF0/hvgtOeYAcxEW9CpaggjMEY4LK beJdWBqwq5JV1aRpf1b+PrgstnQBZUded0uUYIcTnP+OQAK06wEaiIobCgCo1L3K3DfxXZ1k9tXv EUKy5fjUGd9LidTaeMOARoUx0y5o8mMAb7E5pJyfeRUD1o/YIAl1FEqlRz9Lrmxxoe1yPysOA/0D 27uiLO4YJ5bx954p8yPXa2rJQxGBBC+uPON2KuRG27ahzC17GaU7OizASrEIKsSCvCsPSdSjTl2u OSP9cd6U61faHnleK3wF7OMZnYRTj8swwlKHnWeMahFGfbgTp4D1eVjIlnkEhJxzSMPs2fJgdfPH QxMW4HsBkwwSu+xJNjUcfKQdg0iGPXt7eZVbGT/GuFWSRk73Fg+ghEoIQ8z3xcl8zTCFF8SNSnpL QN5L0iP0godPYYNL6344MSClJZQJ0v294GuYBO/liXXUdTRLW7LjjJyQBr2mo4ZGux9kmcIbJlWl 9pqTIC36WAaCvx1UJoHl8LLSd9KmBXiLbT0wW/XFCb+p4zyz0h1lByyyOhCF6Qi5eE0bz5Grwfs+ 7nVlZhjoMPoxaW7ihaeetarSb9MBDG5s6CzfKr8wdQw0Kujmildt/s5L2WFBXm4yxtWMUEHDxYaQ Mvi67JFfwfiXWCIwCSCScxPPwBK/5wT2QbYmIqUxTaWmIMyEYayqGi7KeoUUNtjYSlliRltTO1q+ x6f14hRidpyr81odiXnIoSG/gRcbmDLTjzc9z8wu2Ko+qNCwPe10Q5i9CUQHDFFnAGX0TlfCw3MU m6+jc/UeABpXehkt5DG6s7a0txxaYPWmAi5m/G8Y2XqRFEVfmkUwWCWxn2yi/gukM+bRJZ7D0Pqc rguaVFAD7bnjoYuwuBWeVMuT1iEBpukrA1aAuQdP4XJxTJs3wbx1vW4EQcCNGAkXJib0NmOYNrpB mD8g0n6Z3XJCgZvCktP1MGnHQYHuurhJNGq0gDOKnRWvP8iW5BO1xpstwhedX7w2AOYInByGHwoP TCL5Tc42rHaUDLyqkQBUwkiuxP8YKg4fMOly/0bbwqEWNQx+1p2YMIFH8AVjb5LMZuitBMavp7iC DggnmsZwrEAGANfSREZy5sqmT+3IVPso52Hh/HB75SAj3J/2QK9B1kk9J1tuXp9Iw4cqNkC/5cHM xjUaqmlYgZGnvZe4ErLtU80cxilfWDiMV7Ys9Y6KnxApICAatsiYbrINvTYZY0eJ7vuhNXN252p3 CuLhFdNKGquKACxzxT7FUK10XOvFIRnLgUeqQOYcNMDEMFte5CwYVm1GiKdbZTwRCpRyxUuGQXVK +Bjp5FRZEClOp21eZTgYnjed/h+BC+BMJkDsyY8ItrTBY/DbL9GwEN5JNokVi5WbY34uiC3iOUV+ FJTr6keWhnSTxsdULAxmXlc5G3+ZfWaZyoTtdHeX1zy2/gVxoVrEgZ3lon1QH+UNs6PFTVvOSYFD mKwUUzLMi0N/Nc29r0S8DEs2RJdzVyfh5HHpOyXiGrkUn5mZ//IKEjlIqWJwEhfKAne/F4F7aRig YKmKldV9RrQu3Cge9mGhDzURp5xdud6XuO9nLsl26oNjgAFT+CKMT/EF0F97//LQdQMHYFC14A3Y +YrexAO8AWkJyEPfkmQSyOmbo1CCiYBP3EeA2oRdNvRsQV30zct+Mp7hl488SC2tt/3AE7ArnTGQ SSmxrTMVeXDEGc8bU7q6OMckF35Q3hAphDcpuyfWmBwmS1F0f8inM9mIbsKRvh5jp3+U3Ma4unoO OWHdETzvdLM49WkunId3h9sqXMfOgBlqBX2nrkdiFlVWYtQ9u5LDyYqTz8V1PSqzC0wd8lpH7a0X /bk80OfLc7O9rzZ5E+sDKbYCtFP/IQS6+3pM/w0kB8THf2mbX0bgtt3uV3D9znVsrYIB/1ulGYVh Cp2TREoBh03FlUoQqbY3paHviQTF8YH1DDAp2u8QBcaTHwwhGOBKozng/CDS1J03WPnQlHkX02mZ QVsCJVBbp0i0FKcLudIsrdsVmwuohEXz1RJkPQGtBvpIct81v+ybMowC7upiUvSPF91/pl0QLBQS 2HflltczZOZvteRXPS8YogVfFJJnbx0ZO+iT5bQEPqHMY0lSE511Cur/uqm0b+UOSlExXT3Yo33f 4SienLnis79xLq1b0Pr23uqWHCK5zmQFQw9VMsCUiWmFL9GkIBnn9sFD97VEcKEe87k9HOeRbVQE hT1kof3ok5gmqb1WCeu+51KMxRg1Ku1K3OSBpuGcpXlhKlyZVt7VS+2pCSNP+MR9ypc7mWx69Ybk WyxCfk8o45qj+D0p989tVlzYiPdpWz8fT8wsTCzxoYbb1B8c/WzlQsK0w0h35ur6JPsDCbj10VW+ M2RpW2xVSSeIB5VOf9Ow/VzfTyhXzQ0p6XfuAnsb/ghJAkGiuaLPs182G/Zl9C9t/gLEZa5Lxue3 9UIhToDJ6C0XFxftGMiE2Ba10/sNGKo99ukH6kduWwxKKzGpxShtkY3jZX+Whylvpd8EOX8Xtu1/ sa6qq7adCe8SYNtxE7p5DFNvn17SkaUC6dlRAMQLaV3V/+RmPRFAdH0zSdKVieXFnYwcmAjuPq9s 2y8HQNya8WAmuBGkUZFKJ5yqIJTtnUsSd5s3YYCx0DNvFBr93ULEmvfALwC+y33c5NrqEQp/9yxX aZuCLsSx8W4arX1SQniPXbmhI0A7tOVauGO2bzerJlaymjrLX1O7tTK0GWngcUL3S3m19AMlPto8 b/fDNo93XYhFyZRkxFW7EDiJGJ5/RBundI1GloRPI3Z5fPbkjI8J3uUok0DxCb67uS7AxCSQetuA oMHvtr4nGNNfMPX/sjWMqh+ua5PgR2Zo438od1/vGKiQTLbfwF/ert3EukMZezyZanb4mc9gPGxb 8p/yEHRgSA+t+BExiRm6m+OHY4dCwOvCG08yBOC4AVVadSwg+GgrLViKMi+ZDy3iY/D9NPl4bkaG RA773UIXUluNgSgBweN3BZD2UBIC12mtk9rFldbn53PTISeieRMBXYX7Zo7X5IR5VQ5dGrcGJ/sD Vis8+gK57y9DV/KIT5Gz3LDrtDSNxlJiC6T2s6mtYtmZEoqbiq/9gtwJdNZ+fySkCINKphe2yKT6 IjZZduQU23YWahXjSfp0d53d+P0Yf1aaL1b+mCyZJC5f0NDpr+rpEsn/PUX/ADEf/qNSiTYkAUly y9IGIU4NjuhCU59osiNLRyP7JOBE7M2eRKFR95w/u8gv0J0pPGktd0pcbvVxwLjs1QjHdSxPgV5V 3WiOR/4dFvXBDyQ6bkz/H9iSeaKgvsUw3mxZlhJlRqrwULe5bq/8LrQrW+HsehY1Tbuv2ONXr/QJ 3WXV7XKPUxF+fxV+JGdN10erCo24O/MK7s2VnAFSRV+jZN7z1c8oNMkp1X3/+QeQje795gEAOGMS iobvpN3kJs5YEfFXOidEAE7qACcwfn7brLuQUlpJDNH9WxImX8hqhWzWDZK7r6iNXNsRNttp5Kel 80EWR96BfkQVsT38jrxUsq0J2Hu7a/yhZxPTQbC7AMbIcWfSdD5GO14OJSYH6mgdX/ldkvw0iUsu 7pd0UM7JmcFJB+B6RZveZEKM2Fe0c/p8mFUPumMyW5NlHn42cqVftQnGf/OSsQFK8y04eGLnzk0y v2SaWm6wbTzZG+Z8GmS9JonlWK+d88umKe1zteDcFOPX6/RjA8tJBWLysAPtNp9vOrtIgKSozMXA H4BMx1KGQqqFwyPRUM1VstkfuZc7cySBDxCrvoWyyz6rAcQuRHhWPsKkBEoyM9ZAUCuAFsDSS7S1 wmgaIO9AehWeV0y56E95b9GCpB3Iux2IiR4cww85pTQQG1CY+C6iaxI9DXgXfz1hw3h/rtWI4JeM FMz/CFcZ/VFY9LPU/ZyzMb4o/wS2DPAPdHK6mHl4qRRS/9pL4RMFPB+MQMHLIEre8Inl2zhb8uu7 7w7bM6905QO3Z6JW2RULzClZUfsPKV26BrwZ1r+JhNAwGy+B6I6wGpTxkSl7C/XvZI++i5Dz/iM4 99EkAn7tkcfcCbJ6QT/P2fUPIJSmyLxhJBa/RUGAWxtP52ghWsm7jDG+3sanIb+ZbMOveKBg3Q/V SUkFZaviDozfIFiDMysXKwoT+kTO4VUs4/7yfgDuxMP8bCO7qA+XnBtWp83je6XRBIEUNZZsYx60 eG2Yy4DsDHyVzaz9XRDMhCtkVlnTDDbT6igMsW6PTzDl4+H6rlE5aZkqrhb1bXF9BUdlvHLga20K ZFJ4JA/HdqPrFNlV3bIXM4oVOCT8z358t/yxa7iiWXQCTQ+FvIog9XOqVMeFlflQYbmRnTBt+yeJ TLPb1Xg217BfP9GnSH96YCOxOLm8rFf2KUVAWGW6+3ei6f5RcFJQnmkto0AJv+Hrx7k4I3Zlgyxb D73uT16jo9L9zVKUqU8k1T+ul9rG2Bt6piR/NXj52rcyRsBfuGaxz3yTZ1v4IjHlbEOnClvJsXTN J5/Fw0Ra2z6EbE0leYfpcwhPt9rAfNjAr5GnZbDF3zAcUPNUO9TXYm5ETUWGiOgzoQwjvAUAOa3K m6HRNK0eQz52gdX3FQxjk/tGbkbe/ioTP8DKMHt3dux6LwLIVMmIv9p/Rr6HktS9rdlYhiPPP56X F6FT5Ryaz4o71AAWYCk5k07SVbnYCrGNREz2y7ReHJaTBs84pEx05pustWOtA6qwTUaFkdu7vJVc Q6MfXUDFcEEK6i/F7n37eWLD1fz4+JbBlzRjk9YxTo20crVEcNSxywvZuE1og+KsQMhV+hQ29STu +/mUbwnfYLtFCsrywxQBdApQvMwRMmN6rj0Uk9A+kjMXPMw4FRPYxNacKEzdjNgYD3lQip1u4wSe I5yPT4oUzgxqcheHzfST6sqJa057RRKVrKVW6BbnpqyIxnz7mKwcmdR9ZdglHAPptMCCRAdLC1Kc 3tPIk3RjxFloKbKYtiNkZGSTrGkRgICQAPKZj+z09Xt7yFoywII/uTsbkt6kOKXlb8z1DiwRInjR iioqK8FZ87ZTo0czq53yzOeGimHXeCHRUcxGZ4VAHVzlvcLaG+nQ7vYf159pZEoYTboh4UixEp6e 9Rp6BvLxJxK6xyIUeGXJV6umdE52VT1fhaE6RA40uoAeQjTPl1U4bokOb76/AOOxOF5dxFldLCl2 nJgklVevK+YAuRcmKx04xq3eywqCCznFSbJWUXywlL1IHbcJCKyo/4jaI+SFHyMKrLdElsKsRUTT xnUrHaNsfWxTGwq+Y1QrIzyDL/JDgqQDHi2oj9C6VuSmbuxsCUh0zu7G/jalxPLkh7srm4naI8EG UnhIYbucdMFk6L+xpauOWkhRRn34WXNXFU0QUMA6Oca8UGCBFC1kVq5pdBUJ0oGdiUZRoJuvCDtc Ch3JCsXNfJq1BGW7mz53txKb60W+ItYNn6dParEiiM2hTARohMT6m9NjhxSxSQmoeUpoj4foApvK MT9OIV57nEQEpCNqz+kDFs7ORbnH68NJJmc7Hqp6+MRmMWSjN7BvdXFNNyDSj/6jbuzqQoceg0eB j7hj/cyGGWWvs+Spd+cXUCJFL89nSpfP5l6r62ORSnM86zCweOD4VxATSoxdfRqtYp7gXrVBZsv1 c0L445k9m0ZNUqhsEQY1Ddrlg2lxMEv6usTuRzai5/5JRsxVkgr+0Uskx+mmr1B+8JBJMlAFYuQ+ KGq1uWOM7TxnIkOG+1a2JwbX9uDyHlx5+2eiXmiQYAwgX4MltAiVDP9az2GG+9/AbcJDFAOcpYmx dw49zX/OhTcwlkF9gG36dO3U0oHiuM1xyA58BMYwRvsRThcwfWLW5gYrA5pp8q4NG84IiRSScgOc CtHA7HZ0fnLoxiH+b2v78aFnMH7xOHgPXSdaLH7L/fuUHKOhcjrFAhqp9iXBJo5j8qe9CNzxUB+U DZ64YbVqp5//65IzIGTe+4/HbZiKJ8t1sJvCQbkWBQbbkVun/q7erJFfH/9IE1GLL2J78KZc4rjl Mc9xs8n8zV7AiAQoKNEOvalVmC5udRzjJA/B3NlrUtsSg9Yj0z4/1PViNUga9kgMNu4qiscqqaVz JEcJO50WWhSbBtwiZcTgb6b/1ryCRIPR0gzy/GQ4lWigobmvxcG94azJnOGr5IHrSRg1tN77nKO8 wpHFKqyKc5XlUVc7pNlUPRSOdBw+5m7dHUahxdlwhKF+3zdwwZxGEitTShEya6L4bEBxBbFiFv5p O5GzRaqGC9FT85JraFXXJD9MhuxdcjSEZSv/EGBKTMnVKVyztsaBSHDWo303PFbyegTYoGgsRaU5 oC7dwHnl46vWASO2ptcoW3LBHbb2xdSAchT94i2piciXhUHIF7n+LJg/vhu6Tq3JJTWP/SDsEMab 5q8xsG43gOPLj3m3heyh+LTExWqyIDZaPvvbpcb6UGoAjIuASryC5IqodbF5ktfO9TDiL9tFK0ES koGyGx5ynMUNz+XL5RFtEPuKALdaB2TboAwBiIoRKzRtk2KstFDPs9OyA238HEbaVC2YJ4d2k1FT GmS/hmGB8N0yoSj8GonNWI+weDj7IeoLqEl0mcc/UFPwkQl7dyrKxPVGN4/1QqBJfghDeVOpbDnU xS2o7UM2NAKE8m3EbWwep4y6g57Bl2um6L2oHyDeMQ5pVYGuvH0gC+tfnUlAqKFbK8nLhI7A4NAU KU0CJlazK8sBmQKIQeB8Zj43pQFQJ9cinuqfjg3RyztyYm8NKS5hlLFu0wbICtY+a12cFV3XRYv6 bXxzl+AlB+sSte4TgfzUIbvua42V0Pj0pYtHmfNST2i3Pa85w4Uo9QZQY6En8Aw5i2Y8nwg/6MP0 8lgSf2FO/fjq6HJZVzGI9X6zzQQbPobuA4cst760JJKLItc6ICb7xYD8VWYrLa25ryLgiw5Nf9k4 8hlu78haCa891RxrNMrRFVbyMddOFlomw9pB6KHXf13MlJsLhtZEkhiJoOj5RBnYvGOS3q4SgxEP pKvZidlR4MDEk1/Z5/AS5b+JNYa9wB/M+e7D0ztWFBjN6WEnHZBNH5PGZ8kvj3D5HQgVUHlLpSzX iUw9TIhRf8pQXx8MYvIN0NBiS47LBo9F+fA5j+5ugIj3BLwIGpRvcqETN/k2WqBqn1mZYjC3yafL 8hAFmeOE3fEbh4B2kh6mDnWZoHDEyLSYLGkJ9sHW+YoP3+eHRGV6NVDanjcX6AxpXvbmXw/RpkSC 92N/bqEFmT3n2rwe7uQDfMBwVLSrAzJCsJrD01AiQfY/YMfac4nljSpV4XT2OG+BJ2UiNf3n10bY 0b+gM7vUhmmDgdYzO3CNPmlxzMpv/bcKPj4sAnUJzuA77X4XoRDsoB4SWONsyY/2jdjErl7bJnhj 5AguD4lYMIIOqXwR4krJqd75xLKLApTHbRuu6jL8vgMF28xFbQoquFl8U7J6gWMDqD139OK9qH6j 9B8I6AW2x1zm8SI8r0lGOhOb2NOGDK934657+KIvcLKjI1+Q68xTfwYdk3uwQufa+nyl7b2ow8R7 nCU/qXnyt341+SZ7MHW5j8hkyVTgntLLwS0aR0+z6U7TeAugxVR7QSPy4bSGbCvRdBddcZuVFW48 RtgUDHSV6JzNeu6sE5teXeX83vHezmAlGAG2SuKWvanfbYBEioSEWRlclye3OKW9iFoBca+LEw3J bD2J2vNqaEqL3vFkt5H3ZmF6RFW9AfaGbEF4T975DkYeV35Gc98VeV3l+l8ZfdQVE7C8UqxaE70Z N0GusJNrnqlZR1XctuDohPVyRA213s4hmVV1JHcGFiBhie5hAiz6QLbgH6ujHCAiK+g8VPNbO6dl 5pO6yGTjef2VOL2l6Wid2FrnkNuob6CCp5qZMBf6B6gzYbQ35nicVkRfTPEBdVHqGMX/jm8aVIfK B4XEyuES7ZFLeF95KRb2TSd0PB9HHdea/ZV225y4oUvTWfbnWGF+XcinIz5too2wMUazbA90Fs8d ULo4fKiwI7uzEhkzz7fRvTFD2e/BADRgbU6QXqc/uegYyPtrcFPZR8uMz9b3t3F/fvDER0mlQgcF YE5u2na4LOaUjwWBMFeRL305K/2+js/Yphbeuqnkdc7B8vdsuUREfirqXupfGoWBGxtV1xWLSdH0 LHRYy1lPmqkw5EZzJARu0Ynj4UdbRGKMeV5A/wHPncdUv4HIlzFtakUP+3zUdHfVzLl/4mlTIrLO d0po7tAI3Du9vgSbXB0rK6zyi6laIOWdOBfjgBr8ItBV6tCuPVGX1mAYxNz5zSaD1wyhOjBXo70f 7A30D1Rsy/H39c8CYEzCOAj+IpGO7nGhzonsBqIKf0+8k0cWS4xj8uDPxI7Y9AmcbkBhD96Lo0ve nqPxMNN2zlC1h/9zf58C+lZhqv3ttWWCPiuo5tYVgyuceS9OGUZUwJMENHXCKneagSBvjyTvEW// BrtEVuXw7H3fpD8S9sWKP36RD1lY6vM2u8SvYUKkHnrlDcPTEjJLvOa6GWkNF9iu2nfwzl3P/bBN ejRF8YG0mQ8syaMMwy68ErnJ2/+H/H1b4sK2EyTlz/kh7JjN1ebVSSvhu3kVEEELYU+dfekapg6d fo8c/fHGPo9rZAwqRhmDjhfRrkQ398oL7OnTNa/3qBxEvLKizjcx1jb2m1b0voBWgGC5hof3SgYA FGxinUpOpb1ZOl3GS58iaiNZIXOkJUtv13jiTyJAt9erJG7LVdJae6gLbXiAjre+oPM16XJ1AmN4 rdSpjTVd+pIYHXZpWxIXD1Y0ufu3Vjw8MfMo3boKH+LSrmbGtktmle2YjBwRAx3fNJL+t0//4kl9 DTNETrwmfV5OQeTdu0MVYCuu7oLSKh+WJol4ofmqXWfmK/VYndCz8btHwDDetSe7eVcrLX9e8OZT qFjG0Oz4LCiE2Oa7zb4vMyFauemWrLkqbs4IqVEBCTR1n7T4nLtlVpZ5iJJ0aYZYqUBSKkE49ppV QfZUsE+uXz8jjNqkIR1UYLQGPt6nCaLnixfBYz++4wHVfv/CHpAzqCsQZQ5tjoU/8wll/0QlXQKa wvewEhpefGQW13HTpxD/scis74JdqjoPnGvAbBaxTTcrD7Dvcqe7wU7S6b1HLfFBBUh5AU9tJ3aL CbXpPvV4IdcFDzz3h8OOXRNP6vm4t4UstOZM8NGgW0mgceYiyQMz6tU+kBDKCZsLrkYD9qp8paKi nlO89KRPH8AGFiKKLiHw0syqu56jIB1iIyi/1K4P21HmS0oxKczXetLmHiNg5Np5X69XdijRnbsJ Eb0F+HnurlfMRYgZPS3hWjFllahS+Qg43iW/MO0EoKe548ZWU518K6KzUvHswAZ23OQRuSIhEVaA U48e5HJw/WBsRTk9HooHc0tsJmgdxEjP5jGIlC/Ra/RpIYG9WobS5RGWMrX9RGkseVlmBD45/4dE +N0ulO2+L8E42nZUW7CSM1BPdwBcHg4xlDiRr2Q30JBU0Ydq4rBQoe47j/v+d925Gi1H+2UlyEs+ c2QlRF1ZHeLK7wa/75ItO4WE477dVMlSuPbOSmOl1FR2YbtG6+DmOnjt8mNgrFDxLGrgY57Mzva5 FmmbLA94gkR7NnC/Dg2O0/HxBlXbskLsK6TtX6TGW7oMR16J8S5Y8Y+uTzCbhAUahliI2EwT/qvl hVE0vH/7KqCEwk/RHvragEBWORaH/scAiQrUPITZQ30xxb3Ei4bbK+yCg7q0EQGx603gokzuhkzR FiLfZTSBgOKDx3mst7wqba9zVbRFmIQLfa5TKq2WTBGSsjhmVoD7ZRqstoENvVO8u+EDHsnvwI4h pSqgWzo3sF87pHEzM8myedaS56WfpN219dIV1ndD5S+yu/Qo5WNJFaATH4kA0+bEf28QBTtwxmDp 59IIfIqD2JKIFWEnT9YVoswZQ+XuluVtvbboAZMXvbs7Rje/JpR1/Qme2NL2x50dNdmlBi4iDeG8 5/VfnrZ5+C0ozVi9qKaPibGbFDzOj8McBqyNirZ2YzI0ir4/yY7htU33hmBAoZyCtE2t5Qb/Midp zHijFKClc8I3Ly8RnjkLLxbq9w9PQynRiWT2ybbl9SdiVX0d25D5iJafnqGBmFrzGy4Gyx2svMfS FukH0Q+EcIvaJ3It9QpTJpmd7X5ZiJMbksUozDUw4ROIP7NlNZiximhRipaMY9VIfDEQTfZhhLmX 8+aqQizGQ/NzFiPOstUtQ9DdgPb6DgcmlGT7rnd/XtMwk2CEVzL1UkE87pCqB2RvwHRB3EkTq0dy okceqSLE13yPjH7DaojvDyROHSpPG0M9i4GyvwuMxGVCJ9cAuvBAohjGsSFopWofm7FJi/YrfELb oTiEKlqKq/QHo5UVFHi7L1GabdqRiswOWkpStsQ1xXus5rSWf9ekBcBHz+Eqc3Y6j1+497oTD3BC 6tHuLFamv4dcQa99vcYdbtRySLqIfedrCpTL8p9DeNPjl03/3gM0P3dNqWl1KKp27F6oaaPqwZad JHBFLR1rfMfBhFTQjvmNwkzWFvJdJy+BrNb0AtwbdOrxgVVVYHCIxuyXtzekjOG5VpvCrTcxvcQ9 AeVfdksRMG8woH42oZ3+YchRN0Rfa9ZNN+048hNkikMxwHlJlfrsiMD8JMh6OZcJ5vZkVd/Ns6Sm TmAjteNQ8LuIKLZWb9uckowphU5MfCMLpwA/DhTwAtO7jnJ09kuh4GXedRcxjLJJ19SjTU1WNiVO xI/2ZEnco6YEqsfoyfe+SLxBt5twc6N5WVqcDF997sV/DA9zGl8Da43XQ8IJWLCKVHG8O2+mnIqV OywhLxbMHVO2Cjte7KchiSio0CvVvRRVW64y+Q95pU3OEOCK5PkLATAFuFoV9D75/pgJzLgSC4c4 hXOywQnQb7EKU2iWUZsaeaWIGShwLWUvrjcCVq7XEiBvcYRDbXBuaLqwwxOX7btFCL4YTXYvaJL/ kYXPuGI0i84xlYyIkQT/tGm6lIy24i7G8Ac4oUKHilG5yBAR1ls0XMmRzECnYNkh37me2jS26cfz Lje4p1g6uxVAoRoltYajtAL1pvS4aHYOVC2q8WvfbLISJ0eikg7LolT+pSPb5cHMOJfEPATUZ9O+ dl8rrb42YTK2G8VispfIDcByOg3JsWbnV7/IoZfomAY5pQXe9RQiPNx5ixeNFVVfCwJ2gg4NIUVs YAq+E+86ikNcMdd1fSOzzv4m1jlwpHbE6UeY5f7HrhfNP7ZrEGW2fWtGH0YbBfm7d9WyFe9wjE6b DAaJzus9RzOevwwhKqRWWPOLYcl/xtjvKoOiB0RNvSVSdJ8D6ZNqco7wNoeN1Glb/DxJd9lRX4uL D8OLT7ZKSfl35h1MGPJvzVxplbxYMBN7vJ7NRoSlp5R6J1i3RRD1XDZOnZoDmc02WWiJLrP+od1F fAIc0peQ14gzLFrItBTtbTV8qG+gU8flf0v781W2CK0VsFPa0k/kFYkz3t8WKQ7wd5AyIXH0p6SD 66Jgj6yrgyACQt4lb/aOHK+/+kYigAzJGo/C2n5GncXh7DzGKUdi0ClkQD6dVC/W3zBnNqpBdlal ACQerb1BYCVVdvcfriDOLZK5cGPxNKxbzQy2o/k6LPJRetWtnNVrWzZSlQmsoak0ucCIQ5dRptCp Ga2pD0Fmwuz09SjxAaUlsULB0K7OFb1XwnlBMjgnbfi7Y0+t1IOCFwHJKRbgOUf8gC4MatkfeCTG Pjjn5f2z69A96MEfNY1y/wh+ePSNstUyl94jy9F/vz/5zpMydfIrj/371SA/D98KEvEEj/in5Kgo 3SLYyTG+bX0FDcnHDXSfuJrpF/obl4Oe6aazgXgZSB3XyS6V/1QyrEL/rL1NN1P75U8yS3HRTKDK 7ZL27jTaoUu6bRWZ4n7lEAzHM8yMHc4XIN7KMfSCz8pvjWPZIWtxQL0nas3rtQxonU42+5G1MGxi 8BK7ny4mbfCB8R8LROgP85bvadP1Kvf3hM59INNrqID2FE0bEYDfedDk0nVccYtkRfVH/HU2iK/t HZFqRBt5xnIaG8pVhCGWYxjRpi63B/H49CpcEq9YDzkXZW+LS7GoyjisRX/bSdaYhotE3ie9hijk AbpNsEg0P0KW6SaQHeM+XGllKYl2xZs1SOZ2IZsTDuvUnrAet26cMjlBZHq1gauMsw1I6hPziWP2 pLjwlO3v/sI2jQgtw5wtmZpdZtE5Fq4NNJSj4v+Ga9Q12PsKu4bXiHkskvLG3PxldEw2zXkMy1i2 AFyB5YApOHfQsqqa77qom6GR/UuBEvM/Ko0/C9k7V/BglFEipDSRwQYxJzFPzSWd1jN9VDezAyAy esEMqxwR45cbaIntokSAAbmZG9vz4UrqP9kfX4MT2Hs8E5PFXdB+CpnrFq4Tpaa7k4XaDSJK8JXl mJviAPNgtfu8yvam++ZtS84YhPyBMER+QGj5IlOffwQXJ0uriMfU9hbTe6Tv/lPBx5nn1wycrU00 PL81cNal1GJ5whGDMYoj6s4X8UOUbPC5Jk9MwheQ0lsl1dfubURDJhB1adN0oQ3wt211ZWtEj3yM A8U1wvQUiDR3+qcAVRIIyeBkBbLqSP1+mpI+E5FV0yxkVMjMF4FcbpzNNJ6o3i8F8EzJyCwbin1n /hDM7jfFHri5+CVgGVwWWSsEyN30UQeEwsUc4cnuBm8jcB66Gge6FVFSjO0uYyRNnJNQN90/ONvN kXj+SieeTHHSEootFCDKoy08Kb7k6HNH5bK5SUGqUJ5z1Ukee5MhbuBshHYMLIa4ZbgCgihK7BK+ TbmBWEihawtsx/9hYGdIfPaB07FqjbMi/rTqKIj0hKH45UncqDgmHMG/5Dzvv3+5HRWedzAo0DUQ nwooutzlnp87LMAkR6i5MCzRhrsit54qmzZmkeYUgWT3/LVguUbBuIejowpCTvwvjg77220S85CZ u6H48WInF5kqpRCr8jwuUPis+zXrnoJsUVsUFHXAF8n5LhYE/dAORaFfRyqoQUB7pYmn2nvKcE0X uy4/aD8u30mGlC4ZijHwr6rVWiFz5WfqJXDaT+TcEEh8Tm2AEDI/NzY8EXGnobuzDOSLLUaKhJUg 6WnzNW8oP1iQFs84AqmOe5GvxODFkC8zj7D5sFLfEHpExnvNQSunrxEeov+Sm5qyDpIsfxBWrmHS 4BPxLqZgX9s8iRW3KDKYd79olChuCYWUGqNKeByxXl2rAmZZuDfCfmYn1E55HeyXRhzF5Cdccvqs 03hs6Y3JbG4PNtF8Zj3M5/Jg3a2tEfHv20ngL4+YHK2MLRIhuPRChCIysc+wINcsyxBLmw9nJFZ+ NOTXJi13m/K1O86v0SagLfXf9Wy9TKEsz3p5Z0mxos7aAwCvHFRaMi8Csj2sRc8WWRAn5SH7sbi8 VNeXrRIvWbPyQqm3KYfFK86wTawxDrs0mjn8zGLi4Ftm9jiIKl+Y/pny1EG7QfS4syTCQ1v4Dg2m 2quDPeah7sh2F4Nrl6dO0Mfbi1dVKVjz6tZH8S47yFmt1TeJW9PASdrLs1Ds9UOMtYMoOd5mpSpJ HKkV3NVLluaaSLUgFQHrydUt6Sz06UXG1NI+W4RqCIpRQjiXqp2275+OUREnwHaMUi75KovjiJ6E G24SE/fDliLRafhLcRnh3OTkUizGNIBXMyrO+OPoczyb2oxWFTA8OnQwjZb+OxhGnxaIROfe10Ue RdYNIJdCQP9MXk5ruCBrpMLKNlgWxJqEZBE3jBOut+1PBZ2nw7yAcfOsD1uCF8osFK71volDww2F ShEmA7QRcBVNMjbEl80aFPmF5GCW0ZyVxl6yjNvM07kxSOuDCyjxe1Bfusab3xHbT0XbBkI4Nsjr 6M3T9Yr3Mwqr/XrAcRg+Gt2UJy3d3qxDaUz5okoHiO56VMLvxs1JOtEnaDd9UJxD5i//DOrBKAUf C/9SrJwqTMdEUUHmJwcfia/Hoiicmyk2g1KGMHnETY5jNMP4Zf5F2XiSHiTV2xtEWJ95wBuZ1z2t qK7y6D0A4n9XWtBlNgyKxqcJvZHlhKjNc2/1ZWPcNzsBlXDpZGrU9vWhBADMMciq/pDeZLaEDbfo 5nrFPaLVwOVk+xQ0jT84dt2xeZTrnFr2Fnu/uXzGenhRakOJoHwB2hd+TsFXFpFAq9hagvLDYjCr zcUW/SxT6rh0KAgJn5Ms15OijaLez5tsEQCIFaxta2kCfIHVzY8ypcOLlGGo7AjNo8/yQIoG1NUY bJLGuEzfLRYLNoc7wuZ8eQiVpkdsi502U62ibsYw8PSigQwFy8vMHdVWpJ06/k+0SW7MtPs8Orym VVcqjFyhbNOiN1bH9epPQ8qVCAs+WB1NqQqOfeOZxmCawxf54zB/YCgI9d6pH98XQyA+Q1XEYLxs 0wJuSrmM5zuTzyEi2JW7b+cR8FRrUStv3zOWOb7Oxg2RQs12gJOy8uOdnbhh8g0KeuARCedPrtEC 0eGydo1QNbpCGHpruBI64HOWREi8InP2U/YeVZMxfAVzi9UEaPdXrKlsh5tW5qL0NoWTqfqDNmmC 9MYZbah2RNXsyEfjKpk7v9Byge5Tg5R7UQP32FPfy8vOWajWy28gNmvOn2H486aZn4+vt4AEgOTY kytqxhQcb6yJ2jR/Qzcy3RlkRjKNkZ+TdsoPvbo5OC18ttHQW+hoHz3vOxpEzaYPpNxL8h4SMooh ky/iaZg7HND7L50vIVxZOUpqnL5TYL/QbX3ZUr2CFzFXrzmBJ+SJqlvRD/mWZKF981ZeOJmsg6iW YEsT0cnVNH0IKypBkgeeMnA1P5cv4fO/t4/zkqSVzoLLUT4yDIQUut/YHdRYAu7Qyav24LJA2w+6 gv5u/+5nfDkAUtWiMV6Ht+VtIgYICmfujqBrRuWihAEtX+raJztPN7Tn3CCIYClanZTGOvdkwVUQ 9LkJphVGkWTQOTyyX1nzHOj3llWjDfo8Yiq45CSNXrm7N5LYpcNi9sLyRrcRsvP1pdgFX7ll+KnK ji/AyE+oyNzqVbocDikWRra7GyXdVc7mjAh3aiaFtMRA+5cnvwKTGwduWCR66Yv7sM0LGLPsZiXe UQ/obiFiZELdpI8AL+b8qzxotsn9+7s4qIp00KhiNx3ZfSKIl1MBGdAhLSkJ7VIaaqkrUFm5q0Jm sqmXiQJPyVF948TOZIMMXrNUJeS/VXJjgvzzbA+g8PeYO6pj8Bz320m4nY1Z2T5tGc8KaS+rL/ap U0PLyinMkNuapYCIsHqjXw+6lYQKyDFsqGGYGlTFuE+FzkKQHrWM8sznzBur1eQflMjdtYYTdmcv dx7gnPWQvoR80AM27cosFdyKLraw4GJzxWU96x37SGG8rfAkE+n3ZIwTLUdL0x+R7fO9fCuO+3Tc GrKh+jVEj+PhlN1yYL+/BGteQjjw6NGP3bz2subcNkmInHdvBpWMX1kdUHSabyq5HacmJeHRkVsz S9Y1FpguGHuVdY2YaPfosBXanA6vi01l3bROYTP0braioPtEMMfXRSJ+uQ066pyMrrDV417ZmBvD 8WI9jKXdtQE2BwZ8kJQNiCig37k7J0k4WwTo4v2Gp1Rq+7uBW3mIQWROTj6DAHEWq3Sts1m0E0BU v9IIKjvFAqvfl2xesqo7pSB/ZBeVbJ0LjmefQqnaoNEabuUFRQoNRqYqHu6zA2r0RHx3KSh8Ok1n ihQROl1o3+XQvAPrFlJ4JlpromMrniVgvP8gc2XsA2PlLZdnMRJZEqBrKHuaNAW66/pLA2RpilEQ lK4u75R8NdT2+kPui3Nx9f6b5JNZa3Kt8axO6JPD812uPmyHB4nqvN385/xLxh5h2vfOEZvD0VPs AERl/Vz/9skai0aO2NmCZedU4FY/iXOLdKKoMMxaP4qbXWMAXyqFSJdJBdL6IM4Brgs5Xan8sK8P SGtn0psCorTAiKnVkjRlnycql1REXrjwplb58l1DyMWypt3K7V6hsbG11eI/MVrCLJcbL5IcouIv WNua7NSVhTh0x31Nmbjysvef4styT+TGCYinNDrq3Yzjvq8XYhEMikLJ9XZILpifGuYXr77W8D0R exKz5DR81sL3rerzAsCIGAkcq/ZIlisv6UfEk8PcP4R9tdiWLu4BSuZbwOQfoqTJbyCMOyvToJaF Zz/1zMHjpGM87c5ZpCeMdBoxIvnD3lvOsoHBINg32jY4y2x1tXTslJxbzajWRHScqCtmT4yUbog2 AMThodWUsOUqXemkJTMp2rp0+EIgtPgd8LqzQ0Ck7E5NBaE73HLD9/cpwTJxCcTBkGkihz8qPpTv LRmV65cC4JyyY5cx2yW4fbPQiBOJY0EHrLHpc1JvJbeuvlgqYmThbeEhB8QvmAxvOYZvwLKDSFHT Tsq024z61kQvFNIpsRm6N1kHNXe72NkUQOHZYUjrTmT5HT1FF160uZ2jY33Nr4h/GgsOT3zt1FUq y2DJ01eHZHtXRs/SEdXB7C36sLlKf0yChKO/ov8uMcIAyhlw8mTabyswjGnD5TTpLEdWULxSmGE9 FBZJIlPTuYFm2H2SrjrjVQ6u9jiWi9SqD5IpiPSc6UIyiNTVe2DfqEcggBzB91R07PVpYnSqHAv0 pmRe2UJtP7sfyQi64K3fVB/McnICS9XOTbNfmM3VlctMnf2M+2Rz3YP32OIeXdVxh5MnhNojkqaw KinSvA2s5PDIi/9nweyjxa4azDEwOkS+8wqzvBGi7v4GIxcyuJiRCu1WHLjZKlfn1gutSpszi3sY ihueJY4+xU91GFVISkTu1pBbLFGdhsWQP6BjlKSGGKjBXx3WYKZardRpUef7KGLQX03R9QImIJkq ZW0Q6XmhEBN0kGzcaLljqOUdyGfiqkIiTmZpUxbRtu8alI1eDnjQJcPGZZFmmHo5dbTyMT0RtcfY Zrlg7rhK20W9SG9MoMOuc+5JhMkPHPAkrWBxqiBdCLcYVz4tkGW1X0kC6v+tGA8qkeJaBZyF/upg fiG3qgj8GMb9gT306ih6ya+xtsifWCzzBeM8dl54YQEY7fm9/JSWlqHt0oMdY+72KLN6ckimQn1l Wa2meL7ODfS/skpdmec4XJQavWfCK/40L6JiQCsAMkvCMwHPYeIqY1oa8s8BQ4f4x5Aon5/l+WWs asCRG/trEi/1D1YqlRk0m8duNU7JWnx0d8fPqNPzgOnjfpA5MGXoMg7rrgpW6luMSOt5AMyx6dsB vnfx/IMx1OTF7FvIiTPqzdc9B68cbye851zFbysrxtr/XsugLqqjE3Tizv7Ve6InjfkJlI7GF0uL FTvsdMxCIyZwkZRM2UNsGt2p9W54Sq57B5ggH1RjC5JN6LCtEVkzbx+ER3ghmPemov7+rMEmWsjy JqjX/u0hESY94cGGMvALdHqyo5rJ2GfriovO7V9K1zZgflPNQGWQXpE70x6fAqbtt7Fcb3o73XFn IW0Snajls/PjT+rBy8lzr6lNoMwoq2/7Ih4C3H/E4RAn+9YebiHd9pCpnVop/eLkbB5xlyeyCaw3 ONWc0D887DbhhhPEKBPlCJlbUVseFlPosfldJRHaCUoC9X4+B36jN1QdyLb/DsRbvAqpu1BBy82l rXR1UfoKW7gx/SjtLTybxGJMa2pKl5It71tOzKKaBLS5Vcg3QlzI0kqZCrUZlJLwUWhBcEsdbmmX EY817zDSvXJu+339RDPrYdUz27FuxqDI53aiWKTJ3QgK/8u/rXA7dyu7OTeGZByUTlxgCITJ/r2q c9QuKfzuhJ21gE1+cuP7cMS3+DXRU5V7rQJgF696fVK4jOT/07tR9l20xd37zOWyTrBD7b5WdmH/ OlmQObFGPc5aPUySeOVDDLPh1vFdnKDDGx1KZOY25VS6p9R8LgWnqZ4pOjPq59Vp6d/sLE6EEZ85 uXpBF4CEnNqATssUela2BSVw5FqQ7T8tzo0DCl/s4PuW2soJ2BGvFIaeps+Bm1Z4YqBLHguRrmFs LjV8w0neatjNA6Ki8nr8jBfJjiNV4R50YsZ1dnFk1x4QyQnn0aBpnKQFSpU03I44tCmBAdwAMzC5 a2P+c01dmfw94FK/sA4rcW1aXvo6b7xt65P8tufet0tPvwqhLX8G0pTvvay0D7zSYxtPZSh4Jdqv ldCod0GVSgmFljrkERkZuqEelyn5nRD8IEea0cYtzgZqv6Wq0z/I+fX/S9zvMYA6sw+zmhn1peas ZrkqO6YRa4Wkh5y86P621kgk+A6v8RTCQe3XbAgttCLzvQ/vWMTcxL8pYUKxeO/5pQ3ywkxmFF7+ AyZ5TwfsNhlfw4HYUxJklwJSZAQHTTXuLBbh6/RNKBh3QCbylzSrnV7VYbyv94OBEbD+oA7JPG0j 01RKx/9jJGM1I2R3m3u9Y+fAwK2tIHFkJOO/T2V1Qj6w9aH43wg+qPcxh+WC2IZM8i7jEpjws/MP Kaiurls5uaXBzQhPkzDVIt0xIp94torvLkLTEBhq2/ih4ipNoGoaYn92UbCifDj37BdhnTwXby14 njXhzExs4jtGKx8YLSU23rnXE6MCxFlmbnPlAzkEJph7SVQMDvSub5WAgqan7Q/sO72kEDZh/mRt XEKS7UPaIaycLd4UiPdUv0tX1GFdkI2/AK7y5iMdcmf83F532b36Wh2jccJkzjfLfGJddcA1QJD5 +/jOt36FRKqNkcs0A1ZOM10Opn6F/n0DlnJUTtRSm4Ukk2AQZsGNdJRQjuaJYhVvSSVaLpPFVKHl sPLFNKW9fkwqhgjOUSO1EefmAS6vm50EKxC4pGBGh54Af+jRNQk+VYhY7tYlQzfo03yx3G63LxrQ f4SKfIjeeR4U8uDB8LnZd9qxJfQdCmAUVlefA4dYTWBsgYDi81E0BNRthgsGlbZzmPD1aoEhzusC 6zi4kAkIC7pIaKU2EQhLNtY+heBAu+i7SmT3A101CtVKWC3MkzfC70oC8CY7RrrZ6IZhswyJ+bs3 TNsd6W4fBM9ZBjGxAjxCLK62jqNugIYDX+rgnwGTvT6pCObFt9wBLB9O0k2oUYn55bqAH3nvmc0D 5i3gRg7O7ufRuqChv4nJTGtUTpVMYCeD1/0KPxa3szQ88bbpR/GjNDPhjISIm72cDOactXGpFhu1 5olcwilp3OEsdA7v4ikxrfY8C07O0FR0cCW3xd9f3bALSSyca25+y3871Gr0XwmJCjE2BNRAy/fh S4v4t1Bb7i/iWsIzOr5wFwTPcbLYhPKehcA/alOzrC9Klw67/LDLk1z8LLttyi3zSS4+wP/M0ZCE 2BhA/zDa7XGw6+1phrdTN1hpNBE7gtUKI6+afQN5+yJNoLO5JrtqzhGh9TAudJPyuhhkTx745yWU vYjU5eauXr5pWuuaELkwOhgtrh3ET6IRhdbEfAk2zEJXojA07B9lUz4HBW2se0eE7F9z66Uzy77C VZ/JW4xehfoVrCyE+pdf/2i9GYFKgZ81en0mzdXfxJ95xZCAmznI3zWM+u3AFf0OOWxmqRE6VKOu aRRINzM5frxOyudyAVyx28fft9qi4VRZdjSrOPe1RABkTjmKb98dqRWzjwGddudGHwk6up7aHM56 DC7nbnSAh44TDibpBDgsBI3xDVTRxucgZg1+oxdxmiEJ4uSzjzHzpwaxyp0kaAqBECLKpvfqIU0X 65VRwZvz9kMnnapL64Y/e5+IfJ9BoSjt9xYcnaOe/t9uO4EQS3tPR6h9oKdy3hy6ZY2doZzXTtNf jpl3EplrbFhA+P+NJLbyM93lvEPnnCXsuMDjO1w3NUjzFR5rWzwAgZ3NONk4fZ6GaV3EJhYSwe7L 2lNdrPiHLmvLM2LISdL+gkDMycjg4X5oy/6cAwUFINgpH3y8Q9DXOGdTZb62dM5Z9bCQUwA6IeIm 6ooU9q+RVPnUx+xPJHAlg6dxdtfLCA0FWMi6YwFl3bGf/CxD4bqzdtD+dZY5CHReXbAylxIet41L yoDfdmaasNeyuGubp2is+EYS3FBxwKY6/Nd6h+U42o1LO2oE6UNvXAGuHwOgR0y4OAUBXcd0tb/1 dCMbTpj3r35aabgXByQkHCSdeM677S1fkjovPQBhY9QeNCslEqrSfTiRx8QX2/Kxd6Y5m7HBWun+ Nyz2b5GYmnX7LsA7HJKw5WJnHPrXZS0PcTsxksdNVjo03Rn4VrjV30SMzlS41HQ6Yf8OZT51o1tk /uskM+CHW4PlNKWtZaQXtvp+TMo7bo1VuwnAZD4rTKLmzM74PdsC0PlcDlVwcqxAMvyYvudY5hqn aQcOfzPqDtAggVw6hl4P9U6Ju41O1D6HAcDy2jXmQhzBxdOIgSbPLKNW1NgL03TJHHWf1vpiigmH EpV2LJ+fyJy5o3qOEne5oBbei7zTCTb1k3CSlsTqxoMqtNdstpJiFkyWF3yllScdTdMmgZZ1ebtY Sfke2SPoHEXFXpEy17zoeYHkOLHpxmFJaMUFPKaAhr9DL8yMXhnrn8N9YFvQNHArd33ALhwHWomH OgHrx8jDfsjvh6bY/WAYvZdS0lu+V8IDTiQkWtjGYRj1WrggFmlzDNLrDgOJIHIMhz1TFgxEFKF7 1OsnOHGbz7wwo+7e38DSfuJNu4SNzbOIImVfsBSRMljkOxgzhjkArm1Qp8SQMi183r1EwBHLS0dR tyE8tSgRbOhKEvnZekbbGDaZfIewgYNhHTvtcFY3tbGAfShqubvpokxhl1CttfNJ05EJDY0v+L09 j9NDx3RViOOfx/ywyM3qTbxYpeQZZ6e6OeIQEurkWXhQgnNB0RLVGszwnCVd7drUBCa1AvPASqP6 YCN4lH9BBHmpCETTKCqEQwSFiLI0uBdPP5IRVq2tZZH1s/WJ2BBZyyLaN5ea1cnJHfO2wI1dtXRk HE+YFnS3wPuE4TXCofT5Dmx/ZF4nVMwTuRMfdkTU1AE13bvLxfbQu5Pjm/BgsXhvLDfqftf2fC+v /CaLTqs1f670+EnQz3l1VOqcvDaQLEACEA9DAL+vUpoMS1qCOFy+un2aRU+J1+wKwlfBitTJX47q nTNVXEmiW0Ic9hbS3J8QwwoCv+SuD1MzOsaoq6OaoxFSrbduqXO6UxIXy4nBlWffPOB9lwEn95EI 5llkhKpVy3ctcOR2mM2re/CMAf+Cw6Qnxaq2MlB1hoyZToKMam9eSxImSXju7XYV+cAqHpAnZMOm 4nUs+J0T9hk14jWRyfp6b+fcNDClZPLOACTzjjKbH3QWxIwhgooHF9HKjKBS/WzfzfF1uvxKQ7yF IjZM6EasxHYf7Ww4LPKfwnCYZo6nGygkHhzOhvO/IdkX/0ia0FxHICgFhI96PZ0OpMhfqT7JHtKo T1t+WJayWdykGO2ooXZ6W1n4rTW28HBPC96v73SadQu5+kqwPOlWDGJFuqp2fWkQ7sQ/Jyj3Kj2a IoThAHcvFCnj8OLyQlDSkns6NpP6XO6Cgw3k5ucjTM9C3b/FmoglEpOtlb+GW/KVB6wpvw0pZjgf rZamYsDKxXVuJkQdaCsrro6WU5nDdArBARRxKiwqI+BjICTDLoWAdnvOlEZoJ3LDoGIRdNK0Rosx LGC7uC5a/9jYfIovFE2aUM6iPJhz/cj3h2xp0x95E4HG+RRhVK4Rm1vcrILEcQLHpiFm5G0P2f/C Y2KiHEPjwc7JbGVg+JhGzsoRFmXy5xICUhNDrG+0eZVjRkOS+g6BsfBl48Rns192m7M/9tzJrmN3 XBo1/h2LVlUERmTqIQGb5GiqpMBzWPf1fI13i2qAFebEFLJZnYOvmxJdyUs6BaFU/MFIT9l7Wh0W WxwbOH9MwPKVf8L0mE8aC1edfwCtNQsaNzB/in5ZKTnQFO2pp1XW77c5Gkp2U9CPfThgQdmroP5q UwskR7gDb/EB9pShzOUkYCuRzkzUGsYE459jkhISf7cx0GwceEt4cHXMMEuXKsJzr0zIrYFp78K6 ILRZtHgA1QhpS0m0945t315FoHtkJ+W+q0rcz9X8wjrVjn16j/wCvvABDm0SBW+Dp2SVyqrwzhxs phAOAeYxtS75zkSyL7WiCLG4Em2AI1XA/KRgsi63puXCu1MFhKpPIz8zDq02dqqIyBd+kT9PeGei s1xorcuw10/+/GgiXi4Xiz/tVY/UeKvbLl2ROGtxkG7Sm/xU6V1YWtIMC9b4kdPIix5L1Q/q9L3F p3aT5PynhYBSKaHqdxlSykGseCpQ9FZD+jEoUgMnRP3+0Mv3IOD5i3XhRMnKgu+Q+919bt2eYa0C ATxA1ZIr57U0xTv9ihr+BYXLvin2yt0TyFJXAJpONuvHDJ7R818lg6O4Cdyw8kLiSdYx7LL5ZbB0 pxgPJh6Q1AgagB2AGjUGkhwmgq7HzeMMYwWkKaqkYJJazDjb1n8/ulKQkzoANxJxx7A+B/I+aVu8 2Pf8dkIdUSOUUdbXQO2HGhvc1C8kC1T/D2nyRwvX2ZsMoirqeSKm9OTBzxZsFx1c/XaZQwjT9/HZ c6Tgmnp/I0bAkgYjoGeOl4ZD74IOsZ01OtTTINa/EYSZWZKE63+Svb5+iFRMdPhLrPn5RWnkjHBD iQPizE5y5jBLt282RuwAFzYUZm9o+1vUNjfLtN1n72t0L7C2zz502Z+fExGH5jt2oK2OAGPFic1D CC00HOudok4b7qjex/p6xMW9A8KaaJLusNK3zI0K0MBKXvamieL1xJm9GItxmB2OllN+MSipaG+X dh7jpA6ukHG0gqN906EMm7dQl6lHWa/Zc1VFuL7MMba7invvxKBOEGFGIhOdXfXEhEecIHgo5JLV RyltjaNiu9zYFeNDkmMARO/23S0mx2fXFJtiiBmIIStfKfyuDQ6PrB2YPdusarl7/ptUH4KETa94 XNiBQKoXzDlo+4G2mCnBrOu8RB1E9t5tk3VdTOveROrJ9++dwM3G0u1i9EFCfcz1AcEKaopxOpmX uNR8yFZ9HTxgp11wn3GpuN90Gt1YUGVoAw8hLFORuRFJP+qoDRevtLtHRDV4vGshOiIH5cX0vw4e NxqlBu2+E7E1joUfOHMeh0uDatYMcqW8hnYW2DF91n/7NhdoiWEkjQdfPv12l0olRqIXVxuR4fRk kCryacES/G+7WqyjS7XNnKPcnekuiH4qxYesvYLfzn0WLxhWSdN040YAfoxFe1Ok0IJk03R9+1w/ 0JCYksTJl1w7pR7CHYnisfhQTsQFIqG/NImUKHeQGgAHYp1lBSDDI0vPB4yT27gFC+TdctZY6+ih i5m5bbmLs8aoQi6PTt8pjI/ma4rLIJNc2PYKb3nTyXi9HdC5kGqy921Teq/2eQPADQjl4vv5aHj/ VoANp5pAJ8pa9meO2UTPbg05KUvVoW4l5oksNmKWfz0DrvBSPlU1U7XuSoNiqpvpd6TUVb4XcSfE sziaCmGdbCLNhGBai01FKXJwM+zScyq3clS6NxDnumdILaclMRi6AClTtrXQMwPMnnzZdJxk3tOf +9L10N7l0HZZFi/AKaRsLCnXPc1aYS2VXoi9f0fcvyiRL/X0crmKnRAfnadSjr/V6mxtufRvRh4X 7FhmVR7r4TQabI+VWtkmmfZp+4YPO+MI6LHkWVGh9wnbCECkZYDLSoTq7tv74bGhIB50BdswGS9L UfVdmu7c/7yPmp+Mx5cQGR6sQpEZdLOUJWOME3lwZFzExGCBZg+eNeZQe+aULtnF0JiS9dpgoj6e xO9Zv49XVIEpvWIBjdoEOD7B5GNKxRFXFTqPF+Uz/dbbLfvju384T6bgG3xT1YWbnJpLv3jL8a6c aVgV9A+qwVF8wht+1idFoq7FbpKVBODGa4LFdJpYRcfEEbMx9xsrrp3OgVLjcRv3Lgfwi6GAH4Cr /Mt45skZ9IeYzZhDV7GvmuFEXPqlb/tXn4mFK5+4LhrlaLzxgJG4LhKG8N2yHHsddixrp5ktg8cC aNGMGNG1TU6LcGX4tqy9UQm9YkpX8/vWF1UxFs6yh1wpqAgWN4twdNaSER6W2ewq9naw+Ne3jD4W T9elUa4H9jkBE5vS+GZ+ErXtH2ABdTWkce5dQdDrbkgIwnSs2UeV3J6JILHzrhzLfPCJzIC/TTBu 41CTDOxhj/Oxi/VQuLnWCr4/dXlGSWbyrvfSljfAPEjKYvD9S8HOS+V5pj3Awn2qU1rW71IPvjv6 fnL0VrDKdlRz/cs3gIo8hkjk/wV6cI4xp9gHiCBYbNF1kt2b+tl1NtVEw944VZ92tm8edQ4Zz0RS rgdJlhaoufUWxP4K/YLSfyq15n+fUHUk71fEC28HyqZFqM+QF0qjw8UDfdQ4No/Owh1etPt/BlCS 0pMbq34cmfQv2Ypj2N2CydsVZhiqtLI0IE1RdlSGPcyN8V5vXfLlQREB60QKZDT82BVFOEQfi9q7 oOtKTDtmGTvs9B7EOa1wQQrzgkOtg3Xl4I0ONoqiedKXarH1G4aWl5ctjuYtOVWKP/v0dNuBq9m8 L8WppMEPqJzsfoTF6rq55eZ9GcLTEc9STqlv7v6pE07MsS2OyyKYXakNXKXPaCffr7dMT2mRB3SS SOzjocbDCK9MQ+I1EiTwwXvj/uiWGo0tHtfcYcHTnBITQaHPfj3L/0H6XtSm9Nf5BAejH8BCIjxe HpGs14YlAWi/x6dw0WPuGEpR0Ld020+UOpoYwATP2h8z5wJPKW91Us8a1wyMo4ScG+qCIHHQuB3+ j3hR/UWGbSy/2QzETlDn0UeQe23jXa/GugLpGrPN+i3k/jsZkkAGR8YvLeGVu7MVJaHaHpl+R52s UUQfpOtog99aq2dhynCAwzh92+PlzA5+qDto+jmgYzMHPfcN59namfbzaDmNTBDdRDyozDSVB2Ki MFtrZ6iyLW7OrM3bwsUCsLp6sBWhR60F+Yvl996WgFu//rLJ36KwDe7766RZidSrZ0qQjhN1J7JW JGFlYwTO4sHJ/oCRIrOA6eMHhyA+gHCKgqvaUDc1/Kq72KNc0/eNMAOtgbjfgbsa97spiiLRAxhF 2b2ELEXdR2Uf8uHiz+00s7HDSv24xf1OHtwwAGKtSro84AXFzlNMgy7Vj1i2hJ2kO2avQwcVLdOB lsR0tibvScFbRYFl3Fm7vifkmFH1JacL39K7JmocFDJKZ5f50G6c1ZMjcWfmzTdHUS5pXx0naRIM Vd/ZQGWbQnLNTM1wFcdHmQeK6OcYwyZhBcwxU10DEDbtJ/U8YslxRPxmEdsafrVlmI5GMxCr5Y2T kWepS+bsOhvzse2G70R5lUhdWFi/ynrlUJgwYchy3AC2cvM7JrAkpyGj92TKJ53tb582TtfBqThq j3NxPJ5xc5MaoWds6ck/bXA1/DNZBUpQMzaljntW/DeIegV6eFXbMvKP7vEWJC8x+TTHdAvK2P/V J59bqq5J/1kVoJax4xKJhs/8BfnTs7e16Y5FKPP8tjRHYH3XkIHxQEnTfXDOhnKiqRrXMEz+AyUv xPUgUZNAFlH0pL6M6pfpycULMqAqWecM05meVfPupPG1CYBPKB9SUPE0GkgYsk7BvfG6tfCbFMOC /rWqIxhyXAu6NwHQpDqqsSRcg2h4tZjaH6aO6sqLcozm348XB5RiZZYPcalZh3FC+U1QRJzWdtTM AjWXjpCybon989onblhB9GLZUPVpNIrbUD+mFwSijLSKnGLs/gZCJOJ873C+MprXeS+6qZkvsSVC YT7CBpXbQTubqEhbzNdu9J1b1KmI2HeoWsGhaEWu9EUXcca/+Yder4KJ7lfm7sSr76RD1hWQhMFr 5YhJbKmAAPQwdLplee5YL8UemLlZnWiM692374qyGurStVkT/BBvyQK1Qzidt/PQMmfulOkUGu2I eh7DtA/Rtcsnc4DZ1dTeuKogjTmJIq+roAvpcQA4E9dNNP9uzEL2Ne5YCSB1+kmY+WPbnvw5lVUU mbzzrW5QcPtPeAKMgB0HnHZTIlhmbUVBr9qjNzTZWBSkHEEuFfnk9msdlzzn+dYmn9D6y0D+iuHF N8nIQkQPal9PSc4CDBGuxhyYAmk9VgpZUX77QBjtCPM12kCtO1TG/B49jNIiFMPnFfB6dXqlFlPW KhV92e3eUXeMAB8xoC6yJTc+nIj8AGWiHCO1CTyZ53FIElhGqt2xMiNNCXi4ZlehFT+cMceyj3O6 Mx67wxnsc051s6ns+bIlsrwWQ9OGWt87S+Zbv1J2Gefx7+iIvCCURefbzLMEEOW4zRoBQXT8DyOu 8dnJOMaEGJ+JAhPjYLcQA715Pt+cy3wZfyaeZPqIHLxRoKh72O+HlIogTBM8pjBKp/aTgzDS9H85 r0OJqrow3Oywycsi75cceNTL3cNZcjvcw+FoA/Nca2jvRYPSc5GtUvTq57SBQ3m54hgXdw4gPJkD l/DcWBESOZZH8ZSE8kmHWWgFX4UYf/HXkCA6nFCcWfcm7SUsNYz50x8023xDpR0cUhPn+0oSK3Zy xPr0LiSWJiPIavTe29fPtjq2SW1Nt3hzhngejvMNnCqjsKE4++hmwShe4f7x4aY6B5tdsruTWAyp 0a4Kza9DgKQqK0TqyuXxsNP6nsM/0xHQUQT6uyraflvlcPdPNpyKhhKktDnmi/jdnPGw9VP7LNqY SKlnUcZ1OGuSu3j0kCL3gZQa5uTi+AMr79FgF8wpDloOZKtxy9GjZWMHvRNvFwo6m2tFfKLAFYl5 2F/HQncx0xVFGWCHFROeCcBvjpV7w38DtxXppufekR9pajc5lbBuw5ri++Ay2zJ47H33wYhv3tSp QZf7yoSm1PCAoep2jb9/6SjjmHEgnxTmSOzSeH/GkqcAJk3DdSAHSPgpP2RSUK5d6/aJ0NttQVJW T4X59nyrmfkkFAlgJR3QcdSlVD3Cn0JTahTMmTGRjHoIoHpHDSfMvTLujAPTcW6X+JY26I8G6ErR LiU7FPJto4a/Ha4aPfeOtExdjjSi+0CqL1xO8r9QWUprpQQoX7kcvJIvx5YBghYRJph6e7W8vMBx B9+jHOI0O7P5qPwD2JnWh68HY/VbYf7hpdYEX7TZL7xWJz1DiihoR4JZf/nf/LFw76Sbe4foYXAO 4E4Tb70gg8EJIf59gh/s0Ztq7fMu9DykM2Wuzln1+zxITKp/VjWjXHlYqAeFfi8aOxlxoxPG4T9i ds6WCuqPULpDYqDXneU5qUrK/QrmGP1wVIWqgYGJ8aEEpihG4Y0n/ubozSeyN7ylnOdN76nmk38B mzvk10l58vjuFTEVdvL+Qx2I4ueQckZy/Fd2P58yCxrreOfyBmCVYBdhyeq/FPybT3IIrSoOmjbB fzwvlni6LHifVMXmA9tEIds2hu4KZY7RwFYHIyBFBgItvVQL9yz0nWFlhkxR7b/xAr0r1rNETDGF 0PnQ3O1ouUT0V8sYaWjjc1Qh9sL+rpXYOJTyum+d0gdfd1fG2MTtKZViB96C0qZjKy+IRkiliRBN SfqR3jH8rvUyXGptxA/BEqDtI6vr2m8qsDUhcmqPKj3LiWYw+SK3FrMwdhYMhVvhjxJRrkv9SCAV 7+gD6fVAk89X8PkR5McSwTbztMhq4bGeL3vV7QFaZ8JfYXXGhJSxhp2eS+hlnmPB0aTLY62RJY1M A2Gv7hHI2Hnye++JwWJU00kbguIV/wfzJMMi0lL/nWAf+1DtaiGDaosIP1hxlCq638U2REyRdnfa HnBit3KSh8Ai86bGi6pupjMruGcjm2UAeRb+AsOXnV+hVEm3HD6bbrScU2kaX+AsV5qnJHGvLWpr 9kK3NRDVdwLbBwCQuYIRurpePzvSKJfMfgU2YLZ9XeNkGTaCI1VWQthadCxQrZ88CZV21pxzvQ9i W9vdXV0jTUr1oDIuI6kOGvvRivqZ5+3N2b8h0j9pDpAcMRazB+jaSVCEOXG6llD+vZJKONslaNT4 GbT73xb8gss2SZ/0jMlsgqnD2gB8KuNi5zjF41Lol59YRVf0meZc+bJ0Y0kq/zWP0Kj5K5TIbJFc 6HGP2mFdlFsm6z2jSOMtUuEe1YUKFmf9+kxITzppDhf1BwU20ssoTq1fad76N/V2PRku5feWDe9a M+HKii0lQiUXtszZWksnbcUny1pmgqqbG+PtTfi2R3VIo4kht81TSvGXVWS/gXn8ivd0eWr8g/5j fbYyseL99xHDmdDCB0y07+VsDuegp+nNsSSiPaZTMT6LJc656HwZpRX0fEoiv1DZZQfkkgTCS/Go RBJ1L27AYSwShzzv7JdlIliDJ6mhwcc72Viw14cQo7joNJGoybJyf1TWTnMHBMdwJ7G3kC3R6Y0D 07i9/m0KxO4Z+MGSHU9EBLht+mao3dvrClqmAf6FOPSaqSazb1Pw/RmxxG0o7sNzY+LgxBoRQlF5 FUcXJogzt2YQoAJR0aQfspX17xCgbjA/oH92NpMYRyPGAhMsy5EYUxebDGts1ntCtRROLsgBiqQM 8z7aZJ/zXA0wtTYbz2j2LfhKGx0ND5552VGdJIeGLXvsjka3iDfjM4BXxq4P3ISJOTj7fi4LCVH3 HieoCKuiYJXyEdy4kxOLnY/ZlS4Xm6+4MyQtO9mm97bJAi2CVNR49nIuTUfDhx9XkHEZRtFQ4je3 n96PU3jwQZwpRloA/VM7JQUU8ekB/cJFLNOMMNCr+LfFZ82soWPpKn1qO890VpN18sfYE0kSXW/Z 9HbyRscg03AssqrnoysKJbMD8K98+VeRXne4NB23jJ/pM88xdepsMNzEaIS//EzQ2FC5P6Zz20Hh ugD3lne47WPnu3XE19hNoPzqNKf3y5gwFKLSeHi9MjDZ0MA5sKYa8WC7aXaqRcEgVjc3jpHc51pM DKqvELNweKcTGbeyP9oec9tmUs8sVMYZ9uCmPg6ozdEcwCwxSsDA41j8K9D1M936t1G2iRk3l6G1 f4p5Cr2r0s8Ph/0A6uXUuoxISGHc9wPopj3p935JQWC2XZQC34HPCXxxzMZlIUH+OdhfBdZeZ8wc BP5XK8b+Fh7pmMYD1TfVwoadfRIzbF+jUwKDtpj7hvyVsvV7DGbk5ogcGQ7WDruDIt7iaH+0Vj3l 7mnX9lYoV6A9wlAyfGaBGbv8m9PYd02DT9IbRBpTgDWupo62U5kEqavP2efzPGta705KOxkYYPjg w55XNYKGWqWggJiuOPNNTU1sENwm17kOt/3MNFnmOv6EwxWsLdfVkSL25nPn7hn/CauLsA7DArZj E0YIpzAbcNEMHljw9jh+cv/kmidaU8JUpT6yHTmGvXRk681CSaOfD1dMrREtM2za4nCYI25kfKpv tcgsguNCPDzsMO+98iMRcAocx98xWB24CLJJ6b+TbS71vFgyHuZ4RGengT/X2XhhSYbORJOSHmrI yG1BiIga1wfgXKa5qMDwEWYoWVzU/U85mM0xbGpj7PB1Dq7pdBQ+ttq2oxwCXenKAxhUNGq0kOkE IdkyGJMe5VKJ705a6EWGnupX5if3SKVQht57E5rE/fqzn0UoCVXiNovJI993gwyKTOyg0jCxReDY YafoBbYOGnfqzTyqlJ/ii2ytI938xtcHudyJNsAFXzwF368ghK1fSKGL7XJc/rS+kpttewQl3hln Ddf3TR1+vXS5bgg69C9FcMSw8iAG8A2RgTK9VFfU3o/rymB211TJQEuF0DkaEIbHF8ooaWY7AQwz W2f2h7WGYWIAGdXeJTuSixSSb6UkLftytPzPy7b41srTolKN1a8eo8riEJFCAPS0PCY7kaAsEvuP QGFCfVeM7MyY1FdwJ2rSJ+SmQRqcoVH/pxFMla/P2ufCWDN+7tcel3ZOQYMeuaXG8X102sT/Bw8+ qR3CFxvL6Hyrjo2s/6SkgezTp/Lkehutl0teBPiq1ibWOzktz8665vLE84QZKdQNo3mHPnhgxQW3 56WLPHtbnUgrx9jblc67Kv5OfX32jUO+fZMQ1kE9UFkp9AIAmGJYFZ5kTlq+ZevxFOQLCoNRGSc9 7jXNPblJ92ArYIK47Hgmeq3tiHOqGwh0lmrIdntzcoX3l/Hp2SKVEVhubLj1id8+lUkFpk8/BnfL 0YrRlvIczmafYWOAvc8iXC57yJQQ/ct0CY1dbjdtGdOnwSwLMS0x/fNpDfepb927Oy4ETyKzOOkW 56WPfvTtUSrg7ayNIl3j/DUIvkXcIubIrqANOqUk0QhGcPb33PIEfnSOmcCePE43htfgUvupFBCJ RMYzaXQg0WY7nadxtnzpNX+OcGHBtOCgF0nGGFKINnwjSNxitEbUPbrAvoAmrn5xHN3q5NXoKmnI iSgU5LgdqqwS3dFN0sEwJ/s5rIh043QdMEbWc3/CBSr79/zY1+D6uwmLuwnamvxMliXWD1LgfDb+ U42s9ITafAavpz3FvgK6nqbVQzcKsWOGSeQYGoqMIXsxbfEmUjLyYTRzuWbZ3g0AMmLPAcv5xYPl PxDyoS9JG8ov8CYTJ6SAO60kOc66twO/7HfL3ErLACz1tFLLAlGmymlcsHcppchVRC8D4m0ClmEp VrWDnpxTzn0amPU1f/aSCt7SjIa0JFdtJ6u73LGnezKA3alJIE7HrDr2MYM8dv86cT7PPLHF0yU7 ygD1XOwUOHylTxGtNwm0eIV9Pm4yki+9cyg4joQobRyBjPM7uQdiFkWfPkGksznoH57Po0Ehj26R INBsmARSvDmSSTFkQvxHAEU4INCfm+FU7/KxhZOtcq2pbnERqvGrDvg2b1XIy+fAd9TWOJcgjJqB xjTgPK7TnXLN/3E01RSdgYtvFb0ucYZdqlq0lWF7ZzsuTkNfg6KGKDTQ8JiBK79zhLGa6M3xuEaP xMqVdfks+zbtSBmBElNuIN8xMe1onl/uE7G97mEvFVOMN7gZS1OyMGGCniCowjkT4R+5qy3nvb+E 2IpS9zlxDxwy5jTkmi/uV1QWYAxS6C7pqN6KmVP9yM6XRNmme1S9/MNmYgA53YUgz7NvW+0K6VBf VxCIFa9A2RxeR7ISOH751rNhtZZ32Y5l/KvHqvRABQ2kCUrYC2r4izCxqWWv11dhhrtfm8LIeEIt THGUE9kB+tHKDmNlY4deQfB6RoNnqFHN4Qt9ZbWBlByQUUju0HhQ79BJOxyJYv0ymGbUVtrOFKwx tmYgaeo6B3+/mIJsc5mMcdh14wZaBXrCJ7Z5QTVM01GXmPCe2//CZuzG2qg+pp4JC9yQ2FZtVimI 2ElT+wmC2E0DJXRCKTGtdpBlF+phSWuID0llRn3Qp5F2b43Ear3AOXe9v55LntKp65MJCDnTo8wO XU+F3bCXDH5UGr1/3cCfYNheSCG62xPrMyz6LhV66XnC7EKiw4arZ2qn6Uoasyfea86+1zxJCRdh l4g/BwxmVwTALVr91rO6n7J5L9e4qBeltmNZoSrLFC/mxBtghWUb3tNuFq3ZP4lciVPlJeIXnA28 Ch1GQ/zOpawtHkZvgWME1SgLxE4DIFhTFg+4ZuFzYwpAsosdHKLwUyI+e72A0N4wLQPRBJGi1L8e JNLU89wnfe0Y7VzCOArTBLuQapXjtZrXA2dL4REWsXRcVKNJ6OxDT13EEXfZZPg83yLNX0LfbY0Y 99GJce/1RbLKCAnu1ttYwycdQIQ9ZX6SAEFYwyETC7sVlwSDtrx4FJnRIx/JKn7lDDb1TyS+qUpS 5NuVXylaOxyIDpOPSoWt5V8glZhNF5enoaiYiavSDTUjNd6yazZMKvufeladwf1H5BArbmPgJK8I SjrRtnud2oGbyl7CZiBnQzTDtCgx3Ls4UGjFlExsaHauTKtAPV7Mx5d4y2e24xD8mHoNyOnljlkY kgvW/0evRxy8KGVDAU3/PTLtcL+XtCvOo0ZjIhjIfKLsT1NavwE0gvnC/yfkIKo2ZCP7oGCa9JU8 ucAF1+zDE6FRH0WKNczLlt1hoaZ89Nbx0TUsriBO3Fe37eFib7iO1pa66ww9ai/f3OViBTsvKIXu twsKksl+mh5MfkCNZrIMOni9iG1A9XwQyI/caKxuDgo44mRWEn60qinhowigF0Uwy6L3r+jGXgCE nTHLOx5Xy7H8LmhYRkT1rpTL1hnXLcaHRPrX9Q+7aB7tqoFhLbPV8jreRSMREzF4lFPBsEY6uZ3H ssvPVk/OX4WTw54gZO23Rj0FYnedQPcWq+j8oJD4HRiW2TLUZORnxKcWsX3oC9Nq5ydntBP3CERj oZanFoekEbVhd5I4F8PYKzQ0XBbK6S0FUc9EvK+9iMru+0GYo6+ljlVyowRjZhWQqLI9NPAjU58j rtcVos3E2Vck9k9asb6Pqj4gS7lgDtBbaHFLncLLbgRM0hsQqhHkh57UKLcivLDCXwg1tsW0AKt8 8v6euHmyNxg5appfrlZ/RUoMteAg0KP/HmwS83dsGMAVvwlmCZuH3D8rtAvkMa9K6whN5YG2VZNV a+hl5t6VxSklJByVpDRaeiKMYDZnDyA1j0hxFD6BXFVRkcsSd5AoYWQuyNGSeBhdNcZjsm63Wc99 QcdjIZw1gzdR1TLVdBuRgJrnG3usIjDTd0JkxU0yR7/8q0648yCqOkd56agPKaE88cNQDs7ZTWXT AzuJcpPt/S7T7sF+LzQzcpvt8JCjmD5nA2PanV4O6tAO8jSV2PjmB8O2Kw/znnd11+a16kYXy2Vr vFnfXEkZ04UVwIKZUF+8dLqUU2NO15LGif7rF1Ov7Jya7EsbhdsRGnyb1xr35zgh1T7g/v1ls4qC TelHwn4Yv6jqn4t7sWgiOAUyZtPTyouHptBOws6g8OVBlDibuT0nImEulpQmgv+tWztQW1msy4ru Rezm/NJuVzsq/TkjKwWmQVTJO3X5DzeBo7EzmWxpAmWeabM6stjoSPH+6bxzaJhVRkxNw46Qo6VM HCURkpE5jhjb+RaCYdMlWYe7jvm8muXvx+q9umD7Y5vLpIc2LcNTQEVQPzoFBQfWqB66wWKwrZ1/ j20RGQqqOo9ioi6+fONUHBTr2xQxNvUBpGKQXHRfP4q8QsV9DZzhMDSO9FMa1UwFSG1/FYPBNlM8 LwnSgylqGixyqSMhDPy3YtLhV0pW0JVhFau6tyqHDD5TOsmZHVJ2eOmaY97MzCk+Rkd3bw7lwS9r +oVkkSz2Jg4Ed1bkM4AkvlpWpBU9nqzTHGNMIDoI7dDpzOGp0JD8gW5vXTvqbT6TSqGGj1C5N/c+ UublMgzjsyfCerQeSh0/M8klaazi3Uy56HylI5G/MUffUX9aCK4EAi+8ZGsD9nH/DoG0EkcBcYbA /G1g7iB4K1OuCCkbUXejocNOLyiggAu9lP7MKd50IU4pvVszCUPke+ok5d8YEu3CTjfoP1ohXOos 8yq+bX+ao8R6YXNqF/nfZWAxef3a6DBtZpUfvSyO2cYiC5SDx1cIB68eJ6IqdM2MgTwiwpyV42AB RFRM82ndV3DilweXg2/INlgkBtYkDO9G8tJrgagd3NPCWad8sFm6VeR3nTly6PVjKuXNn2Z2vwaL 8xpHA0BIX/4+uGX4tcwvT8riNT/KxP5k/9wzwsTeVnxwxNoeeuSmqaY5+U2mks3+ViijmtL8kdgg 2dyG3AXPrIDjVlWJSdxxuSH6GglNau/Qi+h9LbKwA0UfPSyE2Dt06f5zL5AJh0Pqu3puclF138ue 3GHvSqbpkJ+TSAkwm4kwEg8cY0/A94gkTdcFNC4H/DMv `protect end_protected
mit
VerkhovtsovPavel/BSUIR_Labs
Master/POCP/My_Designs/GPR/src/TestBench/mrom_TB.vhd
1
1373
library gpr; use gpr.OneHotGPR.all; library ieee; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_1164.all; -- Add your library and packages declaration here ... entity mrom_tb is end mrom_tb; architecture TB_ARCHITECTURE of mrom_tb is -- Component declaration of the tested unit component mrom port( RE : in STD_LOGIC; ADDR : in mem_addr; DOUT : out command ); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal RE : STD_LOGIC; signal ADDR : mem_addr; -- Observed signals - signals mapped to the output ports of tested entity signal DOUT : command; constant WAIT_period: time := 10 ns; begin -- Unit Under Test port map UUT : mrom port map ( RE => RE, ADDR => ADDR, DOUT => DOUT ); -- Add your stimulus here ... main: process begin re <= '0'; addr <= "00010"; wait for 1 * WAIT_period; re <= '1'; wait for 1 * WAIT_period; addr <= "00000"; re <= '1'; wait for 1 * WAIT_period; re <= '0'; wait for 100 * WAIT_period; wait; end process; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_mrom of mrom_tb is for TB_ARCHITECTURE for UUT : mrom use entity work.mrom(beh_gpr); end for; end for; end TESTBENCH_FOR_mrom;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_clock_splitter_1_0/sim/system_clock_splitter_1_0.vhd
2
3120
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:clock_splitter:1.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_clock_splitter_1_0 IS PORT ( clk_in : IN STD_LOGIC; latch_edge : IN STD_LOGIC; clk_out : OUT STD_LOGIC ); END system_clock_splitter_1_0; ARCHITECTURE system_clock_splitter_1_0_arch OF system_clock_splitter_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_clock_splitter_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT clock_splitter IS PORT ( clk_in : IN STD_LOGIC; latch_edge : IN STD_LOGIC; clk_out : OUT STD_LOGIC ); END COMPONENT clock_splitter; BEGIN U0 : clock_splitter PORT MAP ( clk_in => clk_in, latch_edge => latch_edge, clk_out => clk_out ); END system_clock_splitter_1_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_vga_gaussian_blur_0_0/synth/system_vga_gaussian_blur_0_0.vhd
1
4598
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_gaussian_blur:1.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_gaussian_blur_0_0 IS PORT ( clk_25 : IN STD_LOGIC; hsync_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; rgb_in : IN STD_LOGIC_VECTOR(23 DOWNTO 0); hsync_out : OUT STD_LOGIC; vsync_out : OUT STD_LOGIC; rgb_blur : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); rgb_pass : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_gaussian_blur_0_0; ARCHITECTURE system_vga_gaussian_blur_0_0_arch OF system_vga_gaussian_blur_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_gaussian_blur_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_gaussian_blur IS GENERIC ( H_SIZE : INTEGER; H_DELAY : INTEGER; KERNEL : INTEGER ); PORT ( clk_25 : IN STD_LOGIC; hsync_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; rgb_in : IN STD_LOGIC_VECTOR(23 DOWNTO 0); hsync_out : OUT STD_LOGIC; vsync_out : OUT STD_LOGIC; rgb_blur : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); rgb_pass : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_gaussian_blur; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_gaussian_blur_0_0_arch: ARCHITECTURE IS "vga_gaussian_blur,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_gaussian_blur_0_0_arch : ARCHITECTURE IS "system_vga_gaussian_blur_0_0,vga_gaussian_blur,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_gaussian_blur_0_0_arch: ARCHITECTURE IS "system_vga_gaussian_blur_0_0,vga_gaussian_blur,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_gaussian_blur,x_ipVersion=1.0,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_DELAY=160,KERNEL=3}"; BEGIN U0 : vga_gaussian_blur GENERIC MAP ( H_SIZE => 640, H_DELAY => 160, KERNEL => 3 ) PORT MAP ( clk_25 => clk_25, hsync_in => hsync_in, vsync_in => vsync_in, rgb_in => rgb_in, hsync_out => hsync_out, vsync_out => vsync_out, rgb_blur => rgb_blur, rgb_pass => rgb_pass ); END system_vga_gaussian_blur_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_clock_splitter_0_0/synth/system_clock_splitter_0_0.vhd
5
3769
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:clock_splitter:1.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_clock_splitter_0_0 IS PORT ( clk_in : IN STD_LOGIC; latch_edge : IN STD_LOGIC; clk_out : OUT STD_LOGIC ); END system_clock_splitter_0_0; ARCHITECTURE system_clock_splitter_0_0_arch OF system_clock_splitter_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_clock_splitter_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT clock_splitter IS PORT ( clk_in : IN STD_LOGIC; latch_edge : IN STD_LOGIC; clk_out : OUT STD_LOGIC ); END COMPONENT clock_splitter; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_clock_splitter_0_0_arch: ARCHITECTURE IS "clock_splitter,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_clock_splitter_0_0_arch : ARCHITECTURE IS "system_clock_splitter_0_0,clock_splitter,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_clock_splitter_0_0_arch: ARCHITECTURE IS "system_clock_splitter_0_0,clock_splitter,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=clock_splitter,x_ipVersion=1.0,x_ipCoreRevision=5,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : clock_splitter PORT MAP ( clk_in => clk_in, latch_edge => latch_edge, clk_out => clk_out ); END system_clock_splitter_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ipshared/bf57/ov7670_controller.vhd
6
2356
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Description: Controller for the OV760 camera - transfers registers to the -- camera over an I2C like bus ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ov7670_controller is port( clk: in std_logic; resend: in std_logic; config_finished : out std_logic; sioc: out std_logic; siod: inout std_logic; reset: out std_logic; pwdn: out std_logic; xclk: out std_logic ); end ov7670_controller; architecture Structural of ov7670_controller is component ov7670_registers is port( clk: in std_logic; resend: in std_logic; advance: in std_logic; command: out std_logic_vector(15 downto 0); finished: out std_logic ); end component; component i2c_sender is port ( clk: in std_logic; siod: inout std_logic; sioc: out std_logic; taken: out std_logic; send: in std_logic; id: in std_logic_vector(7 downto 0); reg: in std_logic_vector(7 downto 0); value: in std_logic_vector(7 downto 0) ); end component; signal command : std_logic_vector(15 downto 0); signal finished : std_logic := '0'; signal taken : std_logic := '0'; signal send : std_logic; constant camera_address : std_logic_vector(7 downto 0) := x"42"; -- 42"; -- Device write ID - see top of page 11 of data sheet begin config_finished <= finished; send <= not finished; Inst_i2c_sender: i2c_sender port map( clk => clk, taken => taken, siod => siod, sioc => sioc, send => send, id => camera_address, reg => command(15 downto 8), value => command(7 downto 0) ); reset <= '1'; -- Normal mode pwdn <= '0'; -- Power device up Inst_ov7670_registers: ov7670_registers port map( clk => clk, advance => taken, command => command, finished => finished, resend => resend ); end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ipshared/e147/xlconstant.vhd
7
1063
------------------------------------------------------------------------ -- -- Filename : xlconstant.vhd -- -- Date : 06/05/12 -- -- Description : VHDL description of a constant block. This -- block does not use a core. -- ------------------------------------------------------------------------ ------------------------------------------------------------------------ -- -- Entity : xlconstant -- -- Architecture : behavior -- -- Description : Top level VHDL description of constant block -- ------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity xlconstant is generic ( CONST_VAL : std_logic_vector := "1"; -- Din lsb position to constant to CONST_WIDTH : integer := 1); -- Width of output port ( dout : out std_logic_vector (CONST_WIDTH-1 downto 0) ); end xlconstant; architecture behavioral of xlconstant is begin dout <= CONST_VAL; end behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0/synth/system_vga_color_test_0_0.vhd
6
4080
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_color_test:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_color_test_0_0 IS PORT ( clk_25 : IN STD_LOGIC; xaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_color_test_0_0; ARCHITECTURE system_vga_color_test_0_0_arch OF system_vga_color_test_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_color_test_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_color_test IS GENERIC ( H_SIZE : INTEGER; V_SIZE : INTEGER ); PORT ( clk_25 : IN STD_LOGIC; xaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_color_test; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_color_test_0_0_arch: ARCHITECTURE IS "vga_color_test,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_color_test_0_0_arch : ARCHITECTURE IS "system_vga_color_test_0_0,vga_color_test,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_color_test_0_0_arch: ARCHITECTURE IS "system_vga_color_test_0_0,vga_color_test,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_color_test,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,V_SIZE=480}"; BEGIN U0 : vga_color_test GENERIC MAP ( H_SIZE => 640, V_SIZE => 480 ) PORT MAP ( clk_25 => clk_25, xaddr => xaddr, yaddr => yaddr, rgb => rgb ); END system_vga_color_test_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0/synth/system_vga_color_test_0_0.vhd
6
4080
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_color_test:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_color_test_0_0 IS PORT ( clk_25 : IN STD_LOGIC; xaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_color_test_0_0; ARCHITECTURE system_vga_color_test_0_0_arch OF system_vga_color_test_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_color_test_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_color_test IS GENERIC ( H_SIZE : INTEGER; V_SIZE : INTEGER ); PORT ( clk_25 : IN STD_LOGIC; xaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_color_test; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_color_test_0_0_arch: ARCHITECTURE IS "vga_color_test,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_color_test_0_0_arch : ARCHITECTURE IS "system_vga_color_test_0_0,vga_color_test,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_color_test_0_0_arch: ARCHITECTURE IS "system_vga_color_test_0_0,vga_color_test,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_color_test,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,V_SIZE=480}"; BEGIN U0 : vga_color_test GENERIC MAP ( H_SIZE => 640, V_SIZE => 480 ) PORT MAP ( clk_25 => clk_25, xaddr => xaddr, yaddr => yaddr, rgb => rgb ); END system_vga_color_test_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_stub.vhdl
3
1414
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 20:55:11 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_stub.vhdl -- Design : system_ov7670_vga_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_ov7670_vga_0_0 is Port ( clk_x2 : in STD_LOGIC; active : in STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ); rgb : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); end system_ov7670_vga_0_0; architecture stub of system_ov7670_vga_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk_x2,active,data[7:0],rgb[15:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "ov7670_vga,Vivado 2016.4"; begin end;
mit
freecores/tcp_socket
source/gigabit_ethernet.vhd
1
22521
-------------------------------------------------------------------------------- --- --- Gigabit Ethernet MAC --- --- :Author: Jonathan P Dawson --- :Date: 17/10/2013 --- :email: [email protected] --- :license: MIT --- :Copyright: Copyright (C) Jonathan P Dawson 2013 --- --- A gigabit ethernet MAC --- -------------------------------------------------------------------------------- --- ---Gigabit Ethernet ---================ --- ---Send and receive Ethernet packets. Using a Ethernet Physical Interface. --- ---Features: --- ---+ Supports 1Gbit/s ethernet only via a gmii interface. ---+ Supports full duplex mode only. --- ---Interface ------------ ---:input: TX - Data to send (16 bits). ---:output: RX - Data to send (16 bits). --- ---Ethernet Packet Structure ---------------------------- --- ---+-------------+-------------+--------+--------+---------+---------+-----+ ---| Description | destination | source | length | payload | padding | FSC | ---+=============+=============+========+========+=========+=========+=====+ ---| Bytes | 6 | 6 | 2 | 0-1500 | 0-46 | 4 | ---+-------------+-------------+--------+--------+---------+---------+-----+ --- ---Notes: --- ---+ The *length* field is the length of the ethernet payload. ---+ The *Ethernet Output* block will automatically append the FSC to --- outgoing packets. ---+ The *FSC* of incoming packets will be checked, and bad packets will --- be discarded. The *FSC* will be stripped from incoming packets. ---+ The length of the *payload* + *padding* must be 46-1500 bytes. ---+ Incoming packets of incorrect *length* will be discarded. --- ---Usage -------- --- ---Transmit ---~~~~~~~~ ---The first 16 bit word on the TX input is interpreted as the length of the ---packet in bytes (including the MAC address, length and payload, but not the ---preamble or FSC). Subsequent words on the TX input are interpreted as the ---content of the packet. If length is an odd number of bytes, then the least ---significant byte of the last word will be ignored. ---The FSC will be appended for you, but you need to supply the destination, ---source and length fields. --- ---Receive ---~~~~~~~~ ---The first 16 bit word on the RX output will be the length of the packet in ---bytes (including the MAC address, length and payload, but not the ---preamble or FSC). Subsequent words on the RX output will be the ---content of the packet. If length is an odd number of bytes, then the least ---significant byte of the last word will not contain usefull data. ---The FSC will be stripped from incoming packets, but the destination, ---source and length fields will be included. --- ---Hardware details ------------------- ---This component used two clocks, the local clock used to transfer data ---between components, and a 125MHz clock source for sending data to the ---Ethernet physical interface. This clock is also forwarded along with the ---data to the ethernet phy. --- library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; entity gigabit_ethernet is port( CLK : in std_logic; RST : in std_logic; --Ethernet Clock CLK_125_MHZ : in std_logic; --GMII IF GTXCLK : out std_logic; TXCLK : in std_logic; TXER : out std_logic; TXEN : out std_logic; TXD : out std_logic_vector(7 downto 0); PHY_RESET : out std_logic; RXCLK : in std_logic; RXER : in std_logic; RXDV : in std_logic; RXD : in std_logic_vector(7 downto 0); --RX STREAM TX : in std_logic_vector(15 downto 0); TX_STB : in std_logic; TX_ACK : out std_logic; --RX STREAM RX : out std_logic_vector(15 downto 0); RX_STB : out std_logic; RX_ACK : in std_logic ); end entity gigabit_ethernet; architecture RTL of gigabit_ethernet is -- polynomial: (0 1 2 4 5 7 8 10 11 12 16 22 23 26 32) -- data width: 8 -- convention: the first serial bit is D[0] function NEXTCRC32_D8 (DATA: std_logic_vector(7 downto 0); CRC: std_logic_vector(31 downto 0)) return std_logic_vector is variable D: std_logic_vector(7 downto 0); variable C: std_logic_vector(31 downto 0); variable NEWCRC: std_logic_vector(31 downto 0); begin D := DATA; C := CRC; NewCRC(0):=C(24) xor C(30) xor D(1) xor D(7); NewCRC(1):=C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(2):=C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(3):=C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6); NewCRC(4):=C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(5):=C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor C(31) xor D(0) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(6):=C(30) xor D(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6); NewCRC(7):=C(31) xor D(0) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24) xor D(7); NewCRC(8):=C(0) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6) xor C(24) xor D(7); NewCRC(9):=C(1) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5) xor C(25) xor D(6); NewCRC(10):=C(2) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5) xor C(24) xor D(7); NewCRC(11):=C(3) xor C(28) xor D(3) xor C(27) xor D(4) xor C(25) xor D(6) xor C(24) xor D(7); NewCRC(12):=C(4) xor C(29) xor D(2) xor C(28) xor D(3) xor C(26) xor D(5) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(13):=C(5) xor C(30) xor D(1) xor C(29) xor D(2) xor C(27) xor D(4) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6); NewCRC(14):=C(6) xor C(31) xor D(0) xor C(30) xor D(1) xor C(28) xor D(3) xor C(27) xor D(4) xor C(26) xor D(5); NewCRC(15):=C(7) xor C(31) xor D(0) xor C(29) xor D(2) xor C(28) xor D(3) xor C(27) xor D(4); NewCRC(16):=C(8) xor C(29) xor D(2) xor C(28) xor D(3) xor C(24) xor D(7); NewCRC(17):=C(9) xor C(30) xor D(1) xor C(29) xor D(2) xor C(25) xor D(6); NewCRC(18):=C(10) xor C(31) xor D(0) xor C(30) xor D(1) xor C(26) xor D(5); NewCRC(19):=C(11) xor C(31) xor D(0) xor C(27) xor D(4); NewCRC(20):=C(12) xor C(28) xor D(3); NewCRC(21):=C(13) xor C(29) xor D(2); NewCRC(22):=C(14) xor C(24) xor D(7); NewCRC(23):=C(15) xor C(25) xor D(6) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(24):=C(16) xor C(26) xor D(5) xor C(25) xor C(31) xor D(0) xor D(6); NewCRC(25):=C(17) xor C(27) xor D(4) xor C(26) xor D(5); NewCRC(26):=C(18) xor C(28) xor D(3) xor C(27) xor D(4) xor C(24) xor C(30) xor D(1) xor D(7); NewCRC(27):=C(19) xor C(29) xor D(2) xor C(28) xor D(3) xor C(25) xor C(31) xor D(0) xor D(6); NewCRC(28):=C(20) xor C(30) xor D(1) xor C(29) xor D(2) xor C(26) xor D(5); NewCRC(29):=C(21) xor C(31) xor D(0) xor C(30) xor D(1) xor C(27) xor D(4); NewCRC(30):=C(22) xor C(31) xor D(0) xor C(28) xor D(3); NewCRC(31):=C(23) xor C(29) xor D(2); return NEWCRC; end NEXTCRC32_D8; -- Reverse the input vector. function REVERSED(slv: std_logic_vector) return std_logic_vector is variable result: std_logic_vector(slv'reverse_range); begin for i in slv'range loop result(i) := slv(i); end loop; return result; end REVERSED; --constants constant ADDRESS_BITS : integer := 11; constant ADDRESS_MAX : integer := (2**ADDRESS_BITS) - 1; --memories type TX_MEMORY_TYPE is array (0 to 511) of std_logic_vector(15 downto 0); shared variable TX_MEMORY : TX_MEMORY_TYPE; type RX_MEMORY_TYPE is array (0 to ADDRESS_MAX) of std_logic_vector(15 downto 0); shared variable RX_MEMORY : RX_MEMORY_TYPE; type ADDRESS_ARRAY is array (0 to 31) of unsigned(ADDRESS_BITS - 1 downto 0); --state variables type TX_PHY_STATE_TYPE is (WAIT_NEW_PACKET, PREAMBLE_0, PREAMBLE_1, PREAMBLE_2, PREAMBLE_3, PREAMBLE_4, PREAMBLE_5, PREAMBLE_6, SFD, SEND_DATA_HI, SEND_DATA_LO, SEND_CRC_3, SEND_CRC_2, SEND_CRC_1, SEND_CRC_0, DONE_STATE); signal TX_PHY_STATE : TX_PHY_STATE_TYPE; type TX_PACKET_STATE_TYPE is(GET_LENGTH, GET_DATA, SEND_PACKET, WAIT_NOT_DONE); signal TX_PACKET_STATE : TX_PACKET_STATE_TYPE; type RX_PHY_STATE_TYPE is (WAIT_START, PREAMBLE, DATA_HIGH, DATA_LOW, END_OF_FRAME, NOTIFY_NEW_PACKET); signal RX_PHY_STATE : RX_PHY_STATE_TYPE; type RX_PACKET_STATE_TYPE is (WAIT_INITIALISE, WAIT_NEW_PACKET, SEND_DATA, PREFETCH0, PREFETCH1, SEND_LENGTH); signal RX_PACKET_STATE : RX_PACKET_STATE_TYPE; --TX signals signal TX_WRITE : std_logic; signal TX_WRITE_DATA : std_logic_vector(15 downto 0); signal TX_READ_DATA : std_logic_vector(15 downto 0); signal TX_WRITE_ADDRESS : integer range 0 to 1513; signal TX_WRITE_ADDRESS_DEL : integer range 0 to 1513; signal TX_READ_ADDRESS : integer range 0 to 1513; signal TX_CRC : std_logic_vector(31 downto 0); signal TX_IN_COUNT : integer range 0 to 1513; signal TX_OUT_COUNT : integer range 0 to 1513; signal TX_PACKET_LENGTH : std_logic_vector(15 downto 0); signal GO, GO_DEL, GO_SYNC : std_logic; signal DONE, DONE_DEL, DONE_SYNC : std_logic; signal S_TX_ACK : std_logic; --RX signals signal RX_WRITE_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_READ_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_START_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_PACKET_LENGTH : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_START_ADDRESS_BUFFER : ADDRESS_ARRAY; signal RX_PACKET_LENGTH_BUFFER : ADDRESS_ARRAY; signal RX_WRITE_BUFFER : integer range 0 to 31; signal RX_READ_BUFFER : integer range 0 to 31; signal RX_BUFFER_BUSY : std_logic_vector(31 downto 0); signal RX_BUFFER_BUSY_DEL : std_logic_vector(31 downto 0); signal RX_BUFFER_BUSY_SYNC : std_logic_vector(31 downto 0); signal RX_START_ADDRESS_SYNC : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_PACKET_LENGTH_SYNC : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_END_ADDRESS : unsigned(ADDRESS_BITS - 1 downto 0); signal RX_WRITE_DATA : std_logic_vector(15 downto 0); signal RX_WRITE_ENABLE : std_logic; signal RX_ERROR : std_logic; signal RX_CRC : std_logic_vector(31 downto 0); signal RXD_D : std_logic_vector(7 downto 0); signal RXDV_D : std_logic; signal RXER_D : std_logic; begin --This process is in the local clock domain. --It gets data and puts it into a RAM. --Once a packets worth of data has been stored it is --sent to the packet sending state machine. TX_PACKET_FSM : process begin wait until rising_edge(CLK); TX_WRITE <= '0'; case TX_PACKET_STATE is when GET_LENGTH => S_TX_ACK <= '1'; if S_TX_ACK = '1' and TX_STB = '1' then S_TX_ACK <= '0'; TX_PACKET_LENGTH <= TX; TX_IN_COUNT <= 2; TX_PACKET_STATE <= GET_DATA; end if; when GET_DATA => S_TX_ACK <= '1'; if S_TX_ACK = '1' and TX_STB = '1' then TX_WRITE_DATA <= TX; TX_WRITE <= '1'; if TX_IN_COUNT >= unsigned(TX_PACKET_LENGTH) then TX_PACKET_STATE <= SEND_PACKET; S_TX_ACK <= '0'; else TX_WRITE_ADDRESS <= TX_WRITE_ADDRESS + 1; TX_IN_COUNT <= TX_IN_COUNT + 2; end if; end if; when SEND_PACKET => GO <= '1'; TX_WRITE_ADDRESS <= 0; if DONE_SYNC = '1' then GO <= '0'; TX_PACKET_STATE <= WAIT_NOT_DONE; end if; when WAIT_NOT_DONE => if DONE_SYNC = '0' then TX_PACKET_STATE <= GET_LENGTH; end if; end case; if RST = '1' then TX_PACKET_STATE <= GET_LENGTH; TX_WRITE_ADDRESS <= 0; S_TX_ACK <= '0'; GO <= '0'; end if; end process TX_PACKET_FSM; TX_ACK <= S_TX_ACK; --This process writes data into a dual port RAM WRITE_DUAL_PORT_MEMORY : process begin wait until rising_edge(CLK); TX_WRITE_ADDRESS_DEL <= TX_WRITE_ADDRESS; if TX_WRITE = '1' then TX_MEMORY(TX_WRITE_ADDRESS_DEL) := TX_WRITE_DATA; end if; end process; --This process read data from a dual port RAM READ_DUAL_PORT_MEMORY : process begin wait until rising_edge(CLK_125_MHZ); TX_READ_DATA <= TX_MEMORY(TX_READ_ADDRESS); end process; --This process synchronises ethernet signals --to the local clock domain LOCAL_TO_CLK_125 : process begin wait until rising_edge(CLK_125_MHZ); GO_DEL <= GO; GO_SYNC <= GO_DEL; end process; --This process synchronises local signals to the ethernet clock domain CLK_125_TO_LOCAL : process begin wait until rising_edge(CLK); DONE_DEL <= DONE; DONE_SYNC <= DONE_DEL; end process; --Transmit the stored packet via the phy. TX_PHY_FSM : process begin wait until rising_edge(CLK_125_MHZ); case TX_PHY_STATE is when WAIT_NEW_PACKET => if GO_SYNC = '1' then TX_PHY_STATE <= PREAMBLE_0; TX_READ_ADDRESS <= 0; TX_OUT_COUNT <= to_integer(unsigned(TX_PACKET_LENGTH)-1); end if; when PREAMBLE_0 => TXD <= X"55"; TX_PHY_STATE <= PREAMBLE_1; TXEN <= '1'; when PREAMBLE_1 => TXD <= X"55"; TX_PHY_STATE <= PREAMBLE_2; when PREAMBLE_2 => TXD <= X"55"; TX_PHY_STATE <= PREAMBLE_3; when PREAMBLE_3 => TXD <= X"55"; TX_PHY_STATE <= PREAMBLE_4; when PREAMBLE_4 => TXD <= X"55"; TX_PHY_STATE <= PREAMBLE_5; when PREAMBLE_5 => TXD <= X"55"; TX_PHY_STATE <= PREAMBLE_6; when PREAMBLE_6 => TXD <= X"55"; TX_PHY_STATE <= SFD; when SFD => TXD <= X"D5"; TX_PHY_STATE <= SEND_DATA_HI; TX_CRC <= X"FFFFFFFF"; when SEND_DATA_HI => TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(15 downto 8), TX_CRC); TXD <= TX_READ_DATA(15 downto 8); If TX_OUT_COUNT = 0 then TX_PHY_STATE <= SEND_CRC_3; else TX_PHY_STATE <= SEND_DATA_LO; TX_READ_ADDRESS <= TX_READ_ADDRESS + 1; TX_OUT_COUNT <= TX_OUT_COUNT - 1; end if; when SEND_DATA_LO => TX_CRC <= NEXTCRC32_D8(TX_READ_DATA(7 downto 0), TX_CRC); TXD <= TX_READ_DATA(7 downto 0); If TX_OUT_COUNT = 0 then TX_PHY_STATE <= SEND_CRC_3; else TX_PHY_STATE <= SEND_DATA_HI; TX_OUT_COUNT <= TX_OUT_COUNT - 1; end if; when SEND_CRC_3 => TXD <= not REVERSED(TX_CRC(31 downto 24)); TX_PHY_STATE <= SEND_CRC_2; when SEND_CRC_2 => TXD <= not REVERSED(TX_CRC(23 downto 16)); TX_PHY_STATE <= SEND_CRC_1; when SEND_CRC_1 => TXD <= not REVERSED(TX_CRC(15 downto 8)); TX_PHY_STATE <= SEND_CRC_0; when SEND_CRC_0 => TXD <= not REVERSED(TX_CRC(7 downto 0)); TX_PHY_STATE <= DONE_STATE; when DONE_STATE => TXEN <= '0'; DONE <= '1'; if GO_SYNC = '0' then TX_PHY_STATE <= WAIT_NEW_PACKET; DONE <= '0'; end if; end case; if RST = '1' then TXEN <= '0'; TX_PHY_STATE <= WAIT_NEW_PACKET; DONE <= '0'; TXD <= (others => '0'); end if; end process TX_PHY_FSM; TXER <= '0'; GTXCLK <= CLK_125_MHZ; --This process reads data out of the phy and puts it into a buffer. --There are many buffers on the RX side to cope with data arriving at --a high rate. If a very large packet is received, followed by many small --packets, a large number of packets need to be stored. RX_PHY_FSM : process begin wait until rising_edge(RXCLK); RX_WRITE_ENABLE <= '0'; RXDV_D <= RXDV; RXER_D <= RXER; RXD_D <= RXD; case RX_PHY_STATE is when WAIT_START => if RXDV_D = '1' and RXD_D = X"55" then RX_PHY_STATE <= PREAMBLE; RX_ERROR <= '0'; end if; when PREAMBLE => if RXD_D = X"d5" then RX_PHY_STATE <= DATA_HIGH; RX_START_ADDRESS <= RX_WRITE_ADDRESS; RX_PACKET_LENGTH <= to_unsigned(0, ADDRESS_BITS); RX_CRC <= X"ffffffff"; elsif RXD_D /= X"55" or RXDV_D = '0' then RX_PHY_STATE <= WAIT_START; end if; when DATA_HIGH => RX_WRITE_DATA(15 downto 8) <= RXD_D; if RXDV_D = '1' then RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1; RX_PHY_STATE <= DATA_LOW; RX_CRC <= nextCRC32_D8(RXD_D, RX_CRC); else RX_PHY_STATE <= END_OF_FRAME; end if; when DATA_LOW => RX_WRITE_DATA(7 downto 0) <= RXD_D; RX_WRITE_ENABLE <= '1'; if RXDV_D = '1' then RX_PACKET_LENGTH <= RX_PACKET_LENGTH + 1; RX_PHY_STATE <= DATA_HIGH; RX_CRC <= nextCRC32_D8(RXD_D, RX_CRC); else RX_PHY_STATE <= END_OF_FRAME; end if; when END_OF_FRAME => if RX_ERROR = '1' then RX_PHY_STATE <= WAIT_START; elsif RX_PACKET_LENGTH < 64 then RX_PHY_STATE <= WAIT_START; elsif RX_PACKET_LENGTH > 1518 then RX_PHY_STATE <= WAIT_START; elsif RX_CRC /= X"C704dd7B" then RX_PHY_STATE <= WAIT_START; else RX_PHY_STATE <= NOTIFY_NEW_PACKET; end if; when NOTIFY_NEW_PACKET => RX_PHY_STATE <= WAIT_START; RX_START_ADDRESS_BUFFER(RX_WRITE_BUFFER) <= RX_START_ADDRESS; RX_PACKET_LENGTH_BUFFER(RX_WRITE_BUFFER) <= RX_PACKET_LENGTH; if RX_WRITE_BUFFER = 31 then RX_WRITE_BUFFER <= 0; else RX_WRITE_BUFFER <= RX_WRITE_BUFFER + 1; end if; end case; if RXER_D = '1' then RX_ERROR <= '1'; end if; if RST = '1' then RX_PHY_STATE <= WAIT_START; end if; end process RX_PHY_FSM; --generate a signal for each buffer to indicate that is is being used. GENERATE_BUFFER_BUSY : process begin wait until rising_edge(RXCLK); for I in 0 to 31 loop if I = RX_WRITE_BUFFER then RX_BUFFER_BUSY(I) <= '1'; else RX_BUFFER_BUSY(I) <= '0'; end if; end loop; end process GENERATE_BUFFER_BUSY; --This is the memory that implements the RX buffers WRITE_RX_MEMORY : process begin wait until rising_edge(RXCLK); if RX_WRITE_ENABLE = '1' then RX_MEMORY(to_integer(RX_WRITE_ADDRESS)) := RX_WRITE_DATA; RX_WRITE_ADDRESS <= RX_WRITE_ADDRESS + 1; end if; if RST = '1' then RX_WRITE_ADDRESS <= (others => '0'); end if; end process WRITE_RX_MEMORY; SYNCHRONISE_BUFFER_BUSY : process begin wait until rising_edge(CLK); RX_BUFFER_BUSY_DEL <= RX_BUFFER_BUSY; RX_BUFFER_BUSY_SYNC <= RX_BUFFER_BUSY_DEL; end process SYNCHRONISE_BUFFER_BUSY; --CLK __/""\__/" _/" "\__/""\ --RX_BUFFER_BUSY_SYNC[0] ""\_______ ____________ --RX_BUFFER_BUSY_SYNC[1] ________/" "\__________ --RX_BUFFER_BUSY_SYNC[2] __________ _______/"""" -- ^ -- Start to read packet 0 here. -- Note: since RX_BUFFER_BUSY originates in a different clock domain, -- it is possible that a clock cycle or so could elapse between -- RX_BUFFER_BUSY_SYNC[0] becoming low and RX_BUFFER_BUSY_SYNC[1] becoming -- high. We are relying on the delay through the state machine to be -- long enough that we don't try to read BUFFER1 during this period. RX_PACKET_FSM : process begin wait until rising_edge(CLK); case RX_PACKET_STATE is when WAIT_INITIALISE => if RX_BUFFER_BUSY_SYNC(0) = '1' then RX_PACKET_STATE <= WAIT_NEW_PACKET; RX_READ_BUFFER <= 0; end if; when WAIT_NEW_PACKET => if RX_BUFFER_BUSY_SYNC(RX_READ_BUFFER) = '0' then RX_PACKET_STATE <= SEND_LENGTH; RX_START_ADDRESS_SYNC <= RX_START_ADDRESS_BUFFER(RX_READ_BUFFER); RX_PACKET_LENGTH_SYNC <= RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER); RX <= std_logic_vector( resize(RX_PACKET_LENGTH_BUFFER(RX_READ_BUFFER)-4, 16)); RX_STB <= '1'; end if; when SEND_LENGTH => if RX_ACK = '1' then RX_PACKET_STATE <= PREFETCH0; RX_STB <= '0'; end if; when PREFETCH0 => RX_READ_ADDRESS <= RX_START_ADDRESS_SYNC; RX_END_ADDRESS <= RX_START_ADDRESS_SYNC + (RX_PACKET_LENGTH_SYNC-3)/2; RX_PACKET_STATE <= PREFETCH1; when PREFETCH1 => RX_READ_ADDRESS <= RX_READ_ADDRESS + 1; RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS)); RX_STB <= '1'; RX_PACKET_STATE <= SEND_DATA; when SEND_DATA => if RX_ACK = '1' then RX_READ_ADDRESS <= RX_READ_ADDRESS + 1; RX <= RX_MEMORY(to_integer(RX_READ_ADDRESS)); if RX_READ_ADDRESS = RX_END_ADDRESS then --don't send last packet RX_STB <= '0'; RX_PACKET_STATE <= WAIT_NEW_PACKET; if RX_READ_BUFFER = 31 then RX_READ_BUFFER <= 0; else RX_READ_BUFFER <= RX_READ_BUFFER + 1; end if; end if; end if; end case; if RST = '1' then RX_STB <= '0'; RX_PACKET_STATE <= WAIT_INITIALISE; end if; end process RX_PACKET_FSM; ---------------------------------------------------------------------- -- RESET PHY CHIP ---------------------------------------------------------------------- PHY_RESET <= not RST; end architecture RTL;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/sim/system_rgb565_to_rgb888_0_0.vhd
2
3128
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:rgb565_to_rgb888:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_rgb565_to_rgb888_0_0 IS PORT ( rgb_565 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); rgb_888 : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_rgb565_to_rgb888_0_0; ARCHITECTURE system_rgb565_to_rgb888_0_0_arch OF system_rgb565_to_rgb888_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rgb565_to_rgb888_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT rgb565_to_rgb888 IS PORT ( rgb_565 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); rgb_888 : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT rgb565_to_rgb888; BEGIN U0 : rgb565_to_rgb888 PORT MAP ( rgb_565 => rgb_565, rgb_888 => rgb_888 ); END system_rgb565_to_rgb888_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_nmsuppression_0_0/system_vga_nmsuppression_0_0_sim_netlist.vhdl
1
215472
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Tue May 30 22:29:19 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_vga_nmsuppression_0_0 -prefix -- system_vga_nmsuppression_0_0_ system_vga_nmsuppression_1_0_sim_netlist.vhdl -- Design : system_vga_nmsuppression_1_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_nmsuppression_0_0_vga_nmsuppression is port ( x_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); hessian_out : out STD_LOGIC_VECTOR ( 31 downto 0 ); active : in STD_LOGIC; clk : in STD_LOGIC; x_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); hessian_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); enable : in STD_LOGIC ); end system_vga_nmsuppression_0_0_vga_nmsuppression; architecture STRUCTURE of system_vga_nmsuppression_0_0_vga_nmsuppression is signal \hessian_out2_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_n_0\ : STD_LOGIC; signal \hessian_out2_carry__0_n_1\ : STD_LOGIC; signal \hessian_out2_carry__0_n_2\ : STD_LOGIC; signal \hessian_out2_carry__0_n_3\ : STD_LOGIC; signal \hessian_out2_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_n_0\ : STD_LOGIC; signal \hessian_out2_carry__1_n_1\ : STD_LOGIC; signal \hessian_out2_carry__1_n_2\ : STD_LOGIC; signal \hessian_out2_carry__1_n_3\ : STD_LOGIC; signal \hessian_out2_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_n_0\ : STD_LOGIC; signal \hessian_out2_carry__2_n_1\ : STD_LOGIC; signal \hessian_out2_carry__2_n_2\ : STD_LOGIC; signal \hessian_out2_carry__2_n_3\ : STD_LOGIC; signal hessian_out2_carry_i_1_n_0 : STD_LOGIC; signal hessian_out2_carry_i_2_n_0 : STD_LOGIC; signal hessian_out2_carry_i_3_n_0 : STD_LOGIC; signal hessian_out2_carry_i_4_n_0 : STD_LOGIC; signal hessian_out2_carry_i_5_n_0 : STD_LOGIC; signal hessian_out2_carry_i_6_n_0 : STD_LOGIC; signal hessian_out2_carry_i_7_n_0 : STD_LOGIC; signal hessian_out2_carry_i_8_n_0 : STD_LOGIC; signal hessian_out2_carry_n_0 : STD_LOGIC; signal hessian_out2_carry_n_1 : STD_LOGIC; signal hessian_out2_carry_n_2 : STD_LOGIC; signal hessian_out2_carry_n_3 : STD_LOGIC; signal \hessian_out3_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_n_0\ : STD_LOGIC; signal \hessian_out3_carry__0_n_1\ : STD_LOGIC; signal \hessian_out3_carry__0_n_2\ : STD_LOGIC; signal \hessian_out3_carry__0_n_3\ : STD_LOGIC; signal \hessian_out3_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_n_0\ : STD_LOGIC; signal \hessian_out3_carry__1_n_1\ : STD_LOGIC; signal \hessian_out3_carry__1_n_2\ : STD_LOGIC; signal \hessian_out3_carry__1_n_3\ : STD_LOGIC; signal \hessian_out3_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_n_0\ : STD_LOGIC; signal \hessian_out3_carry__2_n_1\ : STD_LOGIC; signal \hessian_out3_carry__2_n_2\ : STD_LOGIC; signal \hessian_out3_carry__2_n_3\ : STD_LOGIC; signal hessian_out3_carry_i_1_n_0 : STD_LOGIC; signal hessian_out3_carry_i_2_n_0 : STD_LOGIC; signal hessian_out3_carry_i_3_n_0 : STD_LOGIC; signal hessian_out3_carry_i_4_n_0 : STD_LOGIC; signal hessian_out3_carry_i_5_n_0 : STD_LOGIC; signal hessian_out3_carry_i_6_n_0 : STD_LOGIC; signal hessian_out3_carry_i_7_n_0 : STD_LOGIC; signal hessian_out3_carry_i_8_n_0 : STD_LOGIC; signal hessian_out3_carry_n_0 : STD_LOGIC; signal hessian_out3_carry_n_1 : STD_LOGIC; signal hessian_out3_carry_n_2 : STD_LOGIC; signal hessian_out3_carry_n_3 : STD_LOGIC; signal \hessian_out4_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_n_0\ : STD_LOGIC; signal \hessian_out4_carry__0_n_1\ : STD_LOGIC; signal \hessian_out4_carry__0_n_2\ : STD_LOGIC; signal \hessian_out4_carry__0_n_3\ : STD_LOGIC; signal \hessian_out4_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_n_0\ : STD_LOGIC; signal \hessian_out4_carry__1_n_1\ : STD_LOGIC; signal \hessian_out4_carry__1_n_2\ : STD_LOGIC; signal \hessian_out4_carry__1_n_3\ : STD_LOGIC; signal \hessian_out4_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_n_0\ : STD_LOGIC; signal \hessian_out4_carry__2_n_1\ : STD_LOGIC; signal \hessian_out4_carry__2_n_2\ : STD_LOGIC; signal \hessian_out4_carry__2_n_3\ : STD_LOGIC; signal hessian_out4_carry_i_1_n_0 : STD_LOGIC; signal hessian_out4_carry_i_2_n_0 : STD_LOGIC; signal hessian_out4_carry_i_3_n_0 : STD_LOGIC; signal hessian_out4_carry_i_4_n_0 : STD_LOGIC; signal hessian_out4_carry_i_5_n_0 : STD_LOGIC; signal hessian_out4_carry_i_6_n_0 : STD_LOGIC; signal hessian_out4_carry_i_7_n_0 : STD_LOGIC; signal hessian_out4_carry_i_8_n_0 : STD_LOGIC; signal hessian_out4_carry_n_0 : STD_LOGIC; signal hessian_out4_carry_n_1 : STD_LOGIC; signal hessian_out4_carry_n_2 : STD_LOGIC; signal hessian_out4_carry_n_3 : STD_LOGIC; signal \hessian_out5_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_n_0\ : STD_LOGIC; signal \hessian_out5_carry__0_n_1\ : STD_LOGIC; signal \hessian_out5_carry__0_n_2\ : STD_LOGIC; signal \hessian_out5_carry__0_n_3\ : STD_LOGIC; signal \hessian_out5_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_n_0\ : STD_LOGIC; signal \hessian_out5_carry__1_n_1\ : STD_LOGIC; signal \hessian_out5_carry__1_n_2\ : STD_LOGIC; signal \hessian_out5_carry__1_n_3\ : STD_LOGIC; signal \hessian_out5_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_n_0\ : STD_LOGIC; signal \hessian_out5_carry__2_n_1\ : STD_LOGIC; signal \hessian_out5_carry__2_n_2\ : STD_LOGIC; signal \hessian_out5_carry__2_n_3\ : STD_LOGIC; signal hessian_out5_carry_i_1_n_0 : STD_LOGIC; signal hessian_out5_carry_i_2_n_0 : STD_LOGIC; signal hessian_out5_carry_i_3_n_0 : STD_LOGIC; signal hessian_out5_carry_i_4_n_0 : STD_LOGIC; signal hessian_out5_carry_i_5_n_0 : STD_LOGIC; signal hessian_out5_carry_i_6_n_0 : STD_LOGIC; signal hessian_out5_carry_i_7_n_0 : STD_LOGIC; signal hessian_out5_carry_i_8_n_0 : STD_LOGIC; signal hessian_out5_carry_n_0 : STD_LOGIC; signal hessian_out5_carry_n_1 : STD_LOGIC; signal hessian_out5_carry_n_2 : STD_LOGIC; signal hessian_out5_carry_n_3 : STD_LOGIC; signal \hessian_out6_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_n_0\ : STD_LOGIC; signal \hessian_out6_carry__0_n_1\ : STD_LOGIC; signal \hessian_out6_carry__0_n_2\ : STD_LOGIC; signal \hessian_out6_carry__0_n_3\ : STD_LOGIC; signal \hessian_out6_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_n_0\ : STD_LOGIC; signal \hessian_out6_carry__1_n_1\ : STD_LOGIC; signal \hessian_out6_carry__1_n_2\ : STD_LOGIC; signal \hessian_out6_carry__1_n_3\ : STD_LOGIC; signal \hessian_out6_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_n_0\ : STD_LOGIC; signal \hessian_out6_carry__2_n_1\ : STD_LOGIC; signal \hessian_out6_carry__2_n_2\ : STD_LOGIC; signal \hessian_out6_carry__2_n_3\ : STD_LOGIC; signal hessian_out6_carry_i_1_n_0 : STD_LOGIC; signal hessian_out6_carry_i_2_n_0 : STD_LOGIC; signal hessian_out6_carry_i_3_n_0 : STD_LOGIC; signal hessian_out6_carry_i_4_n_0 : STD_LOGIC; signal hessian_out6_carry_i_5_n_0 : STD_LOGIC; signal hessian_out6_carry_i_6_n_0 : STD_LOGIC; signal hessian_out6_carry_i_7_n_0 : STD_LOGIC; signal hessian_out6_carry_i_8_n_0 : STD_LOGIC; signal hessian_out6_carry_n_0 : STD_LOGIC; signal hessian_out6_carry_n_1 : STD_LOGIC; signal hessian_out6_carry_n_2 : STD_LOGIC; signal hessian_out6_carry_n_3 : STD_LOGIC; signal \hessian_out7_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_n_0\ : STD_LOGIC; signal \hessian_out7_carry__0_n_1\ : STD_LOGIC; signal \hessian_out7_carry__0_n_2\ : STD_LOGIC; signal \hessian_out7_carry__0_n_3\ : STD_LOGIC; signal \hessian_out7_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_n_0\ : STD_LOGIC; signal \hessian_out7_carry__1_n_1\ : STD_LOGIC; signal \hessian_out7_carry__1_n_2\ : STD_LOGIC; signal \hessian_out7_carry__1_n_3\ : STD_LOGIC; signal \hessian_out7_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_n_0\ : STD_LOGIC; signal \hessian_out7_carry__2_n_1\ : STD_LOGIC; signal \hessian_out7_carry__2_n_2\ : STD_LOGIC; signal \hessian_out7_carry__2_n_3\ : STD_LOGIC; signal hessian_out7_carry_i_1_n_0 : STD_LOGIC; signal hessian_out7_carry_i_2_n_0 : STD_LOGIC; signal hessian_out7_carry_i_3_n_0 : STD_LOGIC; signal hessian_out7_carry_i_4_n_0 : STD_LOGIC; signal hessian_out7_carry_i_5_n_0 : STD_LOGIC; signal hessian_out7_carry_i_6_n_0 : STD_LOGIC; signal hessian_out7_carry_i_7_n_0 : STD_LOGIC; signal hessian_out7_carry_i_8_n_0 : STD_LOGIC; signal hessian_out7_carry_n_0 : STD_LOGIC; signal hessian_out7_carry_n_1 : STD_LOGIC; signal hessian_out7_carry_n_2 : STD_LOGIC; signal hessian_out7_carry_n_3 : STD_LOGIC; signal \hessian_out8__15_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__0_n_1\ : STD_LOGIC; signal \hessian_out8__15_carry__0_n_2\ : STD_LOGIC; signal \hessian_out8__15_carry__0_n_3\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__1_n_1\ : STD_LOGIC; signal \hessian_out8__15_carry__1_n_2\ : STD_LOGIC; signal \hessian_out8__15_carry__1_n_3\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry__2_n_1\ : STD_LOGIC; signal \hessian_out8__15_carry__2_n_2\ : STD_LOGIC; signal \hessian_out8__15_carry__2_n_3\ : STD_LOGIC; signal \hessian_out8__15_carry_i_1_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_2_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_3_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_4_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_5_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_6_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_7_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_i_8_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_n_0\ : STD_LOGIC; signal \hessian_out8__15_carry_n_1\ : STD_LOGIC; signal \hessian_out8__15_carry_n_2\ : STD_LOGIC; signal \hessian_out8__15_carry_n_3\ : STD_LOGIC; signal \hessian_out8_carry__0_i_1_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_2_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_3_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_4_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_5_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_6_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_7_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_i_8_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_n_0\ : STD_LOGIC; signal \hessian_out8_carry__0_n_1\ : STD_LOGIC; signal \hessian_out8_carry__0_n_2\ : STD_LOGIC; signal \hessian_out8_carry__0_n_3\ : STD_LOGIC; signal \hessian_out8_carry__1_i_1_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_2_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_3_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_4_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_5_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_6_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_7_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_i_8_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_n_0\ : STD_LOGIC; signal \hessian_out8_carry__1_n_1\ : STD_LOGIC; signal \hessian_out8_carry__1_n_2\ : STD_LOGIC; signal \hessian_out8_carry__1_n_3\ : STD_LOGIC; signal \hessian_out8_carry__2_i_1_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_2_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_3_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_4_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_5_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_6_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_7_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_i_8_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_n_0\ : STD_LOGIC; signal \hessian_out8_carry__2_n_1\ : STD_LOGIC; signal \hessian_out8_carry__2_n_2\ : STD_LOGIC; signal \hessian_out8_carry__2_n_3\ : STD_LOGIC; signal hessian_out8_carry_i_1_n_0 : STD_LOGIC; signal hessian_out8_carry_i_2_n_0 : STD_LOGIC; signal hessian_out8_carry_i_3_n_0 : STD_LOGIC; signal hessian_out8_carry_i_4_n_0 : STD_LOGIC; signal hessian_out8_carry_i_5_n_0 : STD_LOGIC; signal hessian_out8_carry_i_6_n_0 : STD_LOGIC; signal hessian_out8_carry_i_7_n_0 : STD_LOGIC; signal hessian_out8_carry_i_8_n_0 : STD_LOGIC; signal hessian_out8_carry_n_0 : STD_LOGIC; signal hessian_out8_carry_n_1 : STD_LOGIC; signal hessian_out8_carry_n_2 : STD_LOGIC; signal hessian_out8_carry_n_3 : STD_LOGIC; signal \hessian_out[31]_i_1_n_0\ : STD_LOGIC; signal \hessian_out[31]_i_2_n_0\ : STD_LOGIC; signal \hessian_reg[0]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[10]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[11]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[1]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[4][0]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][10]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][11]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][12]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][13]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][14]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][15]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][16]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][17]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][18]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][19]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][1]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][20]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][21]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][22]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][23]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][24]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][25]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][26]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][27]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][28]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][29]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][2]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][30]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][31]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][3]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][4]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][5]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][6]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][7]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][8]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[4][9]_srl3_n_0\ : STD_LOGIC; signal \hessian_reg[5]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[6]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[7]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[8]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \hessian_reg[9]\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal minusOp : STD_LOGIC_VECTOR ( 0 to 0 ); signal \minusOp_inferred__0/y_addr_out[0]_i_1_n_0\ : STD_LOGIC; signal \minusOp_inferred__0/y_addr_out[9]_i_2_n_0\ : STD_LOGIC; signal \x_addr_out[1]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[2]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[3]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[4]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[5]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[6]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[7]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[8]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[9]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[9]_i_2_n_0\ : STD_LOGIC; signal \y_addr_out[1]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[2]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[3]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[4]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[5]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[6]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[7]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[8]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out[9]_i_1_n_0\ : STD_LOGIC; signal NLW_hessian_out2_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out2_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out2_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out2_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_hessian_out3_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out3_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out3_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out3_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_hessian_out4_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out4_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out4_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out4_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_hessian_out5_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out5_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out5_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out5_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_hessian_out6_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out6_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out6_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out6_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_hessian_out7_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out7_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out7_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out7_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8__15_carry_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8__15_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8__15_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8__15_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_hessian_out8_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_hessian_out8_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute srl_bus_name : string; attribute srl_bus_name of \hessian_reg[4][0]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name : string; attribute srl_name of \hessian_reg[4][0]_srl3\ : label is "\U0/hessian_reg[4][0]_srl3 "; attribute srl_bus_name of \hessian_reg[4][10]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][10]_srl3\ : label is "\U0/hessian_reg[4][10]_srl3 "; attribute srl_bus_name of \hessian_reg[4][11]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][11]_srl3\ : label is "\U0/hessian_reg[4][11]_srl3 "; attribute srl_bus_name of \hessian_reg[4][12]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][12]_srl3\ : label is "\U0/hessian_reg[4][12]_srl3 "; attribute srl_bus_name of \hessian_reg[4][13]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][13]_srl3\ : label is "\U0/hessian_reg[4][13]_srl3 "; attribute srl_bus_name of \hessian_reg[4][14]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][14]_srl3\ : label is "\U0/hessian_reg[4][14]_srl3 "; attribute srl_bus_name of \hessian_reg[4][15]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][15]_srl3\ : label is "\U0/hessian_reg[4][15]_srl3 "; attribute srl_bus_name of \hessian_reg[4][16]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][16]_srl3\ : label is "\U0/hessian_reg[4][16]_srl3 "; attribute srl_bus_name of \hessian_reg[4][17]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][17]_srl3\ : label is "\U0/hessian_reg[4][17]_srl3 "; attribute srl_bus_name of \hessian_reg[4][18]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][18]_srl3\ : label is "\U0/hessian_reg[4][18]_srl3 "; attribute srl_bus_name of \hessian_reg[4][19]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][19]_srl3\ : label is "\U0/hessian_reg[4][19]_srl3 "; attribute srl_bus_name of \hessian_reg[4][1]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][1]_srl3\ : label is "\U0/hessian_reg[4][1]_srl3 "; attribute srl_bus_name of \hessian_reg[4][20]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][20]_srl3\ : label is "\U0/hessian_reg[4][20]_srl3 "; attribute srl_bus_name of \hessian_reg[4][21]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][21]_srl3\ : label is "\U0/hessian_reg[4][21]_srl3 "; attribute srl_bus_name of \hessian_reg[4][22]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][22]_srl3\ : label is "\U0/hessian_reg[4][22]_srl3 "; attribute srl_bus_name of \hessian_reg[4][23]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][23]_srl3\ : label is "\U0/hessian_reg[4][23]_srl3 "; attribute srl_bus_name of \hessian_reg[4][24]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][24]_srl3\ : label is "\U0/hessian_reg[4][24]_srl3 "; attribute srl_bus_name of \hessian_reg[4][25]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][25]_srl3\ : label is "\U0/hessian_reg[4][25]_srl3 "; attribute srl_bus_name of \hessian_reg[4][26]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][26]_srl3\ : label is "\U0/hessian_reg[4][26]_srl3 "; attribute srl_bus_name of \hessian_reg[4][27]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][27]_srl3\ : label is "\U0/hessian_reg[4][27]_srl3 "; attribute srl_bus_name of \hessian_reg[4][28]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][28]_srl3\ : label is "\U0/hessian_reg[4][28]_srl3 "; attribute srl_bus_name of \hessian_reg[4][29]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][29]_srl3\ : label is "\U0/hessian_reg[4][29]_srl3 "; attribute srl_bus_name of \hessian_reg[4][2]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][2]_srl3\ : label is "\U0/hessian_reg[4][2]_srl3 "; attribute srl_bus_name of \hessian_reg[4][30]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][30]_srl3\ : label is "\U0/hessian_reg[4][30]_srl3 "; attribute srl_bus_name of \hessian_reg[4][31]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][31]_srl3\ : label is "\U0/hessian_reg[4][31]_srl3 "; attribute srl_bus_name of \hessian_reg[4][3]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][3]_srl3\ : label is "\U0/hessian_reg[4][3]_srl3 "; attribute srl_bus_name of \hessian_reg[4][4]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][4]_srl3\ : label is "\U0/hessian_reg[4][4]_srl3 "; attribute srl_bus_name of \hessian_reg[4][5]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][5]_srl3\ : label is "\U0/hessian_reg[4][5]_srl3 "; attribute srl_bus_name of \hessian_reg[4][6]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][6]_srl3\ : label is "\U0/hessian_reg[4][6]_srl3 "; attribute srl_bus_name of \hessian_reg[4][7]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][7]_srl3\ : label is "\U0/hessian_reg[4][7]_srl3 "; attribute srl_bus_name of \hessian_reg[4][8]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][8]_srl3\ : label is "\U0/hessian_reg[4][8]_srl3 "; attribute srl_bus_name of \hessian_reg[4][9]_srl3\ : label is "\U0/hessian_reg[4] "; attribute srl_name of \hessian_reg[4][9]_srl3\ : label is "\U0/hessian_reg[4][9]_srl3 "; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \x_addr_out[1]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \x_addr_out[2]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \x_addr_out[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \x_addr_out[4]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \x_addr_out[6]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \x_addr_out[7]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \x_addr_out[8]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \x_addr_out[9]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \y_addr_out[1]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \y_addr_out[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \y_addr_out[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \y_addr_out[4]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \y_addr_out[6]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \y_addr_out[7]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \y_addr_out[8]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \y_addr_out[9]_i_1\ : label is "soft_lutpair3"; begin hessian_out2_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out2_carry_n_0, CO(2) => hessian_out2_carry_n_1, CO(1) => hessian_out2_carry_n_2, CO(0) => hessian_out2_carry_n_3, CYINIT => '0', DI(3) => hessian_out2_carry_i_1_n_0, DI(2) => hessian_out2_carry_i_2_n_0, DI(1) => hessian_out2_carry_i_3_n_0, DI(0) => hessian_out2_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out2_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out2_carry_i_5_n_0, S(2) => hessian_out2_carry_i_6_n_0, S(1) => hessian_out2_carry_i_7_n_0, S(0) => hessian_out2_carry_i_8_n_0 ); \hessian_out2_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out2_carry_n_0, CO(3) => \hessian_out2_carry__0_n_0\, CO(2) => \hessian_out2_carry__0_n_1\, CO(1) => \hessian_out2_carry__0_n_2\, CO(0) => \hessian_out2_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out2_carry__0_i_1_n_0\, DI(2) => \hessian_out2_carry__0_i_2_n_0\, DI(1) => \hessian_out2_carry__0_i_3_n_0\, DI(0) => \hessian_out2_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out2_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out2_carry__0_i_5_n_0\, S(2) => \hessian_out2_carry__0_i_6_n_0\, S(1) => \hessian_out2_carry__0_i_7_n_0\, S(0) => \hessian_out2_carry__0_i_8_n_0\ ); \hessian_out2_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[11]\(14), I3 => \hessian_reg[6]\(15), O => \hessian_out2_carry__0_i_1_n_0\ ); \hessian_out2_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[11]\(12), I3 => \hessian_reg[6]\(13), O => \hessian_out2_carry__0_i_2_n_0\ ); \hessian_out2_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[11]\(10), I3 => \hessian_reg[6]\(11), O => \hessian_out2_carry__0_i_3_n_0\ ); \hessian_out2_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[11]\(8), I3 => \hessian_reg[6]\(9), O => \hessian_out2_carry__0_i_4_n_0\ ); \hessian_out2_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[11]\(14), I3 => \hessian_reg[6]\(15), O => \hessian_out2_carry__0_i_5_n_0\ ); \hessian_out2_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[11]\(12), I3 => \hessian_reg[6]\(13), O => \hessian_out2_carry__0_i_6_n_0\ ); \hessian_out2_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[11]\(10), I3 => \hessian_reg[6]\(11), O => \hessian_out2_carry__0_i_7_n_0\ ); \hessian_out2_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[11]\(8), I3 => \hessian_reg[6]\(9), O => \hessian_out2_carry__0_i_8_n_0\ ); \hessian_out2_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out2_carry__0_n_0\, CO(3) => \hessian_out2_carry__1_n_0\, CO(2) => \hessian_out2_carry__1_n_1\, CO(1) => \hessian_out2_carry__1_n_2\, CO(0) => \hessian_out2_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out2_carry__1_i_1_n_0\, DI(2) => \hessian_out2_carry__1_i_2_n_0\, DI(1) => \hessian_out2_carry__1_i_3_n_0\, DI(0) => \hessian_out2_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out2_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out2_carry__1_i_5_n_0\, S(2) => \hessian_out2_carry__1_i_6_n_0\, S(1) => \hessian_out2_carry__1_i_7_n_0\, S(0) => \hessian_out2_carry__1_i_8_n_0\ ); \hessian_out2_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[11]\(22), I3 => \hessian_reg[6]\(23), O => \hessian_out2_carry__1_i_1_n_0\ ); \hessian_out2_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[11]\(20), I3 => \hessian_reg[6]\(21), O => \hessian_out2_carry__1_i_2_n_0\ ); \hessian_out2_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[11]\(18), I3 => \hessian_reg[6]\(19), O => \hessian_out2_carry__1_i_3_n_0\ ); \hessian_out2_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[11]\(16), I3 => \hessian_reg[6]\(17), O => \hessian_out2_carry__1_i_4_n_0\ ); \hessian_out2_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[11]\(22), I3 => \hessian_reg[6]\(23), O => \hessian_out2_carry__1_i_5_n_0\ ); \hessian_out2_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[11]\(20), I3 => \hessian_reg[6]\(21), O => \hessian_out2_carry__1_i_6_n_0\ ); \hessian_out2_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[11]\(18), I3 => \hessian_reg[6]\(19), O => \hessian_out2_carry__1_i_7_n_0\ ); \hessian_out2_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[11]\(16), I3 => \hessian_reg[6]\(17), O => \hessian_out2_carry__1_i_8_n_0\ ); \hessian_out2_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out2_carry__1_n_0\, CO(3) => \hessian_out2_carry__2_n_0\, CO(2) => \hessian_out2_carry__2_n_1\, CO(1) => \hessian_out2_carry__2_n_2\, CO(0) => \hessian_out2_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out2_carry__2_i_1_n_0\, DI(2) => \hessian_out2_carry__2_i_2_n_0\, DI(1) => \hessian_out2_carry__2_i_3_n_0\, DI(0) => \hessian_out2_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out2_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out2_carry__2_i_5_n_0\, S(2) => \hessian_out2_carry__2_i_6_n_0\, S(1) => \hessian_out2_carry__2_i_7_n_0\, S(0) => \hessian_out2_carry__2_i_8_n_0\ ); \hessian_out2_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[11]\(30), I3 => \hessian_reg[6]\(31), O => \hessian_out2_carry__2_i_1_n_0\ ); \hessian_out2_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[11]\(28), I3 => \hessian_reg[6]\(29), O => \hessian_out2_carry__2_i_2_n_0\ ); \hessian_out2_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[11]\(26), I3 => \hessian_reg[6]\(27), O => \hessian_out2_carry__2_i_3_n_0\ ); \hessian_out2_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[11]\(24), I3 => \hessian_reg[6]\(25), O => \hessian_out2_carry__2_i_4_n_0\ ); \hessian_out2_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[11]\(30), I3 => \hessian_reg[6]\(31), O => \hessian_out2_carry__2_i_5_n_0\ ); \hessian_out2_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[11]\(28), I3 => \hessian_reg[6]\(29), O => \hessian_out2_carry__2_i_6_n_0\ ); \hessian_out2_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[11]\(26), I3 => \hessian_reg[6]\(27), O => \hessian_out2_carry__2_i_7_n_0\ ); \hessian_out2_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[11]\(24), I3 => \hessian_reg[6]\(25), O => \hessian_out2_carry__2_i_8_n_0\ ); hessian_out2_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[11]\(6), I3 => \hessian_reg[6]\(7), O => hessian_out2_carry_i_1_n_0 ); hessian_out2_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[11]\(4), I3 => \hessian_reg[6]\(5), O => hessian_out2_carry_i_2_n_0 ); hessian_out2_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[11]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out2_carry_i_3_n_0 ); hessian_out2_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[11]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[11]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out2_carry_i_4_n_0 ); hessian_out2_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[11]\(6), I3 => \hessian_reg[6]\(7), O => hessian_out2_carry_i_5_n_0 ); hessian_out2_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[11]\(4), I3 => \hessian_reg[6]\(5), O => hessian_out2_carry_i_6_n_0 ); hessian_out2_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[11]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out2_carry_i_7_n_0 ); hessian_out2_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[11]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[11]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out2_carry_i_8_n_0 ); hessian_out3_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out3_carry_n_0, CO(2) => hessian_out3_carry_n_1, CO(1) => hessian_out3_carry_n_2, CO(0) => hessian_out3_carry_n_3, CYINIT => '0', DI(3) => hessian_out3_carry_i_1_n_0, DI(2) => hessian_out3_carry_i_2_n_0, DI(1) => hessian_out3_carry_i_3_n_0, DI(0) => hessian_out3_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out3_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out3_carry_i_5_n_0, S(2) => hessian_out3_carry_i_6_n_0, S(1) => hessian_out3_carry_i_7_n_0, S(0) => hessian_out3_carry_i_8_n_0 ); \hessian_out3_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out3_carry_n_0, CO(3) => \hessian_out3_carry__0_n_0\, CO(2) => \hessian_out3_carry__0_n_1\, CO(1) => \hessian_out3_carry__0_n_2\, CO(0) => \hessian_out3_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out3_carry__0_i_1_n_0\, DI(2) => \hessian_out3_carry__0_i_2_n_0\, DI(1) => \hessian_out3_carry__0_i_3_n_0\, DI(0) => \hessian_out3_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out3_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out3_carry__0_i_5_n_0\, S(2) => \hessian_out3_carry__0_i_6_n_0\, S(1) => \hessian_out3_carry__0_i_7_n_0\, S(0) => \hessian_out3_carry__0_i_8_n_0\ ); \hessian_out3_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[10]\(14), I3 => \hessian_reg[6]\(15), O => \hessian_out3_carry__0_i_1_n_0\ ); \hessian_out3_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[10]\(12), I3 => \hessian_reg[6]\(13), O => \hessian_out3_carry__0_i_2_n_0\ ); \hessian_out3_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[10]\(10), I3 => \hessian_reg[6]\(11), O => \hessian_out3_carry__0_i_3_n_0\ ); \hessian_out3_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[10]\(8), I3 => \hessian_reg[6]\(9), O => \hessian_out3_carry__0_i_4_n_0\ ); \hessian_out3_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[10]\(14), I3 => \hessian_reg[6]\(15), O => \hessian_out3_carry__0_i_5_n_0\ ); \hessian_out3_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[10]\(12), I3 => \hessian_reg[6]\(13), O => \hessian_out3_carry__0_i_6_n_0\ ); \hessian_out3_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[10]\(10), I3 => \hessian_reg[6]\(11), O => \hessian_out3_carry__0_i_7_n_0\ ); \hessian_out3_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[10]\(8), I3 => \hessian_reg[6]\(9), O => \hessian_out3_carry__0_i_8_n_0\ ); \hessian_out3_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out3_carry__0_n_0\, CO(3) => \hessian_out3_carry__1_n_0\, CO(2) => \hessian_out3_carry__1_n_1\, CO(1) => \hessian_out3_carry__1_n_2\, CO(0) => \hessian_out3_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out3_carry__1_i_1_n_0\, DI(2) => \hessian_out3_carry__1_i_2_n_0\, DI(1) => \hessian_out3_carry__1_i_3_n_0\, DI(0) => \hessian_out3_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out3_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out3_carry__1_i_5_n_0\, S(2) => \hessian_out3_carry__1_i_6_n_0\, S(1) => \hessian_out3_carry__1_i_7_n_0\, S(0) => \hessian_out3_carry__1_i_8_n_0\ ); \hessian_out3_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[10]\(22), I3 => \hessian_reg[6]\(23), O => \hessian_out3_carry__1_i_1_n_0\ ); \hessian_out3_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[10]\(20), I3 => \hessian_reg[6]\(21), O => \hessian_out3_carry__1_i_2_n_0\ ); \hessian_out3_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[10]\(18), I3 => \hessian_reg[6]\(19), O => \hessian_out3_carry__1_i_3_n_0\ ); \hessian_out3_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[10]\(16), I3 => \hessian_reg[6]\(17), O => \hessian_out3_carry__1_i_4_n_0\ ); \hessian_out3_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[10]\(22), I3 => \hessian_reg[6]\(23), O => \hessian_out3_carry__1_i_5_n_0\ ); \hessian_out3_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[10]\(20), I3 => \hessian_reg[6]\(21), O => \hessian_out3_carry__1_i_6_n_0\ ); \hessian_out3_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[10]\(18), I3 => \hessian_reg[6]\(19), O => \hessian_out3_carry__1_i_7_n_0\ ); \hessian_out3_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[10]\(16), I3 => \hessian_reg[6]\(17), O => \hessian_out3_carry__1_i_8_n_0\ ); \hessian_out3_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out3_carry__1_n_0\, CO(3) => \hessian_out3_carry__2_n_0\, CO(2) => \hessian_out3_carry__2_n_1\, CO(1) => \hessian_out3_carry__2_n_2\, CO(0) => \hessian_out3_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out3_carry__2_i_1_n_0\, DI(2) => \hessian_out3_carry__2_i_2_n_0\, DI(1) => \hessian_out3_carry__2_i_3_n_0\, DI(0) => \hessian_out3_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out3_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out3_carry__2_i_5_n_0\, S(2) => \hessian_out3_carry__2_i_6_n_0\, S(1) => \hessian_out3_carry__2_i_7_n_0\, S(0) => \hessian_out3_carry__2_i_8_n_0\ ); \hessian_out3_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[10]\(30), I3 => \hessian_reg[6]\(31), O => \hessian_out3_carry__2_i_1_n_0\ ); \hessian_out3_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[10]\(28), I3 => \hessian_reg[6]\(29), O => \hessian_out3_carry__2_i_2_n_0\ ); \hessian_out3_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[10]\(26), I3 => \hessian_reg[6]\(27), O => \hessian_out3_carry__2_i_3_n_0\ ); \hessian_out3_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[10]\(24), I3 => \hessian_reg[6]\(25), O => \hessian_out3_carry__2_i_4_n_0\ ); \hessian_out3_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[10]\(30), I3 => \hessian_reg[6]\(31), O => \hessian_out3_carry__2_i_5_n_0\ ); \hessian_out3_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[10]\(28), I3 => \hessian_reg[6]\(29), O => \hessian_out3_carry__2_i_6_n_0\ ); \hessian_out3_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[10]\(26), I3 => \hessian_reg[6]\(27), O => \hessian_out3_carry__2_i_7_n_0\ ); \hessian_out3_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[10]\(24), I3 => \hessian_reg[6]\(25), O => \hessian_out3_carry__2_i_8_n_0\ ); hessian_out3_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[10]\(6), I3 => \hessian_reg[6]\(7), O => hessian_out3_carry_i_1_n_0 ); hessian_out3_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[10]\(4), I3 => \hessian_reg[6]\(5), O => hessian_out3_carry_i_2_n_0 ); hessian_out3_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[10]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out3_carry_i_3_n_0 ); hessian_out3_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[10]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[10]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out3_carry_i_4_n_0 ); hessian_out3_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[10]\(6), I3 => \hessian_reg[6]\(7), O => hessian_out3_carry_i_5_n_0 ); hessian_out3_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[10]\(4), I3 => \hessian_reg[6]\(5), O => hessian_out3_carry_i_6_n_0 ); hessian_out3_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[10]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out3_carry_i_7_n_0 ); hessian_out3_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[10]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[10]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out3_carry_i_8_n_0 ); hessian_out4_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out4_carry_n_0, CO(2) => hessian_out4_carry_n_1, CO(1) => hessian_out4_carry_n_2, CO(0) => hessian_out4_carry_n_3, CYINIT => '0', DI(3) => hessian_out4_carry_i_1_n_0, DI(2) => hessian_out4_carry_i_2_n_0, DI(1) => hessian_out4_carry_i_3_n_0, DI(0) => hessian_out4_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out4_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out4_carry_i_5_n_0, S(2) => hessian_out4_carry_i_6_n_0, S(1) => hessian_out4_carry_i_7_n_0, S(0) => hessian_out4_carry_i_8_n_0 ); \hessian_out4_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out4_carry_n_0, CO(3) => \hessian_out4_carry__0_n_0\, CO(2) => \hessian_out4_carry__0_n_1\, CO(1) => \hessian_out4_carry__0_n_2\, CO(0) => \hessian_out4_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out4_carry__0_i_1_n_0\, DI(2) => \hessian_out4_carry__0_i_2_n_0\, DI(1) => \hessian_out4_carry__0_i_3_n_0\, DI(0) => \hessian_out4_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out4_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out4_carry__0_i_5_n_0\, S(2) => \hessian_out4_carry__0_i_6_n_0\, S(1) => \hessian_out4_carry__0_i_7_n_0\, S(0) => \hessian_out4_carry__0_i_8_n_0\ ); \hessian_out4_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[9]\(14), I3 => \hessian_reg[6]\(15), O => \hessian_out4_carry__0_i_1_n_0\ ); \hessian_out4_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[9]\(12), I3 => \hessian_reg[6]\(13), O => \hessian_out4_carry__0_i_2_n_0\ ); \hessian_out4_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[9]\(10), I3 => \hessian_reg[6]\(11), O => \hessian_out4_carry__0_i_3_n_0\ ); \hessian_out4_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[9]\(8), I3 => \hessian_reg[6]\(9), O => \hessian_out4_carry__0_i_4_n_0\ ); \hessian_out4_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[9]\(14), I3 => \hessian_reg[6]\(15), O => \hessian_out4_carry__0_i_5_n_0\ ); \hessian_out4_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[9]\(12), I3 => \hessian_reg[6]\(13), O => \hessian_out4_carry__0_i_6_n_0\ ); \hessian_out4_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[9]\(10), I3 => \hessian_reg[6]\(11), O => \hessian_out4_carry__0_i_7_n_0\ ); \hessian_out4_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[9]\(8), I3 => \hessian_reg[6]\(9), O => \hessian_out4_carry__0_i_8_n_0\ ); \hessian_out4_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out4_carry__0_n_0\, CO(3) => \hessian_out4_carry__1_n_0\, CO(2) => \hessian_out4_carry__1_n_1\, CO(1) => \hessian_out4_carry__1_n_2\, CO(0) => \hessian_out4_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out4_carry__1_i_1_n_0\, DI(2) => \hessian_out4_carry__1_i_2_n_0\, DI(1) => \hessian_out4_carry__1_i_3_n_0\, DI(0) => \hessian_out4_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out4_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out4_carry__1_i_5_n_0\, S(2) => \hessian_out4_carry__1_i_6_n_0\, S(1) => \hessian_out4_carry__1_i_7_n_0\, S(0) => \hessian_out4_carry__1_i_8_n_0\ ); \hessian_out4_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[9]\(22), I3 => \hessian_reg[6]\(23), O => \hessian_out4_carry__1_i_1_n_0\ ); \hessian_out4_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[9]\(20), I3 => \hessian_reg[6]\(21), O => \hessian_out4_carry__1_i_2_n_0\ ); \hessian_out4_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[9]\(18), I3 => \hessian_reg[6]\(19), O => \hessian_out4_carry__1_i_3_n_0\ ); \hessian_out4_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[9]\(16), I3 => \hessian_reg[6]\(17), O => \hessian_out4_carry__1_i_4_n_0\ ); \hessian_out4_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[9]\(22), I3 => \hessian_reg[6]\(23), O => \hessian_out4_carry__1_i_5_n_0\ ); \hessian_out4_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[9]\(20), I3 => \hessian_reg[6]\(21), O => \hessian_out4_carry__1_i_6_n_0\ ); \hessian_out4_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[9]\(18), I3 => \hessian_reg[6]\(19), O => \hessian_out4_carry__1_i_7_n_0\ ); \hessian_out4_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[9]\(16), I3 => \hessian_reg[6]\(17), O => \hessian_out4_carry__1_i_8_n_0\ ); \hessian_out4_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out4_carry__1_n_0\, CO(3) => \hessian_out4_carry__2_n_0\, CO(2) => \hessian_out4_carry__2_n_1\, CO(1) => \hessian_out4_carry__2_n_2\, CO(0) => \hessian_out4_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out4_carry__2_i_1_n_0\, DI(2) => \hessian_out4_carry__2_i_2_n_0\, DI(1) => \hessian_out4_carry__2_i_3_n_0\, DI(0) => \hessian_out4_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out4_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out4_carry__2_i_5_n_0\, S(2) => \hessian_out4_carry__2_i_6_n_0\, S(1) => \hessian_out4_carry__2_i_7_n_0\, S(0) => \hessian_out4_carry__2_i_8_n_0\ ); \hessian_out4_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[9]\(30), I3 => \hessian_reg[6]\(31), O => \hessian_out4_carry__2_i_1_n_0\ ); \hessian_out4_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[9]\(28), I3 => \hessian_reg[6]\(29), O => \hessian_out4_carry__2_i_2_n_0\ ); \hessian_out4_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[9]\(26), I3 => \hessian_reg[6]\(27), O => \hessian_out4_carry__2_i_3_n_0\ ); \hessian_out4_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[9]\(24), I3 => \hessian_reg[6]\(25), O => \hessian_out4_carry__2_i_4_n_0\ ); \hessian_out4_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[9]\(30), I3 => \hessian_reg[6]\(31), O => \hessian_out4_carry__2_i_5_n_0\ ); \hessian_out4_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[9]\(28), I3 => \hessian_reg[6]\(29), O => \hessian_out4_carry__2_i_6_n_0\ ); \hessian_out4_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[9]\(26), I3 => \hessian_reg[6]\(27), O => \hessian_out4_carry__2_i_7_n_0\ ); \hessian_out4_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[9]\(24), I3 => \hessian_reg[6]\(25), O => \hessian_out4_carry__2_i_8_n_0\ ); hessian_out4_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[9]\(6), I3 => \hessian_reg[6]\(7), O => hessian_out4_carry_i_1_n_0 ); hessian_out4_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[9]\(4), I3 => \hessian_reg[6]\(5), O => hessian_out4_carry_i_2_n_0 ); hessian_out4_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[9]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out4_carry_i_3_n_0 ); hessian_out4_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[9]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[9]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out4_carry_i_4_n_0 ); hessian_out4_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[9]\(6), I3 => \hessian_reg[6]\(7), O => hessian_out4_carry_i_5_n_0 ); hessian_out4_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[9]\(4), I3 => \hessian_reg[6]\(5), O => hessian_out4_carry_i_6_n_0 ); hessian_out4_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[9]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out4_carry_i_7_n_0 ); hessian_out4_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[9]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[9]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out4_carry_i_8_n_0 ); hessian_out5_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out5_carry_n_0, CO(2) => hessian_out5_carry_n_1, CO(1) => hessian_out5_carry_n_2, CO(0) => hessian_out5_carry_n_3, CYINIT => '0', DI(3) => hessian_out5_carry_i_1_n_0, DI(2) => hessian_out5_carry_i_2_n_0, DI(1) => hessian_out5_carry_i_3_n_0, DI(0) => hessian_out5_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out5_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out5_carry_i_5_n_0, S(2) => hessian_out5_carry_i_6_n_0, S(1) => hessian_out5_carry_i_7_n_0, S(0) => hessian_out5_carry_i_8_n_0 ); \hessian_out5_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out5_carry_n_0, CO(3) => \hessian_out5_carry__0_n_0\, CO(2) => \hessian_out5_carry__0_n_1\, CO(1) => \hessian_out5_carry__0_n_2\, CO(0) => \hessian_out5_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out5_carry__0_i_1_n_0\, DI(2) => \hessian_out5_carry__0_i_2_n_0\, DI(1) => \hessian_out5_carry__0_i_3_n_0\, DI(0) => \hessian_out5_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out5_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out5_carry__0_i_5_n_0\, S(2) => \hessian_out5_carry__0_i_6_n_0\, S(1) => \hessian_out5_carry__0_i_7_n_0\, S(0) => \hessian_out5_carry__0_i_8_n_0\ ); \hessian_out5_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[7]\(14), I3 => \hessian_reg[7]\(15), O => \hessian_out5_carry__0_i_1_n_0\ ); \hessian_out5_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[7]\(12), I3 => \hessian_reg[7]\(13), O => \hessian_out5_carry__0_i_2_n_0\ ); \hessian_out5_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[7]\(10), I3 => \hessian_reg[7]\(11), O => \hessian_out5_carry__0_i_3_n_0\ ); \hessian_out5_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[7]\(8), I3 => \hessian_reg[7]\(9), O => \hessian_out5_carry__0_i_4_n_0\ ); \hessian_out5_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[7]\(14), I3 => \hessian_reg[7]\(15), O => \hessian_out5_carry__0_i_5_n_0\ ); \hessian_out5_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[7]\(12), I3 => \hessian_reg[7]\(13), O => \hessian_out5_carry__0_i_6_n_0\ ); \hessian_out5_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[7]\(10), I3 => \hessian_reg[7]\(11), O => \hessian_out5_carry__0_i_7_n_0\ ); \hessian_out5_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[7]\(8), I3 => \hessian_reg[7]\(9), O => \hessian_out5_carry__0_i_8_n_0\ ); \hessian_out5_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out5_carry__0_n_0\, CO(3) => \hessian_out5_carry__1_n_0\, CO(2) => \hessian_out5_carry__1_n_1\, CO(1) => \hessian_out5_carry__1_n_2\, CO(0) => \hessian_out5_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out5_carry__1_i_1_n_0\, DI(2) => \hessian_out5_carry__1_i_2_n_0\, DI(1) => \hessian_out5_carry__1_i_3_n_0\, DI(0) => \hessian_out5_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out5_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out5_carry__1_i_5_n_0\, S(2) => \hessian_out5_carry__1_i_6_n_0\, S(1) => \hessian_out5_carry__1_i_7_n_0\, S(0) => \hessian_out5_carry__1_i_8_n_0\ ); \hessian_out5_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[7]\(22), I3 => \hessian_reg[7]\(23), O => \hessian_out5_carry__1_i_1_n_0\ ); \hessian_out5_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[7]\(20), I3 => \hessian_reg[7]\(21), O => \hessian_out5_carry__1_i_2_n_0\ ); \hessian_out5_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[7]\(18), I3 => \hessian_reg[7]\(19), O => \hessian_out5_carry__1_i_3_n_0\ ); \hessian_out5_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[7]\(16), I3 => \hessian_reg[7]\(17), O => \hessian_out5_carry__1_i_4_n_0\ ); \hessian_out5_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[7]\(22), I3 => \hessian_reg[7]\(23), O => \hessian_out5_carry__1_i_5_n_0\ ); \hessian_out5_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[7]\(20), I3 => \hessian_reg[7]\(21), O => \hessian_out5_carry__1_i_6_n_0\ ); \hessian_out5_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[7]\(18), I3 => \hessian_reg[7]\(19), O => \hessian_out5_carry__1_i_7_n_0\ ); \hessian_out5_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[7]\(16), I3 => \hessian_reg[7]\(17), O => \hessian_out5_carry__1_i_8_n_0\ ); \hessian_out5_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out5_carry__1_n_0\, CO(3) => \hessian_out5_carry__2_n_0\, CO(2) => \hessian_out5_carry__2_n_1\, CO(1) => \hessian_out5_carry__2_n_2\, CO(0) => \hessian_out5_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out5_carry__2_i_1_n_0\, DI(2) => \hessian_out5_carry__2_i_2_n_0\, DI(1) => \hessian_out5_carry__2_i_3_n_0\, DI(0) => \hessian_out5_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out5_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out5_carry__2_i_5_n_0\, S(2) => \hessian_out5_carry__2_i_6_n_0\, S(1) => \hessian_out5_carry__2_i_7_n_0\, S(0) => \hessian_out5_carry__2_i_8_n_0\ ); \hessian_out5_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[7]\(30), I3 => \hessian_reg[7]\(31), O => \hessian_out5_carry__2_i_1_n_0\ ); \hessian_out5_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[7]\(28), I3 => \hessian_reg[7]\(29), O => \hessian_out5_carry__2_i_2_n_0\ ); \hessian_out5_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[7]\(26), I3 => \hessian_reg[7]\(27), O => \hessian_out5_carry__2_i_3_n_0\ ); \hessian_out5_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[7]\(24), I3 => \hessian_reg[7]\(25), O => \hessian_out5_carry__2_i_4_n_0\ ); \hessian_out5_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[7]\(30), I3 => \hessian_reg[7]\(31), O => \hessian_out5_carry__2_i_5_n_0\ ); \hessian_out5_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[7]\(28), I3 => \hessian_reg[7]\(29), O => \hessian_out5_carry__2_i_6_n_0\ ); \hessian_out5_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[7]\(26), I3 => \hessian_reg[7]\(27), O => \hessian_out5_carry__2_i_7_n_0\ ); \hessian_out5_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[7]\(24), I3 => \hessian_reg[7]\(25), O => \hessian_out5_carry__2_i_8_n_0\ ); hessian_out5_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[7]\(6), I3 => \hessian_reg[7]\(7), O => hessian_out5_carry_i_1_n_0 ); hessian_out5_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[7]\(4), I3 => \hessian_reg[7]\(5), O => hessian_out5_carry_i_2_n_0 ); hessian_out5_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[7]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[7]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out5_carry_i_3_n_0 ); hessian_out5_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => \hessian_reg[7]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[7]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out5_carry_i_4_n_0 ); hessian_out5_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[7]\(6), I3 => \hessian_reg[7]\(7), O => hessian_out5_carry_i_5_n_0 ); hessian_out5_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[7]\(4), I3 => \hessian_reg[7]\(5), O => hessian_out5_carry_i_6_n_0 ); hessian_out5_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[7]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[7]\(2), I3 => \hessian_reg[6]\(3), O => hessian_out5_carry_i_7_n_0 ); hessian_out5_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[7]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[7]\(0), I3 => \hessian_reg[6]\(1), O => hessian_out5_carry_i_8_n_0 ); hessian_out6_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out6_carry_n_0, CO(2) => hessian_out6_carry_n_1, CO(1) => hessian_out6_carry_n_2, CO(0) => hessian_out6_carry_n_3, CYINIT => '0', DI(3) => hessian_out6_carry_i_1_n_0, DI(2) => hessian_out6_carry_i_2_n_0, DI(1) => hessian_out6_carry_i_3_n_0, DI(0) => hessian_out6_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out6_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out6_carry_i_5_n_0, S(2) => hessian_out6_carry_i_6_n_0, S(1) => hessian_out6_carry_i_7_n_0, S(0) => hessian_out6_carry_i_8_n_0 ); \hessian_out6_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out6_carry_n_0, CO(3) => \hessian_out6_carry__0_n_0\, CO(2) => \hessian_out6_carry__0_n_1\, CO(1) => \hessian_out6_carry__0_n_2\, CO(0) => \hessian_out6_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out6_carry__0_i_1_n_0\, DI(2) => \hessian_out6_carry__0_i_2_n_0\, DI(1) => \hessian_out6_carry__0_i_3_n_0\, DI(0) => \hessian_out6_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out6_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out6_carry__0_i_5_n_0\, S(2) => \hessian_out6_carry__0_i_6_n_0\, S(1) => \hessian_out6_carry__0_i_7_n_0\, S(0) => \hessian_out6_carry__0_i_8_n_0\ ); \hessian_out6_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[5]\(14), I3 => \hessian_reg[5]\(15), O => \hessian_out6_carry__0_i_1_n_0\ ); \hessian_out6_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[5]\(12), I3 => \hessian_reg[5]\(13), O => \hessian_out6_carry__0_i_2_n_0\ ); \hessian_out6_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[5]\(10), I3 => \hessian_reg[5]\(11), O => \hessian_out6_carry__0_i_3_n_0\ ); \hessian_out6_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[5]\(8), I3 => \hessian_reg[5]\(9), O => \hessian_out6_carry__0_i_4_n_0\ ); \hessian_out6_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[5]\(14), I3 => \hessian_reg[5]\(15), O => \hessian_out6_carry__0_i_5_n_0\ ); \hessian_out6_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[5]\(12), I3 => \hessian_reg[5]\(13), O => \hessian_out6_carry__0_i_6_n_0\ ); \hessian_out6_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[5]\(10), I3 => \hessian_reg[5]\(11), O => \hessian_out6_carry__0_i_7_n_0\ ); \hessian_out6_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[5]\(8), I3 => \hessian_reg[5]\(9), O => \hessian_out6_carry__0_i_8_n_0\ ); \hessian_out6_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out6_carry__0_n_0\, CO(3) => \hessian_out6_carry__1_n_0\, CO(2) => \hessian_out6_carry__1_n_1\, CO(1) => \hessian_out6_carry__1_n_2\, CO(0) => \hessian_out6_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out6_carry__1_i_1_n_0\, DI(2) => \hessian_out6_carry__1_i_2_n_0\, DI(1) => \hessian_out6_carry__1_i_3_n_0\, DI(0) => \hessian_out6_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out6_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out6_carry__1_i_5_n_0\, S(2) => \hessian_out6_carry__1_i_6_n_0\, S(1) => \hessian_out6_carry__1_i_7_n_0\, S(0) => \hessian_out6_carry__1_i_8_n_0\ ); \hessian_out6_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[5]\(22), I3 => \hessian_reg[5]\(23), O => \hessian_out6_carry__1_i_1_n_0\ ); \hessian_out6_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[5]\(20), I3 => \hessian_reg[5]\(21), O => \hessian_out6_carry__1_i_2_n_0\ ); \hessian_out6_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[5]\(18), I3 => \hessian_reg[5]\(19), O => \hessian_out6_carry__1_i_3_n_0\ ); \hessian_out6_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[5]\(16), I3 => \hessian_reg[5]\(17), O => \hessian_out6_carry__1_i_4_n_0\ ); \hessian_out6_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[5]\(22), I3 => \hessian_reg[5]\(23), O => \hessian_out6_carry__1_i_5_n_0\ ); \hessian_out6_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[5]\(20), I3 => \hessian_reg[5]\(21), O => \hessian_out6_carry__1_i_6_n_0\ ); \hessian_out6_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[5]\(18), I3 => \hessian_reg[5]\(19), O => \hessian_out6_carry__1_i_7_n_0\ ); \hessian_out6_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[5]\(16), I3 => \hessian_reg[5]\(17), O => \hessian_out6_carry__1_i_8_n_0\ ); \hessian_out6_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out6_carry__1_n_0\, CO(3) => \hessian_out6_carry__2_n_0\, CO(2) => \hessian_out6_carry__2_n_1\, CO(1) => \hessian_out6_carry__2_n_2\, CO(0) => \hessian_out6_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out6_carry__2_i_1_n_0\, DI(2) => \hessian_out6_carry__2_i_2_n_0\, DI(1) => \hessian_out6_carry__2_i_3_n_0\, DI(0) => \hessian_out6_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out6_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out6_carry__2_i_5_n_0\, S(2) => \hessian_out6_carry__2_i_6_n_0\, S(1) => \hessian_out6_carry__2_i_7_n_0\, S(0) => \hessian_out6_carry__2_i_8_n_0\ ); \hessian_out6_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[5]\(30), I3 => \hessian_reg[5]\(31), O => \hessian_out6_carry__2_i_1_n_0\ ); \hessian_out6_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[5]\(28), I3 => \hessian_reg[5]\(29), O => \hessian_out6_carry__2_i_2_n_0\ ); \hessian_out6_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[5]\(26), I3 => \hessian_reg[5]\(27), O => \hessian_out6_carry__2_i_3_n_0\ ); \hessian_out6_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[5]\(24), I3 => \hessian_reg[5]\(25), O => \hessian_out6_carry__2_i_4_n_0\ ); \hessian_out6_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[5]\(30), I3 => \hessian_reg[5]\(31), O => \hessian_out6_carry__2_i_5_n_0\ ); \hessian_out6_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[5]\(28), I3 => \hessian_reg[5]\(29), O => \hessian_out6_carry__2_i_6_n_0\ ); \hessian_out6_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[5]\(26), I3 => \hessian_reg[5]\(27), O => \hessian_out6_carry__2_i_7_n_0\ ); \hessian_out6_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[5]\(24), I3 => \hessian_reg[5]\(25), O => \hessian_out6_carry__2_i_8_n_0\ ); hessian_out6_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[5]\(6), I3 => \hessian_reg[5]\(7), O => hessian_out6_carry_i_1_n_0 ); hessian_out6_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[5]\(4), I3 => \hessian_reg[5]\(5), O => hessian_out6_carry_i_2_n_0 ); hessian_out6_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[5]\(2), I3 => \hessian_reg[5]\(3), O => hessian_out6_carry_i_3_n_0 ); hessian_out6_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[5]\(0), I3 => \hessian_reg[5]\(1), O => hessian_out6_carry_i_4_n_0 ); hessian_out6_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[5]\(6), I3 => \hessian_reg[5]\(7), O => hessian_out6_carry_i_5_n_0 ); hessian_out6_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[5]\(4), I3 => \hessian_reg[5]\(5), O => hessian_out6_carry_i_6_n_0 ); hessian_out6_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[5]\(2), I3 => \hessian_reg[5]\(3), O => hessian_out6_carry_i_7_n_0 ); hessian_out6_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[5]\(0), I3 => \hessian_reg[5]\(1), O => hessian_out6_carry_i_8_n_0 ); hessian_out7_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out7_carry_n_0, CO(2) => hessian_out7_carry_n_1, CO(1) => hessian_out7_carry_n_2, CO(0) => hessian_out7_carry_n_3, CYINIT => '0', DI(3) => hessian_out7_carry_i_1_n_0, DI(2) => hessian_out7_carry_i_2_n_0, DI(1) => hessian_out7_carry_i_3_n_0, DI(0) => hessian_out7_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out7_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out7_carry_i_5_n_0, S(2) => hessian_out7_carry_i_6_n_0, S(1) => hessian_out7_carry_i_7_n_0, S(0) => hessian_out7_carry_i_8_n_0 ); \hessian_out7_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out7_carry_n_0, CO(3) => \hessian_out7_carry__0_n_0\, CO(2) => \hessian_out7_carry__0_n_1\, CO(1) => \hessian_out7_carry__0_n_2\, CO(0) => \hessian_out7_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out7_carry__0_i_1_n_0\, DI(2) => \hessian_out7_carry__0_i_2_n_0\, DI(1) => \hessian_out7_carry__0_i_3_n_0\, DI(0) => \hessian_out7_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out7_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out7_carry__0_i_5_n_0\, S(2) => \hessian_out7_carry__0_i_6_n_0\, S(1) => \hessian_out7_carry__0_i_7_n_0\, S(0) => \hessian_out7_carry__0_i_8_n_0\ ); \hessian_out7_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[1]\(14), I3 => \hessian_reg[1]\(15), O => \hessian_out7_carry__0_i_1_n_0\ ); \hessian_out7_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[1]\(12), I3 => \hessian_reg[1]\(13), O => \hessian_out7_carry__0_i_2_n_0\ ); \hessian_out7_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[1]\(10), I3 => \hessian_reg[1]\(11), O => \hessian_out7_carry__0_i_3_n_0\ ); \hessian_out7_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[1]\(8), I3 => \hessian_reg[1]\(9), O => \hessian_out7_carry__0_i_4_n_0\ ); \hessian_out7_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[1]\(14), I3 => \hessian_reg[1]\(15), O => \hessian_out7_carry__0_i_5_n_0\ ); \hessian_out7_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[1]\(12), I3 => \hessian_reg[1]\(13), O => \hessian_out7_carry__0_i_6_n_0\ ); \hessian_out7_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[1]\(10), I3 => \hessian_reg[1]\(11), O => \hessian_out7_carry__0_i_7_n_0\ ); \hessian_out7_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[1]\(8), I3 => \hessian_reg[1]\(9), O => \hessian_out7_carry__0_i_8_n_0\ ); \hessian_out7_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out7_carry__0_n_0\, CO(3) => \hessian_out7_carry__1_n_0\, CO(2) => \hessian_out7_carry__1_n_1\, CO(1) => \hessian_out7_carry__1_n_2\, CO(0) => \hessian_out7_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out7_carry__1_i_1_n_0\, DI(2) => \hessian_out7_carry__1_i_2_n_0\, DI(1) => \hessian_out7_carry__1_i_3_n_0\, DI(0) => \hessian_out7_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out7_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out7_carry__1_i_5_n_0\, S(2) => \hessian_out7_carry__1_i_6_n_0\, S(1) => \hessian_out7_carry__1_i_7_n_0\, S(0) => \hessian_out7_carry__1_i_8_n_0\ ); \hessian_out7_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[1]\(22), I3 => \hessian_reg[1]\(23), O => \hessian_out7_carry__1_i_1_n_0\ ); \hessian_out7_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[1]\(20), I3 => \hessian_reg[1]\(21), O => \hessian_out7_carry__1_i_2_n_0\ ); \hessian_out7_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[1]\(18), I3 => \hessian_reg[1]\(19), O => \hessian_out7_carry__1_i_3_n_0\ ); \hessian_out7_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[1]\(16), I3 => \hessian_reg[1]\(17), O => \hessian_out7_carry__1_i_4_n_0\ ); \hessian_out7_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[1]\(22), I3 => \hessian_reg[1]\(23), O => \hessian_out7_carry__1_i_5_n_0\ ); \hessian_out7_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[1]\(20), I3 => \hessian_reg[1]\(21), O => \hessian_out7_carry__1_i_6_n_0\ ); \hessian_out7_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[1]\(18), I3 => \hessian_reg[1]\(19), O => \hessian_out7_carry__1_i_7_n_0\ ); \hessian_out7_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[1]\(16), I3 => \hessian_reg[1]\(17), O => \hessian_out7_carry__1_i_8_n_0\ ); \hessian_out7_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out7_carry__1_n_0\, CO(3) => \hessian_out7_carry__2_n_0\, CO(2) => \hessian_out7_carry__2_n_1\, CO(1) => \hessian_out7_carry__2_n_2\, CO(0) => \hessian_out7_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out7_carry__2_i_1_n_0\, DI(2) => \hessian_out7_carry__2_i_2_n_0\, DI(1) => \hessian_out7_carry__2_i_3_n_0\, DI(0) => \hessian_out7_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out7_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out7_carry__2_i_5_n_0\, S(2) => \hessian_out7_carry__2_i_6_n_0\, S(1) => \hessian_out7_carry__2_i_7_n_0\, S(0) => \hessian_out7_carry__2_i_8_n_0\ ); \hessian_out7_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[1]\(30), I3 => \hessian_reg[1]\(31), O => \hessian_out7_carry__2_i_1_n_0\ ); \hessian_out7_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[1]\(28), I3 => \hessian_reg[1]\(29), O => \hessian_out7_carry__2_i_2_n_0\ ); \hessian_out7_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[1]\(26), I3 => \hessian_reg[1]\(27), O => \hessian_out7_carry__2_i_3_n_0\ ); \hessian_out7_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[1]\(24), I3 => \hessian_reg[1]\(25), O => \hessian_out7_carry__2_i_4_n_0\ ); \hessian_out7_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[1]\(30), I2 => \hessian_reg[6]\(30), I3 => \hessian_reg[1]\(31), O => \hessian_out7_carry__2_i_5_n_0\ ); \hessian_out7_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[1]\(28), I3 => \hessian_reg[1]\(29), O => \hessian_out7_carry__2_i_6_n_0\ ); \hessian_out7_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[1]\(26), I3 => \hessian_reg[1]\(27), O => \hessian_out7_carry__2_i_7_n_0\ ); \hessian_out7_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[1]\(24), I3 => \hessian_reg[1]\(25), O => \hessian_out7_carry__2_i_8_n_0\ ); hessian_out7_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[1]\(6), I3 => \hessian_reg[1]\(7), O => hessian_out7_carry_i_1_n_0 ); hessian_out7_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[1]\(4), I3 => \hessian_reg[1]\(5), O => hessian_out7_carry_i_2_n_0 ); hessian_out7_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[1]\(2), I3 => \hessian_reg[1]\(3), O => hessian_out7_carry_i_3_n_0 ); hessian_out7_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[1]\(0), I3 => \hessian_reg[1]\(1), O => hessian_out7_carry_i_4_n_0 ); hessian_out7_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[1]\(6), I3 => \hessian_reg[1]\(7), O => hessian_out7_carry_i_5_n_0 ); hessian_out7_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[1]\(4), I3 => \hessian_reg[1]\(5), O => hessian_out7_carry_i_6_n_0 ); hessian_out7_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[1]\(2), I3 => \hessian_reg[1]\(3), O => hessian_out7_carry_i_7_n_0 ); hessian_out7_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[1]\(0), I3 => \hessian_reg[1]\(1), O => hessian_out7_carry_i_8_n_0 ); \hessian_out8__15_carry\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \hessian_out8__15_carry_n_0\, CO(2) => \hessian_out8__15_carry_n_1\, CO(1) => \hessian_out8__15_carry_n_2\, CO(0) => \hessian_out8__15_carry_n_3\, CYINIT => '0', DI(3) => \hessian_out8__15_carry_i_1_n_0\, DI(2) => \hessian_out8__15_carry_i_2_n_0\, DI(1) => \hessian_out8__15_carry_i_3_n_0\, DI(0) => \hessian_out8__15_carry_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8__15_carry_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8__15_carry_i_5_n_0\, S(2) => \hessian_out8__15_carry_i_6_n_0\, S(1) => \hessian_out8__15_carry_i_7_n_0\, S(0) => \hessian_out8__15_carry_i_8_n_0\ ); \hessian_out8__15_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out8__15_carry_n_0\, CO(3) => \hessian_out8__15_carry__0_n_0\, CO(2) => \hessian_out8__15_carry__0_n_1\, CO(1) => \hessian_out8__15_carry__0_n_2\, CO(0) => \hessian_out8__15_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out8__15_carry__0_i_1_n_0\, DI(2) => \hessian_out8__15_carry__0_i_2_n_0\, DI(1) => \hessian_out8__15_carry__0_i_3_n_0\, DI(0) => \hessian_out8__15_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8__15_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8__15_carry__0_i_5_n_0\, S(2) => \hessian_out8__15_carry__0_i_6_n_0\, S(1) => \hessian_out8__15_carry__0_i_7_n_0\, S(0) => \hessian_out8__15_carry__0_i_8_n_0\ ); \hessian_out8__15_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(15), I1 => \hessian_reg[6]\(14), I2 => hessian_in(14), I3 => \hessian_reg[6]\(15), O => \hessian_out8__15_carry__0_i_1_n_0\ ); \hessian_out8__15_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(13), I1 => \hessian_reg[6]\(12), I2 => hessian_in(12), I3 => \hessian_reg[6]\(13), O => \hessian_out8__15_carry__0_i_2_n_0\ ); \hessian_out8__15_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(11), I1 => \hessian_reg[6]\(10), I2 => hessian_in(10), I3 => \hessian_reg[6]\(11), O => \hessian_out8__15_carry__0_i_3_n_0\ ); \hessian_out8__15_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(9), I1 => \hessian_reg[6]\(8), I2 => hessian_in(8), I3 => \hessian_reg[6]\(9), O => \hessian_out8__15_carry__0_i_4_n_0\ ); \hessian_out8__15_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(15), I1 => \hessian_reg[6]\(14), I2 => hessian_in(14), I3 => \hessian_reg[6]\(15), O => \hessian_out8__15_carry__0_i_5_n_0\ ); \hessian_out8__15_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(13), I1 => \hessian_reg[6]\(12), I2 => hessian_in(12), I3 => \hessian_reg[6]\(13), O => \hessian_out8__15_carry__0_i_6_n_0\ ); \hessian_out8__15_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(11), I1 => \hessian_reg[6]\(10), I2 => hessian_in(10), I3 => \hessian_reg[6]\(11), O => \hessian_out8__15_carry__0_i_7_n_0\ ); \hessian_out8__15_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(9), I1 => \hessian_reg[6]\(8), I2 => hessian_in(8), I3 => \hessian_reg[6]\(9), O => \hessian_out8__15_carry__0_i_8_n_0\ ); \hessian_out8__15_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out8__15_carry__0_n_0\, CO(3) => \hessian_out8__15_carry__1_n_0\, CO(2) => \hessian_out8__15_carry__1_n_1\, CO(1) => \hessian_out8__15_carry__1_n_2\, CO(0) => \hessian_out8__15_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out8__15_carry__1_i_1_n_0\, DI(2) => \hessian_out8__15_carry__1_i_2_n_0\, DI(1) => \hessian_out8__15_carry__1_i_3_n_0\, DI(0) => \hessian_out8__15_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8__15_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8__15_carry__1_i_5_n_0\, S(2) => \hessian_out8__15_carry__1_i_6_n_0\, S(1) => \hessian_out8__15_carry__1_i_7_n_0\, S(0) => \hessian_out8__15_carry__1_i_8_n_0\ ); \hessian_out8__15_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(23), I1 => \hessian_reg[6]\(22), I2 => hessian_in(22), I3 => \hessian_reg[6]\(23), O => \hessian_out8__15_carry__1_i_1_n_0\ ); \hessian_out8__15_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(21), I1 => \hessian_reg[6]\(20), I2 => hessian_in(20), I3 => \hessian_reg[6]\(21), O => \hessian_out8__15_carry__1_i_2_n_0\ ); \hessian_out8__15_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(19), I1 => \hessian_reg[6]\(18), I2 => hessian_in(18), I3 => \hessian_reg[6]\(19), O => \hessian_out8__15_carry__1_i_3_n_0\ ); \hessian_out8__15_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(17), I1 => \hessian_reg[6]\(16), I2 => hessian_in(16), I3 => \hessian_reg[6]\(17), O => \hessian_out8__15_carry__1_i_4_n_0\ ); \hessian_out8__15_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(23), I1 => \hessian_reg[6]\(22), I2 => hessian_in(22), I3 => \hessian_reg[6]\(23), O => \hessian_out8__15_carry__1_i_5_n_0\ ); \hessian_out8__15_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(21), I1 => \hessian_reg[6]\(20), I2 => hessian_in(20), I3 => \hessian_reg[6]\(21), O => \hessian_out8__15_carry__1_i_6_n_0\ ); \hessian_out8__15_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(19), I1 => \hessian_reg[6]\(18), I2 => hessian_in(18), I3 => \hessian_reg[6]\(19), O => \hessian_out8__15_carry__1_i_7_n_0\ ); \hessian_out8__15_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(17), I1 => \hessian_reg[6]\(16), I2 => hessian_in(16), I3 => \hessian_reg[6]\(17), O => \hessian_out8__15_carry__1_i_8_n_0\ ); \hessian_out8__15_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out8__15_carry__1_n_0\, CO(3) => \hessian_out8__15_carry__2_n_0\, CO(2) => \hessian_out8__15_carry__2_n_1\, CO(1) => \hessian_out8__15_carry__2_n_2\, CO(0) => \hessian_out8__15_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out8__15_carry__2_i_1_n_0\, DI(2) => \hessian_out8__15_carry__2_i_2_n_0\, DI(1) => \hessian_out8__15_carry__2_i_3_n_0\, DI(0) => \hessian_out8__15_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8__15_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8__15_carry__2_i_5_n_0\, S(2) => \hessian_out8__15_carry__2_i_6_n_0\, S(1) => \hessian_out8__15_carry__2_i_7_n_0\, S(0) => \hessian_out8__15_carry__2_i_8_n_0\ ); \hessian_out8__15_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(31), I1 => \hessian_reg[6]\(30), I2 => hessian_in(30), I3 => \hessian_reg[6]\(31), O => \hessian_out8__15_carry__2_i_1_n_0\ ); \hessian_out8__15_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(29), I1 => \hessian_reg[6]\(28), I2 => hessian_in(28), I3 => \hessian_reg[6]\(29), O => \hessian_out8__15_carry__2_i_2_n_0\ ); \hessian_out8__15_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(27), I1 => \hessian_reg[6]\(26), I2 => hessian_in(26), I3 => \hessian_reg[6]\(27), O => \hessian_out8__15_carry__2_i_3_n_0\ ); \hessian_out8__15_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(25), I1 => \hessian_reg[6]\(24), I2 => hessian_in(24), I3 => \hessian_reg[6]\(25), O => \hessian_out8__15_carry__2_i_4_n_0\ ); \hessian_out8__15_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(31), I1 => \hessian_reg[6]\(30), I2 => hessian_in(30), I3 => \hessian_reg[6]\(31), O => \hessian_out8__15_carry__2_i_5_n_0\ ); \hessian_out8__15_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(29), I1 => \hessian_reg[6]\(28), I2 => hessian_in(28), I3 => \hessian_reg[6]\(29), O => \hessian_out8__15_carry__2_i_6_n_0\ ); \hessian_out8__15_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(27), I1 => \hessian_reg[6]\(26), I2 => hessian_in(26), I3 => \hessian_reg[6]\(27), O => \hessian_out8__15_carry__2_i_7_n_0\ ); \hessian_out8__15_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(25), I1 => \hessian_reg[6]\(24), I2 => hessian_in(24), I3 => \hessian_reg[6]\(25), O => \hessian_out8__15_carry__2_i_8_n_0\ ); \hessian_out8__15_carry_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(7), I1 => \hessian_reg[6]\(6), I2 => hessian_in(6), I3 => \hessian_reg[6]\(7), O => \hessian_out8__15_carry_i_1_n_0\ ); \hessian_out8__15_carry_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(5), I1 => \hessian_reg[6]\(4), I2 => hessian_in(4), I3 => \hessian_reg[6]\(5), O => \hessian_out8__15_carry_i_2_n_0\ ); \hessian_out8__15_carry_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(3), I1 => \hessian_reg[6]\(2), I2 => hessian_in(2), I3 => \hessian_reg[6]\(3), O => \hessian_out8__15_carry_i_3_n_0\ ); \hessian_out8__15_carry_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"20BA" ) port map ( I0 => hessian_in(1), I1 => \hessian_reg[6]\(0), I2 => hessian_in(0), I3 => \hessian_reg[6]\(1), O => \hessian_out8__15_carry_i_4_n_0\ ); \hessian_out8__15_carry_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(7), I1 => \hessian_reg[6]\(6), I2 => hessian_in(6), I3 => \hessian_reg[6]\(7), O => \hessian_out8__15_carry_i_5_n_0\ ); \hessian_out8__15_carry_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(5), I1 => \hessian_reg[6]\(4), I2 => hessian_in(4), I3 => \hessian_reg[6]\(5), O => \hessian_out8__15_carry_i_6_n_0\ ); \hessian_out8__15_carry_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(3), I1 => \hessian_reg[6]\(2), I2 => hessian_in(2), I3 => \hessian_reg[6]\(3), O => \hessian_out8__15_carry_i_7_n_0\ ); \hessian_out8__15_carry_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => hessian_in(1), I1 => \hessian_reg[6]\(0), I2 => hessian_in(0), I3 => \hessian_reg[6]\(1), O => \hessian_out8__15_carry_i_8_n_0\ ); hessian_out8_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => hessian_out8_carry_n_0, CO(2) => hessian_out8_carry_n_1, CO(1) => hessian_out8_carry_n_2, CO(0) => hessian_out8_carry_n_3, CYINIT => '0', DI(3) => hessian_out8_carry_i_1_n_0, DI(2) => hessian_out8_carry_i_2_n_0, DI(1) => hessian_out8_carry_i_3_n_0, DI(0) => hessian_out8_carry_i_4_n_0, O(3 downto 0) => NLW_hessian_out8_carry_O_UNCONNECTED(3 downto 0), S(3) => hessian_out8_carry_i_5_n_0, S(2) => hessian_out8_carry_i_6_n_0, S(1) => hessian_out8_carry_i_7_n_0, S(0) => hessian_out8_carry_i_8_n_0 ); \hessian_out8_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => hessian_out8_carry_n_0, CO(3) => \hessian_out8_carry__0_n_0\, CO(2) => \hessian_out8_carry__0_n_1\, CO(1) => \hessian_out8_carry__0_n_2\, CO(0) => \hessian_out8_carry__0_n_3\, CYINIT => '0', DI(3) => \hessian_out8_carry__0_i_1_n_0\, DI(2) => \hessian_out8_carry__0_i_2_n_0\, DI(1) => \hessian_out8_carry__0_i_3_n_0\, DI(0) => \hessian_out8_carry__0_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8_carry__0_i_5_n_0\, S(2) => \hessian_out8_carry__0_i_6_n_0\, S(1) => \hessian_out8_carry__0_i_7_n_0\, S(0) => \hessian_out8_carry__0_i_8_n_0\ ); \hessian_out8_carry__0_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[6]\(14), I2 => \hessian_reg[0]\(14), I3 => \hessian_reg[0]\(15), O => \hessian_out8_carry__0_i_1_n_0\ ); \hessian_out8_carry__0_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[6]\(12), I2 => \hessian_reg[0]\(12), I3 => \hessian_reg[0]\(13), O => \hessian_out8_carry__0_i_2_n_0\ ); \hessian_out8_carry__0_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[6]\(10), I2 => \hessian_reg[0]\(10), I3 => \hessian_reg[0]\(11), O => \hessian_out8_carry__0_i_3_n_0\ ); \hessian_out8_carry__0_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[6]\(8), I2 => \hessian_reg[0]\(8), I3 => \hessian_reg[0]\(9), O => \hessian_out8_carry__0_i_4_n_0\ ); \hessian_out8_carry__0_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(15), I1 => \hessian_reg[0]\(14), I2 => \hessian_reg[6]\(14), I3 => \hessian_reg[0]\(15), O => \hessian_out8_carry__0_i_5_n_0\ ); \hessian_out8_carry__0_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(13), I1 => \hessian_reg[0]\(12), I2 => \hessian_reg[6]\(12), I3 => \hessian_reg[0]\(13), O => \hessian_out8_carry__0_i_6_n_0\ ); \hessian_out8_carry__0_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(11), I1 => \hessian_reg[0]\(10), I2 => \hessian_reg[6]\(10), I3 => \hessian_reg[0]\(11), O => \hessian_out8_carry__0_i_7_n_0\ ); \hessian_out8_carry__0_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(9), I1 => \hessian_reg[0]\(8), I2 => \hessian_reg[6]\(8), I3 => \hessian_reg[0]\(9), O => \hessian_out8_carry__0_i_8_n_0\ ); \hessian_out8_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out8_carry__0_n_0\, CO(3) => \hessian_out8_carry__1_n_0\, CO(2) => \hessian_out8_carry__1_n_1\, CO(1) => \hessian_out8_carry__1_n_2\, CO(0) => \hessian_out8_carry__1_n_3\, CYINIT => '0', DI(3) => \hessian_out8_carry__1_i_1_n_0\, DI(2) => \hessian_out8_carry__1_i_2_n_0\, DI(1) => \hessian_out8_carry__1_i_3_n_0\, DI(0) => \hessian_out8_carry__1_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8_carry__1_i_5_n_0\, S(2) => \hessian_out8_carry__1_i_6_n_0\, S(1) => \hessian_out8_carry__1_i_7_n_0\, S(0) => \hessian_out8_carry__1_i_8_n_0\ ); \hessian_out8_carry__1_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[6]\(22), I2 => \hessian_reg[0]\(22), I3 => \hessian_reg[0]\(23), O => \hessian_out8_carry__1_i_1_n_0\ ); \hessian_out8_carry__1_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[6]\(20), I2 => \hessian_reg[0]\(20), I3 => \hessian_reg[0]\(21), O => \hessian_out8_carry__1_i_2_n_0\ ); \hessian_out8_carry__1_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[6]\(18), I2 => \hessian_reg[0]\(18), I3 => \hessian_reg[0]\(19), O => \hessian_out8_carry__1_i_3_n_0\ ); \hessian_out8_carry__1_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[6]\(16), I2 => \hessian_reg[0]\(16), I3 => \hessian_reg[0]\(17), O => \hessian_out8_carry__1_i_4_n_0\ ); \hessian_out8_carry__1_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(23), I1 => \hessian_reg[0]\(22), I2 => \hessian_reg[6]\(22), I3 => \hessian_reg[0]\(23), O => \hessian_out8_carry__1_i_5_n_0\ ); \hessian_out8_carry__1_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(21), I1 => \hessian_reg[0]\(20), I2 => \hessian_reg[6]\(20), I3 => \hessian_reg[0]\(21), O => \hessian_out8_carry__1_i_6_n_0\ ); \hessian_out8_carry__1_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(19), I1 => \hessian_reg[0]\(18), I2 => \hessian_reg[6]\(18), I3 => \hessian_reg[0]\(19), O => \hessian_out8_carry__1_i_7_n_0\ ); \hessian_out8_carry__1_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(17), I1 => \hessian_reg[0]\(16), I2 => \hessian_reg[6]\(16), I3 => \hessian_reg[0]\(17), O => \hessian_out8_carry__1_i_8_n_0\ ); \hessian_out8_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \hessian_out8_carry__1_n_0\, CO(3) => \hessian_out8_carry__2_n_0\, CO(2) => \hessian_out8_carry__2_n_1\, CO(1) => \hessian_out8_carry__2_n_2\, CO(0) => \hessian_out8_carry__2_n_3\, CYINIT => '0', DI(3) => \hessian_out8_carry__2_i_1_n_0\, DI(2) => \hessian_out8_carry__2_i_2_n_0\, DI(1) => \hessian_out8_carry__2_i_3_n_0\, DI(0) => \hessian_out8_carry__2_i_4_n_0\, O(3 downto 0) => \NLW_hessian_out8_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \hessian_out8_carry__2_i_5_n_0\, S(2) => \hessian_out8_carry__2_i_6_n_0\, S(1) => \hessian_out8_carry__2_i_7_n_0\, S(0) => \hessian_out8_carry__2_i_8_n_0\ ); \hessian_out8_carry__2_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[6]\(30), I2 => \hessian_reg[0]\(30), I3 => \hessian_reg[0]\(31), O => \hessian_out8_carry__2_i_1_n_0\ ); \hessian_out8_carry__2_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[6]\(28), I2 => \hessian_reg[0]\(28), I3 => \hessian_reg[0]\(29), O => \hessian_out8_carry__2_i_2_n_0\ ); \hessian_out8_carry__2_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[6]\(26), I2 => \hessian_reg[0]\(26), I3 => \hessian_reg[0]\(27), O => \hessian_out8_carry__2_i_3_n_0\ ); \hessian_out8_carry__2_i_4\: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[6]\(24), I2 => \hessian_reg[0]\(24), I3 => \hessian_reg[0]\(25), O => \hessian_out8_carry__2_i_4_n_0\ ); \hessian_out8_carry__2_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(31), I1 => \hessian_reg[0]\(30), I2 => \hessian_reg[6]\(30), I3 => \hessian_reg[0]\(31), O => \hessian_out8_carry__2_i_5_n_0\ ); \hessian_out8_carry__2_i_6\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(29), I1 => \hessian_reg[0]\(28), I2 => \hessian_reg[6]\(28), I3 => \hessian_reg[0]\(29), O => \hessian_out8_carry__2_i_6_n_0\ ); \hessian_out8_carry__2_i_7\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(27), I1 => \hessian_reg[0]\(26), I2 => \hessian_reg[6]\(26), I3 => \hessian_reg[0]\(27), O => \hessian_out8_carry__2_i_7_n_0\ ); \hessian_out8_carry__2_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(25), I1 => \hessian_reg[0]\(24), I2 => \hessian_reg[6]\(24), I3 => \hessian_reg[0]\(25), O => \hessian_out8_carry__2_i_8_n_0\ ); hessian_out8_carry_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[6]\(6), I2 => \hessian_reg[0]\(6), I3 => \hessian_reg[0]\(7), O => hessian_out8_carry_i_1_n_0 ); hessian_out8_carry_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[6]\(4), I2 => \hessian_reg[0]\(4), I3 => \hessian_reg[0]\(5), O => hessian_out8_carry_i_2_n_0 ); hessian_out8_carry_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(3), I1 => \hessian_reg[6]\(2), I2 => \hessian_reg[0]\(2), I3 => \hessian_reg[0]\(3), O => hessian_out8_carry_i_3_n_0 ); hessian_out8_carry_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7510" ) port map ( I0 => \hessian_reg[6]\(1), I1 => \hessian_reg[6]\(0), I2 => \hessian_reg[0]\(0), I3 => \hessian_reg[0]\(1), O => hessian_out8_carry_i_4_n_0 ); hessian_out8_carry_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(7), I1 => \hessian_reg[0]\(6), I2 => \hessian_reg[6]\(6), I3 => \hessian_reg[0]\(7), O => hessian_out8_carry_i_5_n_0 ); hessian_out8_carry_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(5), I1 => \hessian_reg[0]\(4), I2 => \hessian_reg[6]\(4), I3 => \hessian_reg[0]\(5), O => hessian_out8_carry_i_6_n_0 ); hessian_out8_carry_i_7: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(3), I1 => \hessian_reg[0]\(2), I2 => \hessian_reg[6]\(2), I3 => \hessian_reg[0]\(3), O => hessian_out8_carry_i_7_n_0 ); hessian_out8_carry_i_8: unisim.vcomponents.LUT4 generic map( INIT => X"8241" ) port map ( I0 => \hessian_reg[6]\(1), I1 => \hessian_reg[0]\(0), I2 => \hessian_reg[6]\(0), I3 => \hessian_reg[0]\(1), O => hessian_out8_carry_i_8_n_0 ); \hessian_out[31]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"AAA80000" ) port map ( I0 => active, I1 => \hessian_out8__15_carry__2_n_0\, I2 => \hessian_out[31]_i_2_n_0\, I3 => \hessian_out2_carry__2_n_0\, I4 => enable, O => \hessian_out[31]_i_1_n_0\ ); \hessian_out[31]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \hessian_out3_carry__2_n_0\, I1 => \hessian_out5_carry__2_n_0\, I2 => \hessian_out8_carry__2_n_0\, I3 => \hessian_out7_carry__2_n_0\, I4 => \hessian_out6_carry__2_n_0\, I5 => \hessian_out4_carry__2_n_0\, O => \hessian_out[31]_i_2_n_0\ ); \hessian_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(0), Q => hessian_out(0), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(10), Q => hessian_out(10), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(11), Q => hessian_out(11), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(12), Q => hessian_out(12), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(13), Q => hessian_out(13), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(14), Q => hessian_out(14), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(15), Q => hessian_out(15), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(16), Q => hessian_out(16), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(17), Q => hessian_out(17), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(18), Q => hessian_out(18), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(19), Q => hessian_out(19), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(1), Q => hessian_out(1), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(20), Q => hessian_out(20), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(21), Q => hessian_out(21), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(22), Q => hessian_out(22), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(23), Q => hessian_out(23), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(24), Q => hessian_out(24), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(25), Q => hessian_out(25), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(26), Q => hessian_out(26), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(27), Q => hessian_out(27), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(28), Q => hessian_out(28), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(29), Q => hessian_out(29), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(2), Q => hessian_out(2), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(30), Q => hessian_out(30), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(31), Q => hessian_out(31), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(3), Q => hessian_out(3), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(4), Q => hessian_out(4), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(5), Q => hessian_out(5), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(6), Q => hessian_out(6), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(7), Q => hessian_out(7), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(8), Q => hessian_out(8), R => \hessian_out[31]_i_1_n_0\ ); \hessian_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(9), Q => hessian_out(9), R => \hessian_out[31]_i_1_n_0\ ); \hessian_reg[0][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(0), Q => \hessian_reg[0]\(0), R => '0' ); \hessian_reg[0][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(10), Q => \hessian_reg[0]\(10), R => '0' ); \hessian_reg[0][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(11), Q => \hessian_reg[0]\(11), R => '0' ); \hessian_reg[0][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(12), Q => \hessian_reg[0]\(12), R => '0' ); \hessian_reg[0][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(13), Q => \hessian_reg[0]\(13), R => '0' ); \hessian_reg[0][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(14), Q => \hessian_reg[0]\(14), R => '0' ); \hessian_reg[0][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(15), Q => \hessian_reg[0]\(15), R => '0' ); \hessian_reg[0][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(16), Q => \hessian_reg[0]\(16), R => '0' ); \hessian_reg[0][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(17), Q => \hessian_reg[0]\(17), R => '0' ); \hessian_reg[0][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(18), Q => \hessian_reg[0]\(18), R => '0' ); \hessian_reg[0][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(19), Q => \hessian_reg[0]\(19), R => '0' ); \hessian_reg[0][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(1), Q => \hessian_reg[0]\(1), R => '0' ); \hessian_reg[0][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(20), Q => \hessian_reg[0]\(20), R => '0' ); \hessian_reg[0][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(21), Q => \hessian_reg[0]\(21), R => '0' ); \hessian_reg[0][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(22), Q => \hessian_reg[0]\(22), R => '0' ); \hessian_reg[0][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(23), Q => \hessian_reg[0]\(23), R => '0' ); \hessian_reg[0][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(24), Q => \hessian_reg[0]\(24), R => '0' ); \hessian_reg[0][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(25), Q => \hessian_reg[0]\(25), R => '0' ); \hessian_reg[0][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(26), Q => \hessian_reg[0]\(26), R => '0' ); \hessian_reg[0][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(27), Q => \hessian_reg[0]\(27), R => '0' ); \hessian_reg[0][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(28), Q => \hessian_reg[0]\(28), R => '0' ); \hessian_reg[0][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(29), Q => \hessian_reg[0]\(29), R => '0' ); \hessian_reg[0][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(2), Q => \hessian_reg[0]\(2), R => '0' ); \hessian_reg[0][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(30), Q => \hessian_reg[0]\(30), R => '0' ); \hessian_reg[0][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(31), Q => \hessian_reg[0]\(31), R => '0' ); \hessian_reg[0][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(3), Q => \hessian_reg[0]\(3), R => '0' ); \hessian_reg[0][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(4), Q => \hessian_reg[0]\(4), R => '0' ); \hessian_reg[0][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(5), Q => \hessian_reg[0]\(5), R => '0' ); \hessian_reg[0][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(6), Q => \hessian_reg[0]\(6), R => '0' ); \hessian_reg[0][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(7), Q => \hessian_reg[0]\(7), R => '0' ); \hessian_reg[0][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(8), Q => \hessian_reg[0]\(8), R => '0' ); \hessian_reg[0][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => hessian_in(9), Q => \hessian_reg[0]\(9), R => '0' ); \hessian_reg[10][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(0), Q => \hessian_reg[10]\(0), R => '0' ); \hessian_reg[10][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(10), Q => \hessian_reg[10]\(10), R => '0' ); \hessian_reg[10][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(11), Q => \hessian_reg[10]\(11), R => '0' ); \hessian_reg[10][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(12), Q => \hessian_reg[10]\(12), R => '0' ); \hessian_reg[10][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(13), Q => \hessian_reg[10]\(13), R => '0' ); \hessian_reg[10][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(14), Q => \hessian_reg[10]\(14), R => '0' ); \hessian_reg[10][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(15), Q => \hessian_reg[10]\(15), R => '0' ); \hessian_reg[10][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(16), Q => \hessian_reg[10]\(16), R => '0' ); \hessian_reg[10][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(17), Q => \hessian_reg[10]\(17), R => '0' ); \hessian_reg[10][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(18), Q => \hessian_reg[10]\(18), R => '0' ); \hessian_reg[10][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(19), Q => \hessian_reg[10]\(19), R => '0' ); \hessian_reg[10][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(1), Q => \hessian_reg[10]\(1), R => '0' ); \hessian_reg[10][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(20), Q => \hessian_reg[10]\(20), R => '0' ); \hessian_reg[10][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(21), Q => \hessian_reg[10]\(21), R => '0' ); \hessian_reg[10][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(22), Q => \hessian_reg[10]\(22), R => '0' ); \hessian_reg[10][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(23), Q => \hessian_reg[10]\(23), R => '0' ); \hessian_reg[10][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(24), Q => \hessian_reg[10]\(24), R => '0' ); \hessian_reg[10][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(25), Q => \hessian_reg[10]\(25), R => '0' ); \hessian_reg[10][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(26), Q => \hessian_reg[10]\(26), R => '0' ); \hessian_reg[10][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(27), Q => \hessian_reg[10]\(27), R => '0' ); \hessian_reg[10][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(28), Q => \hessian_reg[10]\(28), R => '0' ); \hessian_reg[10][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(29), Q => \hessian_reg[10]\(29), R => '0' ); \hessian_reg[10][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(2), Q => \hessian_reg[10]\(2), R => '0' ); \hessian_reg[10][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(30), Q => \hessian_reg[10]\(30), R => '0' ); \hessian_reg[10][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(31), Q => \hessian_reg[10]\(31), R => '0' ); \hessian_reg[10][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(3), Q => \hessian_reg[10]\(3), R => '0' ); \hessian_reg[10][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(4), Q => \hessian_reg[10]\(4), R => '0' ); \hessian_reg[10][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(5), Q => \hessian_reg[10]\(5), R => '0' ); \hessian_reg[10][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(6), Q => \hessian_reg[10]\(6), R => '0' ); \hessian_reg[10][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(7), Q => \hessian_reg[10]\(7), R => '0' ); \hessian_reg[10][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(8), Q => \hessian_reg[10]\(8), R => '0' ); \hessian_reg[10][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[9]\(9), Q => \hessian_reg[10]\(9), R => '0' ); \hessian_reg[11][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(0), Q => \hessian_reg[11]\(0), R => '0' ); \hessian_reg[11][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(10), Q => \hessian_reg[11]\(10), R => '0' ); \hessian_reg[11][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(11), Q => \hessian_reg[11]\(11), R => '0' ); \hessian_reg[11][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(12), Q => \hessian_reg[11]\(12), R => '0' ); \hessian_reg[11][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(13), Q => \hessian_reg[11]\(13), R => '0' ); \hessian_reg[11][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(14), Q => \hessian_reg[11]\(14), R => '0' ); \hessian_reg[11][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(15), Q => \hessian_reg[11]\(15), R => '0' ); \hessian_reg[11][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(16), Q => \hessian_reg[11]\(16), R => '0' ); \hessian_reg[11][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(17), Q => \hessian_reg[11]\(17), R => '0' ); \hessian_reg[11][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(18), Q => \hessian_reg[11]\(18), R => '0' ); \hessian_reg[11][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(19), Q => \hessian_reg[11]\(19), R => '0' ); \hessian_reg[11][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(1), Q => \hessian_reg[11]\(1), R => '0' ); \hessian_reg[11][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(20), Q => \hessian_reg[11]\(20), R => '0' ); \hessian_reg[11][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(21), Q => \hessian_reg[11]\(21), R => '0' ); \hessian_reg[11][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(22), Q => \hessian_reg[11]\(22), R => '0' ); \hessian_reg[11][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(23), Q => \hessian_reg[11]\(23), R => '0' ); \hessian_reg[11][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(24), Q => \hessian_reg[11]\(24), R => '0' ); \hessian_reg[11][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(25), Q => \hessian_reg[11]\(25), R => '0' ); \hessian_reg[11][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(26), Q => \hessian_reg[11]\(26), R => '0' ); \hessian_reg[11][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(27), Q => \hessian_reg[11]\(27), R => '0' ); \hessian_reg[11][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(28), Q => \hessian_reg[11]\(28), R => '0' ); \hessian_reg[11][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(29), Q => \hessian_reg[11]\(29), R => '0' ); \hessian_reg[11][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(2), Q => \hessian_reg[11]\(2), R => '0' ); \hessian_reg[11][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(30), Q => \hessian_reg[11]\(30), R => '0' ); \hessian_reg[11][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(31), Q => \hessian_reg[11]\(31), R => '0' ); \hessian_reg[11][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(3), Q => \hessian_reg[11]\(3), R => '0' ); \hessian_reg[11][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(4), Q => \hessian_reg[11]\(4), R => '0' ); \hessian_reg[11][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(5), Q => \hessian_reg[11]\(5), R => '0' ); \hessian_reg[11][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(6), Q => \hessian_reg[11]\(6), R => '0' ); \hessian_reg[11][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(7), Q => \hessian_reg[11]\(7), R => '0' ); \hessian_reg[11][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(8), Q => \hessian_reg[11]\(8), R => '0' ); \hessian_reg[11][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[10]\(9), Q => \hessian_reg[11]\(9), R => '0' ); \hessian_reg[1][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(0), Q => \hessian_reg[1]\(0), R => '0' ); \hessian_reg[1][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(10), Q => \hessian_reg[1]\(10), R => '0' ); \hessian_reg[1][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(11), Q => \hessian_reg[1]\(11), R => '0' ); \hessian_reg[1][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(12), Q => \hessian_reg[1]\(12), R => '0' ); \hessian_reg[1][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(13), Q => \hessian_reg[1]\(13), R => '0' ); \hessian_reg[1][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(14), Q => \hessian_reg[1]\(14), R => '0' ); \hessian_reg[1][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(15), Q => \hessian_reg[1]\(15), R => '0' ); \hessian_reg[1][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(16), Q => \hessian_reg[1]\(16), R => '0' ); \hessian_reg[1][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(17), Q => \hessian_reg[1]\(17), R => '0' ); \hessian_reg[1][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(18), Q => \hessian_reg[1]\(18), R => '0' ); \hessian_reg[1][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(19), Q => \hessian_reg[1]\(19), R => '0' ); \hessian_reg[1][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(1), Q => \hessian_reg[1]\(1), R => '0' ); \hessian_reg[1][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(20), Q => \hessian_reg[1]\(20), R => '0' ); \hessian_reg[1][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(21), Q => \hessian_reg[1]\(21), R => '0' ); \hessian_reg[1][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(22), Q => \hessian_reg[1]\(22), R => '0' ); \hessian_reg[1][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(23), Q => \hessian_reg[1]\(23), R => '0' ); \hessian_reg[1][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(24), Q => \hessian_reg[1]\(24), R => '0' ); \hessian_reg[1][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(25), Q => \hessian_reg[1]\(25), R => '0' ); \hessian_reg[1][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(26), Q => \hessian_reg[1]\(26), R => '0' ); \hessian_reg[1][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(27), Q => \hessian_reg[1]\(27), R => '0' ); \hessian_reg[1][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(28), Q => \hessian_reg[1]\(28), R => '0' ); \hessian_reg[1][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(29), Q => \hessian_reg[1]\(29), R => '0' ); \hessian_reg[1][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(2), Q => \hessian_reg[1]\(2), R => '0' ); \hessian_reg[1][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(30), Q => \hessian_reg[1]\(30), R => '0' ); \hessian_reg[1][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(31), Q => \hessian_reg[1]\(31), R => '0' ); \hessian_reg[1][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(3), Q => \hessian_reg[1]\(3), R => '0' ); \hessian_reg[1][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(4), Q => \hessian_reg[1]\(4), R => '0' ); \hessian_reg[1][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(5), Q => \hessian_reg[1]\(5), R => '0' ); \hessian_reg[1][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(6), Q => \hessian_reg[1]\(6), R => '0' ); \hessian_reg[1][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(7), Q => \hessian_reg[1]\(7), R => '0' ); \hessian_reg[1][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(8), Q => \hessian_reg[1]\(8), R => '0' ); \hessian_reg[1][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[0]\(9), Q => \hessian_reg[1]\(9), R => '0' ); \hessian_reg[4][0]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(0), Q => \hessian_reg[4][0]_srl3_n_0\ ); \hessian_reg[4][10]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(10), Q => \hessian_reg[4][10]_srl3_n_0\ ); \hessian_reg[4][11]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(11), Q => \hessian_reg[4][11]_srl3_n_0\ ); \hessian_reg[4][12]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(12), Q => \hessian_reg[4][12]_srl3_n_0\ ); \hessian_reg[4][13]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(13), Q => \hessian_reg[4][13]_srl3_n_0\ ); \hessian_reg[4][14]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(14), Q => \hessian_reg[4][14]_srl3_n_0\ ); \hessian_reg[4][15]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(15), Q => \hessian_reg[4][15]_srl3_n_0\ ); \hessian_reg[4][16]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(16), Q => \hessian_reg[4][16]_srl3_n_0\ ); \hessian_reg[4][17]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(17), Q => \hessian_reg[4][17]_srl3_n_0\ ); \hessian_reg[4][18]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(18), Q => \hessian_reg[4][18]_srl3_n_0\ ); \hessian_reg[4][19]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(19), Q => \hessian_reg[4][19]_srl3_n_0\ ); \hessian_reg[4][1]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(1), Q => \hessian_reg[4][1]_srl3_n_0\ ); \hessian_reg[4][20]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(20), Q => \hessian_reg[4][20]_srl3_n_0\ ); \hessian_reg[4][21]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(21), Q => \hessian_reg[4][21]_srl3_n_0\ ); \hessian_reg[4][22]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(22), Q => \hessian_reg[4][22]_srl3_n_0\ ); \hessian_reg[4][23]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(23), Q => \hessian_reg[4][23]_srl3_n_0\ ); \hessian_reg[4][24]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(24), Q => \hessian_reg[4][24]_srl3_n_0\ ); \hessian_reg[4][25]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(25), Q => \hessian_reg[4][25]_srl3_n_0\ ); \hessian_reg[4][26]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(26), Q => \hessian_reg[4][26]_srl3_n_0\ ); \hessian_reg[4][27]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(27), Q => \hessian_reg[4][27]_srl3_n_0\ ); \hessian_reg[4][28]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(28), Q => \hessian_reg[4][28]_srl3_n_0\ ); \hessian_reg[4][29]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(29), Q => \hessian_reg[4][29]_srl3_n_0\ ); \hessian_reg[4][2]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(2), Q => \hessian_reg[4][2]_srl3_n_0\ ); \hessian_reg[4][30]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(30), Q => \hessian_reg[4][30]_srl3_n_0\ ); \hessian_reg[4][31]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(31), Q => \hessian_reg[4][31]_srl3_n_0\ ); \hessian_reg[4][3]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(3), Q => \hessian_reg[4][3]_srl3_n_0\ ); \hessian_reg[4][4]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(4), Q => \hessian_reg[4][4]_srl3_n_0\ ); \hessian_reg[4][5]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(5), Q => \hessian_reg[4][5]_srl3_n_0\ ); \hessian_reg[4][6]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(6), Q => \hessian_reg[4][6]_srl3_n_0\ ); \hessian_reg[4][7]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(7), Q => \hessian_reg[4][7]_srl3_n_0\ ); \hessian_reg[4][8]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(8), Q => \hessian_reg[4][8]_srl3_n_0\ ); \hessian_reg[4][9]_srl3\: unisim.vcomponents.SRL16E port map ( A0 => '0', A1 => '1', A2 => '0', A3 => '0', CE => active, CLK => clk, D => \hessian_reg[1]\(9), Q => \hessian_reg[4][9]_srl3_n_0\ ); \hessian_reg[5][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][0]_srl3_n_0\, Q => \hessian_reg[5]\(0), R => '0' ); \hessian_reg[5][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][10]_srl3_n_0\, Q => \hessian_reg[5]\(10), R => '0' ); \hessian_reg[5][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][11]_srl3_n_0\, Q => \hessian_reg[5]\(11), R => '0' ); \hessian_reg[5][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][12]_srl3_n_0\, Q => \hessian_reg[5]\(12), R => '0' ); \hessian_reg[5][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][13]_srl3_n_0\, Q => \hessian_reg[5]\(13), R => '0' ); \hessian_reg[5][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][14]_srl3_n_0\, Q => \hessian_reg[5]\(14), R => '0' ); \hessian_reg[5][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][15]_srl3_n_0\, Q => \hessian_reg[5]\(15), R => '0' ); \hessian_reg[5][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][16]_srl3_n_0\, Q => \hessian_reg[5]\(16), R => '0' ); \hessian_reg[5][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][17]_srl3_n_0\, Q => \hessian_reg[5]\(17), R => '0' ); \hessian_reg[5][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][18]_srl3_n_0\, Q => \hessian_reg[5]\(18), R => '0' ); \hessian_reg[5][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][19]_srl3_n_0\, Q => \hessian_reg[5]\(19), R => '0' ); \hessian_reg[5][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][1]_srl3_n_0\, Q => \hessian_reg[5]\(1), R => '0' ); \hessian_reg[5][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][20]_srl3_n_0\, Q => \hessian_reg[5]\(20), R => '0' ); \hessian_reg[5][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][21]_srl3_n_0\, Q => \hessian_reg[5]\(21), R => '0' ); \hessian_reg[5][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][22]_srl3_n_0\, Q => \hessian_reg[5]\(22), R => '0' ); \hessian_reg[5][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][23]_srl3_n_0\, Q => \hessian_reg[5]\(23), R => '0' ); \hessian_reg[5][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][24]_srl3_n_0\, Q => \hessian_reg[5]\(24), R => '0' ); \hessian_reg[5][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][25]_srl3_n_0\, Q => \hessian_reg[5]\(25), R => '0' ); \hessian_reg[5][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][26]_srl3_n_0\, Q => \hessian_reg[5]\(26), R => '0' ); \hessian_reg[5][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][27]_srl3_n_0\, Q => \hessian_reg[5]\(27), R => '0' ); \hessian_reg[5][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][28]_srl3_n_0\, Q => \hessian_reg[5]\(28), R => '0' ); \hessian_reg[5][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][29]_srl3_n_0\, Q => \hessian_reg[5]\(29), R => '0' ); \hessian_reg[5][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][2]_srl3_n_0\, Q => \hessian_reg[5]\(2), R => '0' ); \hessian_reg[5][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][30]_srl3_n_0\, Q => \hessian_reg[5]\(30), R => '0' ); \hessian_reg[5][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][31]_srl3_n_0\, Q => \hessian_reg[5]\(31), R => '0' ); \hessian_reg[5][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][3]_srl3_n_0\, Q => \hessian_reg[5]\(3), R => '0' ); \hessian_reg[5][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][4]_srl3_n_0\, Q => \hessian_reg[5]\(4), R => '0' ); \hessian_reg[5][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][5]_srl3_n_0\, Q => \hessian_reg[5]\(5), R => '0' ); \hessian_reg[5][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][6]_srl3_n_0\, Q => \hessian_reg[5]\(6), R => '0' ); \hessian_reg[5][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][7]_srl3_n_0\, Q => \hessian_reg[5]\(7), R => '0' ); \hessian_reg[5][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][8]_srl3_n_0\, Q => \hessian_reg[5]\(8), R => '0' ); \hessian_reg[5][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[4][9]_srl3_n_0\, Q => \hessian_reg[5]\(9), R => '0' ); \hessian_reg[6][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(0), Q => \hessian_reg[6]\(0), R => '0' ); \hessian_reg[6][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(10), Q => \hessian_reg[6]\(10), R => '0' ); \hessian_reg[6][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(11), Q => \hessian_reg[6]\(11), R => '0' ); \hessian_reg[6][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(12), Q => \hessian_reg[6]\(12), R => '0' ); \hessian_reg[6][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(13), Q => \hessian_reg[6]\(13), R => '0' ); \hessian_reg[6][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(14), Q => \hessian_reg[6]\(14), R => '0' ); \hessian_reg[6][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(15), Q => \hessian_reg[6]\(15), R => '0' ); \hessian_reg[6][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(16), Q => \hessian_reg[6]\(16), R => '0' ); \hessian_reg[6][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(17), Q => \hessian_reg[6]\(17), R => '0' ); \hessian_reg[6][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(18), Q => \hessian_reg[6]\(18), R => '0' ); \hessian_reg[6][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(19), Q => \hessian_reg[6]\(19), R => '0' ); \hessian_reg[6][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(1), Q => \hessian_reg[6]\(1), R => '0' ); \hessian_reg[6][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(20), Q => \hessian_reg[6]\(20), R => '0' ); \hessian_reg[6][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(21), Q => \hessian_reg[6]\(21), R => '0' ); \hessian_reg[6][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(22), Q => \hessian_reg[6]\(22), R => '0' ); \hessian_reg[6][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(23), Q => \hessian_reg[6]\(23), R => '0' ); \hessian_reg[6][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(24), Q => \hessian_reg[6]\(24), R => '0' ); \hessian_reg[6][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(25), Q => \hessian_reg[6]\(25), R => '0' ); \hessian_reg[6][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(26), Q => \hessian_reg[6]\(26), R => '0' ); \hessian_reg[6][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(27), Q => \hessian_reg[6]\(27), R => '0' ); \hessian_reg[6][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(28), Q => \hessian_reg[6]\(28), R => '0' ); \hessian_reg[6][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(29), Q => \hessian_reg[6]\(29), R => '0' ); \hessian_reg[6][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(2), Q => \hessian_reg[6]\(2), R => '0' ); \hessian_reg[6][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(30), Q => \hessian_reg[6]\(30), R => '0' ); \hessian_reg[6][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(31), Q => \hessian_reg[6]\(31), R => '0' ); \hessian_reg[6][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(3), Q => \hessian_reg[6]\(3), R => '0' ); \hessian_reg[6][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(4), Q => \hessian_reg[6]\(4), R => '0' ); \hessian_reg[6][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(5), Q => \hessian_reg[6]\(5), R => '0' ); \hessian_reg[6][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(6), Q => \hessian_reg[6]\(6), R => '0' ); \hessian_reg[6][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(7), Q => \hessian_reg[6]\(7), R => '0' ); \hessian_reg[6][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(8), Q => \hessian_reg[6]\(8), R => '0' ); \hessian_reg[6][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[5]\(9), Q => \hessian_reg[6]\(9), R => '0' ); \hessian_reg[7][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(0), Q => \hessian_reg[7]\(0), R => '0' ); \hessian_reg[7][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(10), Q => \hessian_reg[7]\(10), R => '0' ); \hessian_reg[7][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(11), Q => \hessian_reg[7]\(11), R => '0' ); \hessian_reg[7][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(12), Q => \hessian_reg[7]\(12), R => '0' ); \hessian_reg[7][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(13), Q => \hessian_reg[7]\(13), R => '0' ); \hessian_reg[7][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(14), Q => \hessian_reg[7]\(14), R => '0' ); \hessian_reg[7][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(15), Q => \hessian_reg[7]\(15), R => '0' ); \hessian_reg[7][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(16), Q => \hessian_reg[7]\(16), R => '0' ); \hessian_reg[7][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(17), Q => \hessian_reg[7]\(17), R => '0' ); \hessian_reg[7][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(18), Q => \hessian_reg[7]\(18), R => '0' ); \hessian_reg[7][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(19), Q => \hessian_reg[7]\(19), R => '0' ); \hessian_reg[7][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(1), Q => \hessian_reg[7]\(1), R => '0' ); \hessian_reg[7][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(20), Q => \hessian_reg[7]\(20), R => '0' ); \hessian_reg[7][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(21), Q => \hessian_reg[7]\(21), R => '0' ); \hessian_reg[7][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(22), Q => \hessian_reg[7]\(22), R => '0' ); \hessian_reg[7][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(23), Q => \hessian_reg[7]\(23), R => '0' ); \hessian_reg[7][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(24), Q => \hessian_reg[7]\(24), R => '0' ); \hessian_reg[7][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(25), Q => \hessian_reg[7]\(25), R => '0' ); \hessian_reg[7][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(26), Q => \hessian_reg[7]\(26), R => '0' ); \hessian_reg[7][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(27), Q => \hessian_reg[7]\(27), R => '0' ); \hessian_reg[7][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(28), Q => \hessian_reg[7]\(28), R => '0' ); \hessian_reg[7][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(29), Q => \hessian_reg[7]\(29), R => '0' ); \hessian_reg[7][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(2), Q => \hessian_reg[7]\(2), R => '0' ); \hessian_reg[7][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(30), Q => \hessian_reg[7]\(30), R => '0' ); \hessian_reg[7][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(31), Q => \hessian_reg[7]\(31), R => '0' ); \hessian_reg[7][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(3), Q => \hessian_reg[7]\(3), R => '0' ); \hessian_reg[7][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(4), Q => \hessian_reg[7]\(4), R => '0' ); \hessian_reg[7][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(5), Q => \hessian_reg[7]\(5), R => '0' ); \hessian_reg[7][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(6), Q => \hessian_reg[7]\(6), R => '0' ); \hessian_reg[7][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(7), Q => \hessian_reg[7]\(7), R => '0' ); \hessian_reg[7][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(8), Q => \hessian_reg[7]\(8), R => '0' ); \hessian_reg[7][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[6]\(9), Q => \hessian_reg[7]\(9), R => '0' ); \hessian_reg[8][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(0), Q => \hessian_reg[8]\(0), R => '0' ); \hessian_reg[8][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(10), Q => \hessian_reg[8]\(10), R => '0' ); \hessian_reg[8][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(11), Q => \hessian_reg[8]\(11), R => '0' ); \hessian_reg[8][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(12), Q => \hessian_reg[8]\(12), R => '0' ); \hessian_reg[8][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(13), Q => \hessian_reg[8]\(13), R => '0' ); \hessian_reg[8][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(14), Q => \hessian_reg[8]\(14), R => '0' ); \hessian_reg[8][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(15), Q => \hessian_reg[8]\(15), R => '0' ); \hessian_reg[8][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(16), Q => \hessian_reg[8]\(16), R => '0' ); \hessian_reg[8][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(17), Q => \hessian_reg[8]\(17), R => '0' ); \hessian_reg[8][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(18), Q => \hessian_reg[8]\(18), R => '0' ); \hessian_reg[8][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(19), Q => \hessian_reg[8]\(19), R => '0' ); \hessian_reg[8][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(1), Q => \hessian_reg[8]\(1), R => '0' ); \hessian_reg[8][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(20), Q => \hessian_reg[8]\(20), R => '0' ); \hessian_reg[8][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(21), Q => \hessian_reg[8]\(21), R => '0' ); \hessian_reg[8][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(22), Q => \hessian_reg[8]\(22), R => '0' ); \hessian_reg[8][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(23), Q => \hessian_reg[8]\(23), R => '0' ); \hessian_reg[8][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(24), Q => \hessian_reg[8]\(24), R => '0' ); \hessian_reg[8][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(25), Q => \hessian_reg[8]\(25), R => '0' ); \hessian_reg[8][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(26), Q => \hessian_reg[8]\(26), R => '0' ); \hessian_reg[8][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(27), Q => \hessian_reg[8]\(27), R => '0' ); \hessian_reg[8][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(28), Q => \hessian_reg[8]\(28), R => '0' ); \hessian_reg[8][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(29), Q => \hessian_reg[8]\(29), R => '0' ); \hessian_reg[8][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(2), Q => \hessian_reg[8]\(2), R => '0' ); \hessian_reg[8][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(30), Q => \hessian_reg[8]\(30), R => '0' ); \hessian_reg[8][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(31), Q => \hessian_reg[8]\(31), R => '0' ); \hessian_reg[8][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(3), Q => \hessian_reg[8]\(3), R => '0' ); \hessian_reg[8][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(4), Q => \hessian_reg[8]\(4), R => '0' ); \hessian_reg[8][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(5), Q => \hessian_reg[8]\(5), R => '0' ); \hessian_reg[8][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(6), Q => \hessian_reg[8]\(6), R => '0' ); \hessian_reg[8][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(7), Q => \hessian_reg[8]\(7), R => '0' ); \hessian_reg[8][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(8), Q => \hessian_reg[8]\(8), R => '0' ); \hessian_reg[8][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[7]\(9), Q => \hessian_reg[8]\(9), R => '0' ); \hessian_reg[9][0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(0), Q => \hessian_reg[9]\(0), R => '0' ); \hessian_reg[9][10]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(10), Q => \hessian_reg[9]\(10), R => '0' ); \hessian_reg[9][11]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(11), Q => \hessian_reg[9]\(11), R => '0' ); \hessian_reg[9][12]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(12), Q => \hessian_reg[9]\(12), R => '0' ); \hessian_reg[9][13]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(13), Q => \hessian_reg[9]\(13), R => '0' ); \hessian_reg[9][14]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(14), Q => \hessian_reg[9]\(14), R => '0' ); \hessian_reg[9][15]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(15), Q => \hessian_reg[9]\(15), R => '0' ); \hessian_reg[9][16]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(16), Q => \hessian_reg[9]\(16), R => '0' ); \hessian_reg[9][17]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(17), Q => \hessian_reg[9]\(17), R => '0' ); \hessian_reg[9][18]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(18), Q => \hessian_reg[9]\(18), R => '0' ); \hessian_reg[9][19]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(19), Q => \hessian_reg[9]\(19), R => '0' ); \hessian_reg[9][1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(1), Q => \hessian_reg[9]\(1), R => '0' ); \hessian_reg[9][20]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(20), Q => \hessian_reg[9]\(20), R => '0' ); \hessian_reg[9][21]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(21), Q => \hessian_reg[9]\(21), R => '0' ); \hessian_reg[9][22]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(22), Q => \hessian_reg[9]\(22), R => '0' ); \hessian_reg[9][23]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(23), Q => \hessian_reg[9]\(23), R => '0' ); \hessian_reg[9][24]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(24), Q => \hessian_reg[9]\(24), R => '0' ); \hessian_reg[9][25]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(25), Q => \hessian_reg[9]\(25), R => '0' ); \hessian_reg[9][26]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(26), Q => \hessian_reg[9]\(26), R => '0' ); \hessian_reg[9][27]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(27), Q => \hessian_reg[9]\(27), R => '0' ); \hessian_reg[9][28]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(28), Q => \hessian_reg[9]\(28), R => '0' ); \hessian_reg[9][29]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(29), Q => \hessian_reg[9]\(29), R => '0' ); \hessian_reg[9][2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(2), Q => \hessian_reg[9]\(2), R => '0' ); \hessian_reg[9][30]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(30), Q => \hessian_reg[9]\(30), R => '0' ); \hessian_reg[9][31]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(31), Q => \hessian_reg[9]\(31), R => '0' ); \hessian_reg[9][3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(3), Q => \hessian_reg[9]\(3), R => '0' ); \hessian_reg[9][4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(4), Q => \hessian_reg[9]\(4), R => '0' ); \hessian_reg[9][5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(5), Q => \hessian_reg[9]\(5), R => '0' ); \hessian_reg[9][6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(6), Q => \hessian_reg[9]\(6), R => '0' ); \hessian_reg[9][7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(7), Q => \hessian_reg[9]\(7), R => '0' ); \hessian_reg[9][8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(8), Q => \hessian_reg[9]\(8), R => '0' ); \hessian_reg[9][9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \hessian_reg[8]\(9), Q => \hessian_reg[9]\(9), R => '0' ); \minusOp_inferred__0/y_addr_out[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => y_addr_in(0), O => \minusOp_inferred__0/y_addr_out[0]_i_1_n_0\ ); \minusOp_inferred__0/y_addr_out[9]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => y_addr_in(4), I1 => y_addr_in(2), I2 => y_addr_in(0), I3 => y_addr_in(1), I4 => y_addr_in(3), I5 => y_addr_in(5), O => \minusOp_inferred__0/y_addr_out[9]_i_2_n_0\ ); \x_addr_out[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => x_addr_in(0), O => minusOp(0) ); \x_addr_out[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => x_addr_in(0), I1 => x_addr_in(1), O => \x_addr_out[1]_i_1_n_0\ ); \x_addr_out[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => x_addr_in(1), I1 => x_addr_in(0), I2 => x_addr_in(2), O => \x_addr_out[2]_i_1_n_0\ ); \x_addr_out[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => x_addr_in(2), I1 => x_addr_in(0), I2 => x_addr_in(1), I3 => x_addr_in(3), O => \x_addr_out[3]_i_1_n_0\ ); \x_addr_out[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => x_addr_in(3), I1 => x_addr_in(1), I2 => x_addr_in(0), I3 => x_addr_in(2), I4 => x_addr_in(4), O => \x_addr_out[4]_i_1_n_0\ ); \x_addr_out[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => x_addr_in(4), I1 => x_addr_in(2), I2 => x_addr_in(0), I3 => x_addr_in(1), I4 => x_addr_in(3), I5 => x_addr_in(5), O => \x_addr_out[5]_i_1_n_0\ ); \x_addr_out[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \x_addr_out[9]_i_2_n_0\, I1 => x_addr_in(6), O => \x_addr_out[6]_i_1_n_0\ ); \x_addr_out[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => x_addr_in(6), I1 => \x_addr_out[9]_i_2_n_0\, I2 => x_addr_in(7), O => \x_addr_out[7]_i_1_n_0\ ); \x_addr_out[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => x_addr_in(7), I1 => \x_addr_out[9]_i_2_n_0\, I2 => x_addr_in(6), I3 => x_addr_in(8), O => \x_addr_out[8]_i_1_n_0\ ); \x_addr_out[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => x_addr_in(8), I1 => x_addr_in(6), I2 => \x_addr_out[9]_i_2_n_0\, I3 => x_addr_in(7), I4 => x_addr_in(9), O => \x_addr_out[9]_i_1_n_0\ ); \x_addr_out[9]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => x_addr_in(4), I1 => x_addr_in(2), I2 => x_addr_in(0), I3 => x_addr_in(1), I4 => x_addr_in(3), I5 => x_addr_in(5), O => \x_addr_out[9]_i_2_n_0\ ); \x_addr_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => minusOp(0), Q => x_addr_out(0), R => '0' ); \x_addr_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[1]_i_1_n_0\, Q => x_addr_out(1), R => '0' ); \x_addr_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[2]_i_1_n_0\, Q => x_addr_out(2), R => '0' ); \x_addr_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[3]_i_1_n_0\, Q => x_addr_out(3), R => '0' ); \x_addr_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[4]_i_1_n_0\, Q => x_addr_out(4), R => '0' ); \x_addr_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[5]_i_1_n_0\, Q => x_addr_out(5), R => '0' ); \x_addr_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[6]_i_1_n_0\, Q => x_addr_out(6), R => '0' ); \x_addr_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[7]_i_1_n_0\, Q => x_addr_out(7), R => '0' ); \x_addr_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[8]_i_1_n_0\, Q => x_addr_out(8), R => '0' ); \x_addr_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \x_addr_out[9]_i_1_n_0\, Q => x_addr_out(9), R => '0' ); \y_addr_out[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => y_addr_in(0), I1 => y_addr_in(1), O => \y_addr_out[1]_i_1_n_0\ ); \y_addr_out[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => y_addr_in(1), I1 => y_addr_in(0), I2 => y_addr_in(2), O => \y_addr_out[2]_i_1_n_0\ ); \y_addr_out[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => y_addr_in(2), I1 => y_addr_in(0), I2 => y_addr_in(1), I3 => y_addr_in(3), O => \y_addr_out[3]_i_1_n_0\ ); \y_addr_out[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => y_addr_in(3), I1 => y_addr_in(1), I2 => y_addr_in(0), I3 => y_addr_in(2), I4 => y_addr_in(4), O => \y_addr_out[4]_i_1_n_0\ ); \y_addr_out[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFE00000001" ) port map ( I0 => y_addr_in(4), I1 => y_addr_in(2), I2 => y_addr_in(0), I3 => y_addr_in(1), I4 => y_addr_in(3), I5 => y_addr_in(5), O => \y_addr_out[5]_i_1_n_0\ ); \y_addr_out[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \minusOp_inferred__0/y_addr_out[9]_i_2_n_0\, I1 => y_addr_in(6), O => \y_addr_out[6]_i_1_n_0\ ); \y_addr_out[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"E1" ) port map ( I0 => y_addr_in(6), I1 => \minusOp_inferred__0/y_addr_out[9]_i_2_n_0\, I2 => y_addr_in(7), O => \y_addr_out[7]_i_1_n_0\ ); \y_addr_out[8]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FE01" ) port map ( I0 => y_addr_in(7), I1 => \minusOp_inferred__0/y_addr_out[9]_i_2_n_0\, I2 => y_addr_in(6), I3 => y_addr_in(8), O => \y_addr_out[8]_i_1_n_0\ ); \y_addr_out[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFE0001" ) port map ( I0 => y_addr_in(8), I1 => y_addr_in(6), I2 => \minusOp_inferred__0/y_addr_out[9]_i_2_n_0\, I3 => y_addr_in(7), I4 => y_addr_in(9), O => \y_addr_out[9]_i_1_n_0\ ); \y_addr_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \minusOp_inferred__0/y_addr_out[0]_i_1_n_0\, Q => y_addr_out(0), R => '0' ); \y_addr_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[1]_i_1_n_0\, Q => y_addr_out(1), R => '0' ); \y_addr_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[2]_i_1_n_0\, Q => y_addr_out(2), R => '0' ); \y_addr_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[3]_i_1_n_0\, Q => y_addr_out(3), R => '0' ); \y_addr_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[4]_i_1_n_0\, Q => y_addr_out(4), R => '0' ); \y_addr_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[5]_i_1_n_0\, Q => y_addr_out(5), R => '0' ); \y_addr_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[6]_i_1_n_0\, Q => y_addr_out(6), R => '0' ); \y_addr_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[7]_i_1_n_0\, Q => y_addr_out(7), R => '0' ); \y_addr_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[8]_i_1_n_0\, Q => y_addr_out(8), R => '0' ); \y_addr_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => active, D => \y_addr_out[9]_i_1_n_0\, Q => y_addr_out(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_nmsuppression_0_0 is port ( clk : in STD_LOGIC; enable : in STD_LOGIC; active : in STD_LOGIC; x_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); hessian_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); x_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); hessian_out : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_vga_nmsuppression_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_vga_nmsuppression_0_0 : entity is "system_vga_nmsuppression_1_0,vga_nmsuppression,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_vga_nmsuppression_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_vga_nmsuppression_0_0 : entity is "vga_nmsuppression,Vivado 2016.4"; end system_vga_nmsuppression_0_0; architecture STRUCTURE of system_vga_nmsuppression_0_0 is begin U0: entity work.system_vga_nmsuppression_0_0_vga_nmsuppression port map ( active => active, clk => clk, enable => enable, hessian_in(31 downto 0) => hessian_in(31 downto 0), hessian_out(31 downto 0) => hessian_out(31 downto 0), x_addr_in(9 downto 0) => x_addr_in(9 downto 0), x_addr_out(9 downto 0) => x_addr_out(9 downto 0), y_addr_in(9 downto 0) => y_addr_in(9 downto 0), y_addr_out(9 downto 0) => y_addr_out(9 downto 0) ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/comparator/comparator.srcs/sources_1/new/comparator.vhd
2
536
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity comparator is generic ( WIDTH : integer := 32 ); port ( x : in std_logic_vector(WIDTH - 1 downto 0); y : in std_logic_vector(WIDTH - 1 downto 0); z : out std_logic ); end comparator; architecture Behavioral of comparator is begin process(x, y) begin if unsigned(x) < unsigned(y) then z <= '0'; else z <= '1'; end if; end process; end Behavioral;
mit
ashikpoojari/Hardware-Security
DES CryptoCore/src/desxor2.vhd
2
214
library ieee; use ieee.std_logic_1164.all; entity desxor2 is port ( d,l : in std_logic_vector(1 to 32); q : out std_logic_vector(1 to 32) ); end desxor2; architecture behaviour of desxor2 is begin q<=d xor l; end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ipshared/8064/affine_rotation_generator.vhd
2
4399
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Rob Taglang -- -- Module Name: vga_buffer_addressable - Structural -- Description: Outputs counterclockwise rotation over time ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; entity affine_rotation_generator is port( clk_25 : in std_logic; reset : in std_logic; -- IEEE 754 floating point 2x2 rotation matrix a00 : out std_logic_vector(31 downto 0); a01 : out std_logic_vector(31 downto 0); a10 : out std_logic_vector(31 downto 0); a11 : out std_logic_vector(31 downto 0) ); end affine_rotation_generator; architecture Structural of affine_rotation_generator is begin process(clk_25) variable counter : integer := 0; variable angle : integer := 0; variable cosine : std_logic_vector(31 downto 0); variable sine : std_logic_vector(31 downto 0); begin if rising_edge(clk_25) then if reset = '1' then counter := 0; angle := 0; else counter := counter + 1; if counter >= 25000000 then counter := 0; angle := angle + 4; if angle >= 90 then angle := 0; end if; end if; end if; if angle = 0 then cosine := x"00000000"; sine := x"3f800000"; elsif angle = 4 then cosine := x"3f7f605c"; sine := x"3d8edc7b"; elsif angle = 8 then cosine := x"3f7d8235"; sine := x"3e0e8365"; elsif angle = 12 then cosine := x"3f7a67e2"; sine := x"3e54e6cd"; elsif angle = 16 then cosine := x"3f76153f"; sine := x"3e8d2057"; elsif angle = 20 then cosine := x"3f708fb2"; sine := x"3eaf1d44"; elsif angle = 24 then cosine := x"3f69de1d"; sine := x"3ed03fc9"; elsif angle = 28 then cosine := x"3f6208da"; sine := x"3ef05e94"; elsif angle = 32 then cosine := x"3f5919ae"; sine := x"3f07a8ca"; elsif angle = 36 then cosine := x"3f4f1bbd"; sine := x"3f167918"; elsif angle = 40 then cosine := x"3f441b7d"; sine := x"3f248dbb"; elsif angle = 44 then cosine := x"3f3826a7"; sine := x"3f31d522"; elsif angle = 48 then cosine := x"3f2b4c25"; sine := x"3f3e3ebd"; elsif angle = 52 then cosine := x"3f1d9bfe"; sine := x"3f49bb13"; elsif angle = 56 then cosine := x"3f0f2744"; sine := x"3f543bce"; elsif angle = 60 then cosine := x"3f000000"; sine := x"3f5db3d7"; elsif angle = 64 then cosine := x"3ee0722f"; sine := x"3f66175e"; elsif angle = 68 then cosine := x"3ebfcc6f"; sine := x"3f6d5bec"; elsif angle = 72 then cosine := x"3e9e377a"; sine := x"3f737871"; elsif angle = 76 then cosine := x"3e77ba60"; sine := x"3f78654d"; elsif angle = 80 then cosine := x"3e31d0d4"; sine := x"3f7c1c5c"; elsif angle = 84 then cosine := x"3dd61305"; sine := x"3f7e98fd"; elsif angle = 88 then cosine := x"3d0ef2c6"; sine := x"3f7fd814"; end if; a00 <= cosine; a01(31) <= not sine(31); a01(30 downto 0) <= sine(30 downto 0); a10 <= sine; a11 <= cosine; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_xlconstant_0_2/sim/system_xlconstant_0_2.vhd
1
1296
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 08/14/2014 12:18:30 PM -- Design Name: -- Module Name: tb_vhdl - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.xlconstant; ENTITY system_xlconstant_0_2 IS PORT ( dout : OUT STD_LOGIC_VECTOR(1-1 DOWNTO 0) ); END system_xlconstant_0_2; ARCHITECTURE system_xlconstant_0_2_arch OF system_xlconstant_0_2 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_xlconstant_0_2_arch: ARCHITECTURE IS "yes"; COMPONENT xlconstant IS GENERIC ( CONST_VAL : STD_LOGIC_VECTOR(1-1 DOWNTO 0); CONST_WIDTH : INTEGER ); PORT ( dout : OUT STD_LOGIC_VECTOR(1-1 DOWNTO 0) ); END COMPONENT xlconstant; BEGIN U0 : xlconstant GENERIC MAP ( CONST_VAL => "1", CONST_WIDTH => 1 ) PORT MAP ( dout => dout ); END system_xlconstant_0_2_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0/system_vga_color_test_0_0_stub.vhdl
1
1479
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 08:27:08 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/ZyboIP/examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0/system_vga_color_test_0_0_stub.vhdl -- Design : system_vga_color_test_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_vga_color_test_0_0 is Port ( clk_25 : in STD_LOGIC; xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 ); rgb : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); end system_vga_color_test_0_0; architecture stub of system_vga_color_test_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk_25,xaddr[9:0],yaddr[9:0],rgb[23:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "vga_color_test,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_ov7670_controller_0_0/synth/system_ov7670_controller_0_0.vhd
3
4423
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_controller:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_controller_0_0 IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END system_ov7670_controller_0_0; ARCHITECTURE system_ov7670_controller_0_0_arch OF system_ov7670_controller_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_controller IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END COMPONENT ov7670_controller; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_ov7670_controller_0_0_arch: ARCHITECTURE IS "ov7670_controller,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_controller_0_0_arch : ARCHITECTURE IS "system_ov7670_controller_0_0,ov7670_controller,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_controller_0_0_arch: ARCHITECTURE IS "system_ov7670_controller_0_0,ov7670_controller,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_controller,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST"; BEGIN U0 : ov7670_controller PORT MAP ( clk => clk, resend => resend, config_finished => config_finished, sioc => sioc, siod => siod, reset => reset, pwdn => pwdn, xclk => xclk ); END system_ov7670_controller_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_ov7670_vga_1_0/synth/system_ov7670_vga_1_0.vhd
2
3941
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_vga:1.0 -- IP Revision: 19 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_vga_1_0 IS PORT ( clk_x2 : IN STD_LOGIC; active : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END system_ov7670_vga_1_0; ARCHITECTURE system_ov7670_vga_1_0_arch OF system_ov7670_vga_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_vga_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_vga IS PORT ( clk_x2 : IN STD_LOGIC; active : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT ov7670_vga; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_ov7670_vga_1_0_arch: ARCHITECTURE IS "ov7670_vga,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_vga_1_0_arch : ARCHITECTURE IS "system_ov7670_vga_1_0,ov7670_vga,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_vga_1_0_arch: ARCHITECTURE IS "system_ov7670_vga_1_0,ov7670_vga,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_vga,x_ipVersion=1.0,x_ipCoreRevision=19,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF active: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : ov7670_vga PORT MAP ( clk_x2 => clk_x2, active => active, data => data, rgb => rgb ); END system_ov7670_vga_1_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_vga_overlay_0_0/synth/system_vga_overlay_0_0.vhd
3
3985
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_overlay:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_overlay_0_0 IS PORT ( clk : IN STD_LOGIC; rgb_0 : IN STD_LOGIC_VECTOR(23 DOWNTO 0); rgb_1 : IN STD_LOGIC_VECTOR(23 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_overlay_0_0; ARCHITECTURE system_vga_overlay_0_0_arch OF system_vga_overlay_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_overlay_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_overlay IS PORT ( clk : IN STD_LOGIC; rgb_0 : IN STD_LOGIC_VECTOR(23 DOWNTO 0); rgb_1 : IN STD_LOGIC_VECTOR(23 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_overlay; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_overlay_0_0_arch: ARCHITECTURE IS "vga_overlay,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_overlay_0_0_arch : ARCHITECTURE IS "system_vga_overlay_0_0,vga_overlay,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_overlay_0_0_arch: ARCHITECTURE IS "system_vga_overlay_0_0,vga_overlay,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_overlay,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : vga_overlay PORT MAP ( clk => clk, rgb_0 => rgb_0, rgb_1 => rgb_1, rgb => rgb ); END system_vga_overlay_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0_1/system_ov7670_controller_1_0_sim_netlist.vhdl
1
70948
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 07:03:52 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0_1/system_ov7670_controller_1_0_sim_netlist.vhdl -- Design : system_ov7670_controller_1_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_1_0_i2c_sender is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); sioc : out STD_LOGIC; p_0_in : out STD_LOGIC; \busy_sr_reg[1]_0\ : out STD_LOGIC; siod : out STD_LOGIC; \busy_sr_reg[31]_0\ : in STD_LOGIC; clk : in STD_LOGIC; p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); DOADO : in STD_LOGIC_VECTOR ( 15 downto 0 ); \busy_sr_reg[31]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_controller_1_0_i2c_sender : entity is "i2c_sender"; end system_ov7670_controller_1_0_i2c_sender; architecture STRUCTURE of system_ov7670_controller_1_0_i2c_sender is signal busy_sr0 : STD_LOGIC; signal \busy_sr[0]_i_3_n_0\ : STD_LOGIC; signal \busy_sr[0]_i_5_n_0\ : STD_LOGIC; signal \busy_sr[10]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[11]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[12]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[13]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[14]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[15]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[16]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[17]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[18]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[19]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[1]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[20]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[21]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[22]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[23]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[24]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[25]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[26]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[27]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[28]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[29]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[2]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[30]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[31]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[31]_i_2_n_0\ : STD_LOGIC; signal \busy_sr[3]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[4]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[5]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[6]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[7]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[8]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[9]_i_1_n_0\ : STD_LOGIC; signal \^busy_sr_reg[1]_0\ : STD_LOGIC; signal \busy_sr_reg_n_0_[0]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[10]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[11]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[12]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[13]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[14]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[15]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[16]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[17]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[18]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[1]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[21]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[22]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[23]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[24]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[25]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[26]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[27]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[28]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[29]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[2]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[30]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[3]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[4]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[5]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[6]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[7]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[8]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[9]\ : STD_LOGIC; signal \data_sr[10]_i_1_n_0\ : STD_LOGIC; signal \data_sr[12]_i_1_n_0\ : STD_LOGIC; signal \data_sr[13]_i_1_n_0\ : STD_LOGIC; signal \data_sr[14]_i_1_n_0\ : STD_LOGIC; signal \data_sr[15]_i_1_n_0\ : STD_LOGIC; signal \data_sr[16]_i_1_n_0\ : STD_LOGIC; signal \data_sr[17]_i_1_n_0\ : STD_LOGIC; signal \data_sr[18]_i_1_n_0\ : STD_LOGIC; signal \data_sr[19]_i_1_n_0\ : STD_LOGIC; signal \data_sr[22]_i_1_n_0\ : STD_LOGIC; signal \data_sr[27]_i_1_n_0\ : STD_LOGIC; signal \data_sr[30]_i_1_n_0\ : STD_LOGIC; signal \data_sr[31]_i_1_n_0\ : STD_LOGIC; signal \data_sr[31]_i_2_n_0\ : STD_LOGIC; signal \data_sr[3]_i_1_n_0\ : STD_LOGIC; signal \data_sr[4]_i_1_n_0\ : STD_LOGIC; signal \data_sr[5]_i_1_n_0\ : STD_LOGIC; signal \data_sr[6]_i_1_n_0\ : STD_LOGIC; signal \data_sr[7]_i_1_n_0\ : STD_LOGIC; signal \data_sr[8]_i_1_n_0\ : STD_LOGIC; signal \data_sr[9]_i_1_n_0\ : STD_LOGIC; signal \data_sr_reg_n_0_[10]\ : STD_LOGIC; signal \data_sr_reg_n_0_[11]\ : STD_LOGIC; signal \data_sr_reg_n_0_[12]\ : STD_LOGIC; signal \data_sr_reg_n_0_[13]\ : STD_LOGIC; signal \data_sr_reg_n_0_[14]\ : STD_LOGIC; signal \data_sr_reg_n_0_[15]\ : STD_LOGIC; signal \data_sr_reg_n_0_[16]\ : STD_LOGIC; signal \data_sr_reg_n_0_[17]\ : STD_LOGIC; signal \data_sr_reg_n_0_[18]\ : STD_LOGIC; signal \data_sr_reg_n_0_[19]\ : STD_LOGIC; signal \data_sr_reg_n_0_[1]\ : STD_LOGIC; signal \data_sr_reg_n_0_[20]\ : STD_LOGIC; signal \data_sr_reg_n_0_[21]\ : STD_LOGIC; signal \data_sr_reg_n_0_[22]\ : STD_LOGIC; signal \data_sr_reg_n_0_[23]\ : STD_LOGIC; signal \data_sr_reg_n_0_[24]\ : STD_LOGIC; signal \data_sr_reg_n_0_[25]\ : STD_LOGIC; signal \data_sr_reg_n_0_[26]\ : STD_LOGIC; signal \data_sr_reg_n_0_[27]\ : STD_LOGIC; signal \data_sr_reg_n_0_[28]\ : STD_LOGIC; signal \data_sr_reg_n_0_[29]\ : STD_LOGIC; signal \data_sr_reg_n_0_[2]\ : STD_LOGIC; signal \data_sr_reg_n_0_[30]\ : STD_LOGIC; signal \data_sr_reg_n_0_[31]\ : STD_LOGIC; signal \data_sr_reg_n_0_[3]\ : STD_LOGIC; signal \data_sr_reg_n_0_[4]\ : STD_LOGIC; signal \data_sr_reg_n_0_[5]\ : STD_LOGIC; signal \data_sr_reg_n_0_[6]\ : STD_LOGIC; signal \data_sr_reg_n_0_[7]\ : STD_LOGIC; signal \data_sr_reg_n_0_[8]\ : STD_LOGIC; signal \data_sr_reg_n_0_[9]\ : STD_LOGIC; signal \divider_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 6 ); signal \divider_reg__1\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^p_0_in\ : STD_LOGIC; signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_1_in_0 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal sioc_i_1_n_0 : STD_LOGIC; signal sioc_i_2_n_0 : STD_LOGIC; signal sioc_i_3_n_0 : STD_LOGIC; signal sioc_i_4_n_0 : STD_LOGIC; signal sioc_i_5_n_0 : STD_LOGIC; signal siod_INST_0_i_1_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \busy_sr[0]_i_4\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \busy_sr[0]_i_5\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \busy_sr[10]_i_1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \busy_sr[11]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \busy_sr[12]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \busy_sr[13]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \busy_sr[14]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \busy_sr[15]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \busy_sr[16]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \busy_sr[17]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \busy_sr[18]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \busy_sr[19]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \busy_sr[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \busy_sr[20]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \busy_sr[21]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \busy_sr[22]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \busy_sr[23]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \busy_sr[24]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \busy_sr[25]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \busy_sr[26]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \busy_sr[27]_i_1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \busy_sr[28]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \busy_sr[29]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \busy_sr[2]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \busy_sr[30]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \busy_sr[31]_i_2\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \busy_sr[3]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \busy_sr[4]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \busy_sr[5]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \busy_sr[6]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \busy_sr[7]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \busy_sr[8]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \busy_sr[9]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \data_sr[10]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \data_sr[12]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \data_sr[13]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \data_sr[14]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \data_sr[15]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \data_sr[16]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \data_sr[17]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \data_sr[18]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \data_sr[19]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \data_sr[31]_i_2\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \data_sr[3]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \data_sr[4]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \data_sr[5]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \data_sr[6]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \data_sr[7]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \data_sr[8]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \data_sr[9]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \divider[0]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \divider[1]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \divider[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \divider[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \divider[4]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \divider[6]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \divider[7]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of sioc_i_3 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of sioc_i_4 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of sioc_i_5 : label is "soft_lutpair3"; begin \busy_sr_reg[1]_0\ <= \^busy_sr_reg[1]_0\; p_0_in <= \^p_0_in\; \busy_sr[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => \busy_sr[0]_i_3_n_0\, I1 => \divider_reg__0\(6), I2 => \divider_reg__0\(7), I3 => \^p_0_in\, I4 => \^busy_sr_reg[1]_0\, I5 => p_1_in(0), O => busy_sr0 ); \busy_sr[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \divider_reg__1\(4), I1 => \divider_reg__1\(2), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(1), I4 => \divider_reg__1\(3), I5 => \divider_reg__1\(5), O => \busy_sr[0]_i_3_n_0\ ); \busy_sr[0]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \divider_reg__1\(2), I1 => \divider_reg__1\(3), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(1), I4 => \busy_sr[0]_i_5_n_0\, O => \^busy_sr_reg[1]_0\ ); \busy_sr[0]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \divider_reg__1\(5), I1 => \divider_reg__1\(4), I2 => \divider_reg__0\(7), I3 => \divider_reg__0\(6), O => \busy_sr[0]_i_5_n_0\ ); \busy_sr[10]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[9]\, I1 => \^p_0_in\, O => \busy_sr[10]_i_1_n_0\ ); \busy_sr[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[10]\, I1 => \^p_0_in\, O => \busy_sr[11]_i_1_n_0\ ); \busy_sr[12]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[11]\, I1 => \^p_0_in\, O => \busy_sr[12]_i_1_n_0\ ); \busy_sr[13]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[12]\, I1 => \^p_0_in\, O => \busy_sr[13]_i_1_n_0\ ); \busy_sr[14]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[13]\, I1 => \^p_0_in\, O => \busy_sr[14]_i_1_n_0\ ); \busy_sr[15]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[14]\, I1 => \^p_0_in\, O => \busy_sr[15]_i_1_n_0\ ); \busy_sr[16]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[15]\, I1 => \^p_0_in\, O => \busy_sr[16]_i_1_n_0\ ); \busy_sr[17]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[16]\, I1 => \^p_0_in\, O => \busy_sr[17]_i_1_n_0\ ); \busy_sr[18]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[17]\, I1 => \^p_0_in\, O => \busy_sr[18]_i_1_n_0\ ); \busy_sr[19]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[18]\, I1 => \^p_0_in\, O => \busy_sr[19]_i_1_n_0\ ); \busy_sr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[0]\, I1 => \^p_0_in\, O => \busy_sr[1]_i_1_n_0\ ); \busy_sr[20]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => p_1_in_0(0), I1 => \^p_0_in\, O => \busy_sr[20]_i_1_n_0\ ); \busy_sr[21]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => p_1_in_0(1), I1 => \^p_0_in\, O => \busy_sr[21]_i_1_n_0\ ); \busy_sr[22]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[21]\, I1 => \^p_0_in\, O => \busy_sr[22]_i_1_n_0\ ); \busy_sr[23]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[22]\, I1 => \^p_0_in\, O => \busy_sr[23]_i_1_n_0\ ); \busy_sr[24]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[23]\, I1 => \^p_0_in\, O => \busy_sr[24]_i_1_n_0\ ); \busy_sr[25]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[24]\, I1 => \^p_0_in\, O => \busy_sr[25]_i_1_n_0\ ); \busy_sr[26]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[25]\, I1 => \^p_0_in\, O => \busy_sr[26]_i_1_n_0\ ); \busy_sr[27]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[26]\, I1 => \^p_0_in\, O => \busy_sr[27]_i_1_n_0\ ); \busy_sr[28]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[27]\, I1 => \^p_0_in\, O => \busy_sr[28]_i_1_n_0\ ); \busy_sr[29]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[28]\, I1 => \^p_0_in\, O => \busy_sr[29]_i_1_n_0\ ); \busy_sr[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[1]\, I1 => \^p_0_in\, O => \busy_sr[2]_i_1_n_0\ ); \busy_sr[30]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[29]\, I1 => \^p_0_in\, O => \busy_sr[30]_i_1_n_0\ ); \busy_sr[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"22222222A2222222" ) port map ( I0 => p_1_in(0), I1 => \^busy_sr_reg[1]_0\, I2 => \^p_0_in\, I3 => \divider_reg__0\(7), I4 => \divider_reg__0\(6), I5 => \busy_sr[0]_i_3_n_0\, O => \busy_sr[31]_i_1_n_0\ ); \busy_sr[31]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^p_0_in\, I1 => \busy_sr_reg_n_0_[30]\, O => \busy_sr[31]_i_2_n_0\ ); \busy_sr[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[2]\, I1 => \^p_0_in\, O => \busy_sr[3]_i_1_n_0\ ); \busy_sr[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[3]\, I1 => \^p_0_in\, O => \busy_sr[4]_i_1_n_0\ ); \busy_sr[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[4]\, I1 => \^p_0_in\, O => \busy_sr[5]_i_1_n_0\ ); \busy_sr[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[5]\, I1 => \^p_0_in\, O => \busy_sr[6]_i_1_n_0\ ); \busy_sr[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[6]\, I1 => \^p_0_in\, O => \busy_sr[7]_i_1_n_0\ ); \busy_sr[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[7]\, I1 => \^p_0_in\, O => \busy_sr[8]_i_1_n_0\ ); \busy_sr[9]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[8]\, I1 => \^p_0_in\, O => \busy_sr[9]_i_1_n_0\ ); \busy_sr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => p_1_in(0), Q => \busy_sr_reg_n_0_[0]\, R => '0' ); \busy_sr_reg[10]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[10]_i_1_n_0\, Q => \busy_sr_reg_n_0_[10]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[11]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[11]_i_1_n_0\, Q => \busy_sr_reg_n_0_[11]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[12]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[12]_i_1_n_0\, Q => \busy_sr_reg_n_0_[12]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[13]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[13]_i_1_n_0\, Q => \busy_sr_reg_n_0_[13]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[14]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[14]_i_1_n_0\, Q => \busy_sr_reg_n_0_[14]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[15]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[15]_i_1_n_0\, Q => \busy_sr_reg_n_0_[15]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[16]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[16]_i_1_n_0\, Q => \busy_sr_reg_n_0_[16]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[17]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[17]_i_1_n_0\, Q => \busy_sr_reg_n_0_[17]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[18]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[18]_i_1_n_0\, Q => \busy_sr_reg_n_0_[18]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[19]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[19]_i_1_n_0\, Q => p_1_in_0(0), S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[1]_i_1_n_0\, Q => \busy_sr_reg_n_0_[1]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[20]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[20]_i_1_n_0\, Q => p_1_in_0(1), S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[21]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[21]_i_1_n_0\, Q => \busy_sr_reg_n_0_[21]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[22]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[22]_i_1_n_0\, Q => \busy_sr_reg_n_0_[22]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[23]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[23]_i_1_n_0\, Q => \busy_sr_reg_n_0_[23]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[24]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[24]_i_1_n_0\, Q => \busy_sr_reg_n_0_[24]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[25]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[25]_i_1_n_0\, Q => \busy_sr_reg_n_0_[25]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[26]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[26]_i_1_n_0\, Q => \busy_sr_reg_n_0_[26]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[27]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[27]_i_1_n_0\, Q => \busy_sr_reg_n_0_[27]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[28]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[28]_i_1_n_0\, Q => \busy_sr_reg_n_0_[28]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[29]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[29]_i_1_n_0\, Q => \busy_sr_reg_n_0_[29]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[2]_i_1_n_0\, Q => \busy_sr_reg_n_0_[2]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[30]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[30]_i_1_n_0\, Q => \busy_sr_reg_n_0_[30]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[31]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[31]_i_2_n_0\, Q => \^p_0_in\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[3]_i_1_n_0\, Q => \busy_sr_reg_n_0_[3]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[4]_i_1_n_0\, Q => \busy_sr_reg_n_0_[4]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[5]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[5]_i_1_n_0\, Q => \busy_sr_reg_n_0_[5]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[6]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[6]_i_1_n_0\, Q => \busy_sr_reg_n_0_[6]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[7]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[7]_i_1_n_0\, Q => \busy_sr_reg_n_0_[7]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[8]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[8]_i_1_n_0\, Q => \busy_sr_reg_n_0_[8]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[9]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[9]_i_1_n_0\, Q => \busy_sr_reg_n_0_[9]\, S => \busy_sr[31]_i_1_n_0\ ); \data_sr[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[9]\, I1 => \^p_0_in\, I2 => DOADO(7), O => \data_sr[10]_i_1_n_0\ ); \data_sr[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[11]\, I1 => \^p_0_in\, I2 => DOADO(8), O => \data_sr[12]_i_1_n_0\ ); \data_sr[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[12]\, I1 => \^p_0_in\, I2 => DOADO(9), O => \data_sr[13]_i_1_n_0\ ); \data_sr[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[13]\, I1 => \^p_0_in\, I2 => DOADO(10), O => \data_sr[14]_i_1_n_0\ ); \data_sr[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[14]\, I1 => \^p_0_in\, I2 => DOADO(11), O => \data_sr[15]_i_1_n_0\ ); \data_sr[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[15]\, I1 => \^p_0_in\, I2 => DOADO(12), O => \data_sr[16]_i_1_n_0\ ); \data_sr[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[16]\, I1 => \^p_0_in\, I2 => DOADO(13), O => \data_sr[17]_i_1_n_0\ ); \data_sr[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[17]\, I1 => \^p_0_in\, I2 => DOADO(14), O => \data_sr[18]_i_1_n_0\ ); \data_sr[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[18]\, I1 => \^p_0_in\, I2 => DOADO(15), O => \data_sr[19]_i_1_n_0\ ); \data_sr[22]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CFCFCFCFAACAAAAA" ) port map ( I0 => \data_sr_reg_n_0_[22]\, I1 => \data_sr_reg_n_0_[21]\, I2 => \^p_0_in\, I3 => \data_sr[31]_i_2_n_0\, I4 => \divider_reg__0\(7), I5 => \busy_sr_reg[31]_0\, O => \data_sr[22]_i_1_n_0\ ); \data_sr[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CFCFCFCFAACAAAAA" ) port map ( I0 => \data_sr_reg_n_0_[27]\, I1 => \data_sr_reg_n_0_[26]\, I2 => \^p_0_in\, I3 => \data_sr[31]_i_2_n_0\, I4 => \divider_reg__0\(7), I5 => \busy_sr_reg[31]_0\, O => \data_sr[27]_i_1_n_0\ ); \data_sr[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => p_1_in(0), I1 => \^busy_sr_reg[1]_0\, I2 => \^p_0_in\, O => \data_sr[30]_i_1_n_0\ ); \data_sr[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CFCFCFCFAACAAAAA" ) port map ( I0 => \data_sr_reg_n_0_[31]\, I1 => \data_sr_reg_n_0_[30]\, I2 => \^p_0_in\, I3 => \data_sr[31]_i_2_n_0\, I4 => \divider_reg__0\(7), I5 => \busy_sr_reg[31]_0\, O => \data_sr[31]_i_1_n_0\ ); \data_sr[31]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \busy_sr[0]_i_3_n_0\, I1 => \divider_reg__0\(6), O => \data_sr[31]_i_2_n_0\ ); \data_sr[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[2]\, I1 => \^p_0_in\, I2 => DOADO(0), O => \data_sr[3]_i_1_n_0\ ); \data_sr[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[3]\, I1 => \^p_0_in\, I2 => DOADO(1), O => \data_sr[4]_i_1_n_0\ ); \data_sr[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[4]\, I1 => \^p_0_in\, I2 => DOADO(2), O => \data_sr[5]_i_1_n_0\ ); \data_sr[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[5]\, I1 => \^p_0_in\, I2 => DOADO(3), O => \data_sr[6]_i_1_n_0\ ); \data_sr[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[6]\, I1 => \^p_0_in\, I2 => DOADO(4), O => \data_sr[7]_i_1_n_0\ ); \data_sr[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[7]\, I1 => \^p_0_in\, I2 => DOADO(5), O => \data_sr[8]_i_1_n_0\ ); \data_sr[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[8]\, I1 => \^p_0_in\, I2 => DOADO(6), O => \data_sr[9]_i_1_n_0\ ); \data_sr_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[10]_i_1_n_0\, Q => \data_sr_reg_n_0_[10]\, R => '0' ); \data_sr_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[10]\, Q => \data_sr_reg_n_0_[11]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[12]_i_1_n_0\, Q => \data_sr_reg_n_0_[12]\, R => '0' ); \data_sr_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[13]_i_1_n_0\, Q => \data_sr_reg_n_0_[13]\, R => '0' ); \data_sr_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[14]_i_1_n_0\, Q => \data_sr_reg_n_0_[14]\, R => '0' ); \data_sr_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[15]_i_1_n_0\, Q => \data_sr_reg_n_0_[15]\, R => '0' ); \data_sr_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[16]_i_1_n_0\, Q => \data_sr_reg_n_0_[16]\, R => '0' ); \data_sr_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[17]_i_1_n_0\, Q => \data_sr_reg_n_0_[17]\, R => '0' ); \data_sr_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[18]_i_1_n_0\, Q => \data_sr_reg_n_0_[18]\, R => '0' ); \data_sr_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[19]_i_1_n_0\, Q => \data_sr_reg_n_0_[19]\, R => '0' ); \data_sr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \^p_0_in\, Q => \data_sr_reg_n_0_[1]\, R => '0' ); \data_sr_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[19]\, Q => \data_sr_reg_n_0_[20]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[20]\, Q => \data_sr_reg_n_0_[21]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \data_sr[22]_i_1_n_0\, Q => \data_sr_reg_n_0_[22]\, R => '0' ); \data_sr_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[22]\, Q => \data_sr_reg_n_0_[23]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[23]\, Q => \data_sr_reg_n_0_[24]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[24]\, Q => \data_sr_reg_n_0_[25]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[25]\, Q => \data_sr_reg_n_0_[26]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \data_sr[27]_i_1_n_0\, Q => \data_sr_reg_n_0_[27]\, R => '0' ); \data_sr_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[27]\, Q => \data_sr_reg_n_0_[28]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[28]\, Q => \data_sr_reg_n_0_[29]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[1]\, Q => \data_sr_reg_n_0_[2]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[29]\, Q => \data_sr_reg_n_0_[30]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \data_sr[31]_i_1_n_0\, Q => \data_sr_reg_n_0_[31]\, R => '0' ); \data_sr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[3]_i_1_n_0\, Q => \data_sr_reg_n_0_[3]\, R => '0' ); \data_sr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[4]_i_1_n_0\, Q => \data_sr_reg_n_0_[4]\, R => '0' ); \data_sr_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[5]_i_1_n_0\, Q => \data_sr_reg_n_0_[5]\, R => '0' ); \data_sr_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[6]_i_1_n_0\, Q => \data_sr_reg_n_0_[6]\, R => '0' ); \data_sr_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[7]_i_1_n_0\, Q => \data_sr_reg_n_0_[7]\, R => '0' ); \data_sr_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[8]_i_1_n_0\, Q => \data_sr_reg_n_0_[8]\, R => '0' ); \data_sr_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[9]_i_1_n_0\, Q => \data_sr_reg_n_0_[9]\, R => '0' ); \divider[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \divider_reg__1\(0), O => \p_0_in__0\(0) ); \divider[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \divider_reg__1\(0), I1 => \divider_reg__1\(1), O => \p_0_in__0\(1) ); \divider[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \divider_reg__1\(1), I1 => \divider_reg__1\(0), I2 => \divider_reg__1\(2), O => \p_0_in__0\(2) ); \divider[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \divider_reg__1\(2), I1 => \divider_reg__1\(0), I2 => \divider_reg__1\(1), I3 => \divider_reg__1\(3), O => \p_0_in__0\(3) ); \divider[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \divider_reg__1\(3), I1 => \divider_reg__1\(1), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(2), I4 => \divider_reg__1\(4), O => \p_0_in__0\(4) ); \divider[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \divider_reg__1\(4), I1 => \divider_reg__1\(2), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(1), I4 => \divider_reg__1\(3), I5 => \divider_reg__1\(5), O => \p_0_in__0\(5) ); \divider[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \busy_sr[0]_i_3_n_0\, I1 => \divider_reg__0\(6), O => \p_0_in__0\(6) ); \divider[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => \divider_reg__0\(6), I1 => \busy_sr[0]_i_3_n_0\, I2 => \divider_reg__0\(7), O => \p_0_in__0\(7) ); \divider_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(0), Q => \divider_reg__1\(0), R => '0' ); \divider_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(1), Q => \divider_reg__1\(1), R => '0' ); \divider_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(2), Q => \divider_reg__1\(2), R => '0' ); \divider_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(3), Q => \divider_reg__1\(3), R => '0' ); \divider_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(4), Q => \divider_reg__1\(4), R => '0' ); \divider_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(5), Q => \divider_reg__1\(5), R => '0' ); \divider_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(6), Q => \divider_reg__0\(6), R => '0' ); \divider_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(7), Q => \divider_reg__0\(7), R => '0' ); sioc_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FCFCFFF8FFFFFFFF" ) port map ( I0 => \busy_sr_reg_n_0_[0]\, I1 => sioc_i_2_n_0, I2 => sioc_i_3_n_0, I3 => \busy_sr_reg_n_0_[1]\, I4 => sioc_i_4_n_0, I5 => \^p_0_in\, O => sioc_i_1_n_0 ); sioc_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \divider_reg__0\(6), I1 => \divider_reg__0\(7), O => sioc_i_2_n_0 ); sioc_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"A222" ) port map ( I0 => sioc_i_5_n_0, I1 => \busy_sr_reg_n_0_[30]\, I2 => \divider_reg__0\(6), I3 => \^p_0_in\, O => sioc_i_3_n_0 ); sioc_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \busy_sr_reg_n_0_[29]\, I1 => \busy_sr_reg_n_0_[2]\, I2 => \^p_0_in\, I3 => \busy_sr_reg_n_0_[30]\, O => sioc_i_4_n_0 ); sioc_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \busy_sr_reg_n_0_[0]\, I1 => \busy_sr_reg_n_0_[1]\, I2 => \busy_sr_reg_n_0_[29]\, I3 => \busy_sr_reg_n_0_[2]\, O => sioc_i_5_n_0 ); sioc_reg: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => sioc_i_1_n_0, Q => sioc, R => '0' ); siod_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \data_sr_reg_n_0_[31]\, I1 => siod_INST_0_i_1_n_0, O => siod ); siod_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"B0BBB0BB0000B0BB" ) port map ( I0 => \busy_sr_reg_n_0_[28]\, I1 => \busy_sr_reg_n_0_[29]\, I2 => p_1_in_0(0), I3 => p_1_in_0(1), I4 => \busy_sr_reg_n_0_[11]\, I5 => \busy_sr_reg_n_0_[10]\, O => siod_INST_0_i_1_n_0 ); taken_reg: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \busy_sr_reg[31]_0\, Q => E(0), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_1_0_ov7670_registers is port ( DOADO : out STD_LOGIC_VECTOR ( 15 downto 0 ); \divider_reg[7]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); config_finished : out STD_LOGIC; taken_reg : out STD_LOGIC; p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 ); clk : in STD_LOGIC; \divider_reg[2]\ : in STD_LOGIC; p_0_in : in STD_LOGIC; resend : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_controller_1_0_ov7670_registers : entity is "ov7670_registers"; end system_ov7670_controller_1_0_ov7670_registers; architecture STRUCTURE of system_ov7670_controller_1_0_ov7670_registers is signal \^doado\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal address : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \address_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \address_rep[0]_i_1_n_0\ : STD_LOGIC; signal \address_rep[1]_i_1_n_0\ : STD_LOGIC; signal \address_rep[2]_i_1_n_0\ : STD_LOGIC; signal \address_rep[3]_i_1_n_0\ : STD_LOGIC; signal \address_rep[4]_i_1_n_0\ : STD_LOGIC; signal \address_rep[5]_i_1_n_0\ : STD_LOGIC; signal \address_rep[6]_i_1_n_0\ : STD_LOGIC; signal \address_rep[7]_i_1_n_0\ : STD_LOGIC; signal \address_rep[7]_i_2_n_0\ : STD_LOGIC; signal config_finished_INST_0_i_1_n_0 : STD_LOGIC; signal config_finished_INST_0_i_2_n_0 : STD_LOGIC; signal config_finished_INST_0_i_3_n_0 : STD_LOGIC; signal config_finished_INST_0_i_4_n_0 : STD_LOGIC; signal NLW_sreg_reg_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_sreg_reg_DOPADOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_sreg_reg_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute equivalent_register_removal : string; attribute equivalent_register_removal of \address_reg[0]\ : label is "no"; attribute equivalent_register_removal of \address_reg[1]\ : label is "no"; attribute equivalent_register_removal of \address_reg[2]\ : label is "no"; attribute equivalent_register_removal of \address_reg[3]\ : label is "no"; attribute equivalent_register_removal of \address_reg[4]\ : label is "no"; attribute equivalent_register_removal of \address_reg[5]\ : label is "no"; attribute equivalent_register_removal of \address_reg[6]\ : label is "no"; attribute equivalent_register_removal of \address_reg[7]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[0]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[1]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[2]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[3]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[4]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[5]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[6]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[7]\ : label is "no"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \address_rep[1]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \address_rep[2]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \address_rep[3]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \address_rep[4]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \address_rep[6]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \address_rep[7]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \busy_sr[0]_i_2\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of config_finished_INST_0 : label is "soft_lutpair30"; attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of sreg_reg : label is "INDEPENDENT"; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of sreg_reg : label is "p0_d16"; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of sreg_reg : label is "{SYNTH-6 {cell *THIS*}}"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of sreg_reg : label is 4096; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of sreg_reg : label is "U0/Inst_ov7670_registers/sreg"; attribute bram_addr_begin : integer; attribute bram_addr_begin of sreg_reg : label is 0; attribute bram_addr_end : integer; attribute bram_addr_end of sreg_reg : label is 1023; attribute bram_slice_begin : integer; attribute bram_slice_begin of sreg_reg : label is 0; attribute bram_slice_end : integer; attribute bram_slice_end of sreg_reg : label is 15; begin DOADO(15 downto 0) <= \^doado\(15 downto 0); \address_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[0]_i_1_n_0\, Q => \address_reg__0\(0), R => resend ); \address_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[1]_i_1_n_0\, Q => \address_reg__0\(1), R => resend ); \address_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[2]_i_1_n_0\, Q => \address_reg__0\(2), R => resend ); \address_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[3]_i_1_n_0\, Q => \address_reg__0\(3), R => resend ); \address_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[4]_i_1_n_0\, Q => \address_reg__0\(4), R => resend ); \address_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[5]_i_1_n_0\, Q => \address_reg__0\(5), R => resend ); \address_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[6]_i_1_n_0\, Q => \address_reg__0\(6), R => resend ); \address_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[7]_i_1_n_0\, Q => \address_reg__0\(7), R => resend ); \address_reg_rep[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[0]_i_1_n_0\, Q => address(0), R => resend ); \address_reg_rep[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[1]_i_1_n_0\, Q => address(1), R => resend ); \address_reg_rep[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[2]_i_1_n_0\, Q => address(2), R => resend ); \address_reg_rep[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[3]_i_1_n_0\, Q => address(3), R => resend ); \address_reg_rep[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[4]_i_1_n_0\, Q => address(4), R => resend ); \address_reg_rep[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[5]_i_1_n_0\, Q => address(5), R => resend ); \address_reg_rep[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[6]_i_1_n_0\, Q => address(6), R => resend ); \address_reg_rep[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[7]_i_1_n_0\, Q => address(7), R => resend ); \address_rep[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \address_reg__0\(0), O => \address_rep[0]_i_1_n_0\ ); \address_rep[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \address_reg__0\(0), I1 => \address_reg__0\(1), O => \address_rep[1]_i_1_n_0\ ); \address_rep[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \address_reg__0\(1), I1 => \address_reg__0\(0), I2 => \address_reg__0\(2), O => \address_rep[2]_i_1_n_0\ ); \address_rep[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \address_reg__0\(2), I1 => \address_reg__0\(0), I2 => \address_reg__0\(1), I3 => \address_reg__0\(3), O => \address_rep[3]_i_1_n_0\ ); \address_rep[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \address_reg__0\(3), I1 => \address_reg__0\(1), I2 => \address_reg__0\(0), I3 => \address_reg__0\(2), I4 => \address_reg__0\(4), O => \address_rep[4]_i_1_n_0\ ); \address_rep[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \address_reg__0\(4), I1 => \address_reg__0\(2), I2 => \address_reg__0\(0), I3 => \address_reg__0\(1), I4 => \address_reg__0\(3), I5 => \address_reg__0\(5), O => \address_rep[5]_i_1_n_0\ ); \address_rep[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \address_rep[7]_i_2_n_0\, I1 => \address_reg__0\(6), O => \address_rep[6]_i_1_n_0\ ); \address_rep[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => \address_reg__0\(6), I1 => \address_rep[7]_i_2_n_0\, I2 => \address_reg__0\(7), O => \address_rep[7]_i_1_n_0\ ); \address_rep[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \address_reg__0\(4), I1 => \address_reg__0\(2), I2 => \address_reg__0\(0), I3 => \address_reg__0\(1), I4 => \address_reg__0\(3), I5 => \address_reg__0\(5), O => \address_rep[7]_i_2_n_0\ ); \busy_sr[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0000FFFE" ) port map ( I0 => config_finished_INST_0_i_4_n_0, I1 => config_finished_INST_0_i_3_n_0, I2 => config_finished_INST_0_i_2_n_0, I3 => config_finished_INST_0_i_1_n_0, I4 => p_0_in, O => p_1_in(0) ); config_finished_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => config_finished_INST_0_i_1_n_0, I1 => config_finished_INST_0_i_2_n_0, I2 => config_finished_INST_0_i_3_n_0, I3 => config_finished_INST_0_i_4_n_0, O => config_finished ); config_finished_INST_0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(5), I1 => \^doado\(4), I2 => \^doado\(7), I3 => \^doado\(6), O => config_finished_INST_0_i_1_n_0 ); config_finished_INST_0_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(1), I1 => \^doado\(0), I2 => \^doado\(3), I3 => \^doado\(2), O => config_finished_INST_0_i_2_n_0 ); config_finished_INST_0_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(13), I1 => \^doado\(12), I2 => \^doado\(15), I3 => \^doado\(14), O => config_finished_INST_0_i_3_n_0 ); config_finished_INST_0_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(9), I1 => \^doado\(8), I2 => \^doado\(11), I3 => \^doado\(10), O => config_finished_INST_0_i_4_n_0 ); \divider[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFE0000" ) port map ( I0 => config_finished_INST_0_i_1_n_0, I1 => config_finished_INST_0_i_2_n_0, I2 => config_finished_INST_0_i_3_n_0, I3 => config_finished_INST_0_i_4_n_0, I4 => \divider_reg[2]\, I5 => p_0_in, O => \divider_reg[7]\(0) ); sreg_reg: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"53295217510C50344F4014383A04401004008C003E000C001100120412801280", INIT_01 => X"229121021E3716020F4B0E61030A1A7B190332A41861171111003DC0581E5440", INIT_02 => X"90008F008E008D4F74106B4A69004E204D403C78392A3871371D350B330B2907", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB80AB382B20EB10CB0849A0096009100", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 18, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 0 ) port map ( ADDRARDADDR(13 downto 12) => B"00", ADDRARDADDR(11 downto 4) => address(7 downto 0), ADDRARDADDR(3 downto 0) => B"0000", ADDRBWRADDR(13 downto 0) => B"11111111111111", CLKARDCLK => clk, CLKBWRCLK => '0', DIADI(15 downto 0) => B"1111111111111111", DIBDI(15 downto 0) => B"1111111111111111", DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => B"11", DOADO(15 downto 0) => \^doado\(15 downto 0), DOBDO(15 downto 0) => NLW_sreg_reg_DOBDO_UNCONNECTED(15 downto 0), DOPADOP(1 downto 0) => NLW_sreg_reg_DOPADOP_UNCONNECTED(1 downto 0), DOPBDOP(1 downto 0) => NLW_sreg_reg_DOPBDOP_UNCONNECTED(1 downto 0), ENARDEN => '1', ENBWREN => '0', REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1 downto 0) => B"00", WEBWE(3 downto 0) => B"0000" ); taken_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000055555554" ) port map ( I0 => p_0_in, I1 => config_finished_INST_0_i_1_n_0, I2 => config_finished_INST_0_i_2_n_0, I3 => config_finished_INST_0_i_3_n_0, I4 => config_finished_INST_0_i_4_n_0, I5 => \divider_reg[2]\, O => taken_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_1_0_ov7670_controller is port ( config_finished : out STD_LOGIC; siod : out STD_LOGIC; xclk : out STD_LOGIC; sioc : out STD_LOGIC; resend : in STD_LOGIC; clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_controller_1_0_ov7670_controller : entity is "ov7670_controller"; end system_ov7670_controller_1_0_ov7670_controller; architecture STRUCTURE of system_ov7670_controller_1_0_ov7670_controller is signal Inst_i2c_sender_n_3 : STD_LOGIC; signal Inst_ov7670_registers_n_16 : STD_LOGIC; signal Inst_ov7670_registers_n_18 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 ); signal sreg_reg : STD_LOGIC_VECTOR ( 15 downto 0 ); signal sys_clk_i_1_n_0 : STD_LOGIC; signal taken : STD_LOGIC; signal \^xclk\ : STD_LOGIC; begin xclk <= \^xclk\; Inst_i2c_sender: entity work.system_ov7670_controller_1_0_i2c_sender port map ( DOADO(15 downto 0) => sreg_reg(15 downto 0), E(0) => taken, \busy_sr_reg[1]_0\ => Inst_i2c_sender_n_3, \busy_sr_reg[31]_0\ => Inst_ov7670_registers_n_18, \busy_sr_reg[31]_1\(0) => Inst_ov7670_registers_n_16, clk => clk, p_0_in => p_0_in, p_1_in(0) => p_1_in(0), sioc => sioc, siod => siod ); Inst_ov7670_registers: entity work.system_ov7670_controller_1_0_ov7670_registers port map ( DOADO(15 downto 0) => sreg_reg(15 downto 0), E(0) => taken, clk => clk, config_finished => config_finished, \divider_reg[2]\ => Inst_i2c_sender_n_3, \divider_reg[7]\(0) => Inst_ov7670_registers_n_16, p_0_in => p_0_in, p_1_in(0) => p_1_in(0), resend => resend, taken_reg => Inst_ov7670_registers_n_18 ); sys_clk_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^xclk\, O => sys_clk_i_1_n_0 ); sys_clk_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => sys_clk_i_1_n_0, Q => \^xclk\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_1_0 is port ( clk : in STD_LOGIC; resend : in STD_LOGIC; config_finished : out STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC; reset : out STD_LOGIC; pwdn : out STD_LOGIC; xclk : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_ov7670_controller_1_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_ov7670_controller_1_0 : entity is "system_ov7670_controller_1_0,ov7670_controller,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_ov7670_controller_1_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_ov7670_controller_1_0 : entity is "ov7670_controller,Vivado 2016.4"; end system_ov7670_controller_1_0; architecture STRUCTURE of system_ov7670_controller_1_0 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin pwdn <= \<const0>\; reset <= \<const1>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); U0: entity work.system_ov7670_controller_1_0_ov7670_controller port map ( clk => clk, config_finished => config_finished, resend => resend, sioc => sioc, siod => siod, xclk => xclk ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ipshared/e67f/i2c_sender.vhd
8
4935
---------------------------------------------------------------------------------- -- Engineer: <[email protected] -- -- Description: Send the commands to the OV7670 over an I2C-like interface ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity i2c_sender is port ( clk: in std_logic; siod: inout std_logic; sioc: out std_logic; taken: out std_logic; send: in std_logic; id: in std_logic_vector(7 downto 0); reg: in std_logic_vector(7 downto 0); value: in std_logic_vector(7 downto 0) ); end i2c_sender; architecture Structural of i2c_sender is -- this value gives a 254 cycle pause before the initial frame is sent signal divider : unsigned (7 downto 0) := "00000001"; signal busy_sr : std_logic_vector(31 downto 0) := (others => '0'); signal data_sr : std_logic_vector(31 downto 0) := (others => '1'); begin process(busy_sr, data_sr(31)) begin if busy_sr(11 downto 10) = "10" or busy_sr(20 downto 19) = "10" or busy_sr(29 downto 28) = "10" then siod <= 'Z'; else siod <= data_sr(31); end if; end process; process(clk) begin if rising_edge(clk) then taken <= '0'; if busy_sr(31) = '0' then SIOC <= '1'; if send = '1' then if divider = "00000000" then data_sr <= "100" & id & '0' & reg & '0' & value & '0' & "01"; busy_sr <= "111" & "111111111" & "111111111" & "111111111" & "11"; taken <= '1'; else divider <= divider+1; -- this only happens on powerup end if; end if; else case busy_sr(32-1 downto 32-3) & busy_sr(2 downto 0) is when "111"&"111" => -- start seq #1 case divider(7 downto 6) is when "00" => SIOC <= '1'; when "01" => SIOC <= '1'; when "10" => SIOC <= '1'; when others => SIOC <= '1'; end case; when "111"&"110" => -- start seq #2 case divider(7 downto 6) is when "00" => SIOC <= '1'; when "01" => SIOC <= '1'; when "10" => SIOC <= '1'; when others => SIOC <= '1'; end case; when "111"&"100" => -- start seq #3 case divider(7 downto 6) is when "00" => SIOC <= '0'; when "01" => SIOC <= '0'; when "10" => SIOC <= '0'; when others => SIOC <= '0'; end case; when "110"&"000" => -- end seq #1 case divider(7 downto 6) is when "00" => SIOC <= '0'; when "01" => SIOC <= '1'; when "10" => SIOC <= '1'; when others => SIOC <= '1'; end case; when "100"&"000" => -- end seq #2 case divider(7 downto 6) is when "00" => SIOC <= '1'; when "01" => SIOC <= '1'; when "10" => SIOC <= '1'; when others => SIOC <= '1'; end case; when "000"&"000" => -- Idle case divider(7 downto 6) is when "00" => SIOC <= '1'; when "01" => SIOC <= '1'; when "10" => SIOC <= '1'; when others => SIOC <= '1'; end case; when others => case divider(7 downto 6) is when "00" => SIOC <= '0'; when "01" => SIOC <= '1'; when "10" => SIOC <= '1'; when others => SIOC <= '0'; end case; end case; if divider = "11111111" then busy_sr <= busy_sr(32-2 downto 0) & '0'; data_sr <= data_sr(32-2 downto 0) & '1'; divider <= (others => '0'); else divider <= divider+1; end if; end if; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_ieee754_fp_multiplier_1_2/synth/affine_block_ieee754_fp_multiplier_1_2.vhd
2
4008
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ieee754_fp_multiplier:1.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY affine_block_ieee754_fp_multiplier_1_2 IS PORT ( x : IN STD_LOGIC_VECTOR(31 DOWNTO 0); y : IN STD_LOGIC_VECTOR(31 DOWNTO 0); z : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END affine_block_ieee754_fp_multiplier_1_2; ARCHITECTURE affine_block_ieee754_fp_multiplier_1_2_arch OF affine_block_ieee754_fp_multiplier_1_2 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF affine_block_ieee754_fp_multiplier_1_2_arch: ARCHITECTURE IS "yes"; COMPONENT ieee754_fp_multiplier IS PORT ( x : IN STD_LOGIC_VECTOR(31 DOWNTO 0); y : IN STD_LOGIC_VECTOR(31 DOWNTO 0); z : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT ieee754_fp_multiplier; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF affine_block_ieee754_fp_multiplier_1_2_arch: ARCHITECTURE IS "ieee754_fp_multiplier,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF affine_block_ieee754_fp_multiplier_1_2_arch : ARCHITECTURE IS "affine_block_ieee754_fp_multiplier_1_2,ieee754_fp_multiplier,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF affine_block_ieee754_fp_multiplier_1_2_arch: ARCHITECTURE IS "affine_block_ieee754_fp_multiplier_1_2,ieee754_fp_multiplier,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ieee754_fp_multiplier,x_ipVersion=1.0,x_ipCoreRevision=5,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : ieee754_fp_multiplier PORT MAP ( x => x, y => y, z => z ); END affine_block_ieee754_fp_multiplier_1_2_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_comparator_0_0/system_comparator_0_0_stub.vhdl
1
1368
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sat May 27 21:33:31 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/ZyboIP/examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_comparator_0_0/system_comparator_0_0_stub.vhdl -- Design : system_comparator_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_comparator_0_0 is Port ( x : in STD_LOGIC_VECTOR ( 31 downto 0 ); y : in STD_LOGIC_VECTOR ( 31 downto 0 ); z : out STD_LOGIC ); end system_comparator_0_0; architecture stub of system_comparator_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "x[31:0],y[31:0],z"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "comparator,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_vga_hessian_0_0/sim/system_vga_hessian_0_0.vhd
1
3768
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_hessian:1.0 -- IP Revision: 40 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_hessian_0_0 IS PORT ( clk_x16 : IN STD_LOGIC; active : IN STD_LOGIC; rst : IN STD_LOGIC; x_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); g_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hessian_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_vga_hessian_0_0; ARCHITECTURE system_vga_hessian_0_0_arch OF system_vga_hessian_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_hessian_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_hessian IS GENERIC ( ROW_WIDTH : INTEGER ); PORT ( clk_x16 : IN STD_LOGIC; active : IN STD_LOGIC; rst : IN STD_LOGIC; x_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr : IN STD_LOGIC_VECTOR(9 DOWNTO 0); g_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); hessian_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT vga_hessian; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_hessian GENERIC MAP ( ROW_WIDTH => 640 ) PORT MAP ( clk_x16 => clk_x16, active => active, rst => rst, x_addr => x_addr, y_addr => y_addr, g_in => g_in, hessian_out => hessian_out ); END system_vga_hessian_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/video_passthrough/video_passthrough.srcs/sources_1/bd/system/ip/system_zybo_hdmi_0_0/sim/system_zybo_hdmi_0_0.vhd
2
3819
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:zybo_hdmi:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_zybo_hdmi_0_0 IS PORT ( clk_125 : IN STD_LOGIC; clk_25 : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; active : IN STD_LOGIC; rgb : IN STD_LOGIC_VECTOR(23 DOWNTO 0); tmds : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); tmdsb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hdmi_cec : IN STD_LOGIC; hdmi_hpd : IN STD_LOGIC; hdmi_out_en : OUT STD_LOGIC ); END system_zybo_hdmi_0_0; ARCHITECTURE system_zybo_hdmi_0_0_arch OF system_zybo_hdmi_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_zybo_hdmi_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT zybo_hdmi IS PORT ( clk_125 : IN STD_LOGIC; clk_25 : IN STD_LOGIC; hsync : IN STD_LOGIC; vsync : IN STD_LOGIC; active : IN STD_LOGIC; rgb : IN STD_LOGIC_VECTOR(23 DOWNTO 0); tmds : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); tmdsb : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); hdmi_cec : IN STD_LOGIC; hdmi_hpd : IN STD_LOGIC; hdmi_out_en : OUT STD_LOGIC ); END COMPONENT zybo_hdmi; BEGIN U0 : zybo_hdmi PORT MAP ( clk_125 => clk_125, clk_25 => clk_25, hsync => hsync, vsync => vsync, active => active, rgb => rgb, tmds => tmds, tmdsb => tmdsb, hdmi_cec => hdmi_cec, hdmi_hpd => hdmi_hpd, hdmi_out_en => hdmi_out_en ); END system_zybo_hdmi_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_rgb888_to_g8_0_0/system_rgb888_to_g8_0_0_stub.vhdl
1
1390
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Tue Jun 06 02:48:41 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_rgb888_to_g8_0_0/system_rgb888_to_g8_0_0_stub.vhdl -- Design : system_rgb888_to_g8_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_rgb888_to_g8_0_0 is Port ( clk : in STD_LOGIC; rgb888 : in STD_LOGIC_VECTOR ( 23 downto 0 ); g8 : out STD_LOGIC_VECTOR ( 7 downto 0 ) ); end system_rgb888_to_g8_0_0; architecture stub of system_rgb888_to_g8_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,rgb888[23:0],g8[7:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "rgb888_to_g8,Vivado 2016.4"; begin end;
mit
ashikpoojari/Hardware-Security
DES CryptoCore/src/txt_util.vhd
2
15745
-- ------------------------------------------------------------------- -- Design: -- -- Package for VHDL text output -- -- Note: -- ----- -- This package uses the VHDL 95 standard. -- If VHDL 95 is not supported by your simulator -- you need to comment out the file access functions. -- -- The package provides a means to output text and -- manipulate strings. -- -- The basic usage is like this: >> print(s); << -- (where s is any string) -- To print something which is not a string it has to be converted -- into a string first. For this purpose the package contains -- conversion functions called >> str(...) <<. -- For example a std_logic_vector slv would be printed like this: -- >> print(str(slv)); <<. To print several items on one line the -- items have to concatenated as strings with the "&" operator eg: -- >> print("The value of slv is "& str(slv)); << -- The string functions can also be used in assert statements as shown -- in the example below: -- >> assert DIN = "0101" << -- >> report "DIN = "& str(DIN)& " expected 0101 " << -- >> severity Error; << -- -- -- -- ------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use std.textio.all; package txt_util is -- prints a message to the screen procedure print(text: string); -- prints the message when active -- useful for debug switches procedure print(active: boolean; text: string); -- converts std_logic into a character function chr(sl: std_logic) return character; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string; -- converts std_logic_vector into a string (binary base) function str(slv: std_logic_vector) return string; -- converts boolean into a string function str(b: boolean) return string; -- converts an integer into a single character -- (can also be used for hex conversion and other bases) function chr(int: integer) return character; -- converts integer into string using specified base function str(int: integer; base: integer) return string; -- converts integer to string, using base 10 function str(int: integer) return string; -- convert std_logic_vector into a string in hex format function hstr(slv: std_logic_vector) return string; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character; -- convert a character to lower case function to_lower(c: character) return character; -- convert a string to upper case function to_upper(s: string) return string; -- convert a string to lower case function to_lower(s: string) return string; -- functions to convert strings into other formats -------------------------------------------------- -- converts a character into std_logic function to_std_logic(c: character) return std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector; -- file I/O ----------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string); -- print string to a file and start new line procedure print(file out_file: TEXT; new_string: in string); -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character); end txt_util; package body txt_util is -- prints text to the screen procedure print(text: string) is variable msg_line: line; begin write(msg_line, text); writeline(output, msg_line); end print; -- prints text to the screen when active procedure print(active: boolean; text: string) is begin if active then print(text); end if; end print; -- converts std_logic into a character function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end chr; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string is variable s: string(1 to 1); begin s(1) := chr(sl); return s; end str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := chr(slv(i)); r := r + 1; end loop; return result; end str; function str(b: boolean) return string is begin if b then return "true"; else return "false"; end if; end str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int: integer) return character is variable c: character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end chr; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int: integer; base: integer) return string is variable temp: string(1 to 10); variable num: integer; variable abs_int: integer; variable len: integer := 1; variable power: integer := 1; begin -- bug fix for negative numbers abs_int := abs(int); num := abs_int; while num >= base loop -- Determine how many len := len + 1; -- characters required num := num / base; -- to represent the end loop ; -- number. for i in len downto 1 loop -- Convert the number to temp(i) := chr(abs_int/power mod base); -- a string starting power := power * base; -- with the right hand end loop ; -- side. -- return result and add sign if required if int < 0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end str; -- convert integer to string, using base 10 function str(int: integer) return string is begin return str(int, 10) ; end str; -- converts a std_logic_vector into a hex string. function hstr(slv: std_logic_vector) return string is variable hexlen: integer; variable longslv : std_logic_vector(67 downto 0) := (others => '0'); variable hex : string(1 to 16); variable fourbit : std_logic_vector(3 downto 0); begin hexlen := (slv'left+1)/4; if (slv'left+1) mod 4 /= 0 then hexlen := hexlen + 1; end if; longslv(slv'left downto 0) := slv; for i in (hexlen -1) downto 0 loop fourbit := longslv(((i*4)+3) downto (i*4)); case fourbit is when "0000" => hex(hexlen -I) := '0'; when "0001" => hex(hexlen -I) := '1'; when "0010" => hex(hexlen -I) := '2'; when "0011" => hex(hexlen -I) := '3'; when "0100" => hex(hexlen -I) := '4'; when "0101" => hex(hexlen -I) := '5'; when "0110" => hex(hexlen -I) := '6'; when "0111" => hex(hexlen -I) := '7'; when "1000" => hex(hexlen -I) := '8'; when "1001" => hex(hexlen -I) := '9'; when "1010" => hex(hexlen -I) := 'A'; when "1011" => hex(hexlen -I) := 'B'; when "1100" => hex(hexlen -I) := 'C'; when "1101" => hex(hexlen -I) := 'D'; when "1110" => hex(hexlen -I) := 'E'; when "1111" => hex(hexlen -I) := 'F'; when "ZZZZ" => hex(hexlen -I) := 'z'; when "UUUU" => hex(hexlen -I) := 'u'; when "XXXX" => hex(hexlen -I) := 'x'; when others => hex(hexlen -I) := '?'; end case; end loop; return hex(1 to hexlen); end hstr; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character is variable u: character; begin case c is when 'a' => u := 'A'; when 'b' => u := 'B'; when 'c' => u := 'C'; when 'd' => u := 'D'; when 'e' => u := 'E'; when 'f' => u := 'F'; when 'g' => u := 'G'; when 'h' => u := 'H'; when 'i' => u := 'I'; when 'j' => u := 'J'; when 'k' => u := 'K'; when 'l' => u := 'L'; when 'm' => u := 'M'; when 'n' => u := 'N'; when 'o' => u := 'O'; when 'p' => u := 'P'; when 'q' => u := 'Q'; when 'r' => u := 'R'; when 's' => u := 'S'; when 't' => u := 'T'; when 'u' => u := 'U'; when 'v' => u := 'V'; when 'w' => u := 'W'; when 'x' => u := 'X'; when 'y' => u := 'Y'; when 'z' => u := 'Z'; when others => u := c; end case; return u; end to_upper; -- convert a character to lower case function to_lower(c: character) return character is variable l: character; begin case c is when 'A' => l := 'a'; when 'B' => l := 'b'; when 'C' => l := 'c'; when 'D' => l := 'd'; when 'E' => l := 'e'; when 'F' => l := 'f'; when 'G' => l := 'g'; when 'H' => l := 'h'; when 'I' => l := 'i'; when 'J' => l := 'j'; when 'K' => l := 'k'; when 'L' => l := 'l'; when 'M' => l := 'm'; when 'N' => l := 'n'; when 'O' => l := 'o'; when 'P' => l := 'p'; when 'Q' => l := 'q'; when 'R' => l := 'r'; when 'S' => l := 's'; when 'T' => l := 't'; when 'U' => l := 'u'; when 'V' => l := 'v'; when 'W' => l := 'w'; when 'X' => l := 'x'; when 'Y' => l := 'y'; when 'Z' => l := 'z'; when others => l := c; end case; return l; end to_lower; -- convert a string to upper case function to_upper(s: string) return string is variable uppercase: string (s'range); begin for i in s'range loop uppercase(i):= to_upper(s(i)); end loop; return uppercase; end to_upper; -- convert a string to lower case function to_lower(s: string) return string is variable lowercase: string (s'range); begin for i in s'range loop lowercase(i):= to_lower(s(i)); end loop; return lowercase; end to_lower; -- functions to convert strings into other types -- converts a character into a std_logic function to_std_logic(c: character) return std_logic is variable sl: std_logic; begin case c is when 'U' => sl := 'U'; when 'X' => sl := 'X'; when '0' => sl := '0'; when '1' => sl := '1'; when 'Z' => sl := 'Z'; when 'W' => sl := 'W'; when 'L' => sl := 'L'; when 'H' => sl := 'H'; when '-' => sl := '-'; when others => sl := 'X'; end case; return sl; end to_std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector is variable slv: std_logic_vector(s'high-s'low downto 0); variable k: integer; begin k := s'high-s'low; for i in s'range loop slv(k) := to_std_logic(s(i)); k := k - 1; end loop; return slv; end to_std_logic_vector; ---------------- -- file I/O -- ---------------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string) is variable l: line; variable c: character; variable is_string: boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i) := ' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l, c, is_string); res_string(i) := c; if not is_string then -- found end of line exit; end if; end loop; end str_read; -- print string to a file procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l, new_string); writeline(out_file, l); end print; -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l, char); writeline(out_file, l); end print; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file, new_string(i)); if new_string(i) = LF then -- end of string exit; end if; end loop; end str_write; end txt_util;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/sim/system_rgb565_to_rgb888_0_0.vhd
5
3321
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:rgb565_to_rgb888:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_rgb565_to_rgb888_0_0 IS PORT ( clk : IN STD_LOGIC; rgb_565 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); rgb_888 : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_rgb565_to_rgb888_0_0; ARCHITECTURE system_rgb565_to_rgb888_0_0_arch OF system_rgb565_to_rgb888_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rgb565_to_rgb888_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT rgb565_to_rgb888 IS PORT ( clk : IN STD_LOGIC; rgb_565 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); rgb_888 : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT rgb565_to_rgb888; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : rgb565_to_rgb888 PORT MAP ( clk => clk, rgb_565 => rgb_565, rgb_888 => rgb_888 ); END system_rgb565_to_rgb888_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ipshared/f1ca/vga_pll.vhd
7
1175
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vga_pll is port ( clk_100 : in std_logic; clk_50 : out std_logic; clk_25 : out std_logic; clk_12_5 : out std_logic; clk_6_25 : out std_logic ); end vga_pll; architecture Behavioral of vga_pll is signal clk_50_s : std_logic := '0'; signal clk_25_s : std_logic := '0'; signal clk_12_5_s : std_logic := '0'; signal clk_6_25_s : std_logic := '0'; begin clk_50 <= clk_50_s; clk_25 <= clk_25_s; clk_12_5 <= clk_12_5_s; clk_6_25 <= clk_6_25_s; process(clk_100) begin if rising_edge(clk_100) then clk_50_s <= not clk_50_s; end if; end process; process(clk_50_s) begin if rising_edge(clk_50_s) then clk_25_s <= not clk_25_s; end if; end process; process(clk_25_s) begin if rising_edge(clk_25_s) then clk_12_5_s <= not clk_12_5_s; end if; end process; process(clk_6_25_s) begin if rising_edge(clk_6_25_s) then clk_6_25_s <= not clk_6_25_s; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ipshared/349b/vga_pll.vhd
7
1175
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity vga_pll is port ( clk_100 : in std_logic; clk_50 : out std_logic; clk_25 : out std_logic; clk_12_5 : out std_logic; clk_6_25 : out std_logic ); end vga_pll; architecture Behavioral of vga_pll is signal clk_50_s : std_logic := '0'; signal clk_25_s : std_logic := '0'; signal clk_12_5_s : std_logic := '0'; signal clk_6_25_s : std_logic := '0'; begin clk_50 <= clk_50_s; clk_25 <= clk_25_s; clk_12_5 <= clk_12_5_s; clk_6_25 <= clk_6_25_s; process(clk_100) begin if rising_edge(clk_100) then clk_50_s <= not clk_50_s; end if; end process; process(clk_50_s) begin if rising_edge(clk_50_s) then clk_25_s <= not clk_25_s; end if; end process; process(clk_25_s) begin if rising_edge(clk_25_s) then clk_12_5_s <= not clk_12_5_s; end if; end process; process(clk_6_25_s) begin if rising_edge(clk_6_25_s) then clk_6_25_s <= not clk_6_25_s; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_vga_sync_reset_0_0/synth/system_vga_sync_reset_0_0.vhd
3
4935
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_sync_reset:1.0 -- IP Revision: 25 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_sync_reset_0_0 IS PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; active : OUT STD_LOGIC; hsync : OUT STD_LOGIC; vsync : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END system_vga_sync_reset_0_0; ARCHITECTURE system_vga_sync_reset_0_0_arch OF system_vga_sync_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_sync_reset IS GENERIC ( H_SIZE : INTEGER; H_FRONT_DELAY : INTEGER; H_BACK_DELAY : INTEGER; H_RETRACE_DELAY : INTEGER; V_SIZE : INTEGER; V_FRONT_DELAY : INTEGER; V_BACK_DELAY : INTEGER; V_RETRACE_DELAY : INTEGER ); PORT ( clk : IN STD_LOGIC; rst : IN STD_LOGIC; active : OUT STD_LOGIC; hsync : OUT STD_LOGIC; vsync : OUT STD_LOGIC; xaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) ); END COMPONENT vga_sync_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "vga_sync_reset,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_sync_reset_0_0_arch : ARCHITECTURE IS "system_vga_sync_reset_0_0,vga_sync_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_sync_reset_0_0_arch: ARCHITECTURE IS "system_vga_sync_reset_0_0,vga_sync_reset,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_sync_reset,x_ipVersion=1.0,x_ipCoreRevision=25,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_FRONT_DELAY=16,H_BACK_DELAY=48,H_RETRACE_DELAY=96,V_SIZE=480,V_FRONT_DELAY=10,V_BACK_DELAY=33,V_RETRACE_DELAY=2}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF rst: SIGNAL IS "xilinx.com:signal:reset:1.0 rst RST"; BEGIN U0 : vga_sync_reset GENERIC MAP ( H_SIZE => 640, H_FRONT_DELAY => 16, H_BACK_DELAY => 48, H_RETRACE_DELAY => 96, V_SIZE => 480, V_FRONT_DELAY => 10, V_BACK_DELAY => 33, V_RETRACE_DELAY => 2 ) PORT MAP ( clk => clk, rst => rst, active => active, hsync => hsync, vsync => vsync, xaddr => xaddr, yaddr => yaddr ); END system_vga_sync_reset_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/video_passthrough/video_passthrough.srcs/sources_1/bd/system/hdl/system.vhd
1
18180
--Copyright 1986-2015 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015 --Date : Thu Mar 10 14:13:03 2016 --Host : minmi running 64-bit elementary OS Freya --Command : generate_target system.bd --Design : system --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system is port ( DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; hdmi_cec : in STD_LOGIC; hdmi_hpd : in STD_LOGIC; hdmi_out_en : out STD_LOGIC; tmds : out STD_LOGIC_VECTOR ( 3 downto 0 ); tmdsb : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of system : entity is "system,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=system,x_ipVersion=1.00.a,x_ipLanguage=VHDL,numBlks=6,numReposBlks=6,numNonXlnxBlks=1,numHierBlks=0,maxHierDepth=0,da_ps7_cnt=1,synth_mode=Global}"; attribute HW_HANDOFF : string; attribute HW_HANDOFF of system : entity is "system.hwdef"; end system; architecture STRUCTURE of system is component system_processing_system7_0_0 is port ( SDIO0_WP : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); end component system_processing_system7_0_0; component system_zybo_hdmi_0_0 is port ( clk_125 : in STD_LOGIC; clk_25 : in STD_LOGIC; hsync : in STD_LOGIC; vsync : in STD_LOGIC; active : in STD_LOGIC; rgb : in STD_LOGIC_VECTOR ( 23 downto 0 ); tmds : out STD_LOGIC_VECTOR ( 3 downto 0 ); tmdsb : out STD_LOGIC_VECTOR ( 3 downto 0 ); hdmi_cec : in STD_LOGIC; hdmi_hpd : in STD_LOGIC; hdmi_out_en : out STD_LOGIC ); end component system_zybo_hdmi_0_0; component system_clk_wiz_0_0 is port ( clk_in1 : in STD_LOGIC; clk_out1 : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC ); end component system_clk_wiz_0_0; component system_vga_sync_0_0 is port ( clk_25 : in STD_LOGIC; rst : in STD_LOGIC; active : out STD_LOGIC; hsync : out STD_LOGIC; vsync : out STD_LOGIC; xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component system_vga_sync_0_0; component system_vga_color_test_0_0 is port ( clk_25 : in STD_LOGIC; xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 ); rgb : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); end component system_vga_color_test_0_0; component system_inverter_0_0 is port ( x : in STD_LOGIC; x_not : out STD_LOGIC ); end component system_inverter_0_0; signal Net : STD_LOGIC; signal hdmi_cec_1 : STD_LOGIC; signal hdmi_hpd_1 : STD_LOGIC; signal inverter_0_x_not : STD_LOGIC; signal processing_system7_0_DDR_ADDR : STD_LOGIC_VECTOR ( 14 downto 0 ); signal processing_system7_0_DDR_BA : STD_LOGIC_VECTOR ( 2 downto 0 ); signal processing_system7_0_DDR_CAS_N : STD_LOGIC; signal processing_system7_0_DDR_CKE : STD_LOGIC; signal processing_system7_0_DDR_CK_N : STD_LOGIC; signal processing_system7_0_DDR_CK_P : STD_LOGIC; signal processing_system7_0_DDR_CS_N : STD_LOGIC; signal processing_system7_0_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal processing_system7_0_DDR_DQS_N : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_DDR_DQS_P : STD_LOGIC_VECTOR ( 3 downto 0 ); signal processing_system7_0_DDR_ODT : STD_LOGIC; signal processing_system7_0_DDR_RAS_N : STD_LOGIC; signal processing_system7_0_DDR_RESET_N : STD_LOGIC; signal processing_system7_0_DDR_WE_N : STD_LOGIC; signal processing_system7_0_FCLK_CLK0 : STD_LOGIC; signal processing_system7_0_FCLK_RESET0_N : STD_LOGIC; signal processing_system7_0_FIXED_IO_DDR_VRN : STD_LOGIC; signal processing_system7_0_FIXED_IO_DDR_VRP : STD_LOGIC; signal processing_system7_0_FIXED_IO_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal processing_system7_0_FIXED_IO_PS_CLK : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_PORB : STD_LOGIC; signal processing_system7_0_FIXED_IO_PS_SRSTB : STD_LOGIC; signal vga_color_test_0_rgb : STD_LOGIC_VECTOR ( 23 downto 0 ); signal vga_sync_0_active : STD_LOGIC; signal vga_sync_0_hsync : STD_LOGIC; signal vga_sync_0_vsync : STD_LOGIC; signal vga_sync_0_xaddr : STD_LOGIC_VECTOR ( 9 downto 0 ); signal vga_sync_0_yaddr : STD_LOGIC_VECTOR ( 9 downto 0 ); signal zybo_hdmi_0_hdmi_out_en : STD_LOGIC; signal zybo_hdmi_0_tmds : STD_LOGIC_VECTOR ( 3 downto 0 ); signal zybo_hdmi_0_tmdsb : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_clk_wiz_0_locked_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_ARVALID_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_AWVALID_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_BREADY_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_RREADY_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_WLAST_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_WVALID_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_processing_system7_0_M_AXI_GP0_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_processing_system7_0_M_AXI_GP0_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); begin hdmi_cec_1 <= hdmi_cec; hdmi_hpd_1 <= hdmi_hpd; hdmi_out_en <= zybo_hdmi_0_hdmi_out_en; tmds(3 downto 0) <= zybo_hdmi_0_tmds(3 downto 0); tmdsb(3 downto 0) <= zybo_hdmi_0_tmdsb(3 downto 0); clk_wiz_0: component system_clk_wiz_0_0 port map ( clk_in1 => processing_system7_0_FCLK_CLK0, clk_out1 => Net, locked => NLW_clk_wiz_0_locked_UNCONNECTED, resetn => processing_system7_0_FCLK_RESET0_N ); inverter_0: component system_inverter_0_0 port map ( x => processing_system7_0_FCLK_RESET0_N, x_not => inverter_0_x_not ); processing_system7_0: component system_processing_system7_0_0 port map ( DDR_Addr(14 downto 0) => DDR_addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_ba(2 downto 0), DDR_CAS_n => DDR_cas_n, DDR_CKE => DDR_cke, DDR_CS_n => DDR_cs_n, DDR_Clk => DDR_ck_p, DDR_Clk_n => DDR_ck_n, DDR_DM(3 downto 0) => DDR_dm(3 downto 0), DDR_DQ(31 downto 0) => DDR_dq(31 downto 0), DDR_DQS(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_DRSTB => DDR_reset_n, DDR_ODT => DDR_odt, DDR_RAS_n => DDR_ras_n, DDR_VRN => FIXED_IO_ddr_vrn, DDR_VRP => FIXED_IO_ddr_vrp, DDR_WEB => DDR_we_n, FCLK_CLK0 => processing_system7_0_FCLK_CLK0, FCLK_RESET0_N => processing_system7_0_FCLK_RESET0_N, MIO(53 downto 0) => FIXED_IO_mio(53 downto 0), M_AXI_GP0_ACLK => processing_system7_0_FCLK_CLK0, M_AXI_GP0_ARADDR(31 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARADDR_UNCONNECTED(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARBURST_UNCONNECTED(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARCACHE_UNCONNECTED(3 downto 0), M_AXI_GP0_ARID(11 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARID_UNCONNECTED(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARLEN_UNCONNECTED(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARLOCK_UNCONNECTED(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARPROT_UNCONNECTED(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARQOS_UNCONNECTED(3 downto 0), M_AXI_GP0_ARREADY => '0', M_AXI_GP0_ARSIZE(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_ARSIZE_UNCONNECTED(2 downto 0), M_AXI_GP0_ARVALID => NLW_processing_system7_0_M_AXI_GP0_ARVALID_UNCONNECTED, M_AXI_GP0_AWADDR(31 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWADDR_UNCONNECTED(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWBURST_UNCONNECTED(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWCACHE_UNCONNECTED(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWID_UNCONNECTED(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWLEN_UNCONNECTED(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWLOCK_UNCONNECTED(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWPROT_UNCONNECTED(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWQOS_UNCONNECTED(3 downto 0), M_AXI_GP0_AWREADY => '0', M_AXI_GP0_AWSIZE(2 downto 0) => NLW_processing_system7_0_M_AXI_GP0_AWSIZE_UNCONNECTED(2 downto 0), M_AXI_GP0_AWVALID => NLW_processing_system7_0_M_AXI_GP0_AWVALID_UNCONNECTED, M_AXI_GP0_BID(11 downto 0) => B"000000000000", M_AXI_GP0_BREADY => NLW_processing_system7_0_M_AXI_GP0_BREADY_UNCONNECTED, M_AXI_GP0_BRESP(1 downto 0) => B"00", M_AXI_GP0_BVALID => '0', M_AXI_GP0_RDATA(31 downto 0) => B"00000000000000000000000000000000", M_AXI_GP0_RID(11 downto 0) => B"000000000000", M_AXI_GP0_RLAST => '0', M_AXI_GP0_RREADY => NLW_processing_system7_0_M_AXI_GP0_RREADY_UNCONNECTED, M_AXI_GP0_RRESP(1 downto 0) => B"00", M_AXI_GP0_RVALID => '0', M_AXI_GP0_WDATA(31 downto 0) => NLW_processing_system7_0_M_AXI_GP0_WDATA_UNCONNECTED(31 downto 0), M_AXI_GP0_WID(11 downto 0) => NLW_processing_system7_0_M_AXI_GP0_WID_UNCONNECTED(11 downto 0), M_AXI_GP0_WLAST => NLW_processing_system7_0_M_AXI_GP0_WLAST_UNCONNECTED, M_AXI_GP0_WREADY => '0', M_AXI_GP0_WSTRB(3 downto 0) => NLW_processing_system7_0_M_AXI_GP0_WSTRB_UNCONNECTED(3 downto 0), M_AXI_GP0_WVALID => NLW_processing_system7_0_M_AXI_GP0_WVALID_UNCONNECTED, PS_CLK => FIXED_IO_ps_clk, PS_PORB => FIXED_IO_ps_porb, PS_SRSTB => FIXED_IO_ps_srstb, SDIO0_WP => '0', TTC0_WAVE0_OUT => NLW_processing_system7_0_TTC0_WAVE0_OUT_UNCONNECTED, TTC0_WAVE1_OUT => NLW_processing_system7_0_TTC0_WAVE1_OUT_UNCONNECTED, TTC0_WAVE2_OUT => NLW_processing_system7_0_TTC0_WAVE2_OUT_UNCONNECTED, USB0_PORT_INDCTL(1 downto 0) => NLW_processing_system7_0_USB0_PORT_INDCTL_UNCONNECTED(1 downto 0), USB0_VBUS_PWRFAULT => '0', USB0_VBUS_PWRSELECT => NLW_processing_system7_0_USB0_VBUS_PWRSELECT_UNCONNECTED ); vga_color_test_0: component system_vga_color_test_0_0 port map ( clk_25 => Net, rgb(23 downto 0) => vga_color_test_0_rgb(23 downto 0), xaddr(9 downto 0) => vga_sync_0_xaddr(9 downto 0), yaddr(9 downto 0) => vga_sync_0_yaddr(9 downto 0) ); vga_sync_0: component system_vga_sync_0_0 port map ( active => vga_sync_0_active, clk_25 => Net, hsync => vga_sync_0_hsync, rst => inverter_0_x_not, vsync => vga_sync_0_vsync, xaddr(9 downto 0) => vga_sync_0_xaddr(9 downto 0), yaddr(9 downto 0) => vga_sync_0_yaddr(9 downto 0) ); zybo_hdmi_0: component system_zybo_hdmi_0_0 port map ( active => vga_sync_0_active, clk_125 => processing_system7_0_FCLK_CLK0, clk_25 => Net, hdmi_cec => hdmi_cec_1, hdmi_hpd => hdmi_hpd_1, hdmi_out_en => zybo_hdmi_0_hdmi_out_en, hsync => vga_sync_0_hsync, rgb(23 downto 0) => vga_color_test_0_rgb(23 downto 0), tmds(3 downto 0) => zybo_hdmi_0_tmds(3 downto 0), tmdsb(3 downto 0) => zybo_hdmi_0_tmdsb(3 downto 0), vsync => vga_sync_0_vsync ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_vga_color_test_0_0_1/system_vga_color_test_0_0_stub.vhdl
1
1437
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 08:27:08 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_vga_color_test_0_0 -prefix -- system_vga_color_test_0_0_ system_vga_color_test_0_0_stub.vhdl -- Design : system_vga_color_test_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_vga_color_test_0_0 is Port ( clk_25 : in STD_LOGIC; xaddr : in STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : in STD_LOGIC_VECTOR ( 9 downto 0 ); rgb : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); end system_vga_color_test_0_0; architecture stub of system_vga_color_test_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk_25,xaddr[9:0],yaddr[9:0],rgb[23:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "vga_color_test,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_hdmi_test/zed_hdmi_test.srcs/sources_1/bd/system/ipshared/0b31/i2c_sender.vhd
7
7166
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Module Name: i2c_sender h- Behavioral -- -- Description: Send register writes over an I2C-like interface -- -- Feel free to use this how you see fit, and fix any errors you find :-) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity i2c_sender is Port ( clk : in STD_LOGIC; resend : in STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC ); end i2c_sender; architecture Behavioral of i2c_sender is signal divider : unsigned(8 downto 0) := (others => '0'); -- this value gives nearly 200ms cycles before the first register is written signal initial_pause : unsigned(7 downto 0) := (others => '0'); signal finished : std_logic := '0'; signal address : std_logic_vector(7 downto 0) := (others => '0'); signal clk_first_quarter : std_logic_vector(28 downto 0) := (others => '1'); signal clk_last_quarter : std_logic_vector(28 downto 0) := (others => '1'); signal busy_sr : std_logic_vector(28 downto 0) := (others => '1'); signal data_sr : std_logic_vector(28 downto 0) := (others => '1'); signal tristate_sr : std_logic_vector(28 downto 0) := (others => '0'); signal reg_value : std_logic_vector(15 downto 0) := (others => '0'); constant i2c_wr_addr : std_logic_vector(7 downto 0) := x"72"; type reg_value_pair is ARRAY(0 TO 63) OF std_logic_vector(15 DOWNTO 0); signal reg_value_pairs : reg_value_pair := ( ------------------- -- Powerup please! ------------------- x"4110", --------------------------------------- -- These values must be set as follows --------------------------------------- x"9803", x"9AE0", x"9C30", x"9D61", x"A2A4", x"A3A4", x"E0D0", x"5512", x"F900", --------------- -- Input mode --------------- x"1506", -- YCbCr 422, DDR, External sync x"4810", -- Left justified data (D23 downto 8) x"1637", -- 444 output, 8 bit style 2, 1st half on rising edge - YCrCb clipping x"1700", -- output aspect ratio 16:9, external DE x"D03C", -- auto sync data - must be set for DDR modes. No DDR clock delay --------------- -- Output mode --------------- x"AF04", -- DVI mode x"4c04", -- Deep colour off (HDMI only?) - not needed x"4000", -- Turn off additional data packets - not needed -------------------------------------------------------------- -- Here is the YCrCb => RGB conversion, as per programming guide -- This is table 57 - HDTV YCbCr (16 to 255) to RGB (0 to 255) -------------------------------------------------------------- -- (Cr * A1 + Y * A2 + Cb * A3)/4096 + A4 = Red x"18E7", x"1934", x"1A04", x"1BAD", x"1C00", x"1D00", x"1E1C", x"1F1B", -- (Cr * B1 + Y * B2 + Cb * B3)/4096 + B4 = Green x"201D", x"21DC", x"2204", x"23AD", x"241F", x"2524", x"2601", x"2735", -- (Cr * C1 + Y * C2 + Cb * C3)/4096 + C4 = Blue x"2800", x"2900", x"2A04", x"2BAD", x"2C08", x"2D7C", x"2E1B", x"2F77", -- Extra space filled with FFFFs to signify end of data x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF" ); begin registers: process(clk) begin if rising_edge(clk) then reg_value <= reg_value_pairs(to_integer(unsigned(address))); end if; end process; i2c_tristate: process(data_sr, tristate_sr) begin if tristate_sr(tristate_sr'length-1) = '0' then siod <= data_sr(data_sr'length-1); else siod <= 'Z'; end if; end process; with divider(divider'length-1 downto divider'length-2) select sioc <= clk_first_quarter(clk_first_quarter'length -1) when "00", clk_last_quarter(clk_last_quarter'length -1) when "11", '1' when others; i2c_send: process(clk) begin if rising_edge(clk) then if resend = '1' then address <= (others => '0'); clk_first_quarter <= (others => '1'); clk_last_quarter <= (others => '1'); busy_sr <= (others => '0'); divider <= (others => '0'); initial_pause <= (others => '0'); finished <= '0'; end if; if busy_sr(busy_sr'length-1) = '0' then if initial_pause(initial_pause'length-1) = '0' then initial_pause <= initial_pause+1; elsif finished = '0' then if divider = "11111111" then divider <= (others =>'0'); if reg_value(15 downto 8) = "11111111" then finished <= '1'; else -- move the new data into the shift registers clk_first_quarter <= (others => '0'); clk_first_quarter(clk_first_quarter'length-1) <= '1'; clk_last_quarter <= (others => '0'); clk_last_quarter(0) <= '1'; -- Start Address Ack Register Ack Value Ack Stop tristate_sr <= "0" & "00000000" & "1" & "00000000" & "1" & "00000000" & "1" & "0"; data_sr <= "0" & i2c_wr_addr & "1" & reg_value(15 downto 8) & "1" & reg_value( 7 downto 0) & "1" & "0"; busy_sr <= (others => '1'); address <= std_logic_vector(unsigned(address)+1); end if; else divider <= divider+1; end if; end if; else if divider = "11111111" then -- divide clkin by 256 for I2C tristate_sr <= tristate_sr(tristate_sr'length-2 downto 0) & '0'; busy_sr <= busy_sr(busy_sr'length-2 downto 0) & '0'; data_sr <= data_sr(data_sr'length-2 downto 0) & '1'; clk_first_quarter <= clk_first_quarter(clk_first_quarter'length-2 downto 0) & '1'; clk_last_quarter <= clk_last_quarter(clk_first_quarter'length-2 downto 0) & '1'; divider <= (others => '0'); else divider <= divider+1; end if; end if; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/zed_hdmi/zed_hdmi.srcs/sources_1/new/i2c_sender.vhd
7
7166
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Module Name: i2c_sender h- Behavioral -- -- Description: Send register writes over an I2C-like interface -- -- Feel free to use this how you see fit, and fix any errors you find :-) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity i2c_sender is Port ( clk : in STD_LOGIC; resend : in STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC ); end i2c_sender; architecture Behavioral of i2c_sender is signal divider : unsigned(8 downto 0) := (others => '0'); -- this value gives nearly 200ms cycles before the first register is written signal initial_pause : unsigned(7 downto 0) := (others => '0'); signal finished : std_logic := '0'; signal address : std_logic_vector(7 downto 0) := (others => '0'); signal clk_first_quarter : std_logic_vector(28 downto 0) := (others => '1'); signal clk_last_quarter : std_logic_vector(28 downto 0) := (others => '1'); signal busy_sr : std_logic_vector(28 downto 0) := (others => '1'); signal data_sr : std_logic_vector(28 downto 0) := (others => '1'); signal tristate_sr : std_logic_vector(28 downto 0) := (others => '0'); signal reg_value : std_logic_vector(15 downto 0) := (others => '0'); constant i2c_wr_addr : std_logic_vector(7 downto 0) := x"72"; type reg_value_pair is ARRAY(0 TO 63) OF std_logic_vector(15 DOWNTO 0); signal reg_value_pairs : reg_value_pair := ( ------------------- -- Powerup please! ------------------- x"4110", --------------------------------------- -- These values must be set as follows --------------------------------------- x"9803", x"9AE0", x"9C30", x"9D61", x"A2A4", x"A3A4", x"E0D0", x"5512", x"F900", --------------- -- Input mode --------------- x"1506", -- YCbCr 422, DDR, External sync x"4810", -- Left justified data (D23 downto 8) x"1637", -- 444 output, 8 bit style 2, 1st half on rising edge - YCrCb clipping x"1700", -- output aspect ratio 16:9, external DE x"D03C", -- auto sync data - must be set for DDR modes. No DDR clock delay --------------- -- Output mode --------------- x"AF04", -- DVI mode x"4c04", -- Deep colour off (HDMI only?) - not needed x"4000", -- Turn off additional data packets - not needed -------------------------------------------------------------- -- Here is the YCrCb => RGB conversion, as per programming guide -- This is table 57 - HDTV YCbCr (16 to 255) to RGB (0 to 255) -------------------------------------------------------------- -- (Cr * A1 + Y * A2 + Cb * A3)/4096 + A4 = Red x"18E7", x"1934", x"1A04", x"1BAD", x"1C00", x"1D00", x"1E1C", x"1F1B", -- (Cr * B1 + Y * B2 + Cb * B3)/4096 + B4 = Green x"201D", x"21DC", x"2204", x"23AD", x"241F", x"2524", x"2601", x"2735", -- (Cr * C1 + Y * C2 + Cb * C3)/4096 + C4 = Blue x"2800", x"2900", x"2A04", x"2BAD", x"2C08", x"2D7C", x"2E1B", x"2F77", -- Extra space filled with FFFFs to signify end of data x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF", x"FFFF" ); begin registers: process(clk) begin if rising_edge(clk) then reg_value <= reg_value_pairs(to_integer(unsigned(address))); end if; end process; i2c_tristate: process(data_sr, tristate_sr) begin if tristate_sr(tristate_sr'length-1) = '0' then siod <= data_sr(data_sr'length-1); else siod <= 'Z'; end if; end process; with divider(divider'length-1 downto divider'length-2) select sioc <= clk_first_quarter(clk_first_quarter'length -1) when "00", clk_last_quarter(clk_last_quarter'length -1) when "11", '1' when others; i2c_send: process(clk) begin if rising_edge(clk) then if resend = '1' then address <= (others => '0'); clk_first_quarter <= (others => '1'); clk_last_quarter <= (others => '1'); busy_sr <= (others => '0'); divider <= (others => '0'); initial_pause <= (others => '0'); finished <= '0'; end if; if busy_sr(busy_sr'length-1) = '0' then if initial_pause(initial_pause'length-1) = '0' then initial_pause <= initial_pause+1; elsif finished = '0' then if divider = "11111111" then divider <= (others =>'0'); if reg_value(15 downto 8) = "11111111" then finished <= '1'; else -- move the new data into the shift registers clk_first_quarter <= (others => '0'); clk_first_quarter(clk_first_quarter'length-1) <= '1'; clk_last_quarter <= (others => '0'); clk_last_quarter(0) <= '1'; -- Start Address Ack Register Ack Value Ack Stop tristate_sr <= "0" & "00000000" & "1" & "00000000" & "1" & "00000000" & "1" & "0"; data_sr <= "0" & i2c_wr_addr & "1" & reg_value(15 downto 8) & "1" & reg_value( 7 downto 0) & "1" & "0"; busy_sr <= (others => '1'); address <= std_logic_vector(unsigned(address)+1); end if; else divider <= divider+1; end if; end if; else if divider = "11111111" then -- divide clkin by 256 for I2C tristate_sr <= tristate_sr(tristate_sr'length-2 downto 0) & '0'; busy_sr <= busy_sr(busy_sr'length-2 downto 0) & '0'; data_sr <= data_sr(data_sr'length-2 downto 0) & '1'; clk_first_quarter <= clk_first_quarter(clk_first_quarter'length-2 downto 0) & '1'; clk_last_quarter <= clk_last_quarter(clk_first_quarter'length-2 downto 0) & '1'; divider <= (others => '0'); else divider <= divider+1; end if; end if; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/video_gaussian_blur/video_gaussian_blur.srcs/sources_1/bd/system/ip/system_vga_gaussian_blur_0_0/synth/system_vga_gaussian_blur_0_0.vhd
1
5235
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_gaussian_blur:1.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_gaussian_blur_0_0 IS PORT ( en : IN STD_LOGIC; clk_25 : IN STD_LOGIC; active_in : IN STD_LOGIC; hsync_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; xaddr_in : IN STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr_in : IN STD_LOGIC_VECTOR(9 DOWNTO 0); rgb_in : IN STD_LOGIC_VECTOR(23 DOWNTO 0); active_out : OUT STD_LOGIC; hsync_out : OUT STD_LOGIC; vsync_out : OUT STD_LOGIC; xaddr_out : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr_out : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rgb_out : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_gaussian_blur_0_0; ARCHITECTURE system_vga_gaussian_blur_0_0_arch OF system_vga_gaussian_blur_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_gaussian_blur_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_gaussian_blur IS GENERIC ( H_SIZE : INTEGER; H_DELAY : INTEGER; KERNEL : INTEGER ); PORT ( en : IN STD_LOGIC; clk_25 : IN STD_LOGIC; active_in : IN STD_LOGIC; hsync_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; xaddr_in : IN STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr_in : IN STD_LOGIC_VECTOR(9 DOWNTO 0); rgb_in : IN STD_LOGIC_VECTOR(23 DOWNTO 0); active_out : OUT STD_LOGIC; hsync_out : OUT STD_LOGIC; vsync_out : OUT STD_LOGIC; xaddr_out : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); yaddr_out : OUT STD_LOGIC_VECTOR(9 DOWNTO 0); rgb_out : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_gaussian_blur; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_gaussian_blur_0_0_arch: ARCHITECTURE IS "vga_gaussian_blur,Vivado 2015.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_gaussian_blur_0_0_arch : ARCHITECTURE IS "system_vga_gaussian_blur_0_0,vga_gaussian_blur,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_gaussian_blur_0_0_arch: ARCHITECTURE IS "system_vga_gaussian_blur_0_0,vga_gaussian_blur,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_gaussian_blur,x_ipVersion=1.0,x_ipCoreRevision=5,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,H_SIZE=640,H_DELAY=160,KERNEL=3}"; BEGIN U0 : vga_gaussian_blur GENERIC MAP ( H_SIZE => 640, H_DELAY => 160, KERNEL => 3 ) PORT MAP ( en => en, clk_25 => clk_25, active_in => active_in, hsync_in => hsync_in, vsync_in => vsync_in, xaddr_in => xaddr_in, yaddr_in => yaddr_in, rgb_in => rgb_in, active_out => active_out, hsync_out => hsync_out, vsync_out => vsync_out, xaddr_out => xaddr_out, yaddr_out => yaddr_out, rgb_out => rgb_out ); END system_vga_gaussian_blur_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_inverter_0_0_1/synth/system_inverter_0_0.vhd
10
3216
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:inverter:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_inverter_0_0 IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END system_inverter_0_0; ARCHITECTURE system_inverter_0_0_arch OF system_inverter_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT inverter IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END COMPONENT inverter; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_inverter_0_0_arch: ARCHITECTURE IS "inverter,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_inverter_0_0_arch : ARCHITECTURE IS "system_inverter_0_0,inverter,{}"; BEGIN U0 : inverter PORT MAP ( x => x, x_not => x_not ); END system_inverter_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/uint_to_ieee754_fp/uint_to_ieee754_fp.srcs/sources_1/new/uint_to_ieee754_fp.vhd
3
1596
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Rob Taglang -- -- Module Name: uint_to_ieee754_fp - Structural -- Description: Converts an unsigned integer into IEEE-754 floating point notation ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity uint_to_ieee754_fp is generic( WIDTH : integer := 10 ); port( x : in std_logic_vector(WIDTH - 1 downto 0); y : out std_logic_vector(31 downto 0) ); end uint_to_ieee754_fp; architecture Structural of uint_to_ieee754_fp is signal exponent : std_logic_vector(7 downto 0); signal mantissa : std_logic_vector(22 downto 0) := "00000000000000000000000"; begin y(31) <= '0'; -- sign is always positive y(30 downto 23) <= exponent; y(22 downto 0) <= mantissa; process(x) variable x_exp : integer := 0; begin x_exp := -1; -- find place of most significant '1' for i in 0 to WIDTH - 1 loop if x(i) = '1' then x_exp := i; end if; end loop; if x_exp >= 0 then exponent <= std_logic_vector(to_signed(x_exp + 127, 8)); -- bit shift x into mantissa mantissa(22 downto 22 - WIDTH + 1) <= std_logic_vector(unsigned(x) sll WIDTH - x_exp); else exponent <= x"00"; mantissa <= "00000000000000000000000"; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_ieee754_fp_multiplier_0_0/affine_block_ieee754_fp_multiplier_0_0_stub.vhdl
1
1514
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 20 13:53:00 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/ZyboIP/general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/ip/affine_block_ieee754_fp_multiplier_0_0/affine_block_ieee754_fp_multiplier_0_0_stub.vhdl -- Design : affine_block_ieee754_fp_multiplier_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity affine_block_ieee754_fp_multiplier_0_0 is Port ( x : in STD_LOGIC_VECTOR ( 31 downto 0 ); y : in STD_LOGIC_VECTOR ( 31 downto 0 ); z : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); end affine_block_ieee754_fp_multiplier_0_0; architecture stub of affine_block_ieee754_fp_multiplier_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "x[31:0],y[31:0],z[31:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "ieee754_fp_multiplier,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/vga_sync/vga_sync.srcs/sources_1/new/vga_sync.vhd
1
2947
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Rob Taglang -- -- Module Name: vga_sync - Behavioral -- Description: Create a sync signal for display pixel data ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vga_sync is generic( -- The default values are for 640x480 H_SIZE : integer := 640; H_FRONT_DELAY : integer := 16; H_BACK_DELAY : integer := 48; H_RETRACE_DELAY : integer := 96; V_SIZE : integer := 480; V_FRONT_DELAY : integer := 10; V_BACK_DELAY : integer := 33; V_RETRACE_DELAY : integer := 2 ); port( clk : in std_logic; rst : in std_logic; active : out std_logic := '0'; hsync : out std_logic := '0'; vsync : out std_logic := '0'; xaddr : out std_logic_vector(9 downto 0); yaddr : out std_logic_vector(9 downto 0) ); end vga_sync; architecture Structural of vga_sync is -- sync counters signal v_count_reg : std_logic_vector(9 downto 0); signal h_count_reg : std_logic_vector(9 downto 0); begin -- registers process (clk, rst) begin if rst = '0' then v_count_reg <= (others=>'0'); h_count_reg <= (others=>'0'); vsync <= '1'; hsync <= '1'; active <= '0'; else if rising_edge(clk) then -- Count the lines and rows if h_count_reg = H_SIZE + H_FRONT_DELAY + H_BACK_DELAY + H_RETRACE_DELAY - 1 then h_count_reg <= (others => '0'); if v_count_reg = V_SIZE + V_FRONT_DELAY + V_BACK_DELAY + V_RETRACE_DELAY - 1 then v_count_reg <= (others => '0'); else v_count_reg <= v_count_reg + 1; end if; else h_count_reg <= h_count_reg + 1; end if; if v_count_reg < V_SIZE and h_count_reg < H_SIZE then active <= '1'; else active <= '0'; end if; if h_count_reg > H_SIZE + H_FRONT_DELAY and h_count_reg <= H_SIZE + H_FRONT_DELAY + H_RETRACE_DELAY then hsync <= '0'; else hsync <= '1'; end if; if v_count_reg >= V_SIZE + V_FRONT_DELAY and v_count_reg < V_SIZE + V_FRONT_DELAY + V_RETRACE_DELAY then vsync <= '0'; else vsync <= '1'; end if; end if; end if; end process; xaddr <= h_count_reg; yaddr <= v_count_reg; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/video_passthrough/video_passthrough.srcs/sources_1/bd/system/ipshared/xilinx.com/zybo_hdmi_v1_0/tmds_encoder.vhd
6
4438
---------------------------------------------------------------------------------- -- Company: DBRSS -- Engineer: Daniel Barcklow -- Module: TOP level DVI-D ---------------------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Adapted by: Rob Taglang ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity TMDS_encoder is port( clk : in std_logic; d_in : in std_logic_vector(7 downto 0); -- 8 bit d_in to be encoded C : in std_logic_vector(1 downto 0); -- control bits (2) video_on : in std_logic; -- BLANKING, is video on? encoded : out std_logic_vector(9 downto 0)); -- output encoded end TMDS_encoder; architecture Behavioral of TMDS_encoder is signal xored : std_logic_vector(8 downto 0); signal xnored : std_logic_vector(8 downto 0); signal ones : std_logic_vector(3 downto 0); signal q_m : std_logic_vector(8 downto 0); signal q_m_inv : std_logic_vector(8 downto 0); signal data_word_disparity : std_logic_vector(3 downto 0); signal dc_bias : std_logic_vector(3 downto 0) := (others => '0'); begin -- Perform FALSE<1> computations xored(0) <= d_in(0); xored(1) <= d_in(1) xor xored(0); xored(2) <= d_in(2) xor xored(1); xored(3) <= d_in(3) xor xored(2); xored(4) <= d_in(4) xor xored(3); xored(5) <= d_in(5) xor xored(4); xored(6) <= d_in(6) xor xored(5); xored(7) <= d_in(7) xor xored(6); xored(8) <= '1'; -- Perform TRUE<1> computations xnored(0) <= d_in(0); xnored(1) <= d_in(1) xnor xnored(0); xnored(2) <= d_in(2) xnor xnored(1); xnored(3) <= d_in(3) xnor xnored(2); xnored(4) <= d_in(4) xnor xnored(3); xnored(5) <= d_in(5) xnor xnored(4); xnored(6) <= d_in(6) xnor xnored(5); xnored(7) <= d_in(7) xnor xnored(6); xnored(8) <= '0'; -- count all 1's by adding them (0 won't contribute) ones <= "0000" + d_in(0) + d_in(1) + d_in(2) + d_in(3) + d_in(4) + d_in(5) + d_in(6) + d_in(7); -- decide on encoding decision0: process(ones, d_in(0), xnored, xored) begin -- FIRST CHOICE DIAMOND (https://www.eewiki.net/pages/viewpage.action?pageId=36569119) <1> if ones > 4 or (ones = 4 and d_in(0) = '0') then q_m <= xnored; q_m_inv <= NOT(xnored); else q_m <= xored; q_m_inv <= NOT(xored); end if; end process decision0; -- Work out the DC bias of the dataword; data_word_disparity <= "1100" + q_m(0) + q_m(1) + q_m(2) + q_m(3) + q_m(4) + q_m(5) + q_m(6) + q_m(7); -- Now work out what the output should be process(clk) begin -- "DISPLAY ENABLE = 1" if rising_edge(clk) then if video_on = '0' then -- In the control periods, all values have and have balanced bit count case C is when "00" => encoded <= "1101010100"; when "01" => encoded <= "0010101011"; when "10" => encoded <= "0101010100"; when others => encoded <= "1010101011"; end case; dc_bias <= (others => '0'); else -- Ones#(d) = 4 OR disparity = 0 if dc_bias = "00000" or data_word_disparity = 0 then -- dataword has no disparity if q_m(8) = '0' then encoded <= "10" & q_m_inv(7 downto 0); dc_bias <= dc_bias - data_word_disparity; else encoded <= "01" & q_m(7 downto 0); dc_bias <= dc_bias + data_word_disparity; end if; elsif (dc_bias(3) = '0' and data_word_disparity(3) = '0') or (dc_bias(3) = '1' and data_word_disparity(3) = '1') then encoded <= '1' & q_m(8) & q_m_inv(7 downto 0); dc_bias <= dc_bias + q_m(8) - data_word_disparity; else encoded <= '0' & q_m; dc_bias <= dc_bias - q_m_inv(8) + data_word_disparity; end if; end if; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ipshared/737d/debounce.vhd
5
746
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity debounce is port ( clk : in std_logic; signal_in : in std_logic; signal_out : out std_logic ); end debounce; architecture Behavioral of debounce is signal c : unsigned(23 downto 0); begin process(clk) begin if rising_edge(clk) then if signal_in = '1' then if c = x"FFFFFF" then signal_out <= '1'; else signal_out <= '0'; end if; c <= c + 1; else c <= (others => '0'); signal_out <= '0'; end if; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_clk_wiz_0_0_1/system_clk_wiz_0_0_sim_netlist.vhdl
1
7622
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 07:02:45 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_clk_wiz_0_0_1/system_clk_wiz_0_0_sim_netlist.vhdl -- Design : system_clk_wiz_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz is port ( clk_out1 : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz : entity is "system_clk_wiz_0_0_clk_wiz"; end system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz; architecture STRUCTURE of system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz is signal clk_in1_system_clk_wiz_0_0 : STD_LOGIC; signal clk_out1_system_clk_wiz_0_0 : STD_LOGIC; signal clkfbout_buf_system_clk_wiz_0_0 : STD_LOGIC; signal clkfbout_system_clk_wiz_0_0 : STD_LOGIC; signal reset_high : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_system_clk_wiz_0_0, O => clkfbout_buf_system_clk_wiz_0_0 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_system_clk_wiz_0_0 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_out1_system_clk_wiz_0_0, O => clk_out1 ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 9.125000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 36.500000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 1, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 1, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_system_clk_wiz_0_0, CLKFBOUT => clkfbout_system_clk_wiz_0_0, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_system_clk_wiz_0_0, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_out1_system_clk_wiz_0_0, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => NLW_mmcm_adv_inst_CLKOUT1_UNCONNECTED, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => locked, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => reset_high ); mmcm_adv_inst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => resetn, O => reset_high ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_clk_wiz_0_0 is port ( clk_out1 : out STD_LOGIC; resetn : in STD_LOGIC; locked : out STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_clk_wiz_0_0 : entity is true; end system_clk_wiz_0_0; architecture STRUCTURE of system_clk_wiz_0_0 is begin inst: entity work.system_clk_wiz_0_0_system_clk_wiz_0_0_clk_wiz port map ( clk_in1 => clk_in1, clk_out1 => clk_out1, locked => locked, resetn => resetn ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_processing_system7_0_0/system_processing_system7_0_0_sim_netlist.vhdl
1
196508
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Wed May 31 20:12:00 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- c:/ZyboIP/examples/dma_example/dma_example.srcs/sources_1/bd/system/ip/system_processing_system7_0_0/system_processing_system7_0_0_sim_netlist.vhdl -- Design : system_processing_system7_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_processing_system7_0_0_processing_system7_v5_5_processing_system7 is port ( CAN0_PHY_TX : out STD_LOGIC; CAN0_PHY_RX : in STD_LOGIC; CAN1_PHY_TX : out STD_LOGIC; CAN1_PHY_RX : in STD_LOGIC; ENET0_GMII_TX_EN : out STD_LOGIC; ENET0_GMII_TX_ER : out STD_LOGIC; ENET0_MDIO_MDC : out STD_LOGIC; ENET0_MDIO_O : out STD_LOGIC; ENET0_MDIO_T : out STD_LOGIC; ENET0_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET0_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET0_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET0_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET0_SOF_RX : out STD_LOGIC; ENET0_SOF_TX : out STD_LOGIC; ENET0_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET0_GMII_COL : in STD_LOGIC; ENET0_GMII_CRS : in STD_LOGIC; ENET0_GMII_RX_CLK : in STD_LOGIC; ENET0_GMII_RX_DV : in STD_LOGIC; ENET0_GMII_RX_ER : in STD_LOGIC; ENET0_GMII_TX_CLK : in STD_LOGIC; ENET0_MDIO_I : in STD_LOGIC; ENET0_EXT_INTIN : in STD_LOGIC; ENET0_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_TX_EN : out STD_LOGIC; ENET1_GMII_TX_ER : out STD_LOGIC; ENET1_MDIO_MDC : out STD_LOGIC; ENET1_MDIO_O : out STD_LOGIC; ENET1_MDIO_T : out STD_LOGIC; ENET1_PTP_DELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_DELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_RX : out STD_LOGIC; ENET1_PTP_PDELAY_REQ_TX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_RX : out STD_LOGIC; ENET1_PTP_PDELAY_RESP_TX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_RX : out STD_LOGIC; ENET1_PTP_SYNC_FRAME_TX : out STD_LOGIC; ENET1_SOF_RX : out STD_LOGIC; ENET1_SOF_TX : out STD_LOGIC; ENET1_GMII_TXD : out STD_LOGIC_VECTOR ( 7 downto 0 ); ENET1_GMII_COL : in STD_LOGIC; ENET1_GMII_CRS : in STD_LOGIC; ENET1_GMII_RX_CLK : in STD_LOGIC; ENET1_GMII_RX_DV : in STD_LOGIC; ENET1_GMII_RX_ER : in STD_LOGIC; ENET1_GMII_TX_CLK : in STD_LOGIC; ENET1_MDIO_I : in STD_LOGIC; ENET1_EXT_INTIN : in STD_LOGIC; ENET1_GMII_RXD : in STD_LOGIC_VECTOR ( 7 downto 0 ); GPIO_I : in STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_O : out STD_LOGIC_VECTOR ( 63 downto 0 ); GPIO_T : out STD_LOGIC_VECTOR ( 63 downto 0 ); I2C0_SDA_I : in STD_LOGIC; I2C0_SDA_O : out STD_LOGIC; I2C0_SDA_T : out STD_LOGIC; I2C0_SCL_I : in STD_LOGIC; I2C0_SCL_O : out STD_LOGIC; I2C0_SCL_T : out STD_LOGIC; I2C1_SDA_I : in STD_LOGIC; I2C1_SDA_O : out STD_LOGIC; I2C1_SDA_T : out STD_LOGIC; I2C1_SCL_I : in STD_LOGIC; I2C1_SCL_O : out STD_LOGIC; I2C1_SCL_T : out STD_LOGIC; PJTAG_TCK : in STD_LOGIC; PJTAG_TMS : in STD_LOGIC; PJTAG_TDI : in STD_LOGIC; PJTAG_TDO : out STD_LOGIC; SDIO0_CLK : out STD_LOGIC; SDIO0_CLK_FB : in STD_LOGIC; SDIO0_CMD_O : out STD_LOGIC; SDIO0_CMD_I : in STD_LOGIC; SDIO0_CMD_T : out STD_LOGIC; SDIO0_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO0_LED : out STD_LOGIC; SDIO0_CDN : in STD_LOGIC; SDIO0_WP : in STD_LOGIC; SDIO0_BUSPOW : out STD_LOGIC; SDIO0_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SDIO1_CLK : out STD_LOGIC; SDIO1_CLK_FB : in STD_LOGIC; SDIO1_CMD_O : out STD_LOGIC; SDIO1_CMD_I : in STD_LOGIC; SDIO1_CMD_T : out STD_LOGIC; SDIO1_DATA_I : in STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_O : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_DATA_T : out STD_LOGIC_VECTOR ( 3 downto 0 ); SDIO1_LED : out STD_LOGIC; SDIO1_CDN : in STD_LOGIC; SDIO1_WP : in STD_LOGIC; SDIO1_BUSPOW : out STD_LOGIC; SDIO1_BUSVOLT : out STD_LOGIC_VECTOR ( 2 downto 0 ); SPI0_SCLK_I : in STD_LOGIC; SPI0_SCLK_O : out STD_LOGIC; SPI0_SCLK_T : out STD_LOGIC; SPI0_MOSI_I : in STD_LOGIC; SPI0_MOSI_O : out STD_LOGIC; SPI0_MOSI_T : out STD_LOGIC; SPI0_MISO_I : in STD_LOGIC; SPI0_MISO_O : out STD_LOGIC; SPI0_MISO_T : out STD_LOGIC; SPI0_SS_I : in STD_LOGIC; SPI0_SS_O : out STD_LOGIC; SPI0_SS1_O : out STD_LOGIC; SPI0_SS2_O : out STD_LOGIC; SPI0_SS_T : out STD_LOGIC; SPI1_SCLK_I : in STD_LOGIC; SPI1_SCLK_O : out STD_LOGIC; SPI1_SCLK_T : out STD_LOGIC; SPI1_MOSI_I : in STD_LOGIC; SPI1_MOSI_O : out STD_LOGIC; SPI1_MOSI_T : out STD_LOGIC; SPI1_MISO_I : in STD_LOGIC; SPI1_MISO_O : out STD_LOGIC; SPI1_MISO_T : out STD_LOGIC; SPI1_SS_I : in STD_LOGIC; SPI1_SS_O : out STD_LOGIC; SPI1_SS1_O : out STD_LOGIC; SPI1_SS2_O : out STD_LOGIC; SPI1_SS_T : out STD_LOGIC; UART0_DTRN : out STD_LOGIC; UART0_RTSN : out STD_LOGIC; UART0_TX : out STD_LOGIC; UART0_CTSN : in STD_LOGIC; UART0_DCDN : in STD_LOGIC; UART0_DSRN : in STD_LOGIC; UART0_RIN : in STD_LOGIC; UART0_RX : in STD_LOGIC; UART1_DTRN : out STD_LOGIC; UART1_RTSN : out STD_LOGIC; UART1_TX : out STD_LOGIC; UART1_CTSN : in STD_LOGIC; UART1_DCDN : in STD_LOGIC; UART1_DSRN : in STD_LOGIC; UART1_RIN : in STD_LOGIC; UART1_RX : in STD_LOGIC; TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; TTC0_CLK0_IN : in STD_LOGIC; TTC0_CLK1_IN : in STD_LOGIC; TTC0_CLK2_IN : in STD_LOGIC; TTC1_WAVE0_OUT : out STD_LOGIC; TTC1_WAVE1_OUT : out STD_LOGIC; TTC1_WAVE2_OUT : out STD_LOGIC; TTC1_CLK0_IN : in STD_LOGIC; TTC1_CLK1_IN : in STD_LOGIC; TTC1_CLK2_IN : in STD_LOGIC; WDT_CLK_IN : in STD_LOGIC; WDT_RST_OUT : out STD_LOGIC; TRACE_CLK : in STD_LOGIC; TRACE_CTL : out STD_LOGIC; TRACE_DATA : out STD_LOGIC_VECTOR ( 1 downto 0 ); TRACE_CLK_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; USB1_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB1_VBUS_PWRSELECT : out STD_LOGIC; USB1_VBUS_PWRFAULT : in STD_LOGIC; SRAM_INTIN : in STD_LOGIC; M_AXI_GP0_ARESETN : out STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARESETN : out STD_LOGIC; M_AXI_GP1_ARVALID : out STD_LOGIC; M_AXI_GP1_AWVALID : out STD_LOGIC; M_AXI_GP1_BREADY : out STD_LOGIC; M_AXI_GP1_RREADY : out STD_LOGIC; M_AXI_GP1_WLAST : out STD_LOGIC; M_AXI_GP1_WVALID : out STD_LOGIC; M_AXI_GP1_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP1_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP1_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP1_ACLK : in STD_LOGIC; M_AXI_GP1_ARREADY : in STD_LOGIC; M_AXI_GP1_AWREADY : in STD_LOGIC; M_AXI_GP1_BVALID : in STD_LOGIC; M_AXI_GP1_RLAST : in STD_LOGIC; M_AXI_GP1_RVALID : in STD_LOGIC; M_AXI_GP1_WREADY : in STD_LOGIC; M_AXI_GP1_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP1_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP1_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARESETN : out STD_LOGIC; S_AXI_GP0_ARREADY : out STD_LOGIC; S_AXI_GP0_AWREADY : out STD_LOGIC; S_AXI_GP0_BVALID : out STD_LOGIC; S_AXI_GP0_RLAST : out STD_LOGIC; S_AXI_GP0_RVALID : out STD_LOGIC; S_AXI_GP0_WREADY : out STD_LOGIC; S_AXI_GP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_ACLK : in STD_LOGIC; S_AXI_GP0_ARVALID : in STD_LOGIC; S_AXI_GP0_AWVALID : in STD_LOGIC; S_AXI_GP0_BREADY : in STD_LOGIC; S_AXI_GP0_RREADY : in STD_LOGIC; S_AXI_GP0_WLAST : in STD_LOGIC; S_AXI_GP0_WVALID : in STD_LOGIC; S_AXI_GP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ARESETN : out STD_LOGIC; S_AXI_GP1_ARREADY : out STD_LOGIC; S_AXI_GP1_AWREADY : out STD_LOGIC; S_AXI_GP1_BVALID : out STD_LOGIC; S_AXI_GP1_RLAST : out STD_LOGIC; S_AXI_GP1_RVALID : out STD_LOGIC; S_AXI_GP1_WREADY : out STD_LOGIC; S_AXI_GP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_RDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_ACLK : in STD_LOGIC; S_AXI_GP1_ARVALID : in STD_LOGIC; S_AXI_GP1_AWVALID : in STD_LOGIC; S_AXI_GP1_BREADY : in STD_LOGIC; S_AXI_GP1_RREADY : in STD_LOGIC; S_AXI_GP1_WLAST : in STD_LOGIC; S_AXI_GP1_WVALID : in STD_LOGIC; S_AXI_GP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_GP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_GP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_WDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_GP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_WSTRB : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_GP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_GP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_ACP_ARESETN : out STD_LOGIC; S_AXI_ACP_ARREADY : out STD_LOGIC; S_AXI_ACP_AWREADY : out STD_LOGIC; S_AXI_ACP_BVALID : out STD_LOGIC; S_AXI_ACP_RLAST : out STD_LOGIC; S_AXI_ACP_RVALID : out STD_LOGIC; S_AXI_ACP_WREADY : out STD_LOGIC; S_AXI_ACP_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_BID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RID : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_ACLK : in STD_LOGIC; S_AXI_ACP_ARVALID : in STD_LOGIC; S_AXI_ACP_AWVALID : in STD_LOGIC; S_AXI_ACP_BREADY : in STD_LOGIC; S_AXI_ACP_RREADY : in STD_LOGIC; S_AXI_ACP_WLAST : in STD_LOGIC; S_AXI_ACP_WVALID : in STD_LOGIC; S_AXI_ACP_ARID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_WID : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_ACP_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_ACP_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_ACP_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_ACP_ARUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_AWUSER : in STD_LOGIC_VECTOR ( 4 downto 0 ); S_AXI_ACP_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_ACP_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_ARESETN : out STD_LOGIC; S_AXI_HP0_ARREADY : out STD_LOGIC; S_AXI_HP0_AWREADY : out STD_LOGIC; S_AXI_HP0_BVALID : out STD_LOGIC; S_AXI_HP0_RLAST : out STD_LOGIC; S_AXI_HP0_RVALID : out STD_LOGIC; S_AXI_HP0_WREADY : out STD_LOGIC; S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_ACLK : in STD_LOGIC; S_AXI_HP0_ARVALID : in STD_LOGIC; S_AXI_HP0_AWVALID : in STD_LOGIC; S_AXI_HP0_BREADY : in STD_LOGIC; S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_RREADY : in STD_LOGIC; S_AXI_HP0_WLAST : in STD_LOGIC; S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_WVALID : in STD_LOGIC; S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_ARESETN : out STD_LOGIC; S_AXI_HP1_ARREADY : out STD_LOGIC; S_AXI_HP1_AWREADY : out STD_LOGIC; S_AXI_HP1_BVALID : out STD_LOGIC; S_AXI_HP1_RLAST : out STD_LOGIC; S_AXI_HP1_RVALID : out STD_LOGIC; S_AXI_HP1_WREADY : out STD_LOGIC; S_AXI_HP1_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP1_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_ACLK : in STD_LOGIC; S_AXI_HP1_ARVALID : in STD_LOGIC; S_AXI_HP1_AWVALID : in STD_LOGIC; S_AXI_HP1_BREADY : in STD_LOGIC; S_AXI_HP1_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_RREADY : in STD_LOGIC; S_AXI_HP1_WLAST : in STD_LOGIC; S_AXI_HP1_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP1_WVALID : in STD_LOGIC; S_AXI_HP1_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP1_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP1_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP1_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP1_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP1_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP1_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_ARESETN : out STD_LOGIC; S_AXI_HP2_ARREADY : out STD_LOGIC; S_AXI_HP2_AWREADY : out STD_LOGIC; S_AXI_HP2_BVALID : out STD_LOGIC; S_AXI_HP2_RLAST : out STD_LOGIC; S_AXI_HP2_RVALID : out STD_LOGIC; S_AXI_HP2_WREADY : out STD_LOGIC; S_AXI_HP2_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP2_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_ACLK : in STD_LOGIC; S_AXI_HP2_ARVALID : in STD_LOGIC; S_AXI_HP2_AWVALID : in STD_LOGIC; S_AXI_HP2_BREADY : in STD_LOGIC; S_AXI_HP2_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_RREADY : in STD_LOGIC; S_AXI_HP2_WLAST : in STD_LOGIC; S_AXI_HP2_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP2_WVALID : in STD_LOGIC; S_AXI_HP2_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP2_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP2_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP2_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP2_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP2_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP2_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_ARESETN : out STD_LOGIC; S_AXI_HP3_ARREADY : out STD_LOGIC; S_AXI_HP3_AWREADY : out STD_LOGIC; S_AXI_HP3_BVALID : out STD_LOGIC; S_AXI_HP3_RLAST : out STD_LOGIC; S_AXI_HP3_RVALID : out STD_LOGIC; S_AXI_HP3_WREADY : out STD_LOGIC; S_AXI_HP3_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP3_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_ACLK : in STD_LOGIC; S_AXI_HP3_ARVALID : in STD_LOGIC; S_AXI_HP3_AWVALID : in STD_LOGIC; S_AXI_HP3_BREADY : in STD_LOGIC; S_AXI_HP3_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_RREADY : in STD_LOGIC; S_AXI_HP3_WLAST : in STD_LOGIC; S_AXI_HP3_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP3_WVALID : in STD_LOGIC; S_AXI_HP3_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP3_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP3_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP3_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP3_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP3_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP3_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); IRQ_P2F_DMAC_ABORT : out STD_LOGIC; IRQ_P2F_DMAC0 : out STD_LOGIC; IRQ_P2F_DMAC1 : out STD_LOGIC; IRQ_P2F_DMAC2 : out STD_LOGIC; IRQ_P2F_DMAC3 : out STD_LOGIC; IRQ_P2F_DMAC4 : out STD_LOGIC; IRQ_P2F_DMAC5 : out STD_LOGIC; IRQ_P2F_DMAC6 : out STD_LOGIC; IRQ_P2F_DMAC7 : out STD_LOGIC; IRQ_P2F_SMC : out STD_LOGIC; IRQ_P2F_QSPI : out STD_LOGIC; IRQ_P2F_CTI : out STD_LOGIC; IRQ_P2F_GPIO : out STD_LOGIC; IRQ_P2F_USB0 : out STD_LOGIC; IRQ_P2F_ENET0 : out STD_LOGIC; IRQ_P2F_ENET_WAKE0 : out STD_LOGIC; IRQ_P2F_SDIO0 : out STD_LOGIC; IRQ_P2F_I2C0 : out STD_LOGIC; IRQ_P2F_SPI0 : out STD_LOGIC; IRQ_P2F_UART0 : out STD_LOGIC; IRQ_P2F_CAN0 : out STD_LOGIC; IRQ_P2F_USB1 : out STD_LOGIC; IRQ_P2F_ENET1 : out STD_LOGIC; IRQ_P2F_ENET_WAKE1 : out STD_LOGIC; IRQ_P2F_SDIO1 : out STD_LOGIC; IRQ_P2F_I2C1 : out STD_LOGIC; IRQ_P2F_SPI1 : out STD_LOGIC; IRQ_P2F_UART1 : out STD_LOGIC; IRQ_P2F_CAN1 : out STD_LOGIC; IRQ_F2P : in STD_LOGIC_VECTOR ( 1 downto 0 ); Core0_nFIQ : in STD_LOGIC; Core0_nIRQ : in STD_LOGIC; Core1_nFIQ : in STD_LOGIC; Core1_nIRQ : in STD_LOGIC; DMA0_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA0_DAVALID : out STD_LOGIC; DMA0_DRREADY : out STD_LOGIC; DMA0_RSTN : out STD_LOGIC; DMA1_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DAVALID : out STD_LOGIC; DMA1_DRREADY : out STD_LOGIC; DMA1_RSTN : out STD_LOGIC; DMA2_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DAVALID : out STD_LOGIC; DMA2_DRREADY : out STD_LOGIC; DMA2_RSTN : out STD_LOGIC; DMA3_DATYPE : out STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DAVALID : out STD_LOGIC; DMA3_DRREADY : out STD_LOGIC; DMA3_RSTN : out STD_LOGIC; DMA0_ACLK : in STD_LOGIC; DMA0_DAREADY : in STD_LOGIC; DMA0_DRLAST : in STD_LOGIC; DMA0_DRVALID : in STD_LOGIC; DMA1_ACLK : in STD_LOGIC; DMA1_DAREADY : in STD_LOGIC; DMA1_DRLAST : in STD_LOGIC; DMA1_DRVALID : in STD_LOGIC; DMA2_ACLK : in STD_LOGIC; DMA2_DAREADY : in STD_LOGIC; DMA2_DRLAST : in STD_LOGIC; DMA2_DRVALID : in STD_LOGIC; DMA3_ACLK : in STD_LOGIC; DMA3_DAREADY : in STD_LOGIC; DMA3_DRLAST : in STD_LOGIC; DMA3_DRVALID : in STD_LOGIC; DMA0_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA1_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA2_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); DMA3_DRTYPE : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK3 : out STD_LOGIC; FCLK_CLK2 : out STD_LOGIC; FCLK_CLK1 : out STD_LOGIC; FCLK_CLK0 : out STD_LOGIC; FCLK_CLKTRIG3_N : in STD_LOGIC; FCLK_CLKTRIG2_N : in STD_LOGIC; FCLK_CLKTRIG1_N : in STD_LOGIC; FCLK_CLKTRIG0_N : in STD_LOGIC; FCLK_RESET3_N : out STD_LOGIC; FCLK_RESET2_N : out STD_LOGIC; FCLK_RESET1_N : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; FTMD_TRACEIN_DATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMD_TRACEIN_VALID : in STD_LOGIC; FTMD_TRACEIN_CLK : in STD_LOGIC; FTMD_TRACEIN_ATID : in STD_LOGIC_VECTOR ( 3 downto 0 ); FTMT_F2P_TRIG_0 : in STD_LOGIC; FTMT_F2P_TRIGACK_0 : out STD_LOGIC; FTMT_F2P_TRIG_1 : in STD_LOGIC; FTMT_F2P_TRIGACK_1 : out STD_LOGIC; FTMT_F2P_TRIG_2 : in STD_LOGIC; FTMT_F2P_TRIGACK_2 : out STD_LOGIC; FTMT_F2P_TRIG_3 : in STD_LOGIC; FTMT_F2P_TRIGACK_3 : out STD_LOGIC; FTMT_F2P_DEBUG : in STD_LOGIC_VECTOR ( 31 downto 0 ); FTMT_P2F_TRIGACK_0 : in STD_LOGIC; FTMT_P2F_TRIG_0 : out STD_LOGIC; FTMT_P2F_TRIGACK_1 : in STD_LOGIC; FTMT_P2F_TRIG_1 : out STD_LOGIC; FTMT_P2F_TRIGACK_2 : in STD_LOGIC; FTMT_P2F_TRIG_2 : out STD_LOGIC; FTMT_P2F_TRIGACK_3 : in STD_LOGIC; FTMT_P2F_TRIG_3 : out STD_LOGIC; FTMT_P2F_DEBUG : out STD_LOGIC_VECTOR ( 31 downto 0 ); FPGA_IDLE_N : in STD_LOGIC; EVENT_EVENTO : out STD_LOGIC; EVENT_STANDBYWFE : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_STANDBYWFI : out STD_LOGIC_VECTOR ( 1 downto 0 ); EVENT_EVENTI : in STD_LOGIC; DDR_ARB : in STD_LOGIC_VECTOR ( 3 downto 0 ); MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 1; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "system_processing_system7_0_0.hwdef"; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "processing_system7_v5_5_processing_system7"; attribute POWER : string; attribute POWER of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_HP0} dataWidth={64} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 : entity is 0; end system_processing_system7_0_0_processing_system7_v5_5_processing_system7; architecture STRUCTURE of system_processing_system7_0_0_processing_system7_v5_5_processing_system7 is signal \<const0>\ : STD_LOGIC; signal ENET0_MDIO_T_n : STD_LOGIC; signal ENET1_MDIO_T_n : STD_LOGIC; signal FCLK_CLK_unbuffered : STD_LOGIC_VECTOR ( 0 to 0 ); signal I2C0_SCL_T_n : STD_LOGIC; signal I2C0_SDA_T_n : STD_LOGIC; signal I2C1_SCL_T_n : STD_LOGIC; signal I2C1_SDA_T_n : STD_LOGIC; signal \^m_axi_gp0_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp0_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_arsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_gp1_awsize\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal SDIO0_CMD_T_n : STD_LOGIC; signal SDIO0_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SDIO1_CMD_T_n : STD_LOGIC; signal SDIO1_DATA_T_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal SPI0_MISO_T_n : STD_LOGIC; signal SPI0_MOSI_T_n : STD_LOGIC; signal SPI0_SCLK_T_n : STD_LOGIC; signal SPI0_SS_T_n : STD_LOGIC; signal SPI1_MISO_T_n : STD_LOGIC; signal SPI1_MOSI_T_n : STD_LOGIC; signal SPI1_SCLK_T_n : STD_LOGIC; signal SPI1_SS_T_n : STD_LOGIC; signal \TRACE_CTL_PIPE[0]\ : STD_LOGIC; attribute RTL_KEEP : string; attribute RTL_KEEP of \TRACE_CTL_PIPE[0]\ : signal is "true"; signal \TRACE_CTL_PIPE[1]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[1]\ : signal is "true"; signal \TRACE_CTL_PIPE[2]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[2]\ : signal is "true"; signal \TRACE_CTL_PIPE[3]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[3]\ : signal is "true"; signal \TRACE_CTL_PIPE[4]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[4]\ : signal is "true"; signal \TRACE_CTL_PIPE[5]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[5]\ : signal is "true"; signal \TRACE_CTL_PIPE[6]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[6]\ : signal is "true"; signal \TRACE_CTL_PIPE[7]\ : STD_LOGIC; attribute RTL_KEEP of \TRACE_CTL_PIPE[7]\ : signal is "true"; signal \TRACE_DATA_PIPE[0]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[0]\ : signal is "true"; signal \TRACE_DATA_PIPE[1]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[1]\ : signal is "true"; signal \TRACE_DATA_PIPE[2]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[2]\ : signal is "true"; signal \TRACE_DATA_PIPE[3]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[3]\ : signal is "true"; signal \TRACE_DATA_PIPE[4]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[4]\ : signal is "true"; signal \TRACE_DATA_PIPE[5]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[5]\ : signal is "true"; signal \TRACE_DATA_PIPE[6]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[6]\ : signal is "true"; signal \TRACE_DATA_PIPE[7]\ : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute RTL_KEEP of \TRACE_DATA_PIPE[7]\ : signal is "true"; signal buffered_DDR_Addr : STD_LOGIC_VECTOR ( 14 downto 0 ); signal buffered_DDR_BankAddr : STD_LOGIC_VECTOR ( 2 downto 0 ); signal buffered_DDR_CAS_n : STD_LOGIC; signal buffered_DDR_CKE : STD_LOGIC; signal buffered_DDR_CS_n : STD_LOGIC; signal buffered_DDR_Clk : STD_LOGIC; signal buffered_DDR_Clk_n : STD_LOGIC; signal buffered_DDR_DM : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal buffered_DDR_DQS : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DQS_n : STD_LOGIC_VECTOR ( 3 downto 0 ); signal buffered_DDR_DRSTB : STD_LOGIC; signal buffered_DDR_ODT : STD_LOGIC; signal buffered_DDR_RAS_n : STD_LOGIC; signal buffered_DDR_VRN : STD_LOGIC; signal buffered_DDR_VRP : STD_LOGIC; signal buffered_DDR_WEB : STD_LOGIC; signal buffered_MIO : STD_LOGIC_VECTOR ( 53 downto 0 ); signal buffered_PS_CLK : STD_LOGIC; signal buffered_PS_PORB : STD_LOGIC; signal buffered_PS_SRSTB : STD_LOGIC; signal gpio_out_t_n : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOTRACECTL_UNCONNECTED : STD_LOGIC; signal NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of DDR_CAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CKE_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_CS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_Clk_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_DRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_ODT_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_RAS_n_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRN_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_VRP_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of DDR_WEB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS7_i : label is "PRIMITIVE"; attribute BOX_TYPE of PS_CLK_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_PORB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of PS_SRSTB_BIBUF : label is "PRIMITIVE"; attribute BOX_TYPE of \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[0].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[10].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[11].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[12].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[13].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[14].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[15].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[16].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[17].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[18].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[19].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[1].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[20].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[21].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[22].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[23].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[24].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[25].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[26].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[27].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[28].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[29].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[2].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[30].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[31].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[32].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[33].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[34].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[35].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[36].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[37].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[38].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[39].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[3].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[40].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[41].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[42].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[43].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[44].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[45].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[46].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[47].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[48].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[49].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[4].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[50].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[51].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[52].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[53].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[5].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[6].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[7].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[8].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk13[9].MIO_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[0].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[1].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk14[2].DDR_BankAddr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[0].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[10].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[11].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[12].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[13].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[14].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[1].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[2].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[3].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[4].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[5].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[6].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[7].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[8].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk15[9].DDR_Addr_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[0].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[1].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[2].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk16[3].DDR_DM_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[0].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[10].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[11].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[12].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[13].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[14].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[15].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[16].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[17].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[18].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[19].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[1].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[20].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[21].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[22].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[23].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[24].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[25].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[26].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[27].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[28].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[29].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[2].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[30].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[31].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[3].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[4].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[5].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[6].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[7].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[8].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk17[9].DDR_DQ_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[0].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[1].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[2].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk18[3].DDR_DQS_n_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[0].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[1].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[2].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; attribute BOX_TYPE of \genblk19[3].DDR_DQS_BIBUF\ : label is "PRIMITIVE"; begin ENET0_GMII_TXD(7) <= \<const0>\; ENET0_GMII_TXD(6) <= \<const0>\; ENET0_GMII_TXD(5) <= \<const0>\; ENET0_GMII_TXD(4) <= \<const0>\; ENET0_GMII_TXD(3) <= \<const0>\; ENET0_GMII_TXD(2) <= \<const0>\; ENET0_GMII_TXD(1) <= \<const0>\; ENET0_GMII_TXD(0) <= \<const0>\; ENET0_GMII_TX_EN <= \<const0>\; ENET0_GMII_TX_ER <= \<const0>\; ENET1_GMII_TXD(7) <= \<const0>\; ENET1_GMII_TXD(6) <= \<const0>\; ENET1_GMII_TXD(5) <= \<const0>\; ENET1_GMII_TXD(4) <= \<const0>\; ENET1_GMII_TXD(3) <= \<const0>\; ENET1_GMII_TXD(2) <= \<const0>\; ENET1_GMII_TXD(1) <= \<const0>\; ENET1_GMII_TXD(0) <= \<const0>\; ENET1_GMII_TX_EN <= \<const0>\; ENET1_GMII_TX_ER <= \<const0>\; M_AXI_GP0_ARSIZE(2) <= \<const0>\; M_AXI_GP0_ARSIZE(1 downto 0) <= \^m_axi_gp0_arsize\(1 downto 0); M_AXI_GP0_AWSIZE(2) <= \<const0>\; M_AXI_GP0_AWSIZE(1 downto 0) <= \^m_axi_gp0_awsize\(1 downto 0); M_AXI_GP1_ARSIZE(2) <= \<const0>\; M_AXI_GP1_ARSIZE(1 downto 0) <= \^m_axi_gp1_arsize\(1 downto 0); M_AXI_GP1_AWSIZE(2) <= \<const0>\; M_AXI_GP1_AWSIZE(1 downto 0) <= \^m_axi_gp1_awsize\(1 downto 0); PJTAG_TDO <= \<const0>\; TRACE_CLK_OUT <= \<const0>\; TRACE_CTL <= \TRACE_CTL_PIPE[0]\; TRACE_DATA(1 downto 0) <= \TRACE_DATA_PIPE[0]\(1 downto 0); DDR_CAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CAS_n, PAD => DDR_CAS_n ); DDR_CKE_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CKE, PAD => DDR_CKE ); DDR_CS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_CS_n, PAD => DDR_CS_n ); DDR_Clk_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk, PAD => DDR_Clk ); DDR_Clk_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Clk_n, PAD => DDR_Clk_n ); DDR_DRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DRSTB, PAD => DDR_DRSTB ); DDR_ODT_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_ODT, PAD => DDR_ODT ); DDR_RAS_n_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_RAS_n, PAD => DDR_RAS_n ); DDR_VRN_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRN, PAD => DDR_VRN ); DDR_VRP_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_VRP, PAD => DDR_VRP ); DDR_WEB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_WEB, PAD => DDR_WEB ); ENET0_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET0_MDIO_T_n, O => ENET0_MDIO_T ); ENET1_MDIO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ENET1_MDIO_T_n, O => ENET1_MDIO_T ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \GPIO_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(0), O => GPIO_T(0) ); \GPIO_T[10]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(10), O => GPIO_T(10) ); \GPIO_T[11]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(11), O => GPIO_T(11) ); \GPIO_T[12]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(12), O => GPIO_T(12) ); \GPIO_T[13]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(13), O => GPIO_T(13) ); \GPIO_T[14]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(14), O => GPIO_T(14) ); \GPIO_T[15]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(15), O => GPIO_T(15) ); \GPIO_T[16]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(16), O => GPIO_T(16) ); \GPIO_T[17]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(17), O => GPIO_T(17) ); \GPIO_T[18]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(18), O => GPIO_T(18) ); \GPIO_T[19]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(19), O => GPIO_T(19) ); \GPIO_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(1), O => GPIO_T(1) ); \GPIO_T[20]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(20), O => GPIO_T(20) ); \GPIO_T[21]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(21), O => GPIO_T(21) ); \GPIO_T[22]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(22), O => GPIO_T(22) ); \GPIO_T[23]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(23), O => GPIO_T(23) ); \GPIO_T[24]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(24), O => GPIO_T(24) ); \GPIO_T[25]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(25), O => GPIO_T(25) ); \GPIO_T[26]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(26), O => GPIO_T(26) ); \GPIO_T[27]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(27), O => GPIO_T(27) ); \GPIO_T[28]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(28), O => GPIO_T(28) ); \GPIO_T[29]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(29), O => GPIO_T(29) ); \GPIO_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(2), O => GPIO_T(2) ); \GPIO_T[30]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(30), O => GPIO_T(30) ); \GPIO_T[31]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(31), O => GPIO_T(31) ); \GPIO_T[32]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(32), O => GPIO_T(32) ); \GPIO_T[33]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(33), O => GPIO_T(33) ); \GPIO_T[34]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(34), O => GPIO_T(34) ); \GPIO_T[35]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(35), O => GPIO_T(35) ); \GPIO_T[36]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(36), O => GPIO_T(36) ); \GPIO_T[37]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(37), O => GPIO_T(37) ); \GPIO_T[38]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(38), O => GPIO_T(38) ); \GPIO_T[39]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(39), O => GPIO_T(39) ); \GPIO_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(3), O => GPIO_T(3) ); \GPIO_T[40]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(40), O => GPIO_T(40) ); \GPIO_T[41]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(41), O => GPIO_T(41) ); \GPIO_T[42]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(42), O => GPIO_T(42) ); \GPIO_T[43]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(43), O => GPIO_T(43) ); \GPIO_T[44]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(44), O => GPIO_T(44) ); \GPIO_T[45]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(45), O => GPIO_T(45) ); \GPIO_T[46]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(46), O => GPIO_T(46) ); \GPIO_T[47]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(47), O => GPIO_T(47) ); \GPIO_T[48]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(48), O => GPIO_T(48) ); \GPIO_T[49]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(49), O => GPIO_T(49) ); \GPIO_T[4]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(4), O => GPIO_T(4) ); \GPIO_T[50]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(50), O => GPIO_T(50) ); \GPIO_T[51]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(51), O => GPIO_T(51) ); \GPIO_T[52]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(52), O => GPIO_T(52) ); \GPIO_T[53]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(53), O => GPIO_T(53) ); \GPIO_T[54]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(54), O => GPIO_T(54) ); \GPIO_T[55]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(55), O => GPIO_T(55) ); \GPIO_T[56]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(56), O => GPIO_T(56) ); \GPIO_T[57]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(57), O => GPIO_T(57) ); \GPIO_T[58]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(58), O => GPIO_T(58) ); \GPIO_T[59]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(59), O => GPIO_T(59) ); \GPIO_T[5]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(5), O => GPIO_T(5) ); \GPIO_T[60]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(60), O => GPIO_T(60) ); \GPIO_T[61]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(61), O => GPIO_T(61) ); \GPIO_T[62]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(62), O => GPIO_T(62) ); \GPIO_T[63]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(63), O => GPIO_T(63) ); \GPIO_T[6]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(6), O => GPIO_T(6) ); \GPIO_T[7]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(7), O => GPIO_T(7) ); \GPIO_T[8]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(8), O => GPIO_T(8) ); \GPIO_T[9]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => gpio_out_t_n(9), O => GPIO_T(9) ); I2C0_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SCL_T_n, O => I2C0_SCL_T ); I2C0_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C0_SDA_T_n, O => I2C0_SDA_T ); I2C1_SCL_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SCL_T_n, O => I2C1_SCL_T ); I2C1_SDA_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => I2C1_SDA_T_n, O => I2C1_SDA_T ); PS7_i: unisim.vcomponents.PS7 port map ( DDRA(14 downto 0) => buffered_DDR_Addr(14 downto 0), DDRARB(3 downto 0) => DDR_ARB(3 downto 0), DDRBA(2 downto 0) => buffered_DDR_BankAddr(2 downto 0), DDRCASB => buffered_DDR_CAS_n, DDRCKE => buffered_DDR_CKE, DDRCKN => buffered_DDR_Clk_n, DDRCKP => buffered_DDR_Clk, DDRCSB => buffered_DDR_CS_n, DDRDM(3 downto 0) => buffered_DDR_DM(3 downto 0), DDRDQ(31 downto 0) => buffered_DDR_DQ(31 downto 0), DDRDQSN(3 downto 0) => buffered_DDR_DQS_n(3 downto 0), DDRDQSP(3 downto 0) => buffered_DDR_DQS(3 downto 0), DDRDRSTB => buffered_DDR_DRSTB, DDRODT => buffered_DDR_ODT, DDRRASB => buffered_DDR_RAS_n, DDRVRN => buffered_DDR_VRN, DDRVRP => buffered_DDR_VRP, DDRWEB => buffered_DDR_WEB, DMA0ACLK => DMA0_ACLK, DMA0DAREADY => DMA0_DAREADY, DMA0DATYPE(1 downto 0) => DMA0_DATYPE(1 downto 0), DMA0DAVALID => DMA0_DAVALID, DMA0DRLAST => DMA0_DRLAST, DMA0DRREADY => DMA0_DRREADY, DMA0DRTYPE(1 downto 0) => DMA0_DRTYPE(1 downto 0), DMA0DRVALID => DMA0_DRVALID, DMA0RSTN => DMA0_RSTN, DMA1ACLK => DMA1_ACLK, DMA1DAREADY => DMA1_DAREADY, DMA1DATYPE(1 downto 0) => DMA1_DATYPE(1 downto 0), DMA1DAVALID => DMA1_DAVALID, DMA1DRLAST => DMA1_DRLAST, DMA1DRREADY => DMA1_DRREADY, DMA1DRTYPE(1 downto 0) => DMA1_DRTYPE(1 downto 0), DMA1DRVALID => DMA1_DRVALID, DMA1RSTN => DMA1_RSTN, DMA2ACLK => DMA2_ACLK, DMA2DAREADY => DMA2_DAREADY, DMA2DATYPE(1 downto 0) => DMA2_DATYPE(1 downto 0), DMA2DAVALID => DMA2_DAVALID, DMA2DRLAST => DMA2_DRLAST, DMA2DRREADY => DMA2_DRREADY, DMA2DRTYPE(1 downto 0) => DMA2_DRTYPE(1 downto 0), DMA2DRVALID => DMA2_DRVALID, DMA2RSTN => DMA2_RSTN, DMA3ACLK => DMA3_ACLK, DMA3DAREADY => DMA3_DAREADY, DMA3DATYPE(1 downto 0) => DMA3_DATYPE(1 downto 0), DMA3DAVALID => DMA3_DAVALID, DMA3DRLAST => DMA3_DRLAST, DMA3DRREADY => DMA3_DRREADY, DMA3DRTYPE(1 downto 0) => DMA3_DRTYPE(1 downto 0), DMA3DRVALID => DMA3_DRVALID, DMA3RSTN => DMA3_RSTN, EMIOCAN0PHYRX => CAN0_PHY_RX, EMIOCAN0PHYTX => CAN0_PHY_TX, EMIOCAN1PHYRX => CAN1_PHY_RX, EMIOCAN1PHYTX => CAN1_PHY_TX, EMIOENET0EXTINTIN => ENET0_EXT_INTIN, EMIOENET0GMIICOL => '0', EMIOENET0GMIICRS => '0', EMIOENET0GMIIRXCLK => ENET0_GMII_RX_CLK, EMIOENET0GMIIRXD(7 downto 0) => B"00000000", EMIOENET0GMIIRXDV => '0', EMIOENET0GMIIRXER => '0', EMIOENET0GMIITXCLK => ENET0_GMII_TX_CLK, EMIOENET0GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET0GMIITXD_UNCONNECTED(7 downto 0), EMIOENET0GMIITXEN => NLW_PS7_i_EMIOENET0GMIITXEN_UNCONNECTED, EMIOENET0GMIITXER => NLW_PS7_i_EMIOENET0GMIITXER_UNCONNECTED, EMIOENET0MDIOI => ENET0_MDIO_I, EMIOENET0MDIOMDC => ENET0_MDIO_MDC, EMIOENET0MDIOO => ENET0_MDIO_O, EMIOENET0MDIOTN => ENET0_MDIO_T_n, EMIOENET0PTPDELAYREQRX => ENET0_PTP_DELAY_REQ_RX, EMIOENET0PTPDELAYREQTX => ENET0_PTP_DELAY_REQ_TX, EMIOENET0PTPPDELAYREQRX => ENET0_PTP_PDELAY_REQ_RX, EMIOENET0PTPPDELAYREQTX => ENET0_PTP_PDELAY_REQ_TX, EMIOENET0PTPPDELAYRESPRX => ENET0_PTP_PDELAY_RESP_RX, EMIOENET0PTPPDELAYRESPTX => ENET0_PTP_PDELAY_RESP_TX, EMIOENET0PTPSYNCFRAMERX => ENET0_PTP_SYNC_FRAME_RX, EMIOENET0PTPSYNCFRAMETX => ENET0_PTP_SYNC_FRAME_TX, EMIOENET0SOFRX => ENET0_SOF_RX, EMIOENET0SOFTX => ENET0_SOF_TX, EMIOENET1EXTINTIN => ENET1_EXT_INTIN, EMIOENET1GMIICOL => '0', EMIOENET1GMIICRS => '0', EMIOENET1GMIIRXCLK => ENET1_GMII_RX_CLK, EMIOENET1GMIIRXD(7 downto 0) => B"00000000", EMIOENET1GMIIRXDV => '0', EMIOENET1GMIIRXER => '0', EMIOENET1GMIITXCLK => ENET1_GMII_TX_CLK, EMIOENET1GMIITXD(7 downto 0) => NLW_PS7_i_EMIOENET1GMIITXD_UNCONNECTED(7 downto 0), EMIOENET1GMIITXEN => NLW_PS7_i_EMIOENET1GMIITXEN_UNCONNECTED, EMIOENET1GMIITXER => NLW_PS7_i_EMIOENET1GMIITXER_UNCONNECTED, EMIOENET1MDIOI => ENET1_MDIO_I, EMIOENET1MDIOMDC => ENET1_MDIO_MDC, EMIOENET1MDIOO => ENET1_MDIO_O, EMIOENET1MDIOTN => ENET1_MDIO_T_n, EMIOENET1PTPDELAYREQRX => ENET1_PTP_DELAY_REQ_RX, EMIOENET1PTPDELAYREQTX => ENET1_PTP_DELAY_REQ_TX, EMIOENET1PTPPDELAYREQRX => ENET1_PTP_PDELAY_REQ_RX, EMIOENET1PTPPDELAYREQTX => ENET1_PTP_PDELAY_REQ_TX, EMIOENET1PTPPDELAYRESPRX => ENET1_PTP_PDELAY_RESP_RX, EMIOENET1PTPPDELAYRESPTX => ENET1_PTP_PDELAY_RESP_TX, EMIOENET1PTPSYNCFRAMERX => ENET1_PTP_SYNC_FRAME_RX, EMIOENET1PTPSYNCFRAMETX => ENET1_PTP_SYNC_FRAME_TX, EMIOENET1SOFRX => ENET1_SOF_RX, EMIOENET1SOFTX => ENET1_SOF_TX, EMIOGPIOI(63 downto 0) => GPIO_I(63 downto 0), EMIOGPIOO(63 downto 0) => GPIO_O(63 downto 0), EMIOGPIOTN(63 downto 0) => gpio_out_t_n(63 downto 0), EMIOI2C0SCLI => I2C0_SCL_I, EMIOI2C0SCLO => I2C0_SCL_O, EMIOI2C0SCLTN => I2C0_SCL_T_n, EMIOI2C0SDAI => I2C0_SDA_I, EMIOI2C0SDAO => I2C0_SDA_O, EMIOI2C0SDATN => I2C0_SDA_T_n, EMIOI2C1SCLI => I2C1_SCL_I, EMIOI2C1SCLO => I2C1_SCL_O, EMIOI2C1SCLTN => I2C1_SCL_T_n, EMIOI2C1SDAI => I2C1_SDA_I, EMIOI2C1SDAO => I2C1_SDA_O, EMIOI2C1SDATN => I2C1_SDA_T_n, EMIOPJTAGTCK => PJTAG_TCK, EMIOPJTAGTDI => PJTAG_TDI, EMIOPJTAGTDO => NLW_PS7_i_EMIOPJTAGTDO_UNCONNECTED, EMIOPJTAGTDTN => NLW_PS7_i_EMIOPJTAGTDTN_UNCONNECTED, EMIOPJTAGTMS => PJTAG_TMS, EMIOSDIO0BUSPOW => SDIO0_BUSPOW, EMIOSDIO0BUSVOLT(2 downto 0) => SDIO0_BUSVOLT(2 downto 0), EMIOSDIO0CDN => SDIO0_CDN, EMIOSDIO0CLK => SDIO0_CLK, EMIOSDIO0CLKFB => SDIO0_CLK_FB, EMIOSDIO0CMDI => SDIO0_CMD_I, EMIOSDIO0CMDO => SDIO0_CMD_O, EMIOSDIO0CMDTN => SDIO0_CMD_T_n, EMIOSDIO0DATAI(3 downto 0) => SDIO0_DATA_I(3 downto 0), EMIOSDIO0DATAO(3 downto 0) => SDIO0_DATA_O(3 downto 0), EMIOSDIO0DATATN(3 downto 0) => SDIO0_DATA_T_n(3 downto 0), EMIOSDIO0LED => SDIO0_LED, EMIOSDIO0WP => SDIO0_WP, EMIOSDIO1BUSPOW => SDIO1_BUSPOW, EMIOSDIO1BUSVOLT(2 downto 0) => SDIO1_BUSVOLT(2 downto 0), EMIOSDIO1CDN => SDIO1_CDN, EMIOSDIO1CLK => SDIO1_CLK, EMIOSDIO1CLKFB => SDIO1_CLK_FB, EMIOSDIO1CMDI => SDIO1_CMD_I, EMIOSDIO1CMDO => SDIO1_CMD_O, EMIOSDIO1CMDTN => SDIO1_CMD_T_n, EMIOSDIO1DATAI(3 downto 0) => SDIO1_DATA_I(3 downto 0), EMIOSDIO1DATAO(3 downto 0) => SDIO1_DATA_O(3 downto 0), EMIOSDIO1DATATN(3 downto 0) => SDIO1_DATA_T_n(3 downto 0), EMIOSDIO1LED => SDIO1_LED, EMIOSDIO1WP => SDIO1_WP, EMIOSPI0MI => SPI0_MISO_I, EMIOSPI0MO => SPI0_MOSI_O, EMIOSPI0MOTN => SPI0_MOSI_T_n, EMIOSPI0SCLKI => SPI0_SCLK_I, EMIOSPI0SCLKO => SPI0_SCLK_O, EMIOSPI0SCLKTN => SPI0_SCLK_T_n, EMIOSPI0SI => SPI0_MOSI_I, EMIOSPI0SO => SPI0_MISO_O, EMIOSPI0SSIN => SPI0_SS_I, EMIOSPI0SSNTN => SPI0_SS_T_n, EMIOSPI0SSON(2) => SPI0_SS2_O, EMIOSPI0SSON(1) => SPI0_SS1_O, EMIOSPI0SSON(0) => SPI0_SS_O, EMIOSPI0STN => SPI0_MISO_T_n, EMIOSPI1MI => SPI1_MISO_I, EMIOSPI1MO => SPI1_MOSI_O, EMIOSPI1MOTN => SPI1_MOSI_T_n, EMIOSPI1SCLKI => SPI1_SCLK_I, EMIOSPI1SCLKO => SPI1_SCLK_O, EMIOSPI1SCLKTN => SPI1_SCLK_T_n, EMIOSPI1SI => SPI1_MOSI_I, EMIOSPI1SO => SPI1_MISO_O, EMIOSPI1SSIN => SPI1_SS_I, EMIOSPI1SSNTN => SPI1_SS_T_n, EMIOSPI1SSON(2) => SPI1_SS2_O, EMIOSPI1SSON(1) => SPI1_SS1_O, EMIOSPI1SSON(0) => SPI1_SS_O, EMIOSPI1STN => SPI1_MISO_T_n, EMIOSRAMINTIN => SRAM_INTIN, EMIOTRACECLK => TRACE_CLK, EMIOTRACECTL => NLW_PS7_i_EMIOTRACECTL_UNCONNECTED, EMIOTRACEDATA(31 downto 0) => NLW_PS7_i_EMIOTRACEDATA_UNCONNECTED(31 downto 0), EMIOTTC0CLKI(2) => TTC0_CLK2_IN, EMIOTTC0CLKI(1) => TTC0_CLK1_IN, EMIOTTC0CLKI(0) => TTC0_CLK0_IN, EMIOTTC0WAVEO(2) => TTC0_WAVE2_OUT, EMIOTTC0WAVEO(1) => TTC0_WAVE1_OUT, EMIOTTC0WAVEO(0) => TTC0_WAVE0_OUT, EMIOTTC1CLKI(2) => TTC1_CLK2_IN, EMIOTTC1CLKI(1) => TTC1_CLK1_IN, EMIOTTC1CLKI(0) => TTC1_CLK0_IN, EMIOTTC1WAVEO(2) => TTC1_WAVE2_OUT, EMIOTTC1WAVEO(1) => TTC1_WAVE1_OUT, EMIOTTC1WAVEO(0) => TTC1_WAVE0_OUT, EMIOUART0CTSN => UART0_CTSN, EMIOUART0DCDN => UART0_DCDN, EMIOUART0DSRN => UART0_DSRN, EMIOUART0DTRN => UART0_DTRN, EMIOUART0RIN => UART0_RIN, EMIOUART0RTSN => UART0_RTSN, EMIOUART0RX => UART0_RX, EMIOUART0TX => UART0_TX, EMIOUART1CTSN => UART1_CTSN, EMIOUART1DCDN => UART1_DCDN, EMIOUART1DSRN => UART1_DSRN, EMIOUART1DTRN => UART1_DTRN, EMIOUART1RIN => UART1_RIN, EMIOUART1RTSN => UART1_RTSN, EMIOUART1RX => UART1_RX, EMIOUART1TX => UART1_TX, EMIOUSB0PORTINDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), EMIOUSB0VBUSPWRFAULT => USB0_VBUS_PWRFAULT, EMIOUSB0VBUSPWRSELECT => USB0_VBUS_PWRSELECT, EMIOUSB1PORTINDCTL(1 downto 0) => USB1_PORT_INDCTL(1 downto 0), EMIOUSB1VBUSPWRFAULT => USB1_VBUS_PWRFAULT, EMIOUSB1VBUSPWRSELECT => USB1_VBUS_PWRSELECT, EMIOWDTCLKI => WDT_CLK_IN, EMIOWDTRSTO => WDT_RST_OUT, EVENTEVENTI => EVENT_EVENTI, EVENTEVENTO => EVENT_EVENTO, EVENTSTANDBYWFE(1 downto 0) => EVENT_STANDBYWFE(1 downto 0), EVENTSTANDBYWFI(1 downto 0) => EVENT_STANDBYWFI(1 downto 0), FCLKCLK(3) => FCLK_CLK3, FCLKCLK(2) => FCLK_CLK2, FCLKCLK(1) => FCLK_CLK1, FCLKCLK(0) => FCLK_CLK_unbuffered(0), FCLKCLKTRIGN(3 downto 0) => B"0000", FCLKRESETN(3) => FCLK_RESET3_N, FCLKRESETN(2) => FCLK_RESET2_N, FCLKRESETN(1) => FCLK_RESET1_N, FCLKRESETN(0) => FCLK_RESET0_N, FPGAIDLEN => FPGA_IDLE_N, FTMDTRACEINATID(3 downto 0) => B"0000", FTMDTRACEINCLOCK => FTMD_TRACEIN_CLK, FTMDTRACEINDATA(31 downto 0) => B"00000000000000000000000000000000", FTMDTRACEINVALID => '0', FTMTF2PDEBUG(31 downto 0) => FTMT_F2P_DEBUG(31 downto 0), FTMTF2PTRIG(3) => FTMT_F2P_TRIG_3, FTMTF2PTRIG(2) => FTMT_F2P_TRIG_2, FTMTF2PTRIG(1) => FTMT_F2P_TRIG_1, FTMTF2PTRIG(0) => FTMT_F2P_TRIG_0, FTMTF2PTRIGACK(3) => FTMT_F2P_TRIGACK_3, FTMTF2PTRIGACK(2) => FTMT_F2P_TRIGACK_2, FTMTF2PTRIGACK(1) => FTMT_F2P_TRIGACK_1, FTMTF2PTRIGACK(0) => FTMT_F2P_TRIGACK_0, FTMTP2FDEBUG(31 downto 0) => FTMT_P2F_DEBUG(31 downto 0), FTMTP2FTRIG(3) => FTMT_P2F_TRIG_3, FTMTP2FTRIG(2) => FTMT_P2F_TRIG_2, FTMTP2FTRIG(1) => FTMT_P2F_TRIG_1, FTMTP2FTRIG(0) => FTMT_P2F_TRIG_0, FTMTP2FTRIGACK(3) => FTMT_P2F_TRIGACK_3, FTMTP2FTRIGACK(2) => FTMT_P2F_TRIGACK_2, FTMTP2FTRIGACK(1) => FTMT_P2F_TRIGACK_1, FTMTP2FTRIGACK(0) => FTMT_P2F_TRIGACK_0, IRQF2P(19) => Core1_nFIQ, IRQF2P(18) => Core0_nFIQ, IRQF2P(17) => Core1_nIRQ, IRQF2P(16) => Core0_nIRQ, IRQF2P(15 downto 2) => B"00000000000000", IRQF2P(1 downto 0) => IRQ_F2P(1 downto 0), IRQP2F(28) => IRQ_P2F_DMAC_ABORT, IRQP2F(27) => IRQ_P2F_DMAC7, IRQP2F(26) => IRQ_P2F_DMAC6, IRQP2F(25) => IRQ_P2F_DMAC5, IRQP2F(24) => IRQ_P2F_DMAC4, IRQP2F(23) => IRQ_P2F_DMAC3, IRQP2F(22) => IRQ_P2F_DMAC2, IRQP2F(21) => IRQ_P2F_DMAC1, IRQP2F(20) => IRQ_P2F_DMAC0, IRQP2F(19) => IRQ_P2F_SMC, IRQP2F(18) => IRQ_P2F_QSPI, IRQP2F(17) => IRQ_P2F_CTI, IRQP2F(16) => IRQ_P2F_GPIO, IRQP2F(15) => IRQ_P2F_USB0, IRQP2F(14) => IRQ_P2F_ENET0, IRQP2F(13) => IRQ_P2F_ENET_WAKE0, IRQP2F(12) => IRQ_P2F_SDIO0, IRQP2F(11) => IRQ_P2F_I2C0, IRQP2F(10) => IRQ_P2F_SPI0, IRQP2F(9) => IRQ_P2F_UART0, IRQP2F(8) => IRQ_P2F_CAN0, IRQP2F(7) => IRQ_P2F_USB1, IRQP2F(6) => IRQ_P2F_ENET1, IRQP2F(5) => IRQ_P2F_ENET_WAKE1, IRQP2F(4) => IRQ_P2F_SDIO1, IRQP2F(3) => IRQ_P2F_I2C1, IRQP2F(2) => IRQ_P2F_SPI1, IRQP2F(1) => IRQ_P2F_UART1, IRQP2F(0) => IRQ_P2F_CAN1, MAXIGP0ACLK => M_AXI_GP0_ACLK, MAXIGP0ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), MAXIGP0ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), MAXIGP0ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), MAXIGP0ARESETN => M_AXI_GP0_ARESETN, MAXIGP0ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), MAXIGP0ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), MAXIGP0ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), MAXIGP0ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), MAXIGP0ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), MAXIGP0ARREADY => M_AXI_GP0_ARREADY, MAXIGP0ARSIZE(1 downto 0) => \^m_axi_gp0_arsize\(1 downto 0), MAXIGP0ARVALID => M_AXI_GP0_ARVALID, MAXIGP0AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), MAXIGP0AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), MAXIGP0AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), MAXIGP0AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), MAXIGP0AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), MAXIGP0AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), MAXIGP0AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), MAXIGP0AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), MAXIGP0AWREADY => M_AXI_GP0_AWREADY, MAXIGP0AWSIZE(1 downto 0) => \^m_axi_gp0_awsize\(1 downto 0), MAXIGP0AWVALID => M_AXI_GP0_AWVALID, MAXIGP0BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), MAXIGP0BREADY => M_AXI_GP0_BREADY, MAXIGP0BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), MAXIGP0BVALID => M_AXI_GP0_BVALID, MAXIGP0RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), MAXIGP0RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), MAXIGP0RLAST => M_AXI_GP0_RLAST, MAXIGP0RREADY => M_AXI_GP0_RREADY, MAXIGP0RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), MAXIGP0RVALID => M_AXI_GP0_RVALID, MAXIGP0WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), MAXIGP0WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), MAXIGP0WLAST => M_AXI_GP0_WLAST, MAXIGP0WREADY => M_AXI_GP0_WREADY, MAXIGP0WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), MAXIGP0WVALID => M_AXI_GP0_WVALID, MAXIGP1ACLK => M_AXI_GP1_ACLK, MAXIGP1ARADDR(31 downto 0) => M_AXI_GP1_ARADDR(31 downto 0), MAXIGP1ARBURST(1 downto 0) => M_AXI_GP1_ARBURST(1 downto 0), MAXIGP1ARCACHE(3 downto 0) => M_AXI_GP1_ARCACHE(3 downto 0), MAXIGP1ARESETN => M_AXI_GP1_ARESETN, MAXIGP1ARID(11 downto 0) => M_AXI_GP1_ARID(11 downto 0), MAXIGP1ARLEN(3 downto 0) => M_AXI_GP1_ARLEN(3 downto 0), MAXIGP1ARLOCK(1 downto 0) => M_AXI_GP1_ARLOCK(1 downto 0), MAXIGP1ARPROT(2 downto 0) => M_AXI_GP1_ARPROT(2 downto 0), MAXIGP1ARQOS(3 downto 0) => M_AXI_GP1_ARQOS(3 downto 0), MAXIGP1ARREADY => M_AXI_GP1_ARREADY, MAXIGP1ARSIZE(1 downto 0) => \^m_axi_gp1_arsize\(1 downto 0), MAXIGP1ARVALID => M_AXI_GP1_ARVALID, MAXIGP1AWADDR(31 downto 0) => M_AXI_GP1_AWADDR(31 downto 0), MAXIGP1AWBURST(1 downto 0) => M_AXI_GP1_AWBURST(1 downto 0), MAXIGP1AWCACHE(3 downto 0) => M_AXI_GP1_AWCACHE(3 downto 0), MAXIGP1AWID(11 downto 0) => M_AXI_GP1_AWID(11 downto 0), MAXIGP1AWLEN(3 downto 0) => M_AXI_GP1_AWLEN(3 downto 0), MAXIGP1AWLOCK(1 downto 0) => M_AXI_GP1_AWLOCK(1 downto 0), MAXIGP1AWPROT(2 downto 0) => M_AXI_GP1_AWPROT(2 downto 0), MAXIGP1AWQOS(3 downto 0) => M_AXI_GP1_AWQOS(3 downto 0), MAXIGP1AWREADY => M_AXI_GP1_AWREADY, MAXIGP1AWSIZE(1 downto 0) => \^m_axi_gp1_awsize\(1 downto 0), MAXIGP1AWVALID => M_AXI_GP1_AWVALID, MAXIGP1BID(11 downto 0) => M_AXI_GP1_BID(11 downto 0), MAXIGP1BREADY => M_AXI_GP1_BREADY, MAXIGP1BRESP(1 downto 0) => M_AXI_GP1_BRESP(1 downto 0), MAXIGP1BVALID => M_AXI_GP1_BVALID, MAXIGP1RDATA(31 downto 0) => M_AXI_GP1_RDATA(31 downto 0), MAXIGP1RID(11 downto 0) => M_AXI_GP1_RID(11 downto 0), MAXIGP1RLAST => M_AXI_GP1_RLAST, MAXIGP1RREADY => M_AXI_GP1_RREADY, MAXIGP1RRESP(1 downto 0) => M_AXI_GP1_RRESP(1 downto 0), MAXIGP1RVALID => M_AXI_GP1_RVALID, MAXIGP1WDATA(31 downto 0) => M_AXI_GP1_WDATA(31 downto 0), MAXIGP1WID(11 downto 0) => M_AXI_GP1_WID(11 downto 0), MAXIGP1WLAST => M_AXI_GP1_WLAST, MAXIGP1WREADY => M_AXI_GP1_WREADY, MAXIGP1WSTRB(3 downto 0) => M_AXI_GP1_WSTRB(3 downto 0), MAXIGP1WVALID => M_AXI_GP1_WVALID, MIO(53 downto 0) => buffered_MIO(53 downto 0), PSCLK => buffered_PS_CLK, PSPORB => buffered_PS_PORB, PSSRSTB => buffered_PS_SRSTB, SAXIACPACLK => S_AXI_ACP_ACLK, SAXIACPARADDR(31 downto 0) => S_AXI_ACP_ARADDR(31 downto 0), SAXIACPARBURST(1 downto 0) => S_AXI_ACP_ARBURST(1 downto 0), SAXIACPARCACHE(3 downto 0) => S_AXI_ACP_ARCACHE(3 downto 0), SAXIACPARESETN => S_AXI_ACP_ARESETN, SAXIACPARID(2 downto 0) => S_AXI_ACP_ARID(2 downto 0), SAXIACPARLEN(3 downto 0) => S_AXI_ACP_ARLEN(3 downto 0), SAXIACPARLOCK(1 downto 0) => S_AXI_ACP_ARLOCK(1 downto 0), SAXIACPARPROT(2 downto 0) => S_AXI_ACP_ARPROT(2 downto 0), SAXIACPARQOS(3 downto 0) => S_AXI_ACP_ARQOS(3 downto 0), SAXIACPARREADY => S_AXI_ACP_ARREADY, SAXIACPARSIZE(1 downto 0) => S_AXI_ACP_ARSIZE(1 downto 0), SAXIACPARUSER(4 downto 0) => S_AXI_ACP_ARUSER(4 downto 0), SAXIACPARVALID => S_AXI_ACP_ARVALID, SAXIACPAWADDR(31 downto 0) => S_AXI_ACP_AWADDR(31 downto 0), SAXIACPAWBURST(1 downto 0) => S_AXI_ACP_AWBURST(1 downto 0), SAXIACPAWCACHE(3 downto 0) => S_AXI_ACP_AWCACHE(3 downto 0), SAXIACPAWID(2 downto 0) => S_AXI_ACP_AWID(2 downto 0), SAXIACPAWLEN(3 downto 0) => S_AXI_ACP_AWLEN(3 downto 0), SAXIACPAWLOCK(1 downto 0) => S_AXI_ACP_AWLOCK(1 downto 0), SAXIACPAWPROT(2 downto 0) => S_AXI_ACP_AWPROT(2 downto 0), SAXIACPAWQOS(3 downto 0) => S_AXI_ACP_AWQOS(3 downto 0), SAXIACPAWREADY => S_AXI_ACP_AWREADY, SAXIACPAWSIZE(1 downto 0) => S_AXI_ACP_AWSIZE(1 downto 0), SAXIACPAWUSER(4 downto 0) => S_AXI_ACP_AWUSER(4 downto 0), SAXIACPAWVALID => S_AXI_ACP_AWVALID, SAXIACPBID(2 downto 0) => S_AXI_ACP_BID(2 downto 0), SAXIACPBREADY => S_AXI_ACP_BREADY, SAXIACPBRESP(1 downto 0) => S_AXI_ACP_BRESP(1 downto 0), SAXIACPBVALID => S_AXI_ACP_BVALID, SAXIACPRDATA(63 downto 0) => S_AXI_ACP_RDATA(63 downto 0), SAXIACPRID(2 downto 0) => S_AXI_ACP_RID(2 downto 0), SAXIACPRLAST => S_AXI_ACP_RLAST, SAXIACPRREADY => S_AXI_ACP_RREADY, SAXIACPRRESP(1 downto 0) => S_AXI_ACP_RRESP(1 downto 0), SAXIACPRVALID => S_AXI_ACP_RVALID, SAXIACPWDATA(63 downto 0) => S_AXI_ACP_WDATA(63 downto 0), SAXIACPWID(2 downto 0) => S_AXI_ACP_WID(2 downto 0), SAXIACPWLAST => S_AXI_ACP_WLAST, SAXIACPWREADY => S_AXI_ACP_WREADY, SAXIACPWSTRB(7 downto 0) => S_AXI_ACP_WSTRB(7 downto 0), SAXIACPWVALID => S_AXI_ACP_WVALID, SAXIGP0ACLK => S_AXI_GP0_ACLK, SAXIGP0ARADDR(31 downto 0) => S_AXI_GP0_ARADDR(31 downto 0), SAXIGP0ARBURST(1 downto 0) => S_AXI_GP0_ARBURST(1 downto 0), SAXIGP0ARCACHE(3 downto 0) => S_AXI_GP0_ARCACHE(3 downto 0), SAXIGP0ARESETN => S_AXI_GP0_ARESETN, SAXIGP0ARID(5 downto 0) => S_AXI_GP0_ARID(5 downto 0), SAXIGP0ARLEN(3 downto 0) => S_AXI_GP0_ARLEN(3 downto 0), SAXIGP0ARLOCK(1 downto 0) => S_AXI_GP0_ARLOCK(1 downto 0), SAXIGP0ARPROT(2 downto 0) => S_AXI_GP0_ARPROT(2 downto 0), SAXIGP0ARQOS(3 downto 0) => S_AXI_GP0_ARQOS(3 downto 0), SAXIGP0ARREADY => S_AXI_GP0_ARREADY, SAXIGP0ARSIZE(1 downto 0) => S_AXI_GP0_ARSIZE(1 downto 0), SAXIGP0ARVALID => S_AXI_GP0_ARVALID, SAXIGP0AWADDR(31 downto 0) => S_AXI_GP0_AWADDR(31 downto 0), SAXIGP0AWBURST(1 downto 0) => S_AXI_GP0_AWBURST(1 downto 0), SAXIGP0AWCACHE(3 downto 0) => S_AXI_GP0_AWCACHE(3 downto 0), SAXIGP0AWID(5 downto 0) => S_AXI_GP0_AWID(5 downto 0), SAXIGP0AWLEN(3 downto 0) => S_AXI_GP0_AWLEN(3 downto 0), SAXIGP0AWLOCK(1 downto 0) => S_AXI_GP0_AWLOCK(1 downto 0), SAXIGP0AWPROT(2 downto 0) => S_AXI_GP0_AWPROT(2 downto 0), SAXIGP0AWQOS(3 downto 0) => S_AXI_GP0_AWQOS(3 downto 0), SAXIGP0AWREADY => S_AXI_GP0_AWREADY, SAXIGP0AWSIZE(1 downto 0) => S_AXI_GP0_AWSIZE(1 downto 0), SAXIGP0AWVALID => S_AXI_GP0_AWVALID, SAXIGP0BID(5 downto 0) => S_AXI_GP0_BID(5 downto 0), SAXIGP0BREADY => S_AXI_GP0_BREADY, SAXIGP0BRESP(1 downto 0) => S_AXI_GP0_BRESP(1 downto 0), SAXIGP0BVALID => S_AXI_GP0_BVALID, SAXIGP0RDATA(31 downto 0) => S_AXI_GP0_RDATA(31 downto 0), SAXIGP0RID(5 downto 0) => S_AXI_GP0_RID(5 downto 0), SAXIGP0RLAST => S_AXI_GP0_RLAST, SAXIGP0RREADY => S_AXI_GP0_RREADY, SAXIGP0RRESP(1 downto 0) => S_AXI_GP0_RRESP(1 downto 0), SAXIGP0RVALID => S_AXI_GP0_RVALID, SAXIGP0WDATA(31 downto 0) => S_AXI_GP0_WDATA(31 downto 0), SAXIGP0WID(5 downto 0) => S_AXI_GP0_WID(5 downto 0), SAXIGP0WLAST => S_AXI_GP0_WLAST, SAXIGP0WREADY => S_AXI_GP0_WREADY, SAXIGP0WSTRB(3 downto 0) => S_AXI_GP0_WSTRB(3 downto 0), SAXIGP0WVALID => S_AXI_GP0_WVALID, SAXIGP1ACLK => S_AXI_GP1_ACLK, SAXIGP1ARADDR(31 downto 0) => S_AXI_GP1_ARADDR(31 downto 0), SAXIGP1ARBURST(1 downto 0) => S_AXI_GP1_ARBURST(1 downto 0), SAXIGP1ARCACHE(3 downto 0) => S_AXI_GP1_ARCACHE(3 downto 0), SAXIGP1ARESETN => S_AXI_GP1_ARESETN, SAXIGP1ARID(5 downto 0) => S_AXI_GP1_ARID(5 downto 0), SAXIGP1ARLEN(3 downto 0) => S_AXI_GP1_ARLEN(3 downto 0), SAXIGP1ARLOCK(1 downto 0) => S_AXI_GP1_ARLOCK(1 downto 0), SAXIGP1ARPROT(2 downto 0) => S_AXI_GP1_ARPROT(2 downto 0), SAXIGP1ARQOS(3 downto 0) => S_AXI_GP1_ARQOS(3 downto 0), SAXIGP1ARREADY => S_AXI_GP1_ARREADY, SAXIGP1ARSIZE(1 downto 0) => S_AXI_GP1_ARSIZE(1 downto 0), SAXIGP1ARVALID => S_AXI_GP1_ARVALID, SAXIGP1AWADDR(31 downto 0) => S_AXI_GP1_AWADDR(31 downto 0), SAXIGP1AWBURST(1 downto 0) => S_AXI_GP1_AWBURST(1 downto 0), SAXIGP1AWCACHE(3 downto 0) => S_AXI_GP1_AWCACHE(3 downto 0), SAXIGP1AWID(5 downto 0) => S_AXI_GP1_AWID(5 downto 0), SAXIGP1AWLEN(3 downto 0) => S_AXI_GP1_AWLEN(3 downto 0), SAXIGP1AWLOCK(1 downto 0) => S_AXI_GP1_AWLOCK(1 downto 0), SAXIGP1AWPROT(2 downto 0) => S_AXI_GP1_AWPROT(2 downto 0), SAXIGP1AWQOS(3 downto 0) => S_AXI_GP1_AWQOS(3 downto 0), SAXIGP1AWREADY => S_AXI_GP1_AWREADY, SAXIGP1AWSIZE(1 downto 0) => S_AXI_GP1_AWSIZE(1 downto 0), SAXIGP1AWVALID => S_AXI_GP1_AWVALID, SAXIGP1BID(5 downto 0) => S_AXI_GP1_BID(5 downto 0), SAXIGP1BREADY => S_AXI_GP1_BREADY, SAXIGP1BRESP(1 downto 0) => S_AXI_GP1_BRESP(1 downto 0), SAXIGP1BVALID => S_AXI_GP1_BVALID, SAXIGP1RDATA(31 downto 0) => S_AXI_GP1_RDATA(31 downto 0), SAXIGP1RID(5 downto 0) => S_AXI_GP1_RID(5 downto 0), SAXIGP1RLAST => S_AXI_GP1_RLAST, SAXIGP1RREADY => S_AXI_GP1_RREADY, SAXIGP1RRESP(1 downto 0) => S_AXI_GP1_RRESP(1 downto 0), SAXIGP1RVALID => S_AXI_GP1_RVALID, SAXIGP1WDATA(31 downto 0) => S_AXI_GP1_WDATA(31 downto 0), SAXIGP1WID(5 downto 0) => S_AXI_GP1_WID(5 downto 0), SAXIGP1WLAST => S_AXI_GP1_WLAST, SAXIGP1WREADY => S_AXI_GP1_WREADY, SAXIGP1WSTRB(3 downto 0) => S_AXI_GP1_WSTRB(3 downto 0), SAXIGP1WVALID => S_AXI_GP1_WVALID, SAXIHP0ACLK => S_AXI_HP0_ACLK, SAXIHP0ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0), SAXIHP0ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0), SAXIHP0ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0), SAXIHP0ARESETN => S_AXI_HP0_ARESETN, SAXIHP0ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0), SAXIHP0ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0), SAXIHP0ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0), SAXIHP0ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0), SAXIHP0ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0), SAXIHP0ARREADY => S_AXI_HP0_ARREADY, SAXIHP0ARSIZE(1 downto 0) => S_AXI_HP0_ARSIZE(1 downto 0), SAXIHP0ARVALID => S_AXI_HP0_ARVALID, SAXIHP0AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0), SAXIHP0AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0), SAXIHP0AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0), SAXIHP0AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0), SAXIHP0AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0), SAXIHP0AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0), SAXIHP0AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0), SAXIHP0AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0), SAXIHP0AWREADY => S_AXI_HP0_AWREADY, SAXIHP0AWSIZE(1 downto 0) => S_AXI_HP0_AWSIZE(1 downto 0), SAXIHP0AWVALID => S_AXI_HP0_AWVALID, SAXIHP0BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0), SAXIHP0BREADY => S_AXI_HP0_BREADY, SAXIHP0BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0), SAXIHP0BVALID => S_AXI_HP0_BVALID, SAXIHP0RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0), SAXIHP0RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0), SAXIHP0RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0), SAXIHP0RDISSUECAP1EN => S_AXI_HP0_RDISSUECAP1_EN, SAXIHP0RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0), SAXIHP0RLAST => S_AXI_HP0_RLAST, SAXIHP0RREADY => S_AXI_HP0_RREADY, SAXIHP0RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0), SAXIHP0RVALID => S_AXI_HP0_RVALID, SAXIHP0WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0), SAXIHP0WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0), SAXIHP0WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0), SAXIHP0WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0), SAXIHP0WLAST => S_AXI_HP0_WLAST, SAXIHP0WREADY => S_AXI_HP0_WREADY, SAXIHP0WRISSUECAP1EN => S_AXI_HP0_WRISSUECAP1_EN, SAXIHP0WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0), SAXIHP0WVALID => S_AXI_HP0_WVALID, SAXIHP1ACLK => S_AXI_HP1_ACLK, SAXIHP1ARADDR(31 downto 0) => S_AXI_HP1_ARADDR(31 downto 0), SAXIHP1ARBURST(1 downto 0) => S_AXI_HP1_ARBURST(1 downto 0), SAXIHP1ARCACHE(3 downto 0) => S_AXI_HP1_ARCACHE(3 downto 0), SAXIHP1ARESETN => S_AXI_HP1_ARESETN, SAXIHP1ARID(5 downto 0) => S_AXI_HP1_ARID(5 downto 0), SAXIHP1ARLEN(3 downto 0) => S_AXI_HP1_ARLEN(3 downto 0), SAXIHP1ARLOCK(1 downto 0) => S_AXI_HP1_ARLOCK(1 downto 0), SAXIHP1ARPROT(2 downto 0) => S_AXI_HP1_ARPROT(2 downto 0), SAXIHP1ARQOS(3 downto 0) => S_AXI_HP1_ARQOS(3 downto 0), SAXIHP1ARREADY => S_AXI_HP1_ARREADY, SAXIHP1ARSIZE(1 downto 0) => S_AXI_HP1_ARSIZE(1 downto 0), SAXIHP1ARVALID => S_AXI_HP1_ARVALID, SAXIHP1AWADDR(31 downto 0) => S_AXI_HP1_AWADDR(31 downto 0), SAXIHP1AWBURST(1 downto 0) => S_AXI_HP1_AWBURST(1 downto 0), SAXIHP1AWCACHE(3 downto 0) => S_AXI_HP1_AWCACHE(3 downto 0), SAXIHP1AWID(5 downto 0) => S_AXI_HP1_AWID(5 downto 0), SAXIHP1AWLEN(3 downto 0) => S_AXI_HP1_AWLEN(3 downto 0), SAXIHP1AWLOCK(1 downto 0) => S_AXI_HP1_AWLOCK(1 downto 0), SAXIHP1AWPROT(2 downto 0) => S_AXI_HP1_AWPROT(2 downto 0), SAXIHP1AWQOS(3 downto 0) => S_AXI_HP1_AWQOS(3 downto 0), SAXIHP1AWREADY => S_AXI_HP1_AWREADY, SAXIHP1AWSIZE(1 downto 0) => S_AXI_HP1_AWSIZE(1 downto 0), SAXIHP1AWVALID => S_AXI_HP1_AWVALID, SAXIHP1BID(5 downto 0) => S_AXI_HP1_BID(5 downto 0), SAXIHP1BREADY => S_AXI_HP1_BREADY, SAXIHP1BRESP(1 downto 0) => S_AXI_HP1_BRESP(1 downto 0), SAXIHP1BVALID => S_AXI_HP1_BVALID, SAXIHP1RACOUNT(2 downto 0) => S_AXI_HP1_RACOUNT(2 downto 0), SAXIHP1RCOUNT(7 downto 0) => S_AXI_HP1_RCOUNT(7 downto 0), SAXIHP1RDATA(63 downto 0) => S_AXI_HP1_RDATA(63 downto 0), SAXIHP1RDISSUECAP1EN => S_AXI_HP1_RDISSUECAP1_EN, SAXIHP1RID(5 downto 0) => S_AXI_HP1_RID(5 downto 0), SAXIHP1RLAST => S_AXI_HP1_RLAST, SAXIHP1RREADY => S_AXI_HP1_RREADY, SAXIHP1RRESP(1 downto 0) => S_AXI_HP1_RRESP(1 downto 0), SAXIHP1RVALID => S_AXI_HP1_RVALID, SAXIHP1WACOUNT(5 downto 0) => S_AXI_HP1_WACOUNT(5 downto 0), SAXIHP1WCOUNT(7 downto 0) => S_AXI_HP1_WCOUNT(7 downto 0), SAXIHP1WDATA(63 downto 0) => S_AXI_HP1_WDATA(63 downto 0), SAXIHP1WID(5 downto 0) => S_AXI_HP1_WID(5 downto 0), SAXIHP1WLAST => S_AXI_HP1_WLAST, SAXIHP1WREADY => S_AXI_HP1_WREADY, SAXIHP1WRISSUECAP1EN => S_AXI_HP1_WRISSUECAP1_EN, SAXIHP1WSTRB(7 downto 0) => S_AXI_HP1_WSTRB(7 downto 0), SAXIHP1WVALID => S_AXI_HP1_WVALID, SAXIHP2ACLK => S_AXI_HP2_ACLK, SAXIHP2ARADDR(31 downto 0) => S_AXI_HP2_ARADDR(31 downto 0), SAXIHP2ARBURST(1 downto 0) => S_AXI_HP2_ARBURST(1 downto 0), SAXIHP2ARCACHE(3 downto 0) => S_AXI_HP2_ARCACHE(3 downto 0), SAXIHP2ARESETN => S_AXI_HP2_ARESETN, SAXIHP2ARID(5 downto 0) => S_AXI_HP2_ARID(5 downto 0), SAXIHP2ARLEN(3 downto 0) => S_AXI_HP2_ARLEN(3 downto 0), SAXIHP2ARLOCK(1 downto 0) => S_AXI_HP2_ARLOCK(1 downto 0), SAXIHP2ARPROT(2 downto 0) => S_AXI_HP2_ARPROT(2 downto 0), SAXIHP2ARQOS(3 downto 0) => S_AXI_HP2_ARQOS(3 downto 0), SAXIHP2ARREADY => S_AXI_HP2_ARREADY, SAXIHP2ARSIZE(1 downto 0) => S_AXI_HP2_ARSIZE(1 downto 0), SAXIHP2ARVALID => S_AXI_HP2_ARVALID, SAXIHP2AWADDR(31 downto 0) => S_AXI_HP2_AWADDR(31 downto 0), SAXIHP2AWBURST(1 downto 0) => S_AXI_HP2_AWBURST(1 downto 0), SAXIHP2AWCACHE(3 downto 0) => S_AXI_HP2_AWCACHE(3 downto 0), SAXIHP2AWID(5 downto 0) => S_AXI_HP2_AWID(5 downto 0), SAXIHP2AWLEN(3 downto 0) => S_AXI_HP2_AWLEN(3 downto 0), SAXIHP2AWLOCK(1 downto 0) => S_AXI_HP2_AWLOCK(1 downto 0), SAXIHP2AWPROT(2 downto 0) => S_AXI_HP2_AWPROT(2 downto 0), SAXIHP2AWQOS(3 downto 0) => S_AXI_HP2_AWQOS(3 downto 0), SAXIHP2AWREADY => S_AXI_HP2_AWREADY, SAXIHP2AWSIZE(1 downto 0) => S_AXI_HP2_AWSIZE(1 downto 0), SAXIHP2AWVALID => S_AXI_HP2_AWVALID, SAXIHP2BID(5 downto 0) => S_AXI_HP2_BID(5 downto 0), SAXIHP2BREADY => S_AXI_HP2_BREADY, SAXIHP2BRESP(1 downto 0) => S_AXI_HP2_BRESP(1 downto 0), SAXIHP2BVALID => S_AXI_HP2_BVALID, SAXIHP2RACOUNT(2 downto 0) => S_AXI_HP2_RACOUNT(2 downto 0), SAXIHP2RCOUNT(7 downto 0) => S_AXI_HP2_RCOUNT(7 downto 0), SAXIHP2RDATA(63 downto 0) => S_AXI_HP2_RDATA(63 downto 0), SAXIHP2RDISSUECAP1EN => S_AXI_HP2_RDISSUECAP1_EN, SAXIHP2RID(5 downto 0) => S_AXI_HP2_RID(5 downto 0), SAXIHP2RLAST => S_AXI_HP2_RLAST, SAXIHP2RREADY => S_AXI_HP2_RREADY, SAXIHP2RRESP(1 downto 0) => S_AXI_HP2_RRESP(1 downto 0), SAXIHP2RVALID => S_AXI_HP2_RVALID, SAXIHP2WACOUNT(5 downto 0) => S_AXI_HP2_WACOUNT(5 downto 0), SAXIHP2WCOUNT(7 downto 0) => S_AXI_HP2_WCOUNT(7 downto 0), SAXIHP2WDATA(63 downto 0) => S_AXI_HP2_WDATA(63 downto 0), SAXIHP2WID(5 downto 0) => S_AXI_HP2_WID(5 downto 0), SAXIHP2WLAST => S_AXI_HP2_WLAST, SAXIHP2WREADY => S_AXI_HP2_WREADY, SAXIHP2WRISSUECAP1EN => S_AXI_HP2_WRISSUECAP1_EN, SAXIHP2WSTRB(7 downto 0) => S_AXI_HP2_WSTRB(7 downto 0), SAXIHP2WVALID => S_AXI_HP2_WVALID, SAXIHP3ACLK => S_AXI_HP3_ACLK, SAXIHP3ARADDR(31 downto 0) => S_AXI_HP3_ARADDR(31 downto 0), SAXIHP3ARBURST(1 downto 0) => S_AXI_HP3_ARBURST(1 downto 0), SAXIHP3ARCACHE(3 downto 0) => S_AXI_HP3_ARCACHE(3 downto 0), SAXIHP3ARESETN => S_AXI_HP3_ARESETN, SAXIHP3ARID(5 downto 0) => S_AXI_HP3_ARID(5 downto 0), SAXIHP3ARLEN(3 downto 0) => S_AXI_HP3_ARLEN(3 downto 0), SAXIHP3ARLOCK(1 downto 0) => S_AXI_HP3_ARLOCK(1 downto 0), SAXIHP3ARPROT(2 downto 0) => S_AXI_HP3_ARPROT(2 downto 0), SAXIHP3ARQOS(3 downto 0) => S_AXI_HP3_ARQOS(3 downto 0), SAXIHP3ARREADY => S_AXI_HP3_ARREADY, SAXIHP3ARSIZE(1 downto 0) => S_AXI_HP3_ARSIZE(1 downto 0), SAXIHP3ARVALID => S_AXI_HP3_ARVALID, SAXIHP3AWADDR(31 downto 0) => S_AXI_HP3_AWADDR(31 downto 0), SAXIHP3AWBURST(1 downto 0) => S_AXI_HP3_AWBURST(1 downto 0), SAXIHP3AWCACHE(3 downto 0) => S_AXI_HP3_AWCACHE(3 downto 0), SAXIHP3AWID(5 downto 0) => S_AXI_HP3_AWID(5 downto 0), SAXIHP3AWLEN(3 downto 0) => S_AXI_HP3_AWLEN(3 downto 0), SAXIHP3AWLOCK(1 downto 0) => S_AXI_HP3_AWLOCK(1 downto 0), SAXIHP3AWPROT(2 downto 0) => S_AXI_HP3_AWPROT(2 downto 0), SAXIHP3AWQOS(3 downto 0) => S_AXI_HP3_AWQOS(3 downto 0), SAXIHP3AWREADY => S_AXI_HP3_AWREADY, SAXIHP3AWSIZE(1 downto 0) => S_AXI_HP3_AWSIZE(1 downto 0), SAXIHP3AWVALID => S_AXI_HP3_AWVALID, SAXIHP3BID(5 downto 0) => S_AXI_HP3_BID(5 downto 0), SAXIHP3BREADY => S_AXI_HP3_BREADY, SAXIHP3BRESP(1 downto 0) => S_AXI_HP3_BRESP(1 downto 0), SAXIHP3BVALID => S_AXI_HP3_BVALID, SAXIHP3RACOUNT(2 downto 0) => S_AXI_HP3_RACOUNT(2 downto 0), SAXIHP3RCOUNT(7 downto 0) => S_AXI_HP3_RCOUNT(7 downto 0), SAXIHP3RDATA(63 downto 0) => S_AXI_HP3_RDATA(63 downto 0), SAXIHP3RDISSUECAP1EN => S_AXI_HP3_RDISSUECAP1_EN, SAXIHP3RID(5 downto 0) => S_AXI_HP3_RID(5 downto 0), SAXIHP3RLAST => S_AXI_HP3_RLAST, SAXIHP3RREADY => S_AXI_HP3_RREADY, SAXIHP3RRESP(1 downto 0) => S_AXI_HP3_RRESP(1 downto 0), SAXIHP3RVALID => S_AXI_HP3_RVALID, SAXIHP3WACOUNT(5 downto 0) => S_AXI_HP3_WACOUNT(5 downto 0), SAXIHP3WCOUNT(7 downto 0) => S_AXI_HP3_WCOUNT(7 downto 0), SAXIHP3WDATA(63 downto 0) => S_AXI_HP3_WDATA(63 downto 0), SAXIHP3WID(5 downto 0) => S_AXI_HP3_WID(5 downto 0), SAXIHP3WLAST => S_AXI_HP3_WLAST, SAXIHP3WREADY => S_AXI_HP3_WREADY, SAXIHP3WRISSUECAP1EN => S_AXI_HP3_WRISSUECAP1_EN, SAXIHP3WSTRB(7 downto 0) => S_AXI_HP3_WSTRB(7 downto 0), SAXIHP3WVALID => S_AXI_HP3_WVALID ); PS_CLK_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_CLK, PAD => PS_CLK ); PS_PORB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_PORB, PAD => PS_PORB ); PS_SRSTB_BIBUF: unisim.vcomponents.BIBUF port map ( IO => buffered_PS_SRSTB, PAD => PS_SRSTB ); SDIO0_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_CMD_T_n, O => SDIO0_CMD_T ); \SDIO0_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(0), O => SDIO0_DATA_T(0) ); \SDIO0_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(1), O => SDIO0_DATA_T(1) ); \SDIO0_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(2), O => SDIO0_DATA_T(2) ); \SDIO0_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO0_DATA_T_n(3), O => SDIO0_DATA_T(3) ); SDIO1_CMD_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_CMD_T_n, O => SDIO1_CMD_T ); \SDIO1_DATA_T[0]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(0), O => SDIO1_DATA_T(0) ); \SDIO1_DATA_T[1]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(1), O => SDIO1_DATA_T(1) ); \SDIO1_DATA_T[2]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(2), O => SDIO1_DATA_T(2) ); \SDIO1_DATA_T[3]_INST_0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SDIO1_DATA_T_n(3), O => SDIO1_DATA_T(3) ); SPI0_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MISO_T_n, O => SPI0_MISO_T ); SPI0_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_MOSI_T_n, O => SPI0_MOSI_T ); SPI0_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SCLK_T_n, O => SPI0_SCLK_T ); SPI0_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI0_SS_T_n, O => SPI0_SS_T ); SPI1_MISO_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MISO_T_n, O => SPI1_MISO_T ); SPI1_MOSI_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_MOSI_T_n, O => SPI1_MOSI_T ); SPI1_SCLK_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SCLK_T_n, O => SPI1_SCLK_T ); SPI1_SS_T_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => SPI1_SS_T_n, O => SPI1_SS_T ); \buffer_fclk_clk_0.FCLK_CLK_0_BUFG\: unisim.vcomponents.BUFG port map ( I => FCLK_CLK_unbuffered(0), O => FCLK_CLK0 ); \genblk13[0].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(0), PAD => MIO(0) ); \genblk13[10].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(10), PAD => MIO(10) ); \genblk13[11].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(11), PAD => MIO(11) ); \genblk13[12].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(12), PAD => MIO(12) ); \genblk13[13].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(13), PAD => MIO(13) ); \genblk13[14].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(14), PAD => MIO(14) ); \genblk13[15].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(15), PAD => MIO(15) ); \genblk13[16].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(16), PAD => MIO(16) ); \genblk13[17].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(17), PAD => MIO(17) ); \genblk13[18].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(18), PAD => MIO(18) ); \genblk13[19].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(19), PAD => MIO(19) ); \genblk13[1].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(1), PAD => MIO(1) ); \genblk13[20].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(20), PAD => MIO(20) ); \genblk13[21].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(21), PAD => MIO(21) ); \genblk13[22].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(22), PAD => MIO(22) ); \genblk13[23].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(23), PAD => MIO(23) ); \genblk13[24].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(24), PAD => MIO(24) ); \genblk13[25].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(25), PAD => MIO(25) ); \genblk13[26].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(26), PAD => MIO(26) ); \genblk13[27].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(27), PAD => MIO(27) ); \genblk13[28].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(28), PAD => MIO(28) ); \genblk13[29].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(29), PAD => MIO(29) ); \genblk13[2].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(2), PAD => MIO(2) ); \genblk13[30].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(30), PAD => MIO(30) ); \genblk13[31].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(31), PAD => MIO(31) ); \genblk13[32].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(32), PAD => MIO(32) ); \genblk13[33].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(33), PAD => MIO(33) ); \genblk13[34].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(34), PAD => MIO(34) ); \genblk13[35].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(35), PAD => MIO(35) ); \genblk13[36].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(36), PAD => MIO(36) ); \genblk13[37].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(37), PAD => MIO(37) ); \genblk13[38].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(38), PAD => MIO(38) ); \genblk13[39].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(39), PAD => MIO(39) ); \genblk13[3].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(3), PAD => MIO(3) ); \genblk13[40].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(40), PAD => MIO(40) ); \genblk13[41].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(41), PAD => MIO(41) ); \genblk13[42].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(42), PAD => MIO(42) ); \genblk13[43].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(43), PAD => MIO(43) ); \genblk13[44].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(44), PAD => MIO(44) ); \genblk13[45].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(45), PAD => MIO(45) ); \genblk13[46].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(46), PAD => MIO(46) ); \genblk13[47].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(47), PAD => MIO(47) ); \genblk13[48].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(48), PAD => MIO(48) ); \genblk13[49].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(49), PAD => MIO(49) ); \genblk13[4].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(4), PAD => MIO(4) ); \genblk13[50].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(50), PAD => MIO(50) ); \genblk13[51].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(51), PAD => MIO(51) ); \genblk13[52].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(52), PAD => MIO(52) ); \genblk13[53].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(53), PAD => MIO(53) ); \genblk13[5].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(5), PAD => MIO(5) ); \genblk13[6].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(6), PAD => MIO(6) ); \genblk13[7].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(7), PAD => MIO(7) ); \genblk13[8].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(8), PAD => MIO(8) ); \genblk13[9].MIO_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_MIO(9), PAD => MIO(9) ); \genblk14[0].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(0), PAD => DDR_BankAddr(0) ); \genblk14[1].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(1), PAD => DDR_BankAddr(1) ); \genblk14[2].DDR_BankAddr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_BankAddr(2), PAD => DDR_BankAddr(2) ); \genblk15[0].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(0), PAD => DDR_Addr(0) ); \genblk15[10].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(10), PAD => DDR_Addr(10) ); \genblk15[11].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(11), PAD => DDR_Addr(11) ); \genblk15[12].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(12), PAD => DDR_Addr(12) ); \genblk15[13].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(13), PAD => DDR_Addr(13) ); \genblk15[14].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(14), PAD => DDR_Addr(14) ); \genblk15[1].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(1), PAD => DDR_Addr(1) ); \genblk15[2].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(2), PAD => DDR_Addr(2) ); \genblk15[3].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(3), PAD => DDR_Addr(3) ); \genblk15[4].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(4), PAD => DDR_Addr(4) ); \genblk15[5].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(5), PAD => DDR_Addr(5) ); \genblk15[6].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(6), PAD => DDR_Addr(6) ); \genblk15[7].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(7), PAD => DDR_Addr(7) ); \genblk15[8].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(8), PAD => DDR_Addr(8) ); \genblk15[9].DDR_Addr_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_Addr(9), PAD => DDR_Addr(9) ); \genblk16[0].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(0), PAD => DDR_DM(0) ); \genblk16[1].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(1), PAD => DDR_DM(1) ); \genblk16[2].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(2), PAD => DDR_DM(2) ); \genblk16[3].DDR_DM_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DM(3), PAD => DDR_DM(3) ); \genblk17[0].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(0), PAD => DDR_DQ(0) ); \genblk17[10].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(10), PAD => DDR_DQ(10) ); \genblk17[11].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(11), PAD => DDR_DQ(11) ); \genblk17[12].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(12), PAD => DDR_DQ(12) ); \genblk17[13].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(13), PAD => DDR_DQ(13) ); \genblk17[14].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(14), PAD => DDR_DQ(14) ); \genblk17[15].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(15), PAD => DDR_DQ(15) ); \genblk17[16].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(16), PAD => DDR_DQ(16) ); \genblk17[17].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(17), PAD => DDR_DQ(17) ); \genblk17[18].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(18), PAD => DDR_DQ(18) ); \genblk17[19].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(19), PAD => DDR_DQ(19) ); \genblk17[1].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(1), PAD => DDR_DQ(1) ); \genblk17[20].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(20), PAD => DDR_DQ(20) ); \genblk17[21].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(21), PAD => DDR_DQ(21) ); \genblk17[22].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(22), PAD => DDR_DQ(22) ); \genblk17[23].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(23), PAD => DDR_DQ(23) ); \genblk17[24].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(24), PAD => DDR_DQ(24) ); \genblk17[25].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(25), PAD => DDR_DQ(25) ); \genblk17[26].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(26), PAD => DDR_DQ(26) ); \genblk17[27].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(27), PAD => DDR_DQ(27) ); \genblk17[28].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(28), PAD => DDR_DQ(28) ); \genblk17[29].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(29), PAD => DDR_DQ(29) ); \genblk17[2].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(2), PAD => DDR_DQ(2) ); \genblk17[30].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(30), PAD => DDR_DQ(30) ); \genblk17[31].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(31), PAD => DDR_DQ(31) ); \genblk17[3].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(3), PAD => DDR_DQ(3) ); \genblk17[4].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(4), PAD => DDR_DQ(4) ); \genblk17[5].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(5), PAD => DDR_DQ(5) ); \genblk17[6].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(6), PAD => DDR_DQ(6) ); \genblk17[7].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(7), PAD => DDR_DQ(7) ); \genblk17[8].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(8), PAD => DDR_DQ(8) ); \genblk17[9].DDR_DQ_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQ(9), PAD => DDR_DQ(9) ); \genblk18[0].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(0), PAD => DDR_DQS_n(0) ); \genblk18[1].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(1), PAD => DDR_DQS_n(1) ); \genblk18[2].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(2), PAD => DDR_DQS_n(2) ); \genblk18[3].DDR_DQS_n_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS_n(3), PAD => DDR_DQS_n(3) ); \genblk19[0].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(0), PAD => DDR_DQS(0) ); \genblk19[1].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(1), PAD => DDR_DQS(1) ); \genblk19[2].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(2), PAD => DDR_DQS(2) ); \genblk19[3].DDR_DQS_BIBUF\: unisim.vcomponents.BIBUF port map ( IO => buffered_DDR_DQS(3), PAD => DDR_DQS(3) ); i_0: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[0]\ ); i_1: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(1) ); i_10: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(1) ); i_11: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[7]\(0) ); i_12: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(1) ); i_13: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[6]\(0) ); i_14: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(1) ); i_15: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[5]\(0) ); i_16: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(1) ); i_17: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[4]\(0) ); i_18: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(1) ); i_19: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[3]\(0) ); i_2: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[0]\(0) ); i_20: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(1) ); i_21: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[2]\(0) ); i_22: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(1) ); i_23: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_DATA_PIPE[1]\(0) ); i_3: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[7]\ ); i_4: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[6]\ ); i_5: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[5]\ ); i_6: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[4]\ ); i_7: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[3]\ ); i_8: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[2]\ ); i_9: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => '0', O => \TRACE_CTL_PIPE[1]\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_processing_system7_0_0 is port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARREADY : out STD_LOGIC; S_AXI_HP0_AWREADY : out STD_LOGIC; S_AXI_HP0_BVALID : out STD_LOGIC; S_AXI_HP0_RLAST : out STD_LOGIC; S_AXI_HP0_RVALID : out STD_LOGIC; S_AXI_HP0_WREADY : out STD_LOGIC; S_AXI_HP0_BRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_RRESP : out STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_BID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RID : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_RDATA : out STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_RCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_WCOUNT : out STD_LOGIC_VECTOR ( 7 downto 0 ); S_AXI_HP0_RACOUNT : out STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_WACOUNT : out STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_ACLK : in STD_LOGIC; S_AXI_HP0_ARVALID : in STD_LOGIC; S_AXI_HP0_AWVALID : in STD_LOGIC; S_AXI_HP0_BREADY : in STD_LOGIC; S_AXI_HP0_RDISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_RREADY : in STD_LOGIC; S_AXI_HP0_WLAST : in STD_LOGIC; S_AXI_HP0_WRISSUECAP1_EN : in STD_LOGIC; S_AXI_HP0_WVALID : in STD_LOGIC; S_AXI_HP0_ARBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_ARSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWBURST : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWLOCK : in STD_LOGIC_VECTOR ( 1 downto 0 ); S_AXI_HP0_AWSIZE : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_AWPROT : in STD_LOGIC_VECTOR ( 2 downto 0 ); S_AXI_HP0_ARADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_AWADDR : in STD_LOGIC_VECTOR ( 31 downto 0 ); S_AXI_HP0_ARCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWCACHE : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWLEN : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_AWQOS : in STD_LOGIC_VECTOR ( 3 downto 0 ); S_AXI_HP0_ARID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_AWID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WID : in STD_LOGIC_VECTOR ( 5 downto 0 ); S_AXI_HP0_WDATA : in STD_LOGIC_VECTOR ( 63 downto 0 ); S_AXI_HP0_WSTRB : in STD_LOGIC_VECTOR ( 7 downto 0 ); IRQ_F2P : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_processing_system7_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_processing_system7_0_0 : entity is "system_processing_system7_0_0,processing_system7_v5_5_processing_system7,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of system_processing_system7_0_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of system_processing_system7_0_0 : entity is "processing_system7_v5_5_processing_system7,Vivado 2016.4"; end system_processing_system7_0_0; architecture STRUCTURE of system_processing_system7_0_0 is signal NLW_inst_CAN0_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_CAN1_PHY_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA1_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA2_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DAVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_DRREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA3_RSTN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET0_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_MDC_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_MDIO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_RX_UNCONNECTED : STD_LOGIC; signal NLW_inst_ENET1_SOF_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_EVENT_EVENTO_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_CLK3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET1_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET2_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FCLK_RESET3_N_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED : STD_LOGIC; signal NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C0_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SCL_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_I2C1_SDA_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CAN1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_CTI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_GPIO_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_I2C1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_QSPI_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SMC_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_SPI1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_UART1_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB0_UNCONNECTED : STD_LOGIC; signal NLW_inst_IRQ_P2F_USB1_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_PJTAG_TDO_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO0_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_BUSPOW_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CLK_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_CMD_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SDIO1_LED_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI0_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MISO_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_MOSI_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SCLK_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS1_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS2_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_O_UNCONNECTED : STD_LOGIC; signal NLW_inst_SPI1_SS_T_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED : STD_LOGIC; signal NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CLK_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TRACE_CTL_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART0_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_DTRN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_RTSN_UNCONNECTED : STD_LOGIC; signal NLW_inst_UART1_TX_UNCONNECTED : STD_LOGIC; signal NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED : STD_LOGIC; signal NLW_inst_WDT_RST_OUT_UNCONNECTED : STD_LOGIC; signal NLW_inst_DMA0_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA1_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA2_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_DMA3_DATYPE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_ENET0_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_ENET1_GMII_TXD_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_EVENT_STANDBYWFE_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_EVENT_STANDBYWFI_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_GPIO_O_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_GPIO_T_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_M_AXI_GP1_WID_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO0_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO0_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_BUSVOLT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_SDIO1_DATA_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_SDIO1_DATA_T_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_S_AXI_ACP_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_ACP_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP0_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_inst_S_AXI_GP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP1_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP2_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_BID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 ); signal NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 ); signal NLW_inst_S_AXI_HP3_RID_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 5 downto 0 ); signal NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_inst_TRACE_DATA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_inst_USB1_PORT_INDCTL_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute C_DM_WIDTH : integer; attribute C_DM_WIDTH of inst : label is 4; attribute C_DQS_WIDTH : integer; attribute C_DQS_WIDTH of inst : label is 4; attribute C_DQ_WIDTH : integer; attribute C_DQ_WIDTH of inst : label is 32; attribute C_EMIO_GPIO_WIDTH : integer; attribute C_EMIO_GPIO_WIDTH of inst : label is 64; attribute C_EN_EMIO_ENET0 : integer; attribute C_EN_EMIO_ENET0 of inst : label is 0; attribute C_EN_EMIO_ENET1 : integer; attribute C_EN_EMIO_ENET1 of inst : label is 0; attribute C_EN_EMIO_PJTAG : integer; attribute C_EN_EMIO_PJTAG of inst : label is 0; attribute C_EN_EMIO_TRACE : integer; attribute C_EN_EMIO_TRACE of inst : label is 0; attribute C_FCLK_CLK0_BUF : string; attribute C_FCLK_CLK0_BUF of inst : label is "TRUE"; attribute C_FCLK_CLK1_BUF : string; attribute C_FCLK_CLK1_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK2_BUF : string; attribute C_FCLK_CLK2_BUF of inst : label is "FALSE"; attribute C_FCLK_CLK3_BUF : string; attribute C_FCLK_CLK3_BUF of inst : label is "FALSE"; attribute C_GP0_EN_MODIFIABLE_TXN : integer; attribute C_GP0_EN_MODIFIABLE_TXN of inst : label is 0; attribute C_GP1_EN_MODIFIABLE_TXN : integer; attribute C_GP1_EN_MODIFIABLE_TXN of inst : label is 0; attribute C_INCLUDE_ACP_TRANS_CHECK : integer; attribute C_INCLUDE_ACP_TRANS_CHECK of inst : label is 0; attribute C_INCLUDE_TRACE_BUFFER : integer; attribute C_INCLUDE_TRACE_BUFFER of inst : label is 0; attribute C_IRQ_F2P_MODE : string; attribute C_IRQ_F2P_MODE of inst : label is "DIRECT"; attribute C_MIO_PRIMITIVE : integer; attribute C_MIO_PRIMITIVE of inst : label is 54; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP0_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP0_ID_WIDTH : integer; attribute C_M_AXI_GP0_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP0_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP0_THREAD_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP : integer; attribute C_M_AXI_GP1_ENABLE_STATIC_REMAP of inst : label is 0; attribute C_M_AXI_GP1_ID_WIDTH : integer; attribute C_M_AXI_GP1_ID_WIDTH of inst : label is 12; attribute C_M_AXI_GP1_THREAD_ID_WIDTH : integer; attribute C_M_AXI_GP1_THREAD_ID_WIDTH of inst : label is 12; attribute C_NUM_F2P_INTR_INPUTS : integer; attribute C_NUM_F2P_INTR_INPUTS of inst : label is 2; attribute C_PACKAGE_NAME : string; attribute C_PACKAGE_NAME of inst : label is "clg484"; attribute C_PS7_SI_REV : string; attribute C_PS7_SI_REV of inst : label is "PRODUCTION"; attribute C_S_AXI_ACP_ARUSER_VAL : integer; attribute C_S_AXI_ACP_ARUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_AWUSER_VAL : integer; attribute C_S_AXI_ACP_AWUSER_VAL of inst : label is 31; attribute C_S_AXI_ACP_ID_WIDTH : integer; attribute C_S_AXI_ACP_ID_WIDTH of inst : label is 3; attribute C_S_AXI_GP0_ID_WIDTH : integer; attribute C_S_AXI_GP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_GP1_ID_WIDTH : integer; attribute C_S_AXI_GP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP0_DATA_WIDTH : integer; attribute C_S_AXI_HP0_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP0_ID_WIDTH : integer; attribute C_S_AXI_HP0_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP1_DATA_WIDTH : integer; attribute C_S_AXI_HP1_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP1_ID_WIDTH : integer; attribute C_S_AXI_HP1_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP2_DATA_WIDTH : integer; attribute C_S_AXI_HP2_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP2_ID_WIDTH : integer; attribute C_S_AXI_HP2_ID_WIDTH of inst : label is 6; attribute C_S_AXI_HP3_DATA_WIDTH : integer; attribute C_S_AXI_HP3_DATA_WIDTH of inst : label is 64; attribute C_S_AXI_HP3_ID_WIDTH : integer; attribute C_S_AXI_HP3_ID_WIDTH of inst : label is 6; attribute C_TRACE_BUFFER_CLOCK_DELAY : integer; attribute C_TRACE_BUFFER_CLOCK_DELAY of inst : label is 12; attribute C_TRACE_BUFFER_FIFO_SIZE : integer; attribute C_TRACE_BUFFER_FIFO_SIZE of inst : label is 128; attribute C_TRACE_INTERNAL_WIDTH : integer; attribute C_TRACE_INTERNAL_WIDTH of inst : label is 2; attribute C_TRACE_PIPELINE_WIDTH : integer; attribute C_TRACE_PIPELINE_WIDTH of inst : label is 8; attribute C_USE_AXI_NONSECURE : integer; attribute C_USE_AXI_NONSECURE of inst : label is 0; attribute C_USE_DEFAULT_ACP_USER_VAL : integer; attribute C_USE_DEFAULT_ACP_USER_VAL of inst : label is 0; attribute C_USE_M_AXI_GP0 : integer; attribute C_USE_M_AXI_GP0 of inst : label is 1; attribute C_USE_M_AXI_GP1 : integer; attribute C_USE_M_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_ACP : integer; attribute C_USE_S_AXI_ACP of inst : label is 0; attribute C_USE_S_AXI_GP0 : integer; attribute C_USE_S_AXI_GP0 of inst : label is 0; attribute C_USE_S_AXI_GP1 : integer; attribute C_USE_S_AXI_GP1 of inst : label is 0; attribute C_USE_S_AXI_HP0 : integer; attribute C_USE_S_AXI_HP0 of inst : label is 1; attribute C_USE_S_AXI_HP1 : integer; attribute C_USE_S_AXI_HP1 of inst : label is 0; attribute C_USE_S_AXI_HP2 : integer; attribute C_USE_S_AXI_HP2 of inst : label is 0; attribute C_USE_S_AXI_HP3 : integer; attribute C_USE_S_AXI_HP3 of inst : label is 0; attribute HW_HANDOFF : string; attribute HW_HANDOFF of inst : label is "system_processing_system7_0_0.hwdef"; attribute POWER : string; attribute POWER of inst : label is "<PROCESSOR name={system} numA9Cores={2} clockFreq={666.666667} load={0.5} /><MEMORY name={code} memType={DDR3} dataWidth={32} clockFreq={533.333313} readRate={0.5} writeRate={0.5} /><IO interface={GPIO_Bank_1} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={1} usageRate={0.5} /><IO interface={GPIO_Bank_0} ioStandard={LVCMOS33} bidis={10} ioBank={Vcco_p0} clockFreq={1} usageRate={0.5} /><IO interface={Timer} ioStandard={} bidis={0} ioBank={} clockFreq={111.111115} usageRate={0.5} /><IO interface={UART} ioStandard={LVCMOS18} bidis={2} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={SD} ioStandard={LVCMOS18} bidis={8} ioBank={Vcco_p1} clockFreq={50.000000} usageRate={0.5} /><IO interface={USB} ioStandard={LVCMOS18} bidis={12} ioBank={Vcco_p1} clockFreq={60} usageRate={0.5} /><IO interface={GigE} ioStandard={LVCMOS18} bidis={14} ioBank={Vcco_p1} clockFreq={125.000000} usageRate={0.5} /><IO interface={QSPI} ioStandard={LVCMOS33} bidis={6} ioBank={Vcco_p0} clockFreq={200.000000} usageRate={0.5} /><PLL domain={Processor} vco={1333.333} /><PLL domain={Memory} vco={1066.667} /><PLL domain={IO} vco={1000.000} /><AXI interface={S_AXI_HP0} dataWidth={64} clockFreq={100} usageRate={0.5} /><AXI interface={M_AXI_GP0} dataWidth={32} clockFreq={100} usageRate={0.5} />/>"; attribute USE_TRACE_DATA_EDGE_DETECTOR : integer; attribute USE_TRACE_DATA_EDGE_DETECTOR of inst : label is 0; begin inst: entity work.system_processing_system7_0_0_processing_system7_v5_5_processing_system7 port map ( CAN0_PHY_RX => '0', CAN0_PHY_TX => NLW_inst_CAN0_PHY_TX_UNCONNECTED, CAN1_PHY_RX => '0', CAN1_PHY_TX => NLW_inst_CAN1_PHY_TX_UNCONNECTED, Core0_nFIQ => '0', Core0_nIRQ => '0', Core1_nFIQ => '0', Core1_nIRQ => '0', DDR_ARB(3 downto 0) => B"0000", DDR_Addr(14 downto 0) => DDR_Addr(14 downto 0), DDR_BankAddr(2 downto 0) => DDR_BankAddr(2 downto 0), DDR_CAS_n => DDR_CAS_n, DDR_CKE => DDR_CKE, DDR_CS_n => DDR_CS_n, DDR_Clk => DDR_Clk, DDR_Clk_n => DDR_Clk_n, DDR_DM(3 downto 0) => DDR_DM(3 downto 0), DDR_DQ(31 downto 0) => DDR_DQ(31 downto 0), DDR_DQS(3 downto 0) => DDR_DQS(3 downto 0), DDR_DQS_n(3 downto 0) => DDR_DQS_n(3 downto 0), DDR_DRSTB => DDR_DRSTB, DDR_ODT => DDR_ODT, DDR_RAS_n => DDR_RAS_n, DDR_VRN => DDR_VRN, DDR_VRP => DDR_VRP, DDR_WEB => DDR_WEB, DMA0_ACLK => '0', DMA0_DAREADY => '0', DMA0_DATYPE(1 downto 0) => NLW_inst_DMA0_DATYPE_UNCONNECTED(1 downto 0), DMA0_DAVALID => NLW_inst_DMA0_DAVALID_UNCONNECTED, DMA0_DRLAST => '0', DMA0_DRREADY => NLW_inst_DMA0_DRREADY_UNCONNECTED, DMA0_DRTYPE(1 downto 0) => B"00", DMA0_DRVALID => '0', DMA0_RSTN => NLW_inst_DMA0_RSTN_UNCONNECTED, DMA1_ACLK => '0', DMA1_DAREADY => '0', DMA1_DATYPE(1 downto 0) => NLW_inst_DMA1_DATYPE_UNCONNECTED(1 downto 0), DMA1_DAVALID => NLW_inst_DMA1_DAVALID_UNCONNECTED, DMA1_DRLAST => '0', DMA1_DRREADY => NLW_inst_DMA1_DRREADY_UNCONNECTED, DMA1_DRTYPE(1 downto 0) => B"00", DMA1_DRVALID => '0', DMA1_RSTN => NLW_inst_DMA1_RSTN_UNCONNECTED, DMA2_ACLK => '0', DMA2_DAREADY => '0', DMA2_DATYPE(1 downto 0) => NLW_inst_DMA2_DATYPE_UNCONNECTED(1 downto 0), DMA2_DAVALID => NLW_inst_DMA2_DAVALID_UNCONNECTED, DMA2_DRLAST => '0', DMA2_DRREADY => NLW_inst_DMA2_DRREADY_UNCONNECTED, DMA2_DRTYPE(1 downto 0) => B"00", DMA2_DRVALID => '0', DMA2_RSTN => NLW_inst_DMA2_RSTN_UNCONNECTED, DMA3_ACLK => '0', DMA3_DAREADY => '0', DMA3_DATYPE(1 downto 0) => NLW_inst_DMA3_DATYPE_UNCONNECTED(1 downto 0), DMA3_DAVALID => NLW_inst_DMA3_DAVALID_UNCONNECTED, DMA3_DRLAST => '0', DMA3_DRREADY => NLW_inst_DMA3_DRREADY_UNCONNECTED, DMA3_DRTYPE(1 downto 0) => B"00", DMA3_DRVALID => '0', DMA3_RSTN => NLW_inst_DMA3_RSTN_UNCONNECTED, ENET0_EXT_INTIN => '0', ENET0_GMII_COL => '0', ENET0_GMII_CRS => '0', ENET0_GMII_RXD(7 downto 0) => B"00000000", ENET0_GMII_RX_CLK => '0', ENET0_GMII_RX_DV => '0', ENET0_GMII_RX_ER => '0', ENET0_GMII_TXD(7 downto 0) => NLW_inst_ENET0_GMII_TXD_UNCONNECTED(7 downto 0), ENET0_GMII_TX_CLK => '0', ENET0_GMII_TX_EN => NLW_inst_ENET0_GMII_TX_EN_UNCONNECTED, ENET0_GMII_TX_ER => NLW_inst_ENET0_GMII_TX_ER_UNCONNECTED, ENET0_MDIO_I => '0', ENET0_MDIO_MDC => NLW_inst_ENET0_MDIO_MDC_UNCONNECTED, ENET0_MDIO_O => NLW_inst_ENET0_MDIO_O_UNCONNECTED, ENET0_MDIO_T => NLW_inst_ENET0_MDIO_T_UNCONNECTED, ENET0_PTP_DELAY_REQ_RX => NLW_inst_ENET0_PTP_DELAY_REQ_RX_UNCONNECTED, ENET0_PTP_DELAY_REQ_TX => NLW_inst_ENET0_PTP_DELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_RX => NLW_inst_ENET0_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET0_PTP_PDELAY_REQ_TX => NLW_inst_ENET0_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_RX => NLW_inst_ENET0_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET0_PTP_PDELAY_RESP_TX => NLW_inst_ENET0_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_RX => NLW_inst_ENET0_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET0_PTP_SYNC_FRAME_TX => NLW_inst_ENET0_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET0_SOF_RX => NLW_inst_ENET0_SOF_RX_UNCONNECTED, ENET0_SOF_TX => NLW_inst_ENET0_SOF_TX_UNCONNECTED, ENET1_EXT_INTIN => '0', ENET1_GMII_COL => '0', ENET1_GMII_CRS => '0', ENET1_GMII_RXD(7 downto 0) => B"00000000", ENET1_GMII_RX_CLK => '0', ENET1_GMII_RX_DV => '0', ENET1_GMII_RX_ER => '0', ENET1_GMII_TXD(7 downto 0) => NLW_inst_ENET1_GMII_TXD_UNCONNECTED(7 downto 0), ENET1_GMII_TX_CLK => '0', ENET1_GMII_TX_EN => NLW_inst_ENET1_GMII_TX_EN_UNCONNECTED, ENET1_GMII_TX_ER => NLW_inst_ENET1_GMII_TX_ER_UNCONNECTED, ENET1_MDIO_I => '0', ENET1_MDIO_MDC => NLW_inst_ENET1_MDIO_MDC_UNCONNECTED, ENET1_MDIO_O => NLW_inst_ENET1_MDIO_O_UNCONNECTED, ENET1_MDIO_T => NLW_inst_ENET1_MDIO_T_UNCONNECTED, ENET1_PTP_DELAY_REQ_RX => NLW_inst_ENET1_PTP_DELAY_REQ_RX_UNCONNECTED, ENET1_PTP_DELAY_REQ_TX => NLW_inst_ENET1_PTP_DELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_RX => NLW_inst_ENET1_PTP_PDELAY_REQ_RX_UNCONNECTED, ENET1_PTP_PDELAY_REQ_TX => NLW_inst_ENET1_PTP_PDELAY_REQ_TX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_RX => NLW_inst_ENET1_PTP_PDELAY_RESP_RX_UNCONNECTED, ENET1_PTP_PDELAY_RESP_TX => NLW_inst_ENET1_PTP_PDELAY_RESP_TX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_RX => NLW_inst_ENET1_PTP_SYNC_FRAME_RX_UNCONNECTED, ENET1_PTP_SYNC_FRAME_TX => NLW_inst_ENET1_PTP_SYNC_FRAME_TX_UNCONNECTED, ENET1_SOF_RX => NLW_inst_ENET1_SOF_RX_UNCONNECTED, ENET1_SOF_TX => NLW_inst_ENET1_SOF_TX_UNCONNECTED, EVENT_EVENTI => '0', EVENT_EVENTO => NLW_inst_EVENT_EVENTO_UNCONNECTED, EVENT_STANDBYWFE(1 downto 0) => NLW_inst_EVENT_STANDBYWFE_UNCONNECTED(1 downto 0), EVENT_STANDBYWFI(1 downto 0) => NLW_inst_EVENT_STANDBYWFI_UNCONNECTED(1 downto 0), FCLK_CLK0 => FCLK_CLK0, FCLK_CLK1 => NLW_inst_FCLK_CLK1_UNCONNECTED, FCLK_CLK2 => NLW_inst_FCLK_CLK2_UNCONNECTED, FCLK_CLK3 => NLW_inst_FCLK_CLK3_UNCONNECTED, FCLK_CLKTRIG0_N => '0', FCLK_CLKTRIG1_N => '0', FCLK_CLKTRIG2_N => '0', FCLK_CLKTRIG3_N => '0', FCLK_RESET0_N => FCLK_RESET0_N, FCLK_RESET1_N => NLW_inst_FCLK_RESET1_N_UNCONNECTED, FCLK_RESET2_N => NLW_inst_FCLK_RESET2_N_UNCONNECTED, FCLK_RESET3_N => NLW_inst_FCLK_RESET3_N_UNCONNECTED, FPGA_IDLE_N => '0', FTMD_TRACEIN_ATID(3 downto 0) => B"0000", FTMD_TRACEIN_CLK => '0', FTMD_TRACEIN_DATA(31 downto 0) => B"00000000000000000000000000000000", FTMD_TRACEIN_VALID => '0', FTMT_F2P_DEBUG(31 downto 0) => B"00000000000000000000000000000000", FTMT_F2P_TRIGACK_0 => NLW_inst_FTMT_F2P_TRIGACK_0_UNCONNECTED, FTMT_F2P_TRIGACK_1 => NLW_inst_FTMT_F2P_TRIGACK_1_UNCONNECTED, FTMT_F2P_TRIGACK_2 => NLW_inst_FTMT_F2P_TRIGACK_2_UNCONNECTED, FTMT_F2P_TRIGACK_3 => NLW_inst_FTMT_F2P_TRIGACK_3_UNCONNECTED, FTMT_F2P_TRIG_0 => '0', FTMT_F2P_TRIG_1 => '0', FTMT_F2P_TRIG_2 => '0', FTMT_F2P_TRIG_3 => '0', FTMT_P2F_DEBUG(31 downto 0) => NLW_inst_FTMT_P2F_DEBUG_UNCONNECTED(31 downto 0), FTMT_P2F_TRIGACK_0 => '0', FTMT_P2F_TRIGACK_1 => '0', FTMT_P2F_TRIGACK_2 => '0', FTMT_P2F_TRIGACK_3 => '0', FTMT_P2F_TRIG_0 => NLW_inst_FTMT_P2F_TRIG_0_UNCONNECTED, FTMT_P2F_TRIG_1 => NLW_inst_FTMT_P2F_TRIG_1_UNCONNECTED, FTMT_P2F_TRIG_2 => NLW_inst_FTMT_P2F_TRIG_2_UNCONNECTED, FTMT_P2F_TRIG_3 => NLW_inst_FTMT_P2F_TRIG_3_UNCONNECTED, GPIO_I(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", GPIO_O(63 downto 0) => NLW_inst_GPIO_O_UNCONNECTED(63 downto 0), GPIO_T(63 downto 0) => NLW_inst_GPIO_T_UNCONNECTED(63 downto 0), I2C0_SCL_I => '0', I2C0_SCL_O => NLW_inst_I2C0_SCL_O_UNCONNECTED, I2C0_SCL_T => NLW_inst_I2C0_SCL_T_UNCONNECTED, I2C0_SDA_I => '0', I2C0_SDA_O => NLW_inst_I2C0_SDA_O_UNCONNECTED, I2C0_SDA_T => NLW_inst_I2C0_SDA_T_UNCONNECTED, I2C1_SCL_I => '0', I2C1_SCL_O => NLW_inst_I2C1_SCL_O_UNCONNECTED, I2C1_SCL_T => NLW_inst_I2C1_SCL_T_UNCONNECTED, I2C1_SDA_I => '0', I2C1_SDA_O => NLW_inst_I2C1_SDA_O_UNCONNECTED, I2C1_SDA_T => NLW_inst_I2C1_SDA_T_UNCONNECTED, IRQ_F2P(1 downto 0) => IRQ_F2P(1 downto 0), IRQ_P2F_CAN0 => NLW_inst_IRQ_P2F_CAN0_UNCONNECTED, IRQ_P2F_CAN1 => NLW_inst_IRQ_P2F_CAN1_UNCONNECTED, IRQ_P2F_CTI => NLW_inst_IRQ_P2F_CTI_UNCONNECTED, IRQ_P2F_DMAC0 => NLW_inst_IRQ_P2F_DMAC0_UNCONNECTED, IRQ_P2F_DMAC1 => NLW_inst_IRQ_P2F_DMAC1_UNCONNECTED, IRQ_P2F_DMAC2 => NLW_inst_IRQ_P2F_DMAC2_UNCONNECTED, IRQ_P2F_DMAC3 => NLW_inst_IRQ_P2F_DMAC3_UNCONNECTED, IRQ_P2F_DMAC4 => NLW_inst_IRQ_P2F_DMAC4_UNCONNECTED, IRQ_P2F_DMAC5 => NLW_inst_IRQ_P2F_DMAC5_UNCONNECTED, IRQ_P2F_DMAC6 => NLW_inst_IRQ_P2F_DMAC6_UNCONNECTED, IRQ_P2F_DMAC7 => NLW_inst_IRQ_P2F_DMAC7_UNCONNECTED, IRQ_P2F_DMAC_ABORT => NLW_inst_IRQ_P2F_DMAC_ABORT_UNCONNECTED, IRQ_P2F_ENET0 => NLW_inst_IRQ_P2F_ENET0_UNCONNECTED, IRQ_P2F_ENET1 => NLW_inst_IRQ_P2F_ENET1_UNCONNECTED, IRQ_P2F_ENET_WAKE0 => NLW_inst_IRQ_P2F_ENET_WAKE0_UNCONNECTED, IRQ_P2F_ENET_WAKE1 => NLW_inst_IRQ_P2F_ENET_WAKE1_UNCONNECTED, IRQ_P2F_GPIO => NLW_inst_IRQ_P2F_GPIO_UNCONNECTED, IRQ_P2F_I2C0 => NLW_inst_IRQ_P2F_I2C0_UNCONNECTED, IRQ_P2F_I2C1 => NLW_inst_IRQ_P2F_I2C1_UNCONNECTED, IRQ_P2F_QSPI => NLW_inst_IRQ_P2F_QSPI_UNCONNECTED, IRQ_P2F_SDIO0 => NLW_inst_IRQ_P2F_SDIO0_UNCONNECTED, IRQ_P2F_SDIO1 => NLW_inst_IRQ_P2F_SDIO1_UNCONNECTED, IRQ_P2F_SMC => NLW_inst_IRQ_P2F_SMC_UNCONNECTED, IRQ_P2F_SPI0 => NLW_inst_IRQ_P2F_SPI0_UNCONNECTED, IRQ_P2F_SPI1 => NLW_inst_IRQ_P2F_SPI1_UNCONNECTED, IRQ_P2F_UART0 => NLW_inst_IRQ_P2F_UART0_UNCONNECTED, IRQ_P2F_UART1 => NLW_inst_IRQ_P2F_UART1_UNCONNECTED, IRQ_P2F_USB0 => NLW_inst_IRQ_P2F_USB0_UNCONNECTED, IRQ_P2F_USB1 => NLW_inst_IRQ_P2F_USB1_UNCONNECTED, MIO(53 downto 0) => MIO(53 downto 0), M_AXI_GP0_ACLK => M_AXI_GP0_ACLK, M_AXI_GP0_ARADDR(31 downto 0) => M_AXI_GP0_ARADDR(31 downto 0), M_AXI_GP0_ARBURST(1 downto 0) => M_AXI_GP0_ARBURST(1 downto 0), M_AXI_GP0_ARCACHE(3 downto 0) => M_AXI_GP0_ARCACHE(3 downto 0), M_AXI_GP0_ARESETN => NLW_inst_M_AXI_GP0_ARESETN_UNCONNECTED, M_AXI_GP0_ARID(11 downto 0) => M_AXI_GP0_ARID(11 downto 0), M_AXI_GP0_ARLEN(3 downto 0) => M_AXI_GP0_ARLEN(3 downto 0), M_AXI_GP0_ARLOCK(1 downto 0) => M_AXI_GP0_ARLOCK(1 downto 0), M_AXI_GP0_ARPROT(2 downto 0) => M_AXI_GP0_ARPROT(2 downto 0), M_AXI_GP0_ARQOS(3 downto 0) => M_AXI_GP0_ARQOS(3 downto 0), M_AXI_GP0_ARREADY => M_AXI_GP0_ARREADY, M_AXI_GP0_ARSIZE(2 downto 0) => M_AXI_GP0_ARSIZE(2 downto 0), M_AXI_GP0_ARVALID => M_AXI_GP0_ARVALID, M_AXI_GP0_AWADDR(31 downto 0) => M_AXI_GP0_AWADDR(31 downto 0), M_AXI_GP0_AWBURST(1 downto 0) => M_AXI_GP0_AWBURST(1 downto 0), M_AXI_GP0_AWCACHE(3 downto 0) => M_AXI_GP0_AWCACHE(3 downto 0), M_AXI_GP0_AWID(11 downto 0) => M_AXI_GP0_AWID(11 downto 0), M_AXI_GP0_AWLEN(3 downto 0) => M_AXI_GP0_AWLEN(3 downto 0), M_AXI_GP0_AWLOCK(1 downto 0) => M_AXI_GP0_AWLOCK(1 downto 0), M_AXI_GP0_AWPROT(2 downto 0) => M_AXI_GP0_AWPROT(2 downto 0), M_AXI_GP0_AWQOS(3 downto 0) => M_AXI_GP0_AWQOS(3 downto 0), M_AXI_GP0_AWREADY => M_AXI_GP0_AWREADY, M_AXI_GP0_AWSIZE(2 downto 0) => M_AXI_GP0_AWSIZE(2 downto 0), M_AXI_GP0_AWVALID => M_AXI_GP0_AWVALID, M_AXI_GP0_BID(11 downto 0) => M_AXI_GP0_BID(11 downto 0), M_AXI_GP0_BREADY => M_AXI_GP0_BREADY, M_AXI_GP0_BRESP(1 downto 0) => M_AXI_GP0_BRESP(1 downto 0), M_AXI_GP0_BVALID => M_AXI_GP0_BVALID, M_AXI_GP0_RDATA(31 downto 0) => M_AXI_GP0_RDATA(31 downto 0), M_AXI_GP0_RID(11 downto 0) => M_AXI_GP0_RID(11 downto 0), M_AXI_GP0_RLAST => M_AXI_GP0_RLAST, M_AXI_GP0_RREADY => M_AXI_GP0_RREADY, M_AXI_GP0_RRESP(1 downto 0) => M_AXI_GP0_RRESP(1 downto 0), M_AXI_GP0_RVALID => M_AXI_GP0_RVALID, M_AXI_GP0_WDATA(31 downto 0) => M_AXI_GP0_WDATA(31 downto 0), M_AXI_GP0_WID(11 downto 0) => M_AXI_GP0_WID(11 downto 0), M_AXI_GP0_WLAST => M_AXI_GP0_WLAST, M_AXI_GP0_WREADY => M_AXI_GP0_WREADY, M_AXI_GP0_WSTRB(3 downto 0) => M_AXI_GP0_WSTRB(3 downto 0), M_AXI_GP0_WVALID => M_AXI_GP0_WVALID, M_AXI_GP1_ACLK => '0', M_AXI_GP1_ARADDR(31 downto 0) => NLW_inst_M_AXI_GP1_ARADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_ARBURST(1 downto 0) => NLW_inst_M_AXI_GP1_ARBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_ARCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_ARCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_ARESETN => NLW_inst_M_AXI_GP1_ARESETN_UNCONNECTED, M_AXI_GP1_ARID(11 downto 0) => NLW_inst_M_AXI_GP1_ARID_UNCONNECTED(11 downto 0), M_AXI_GP1_ARLEN(3 downto 0) => NLW_inst_M_AXI_GP1_ARLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_ARLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_ARLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_ARPROT(2 downto 0) => NLW_inst_M_AXI_GP1_ARPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_ARQOS(3 downto 0) => NLW_inst_M_AXI_GP1_ARQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_ARREADY => '0', M_AXI_GP1_ARSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_ARSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_ARVALID => NLW_inst_M_AXI_GP1_ARVALID_UNCONNECTED, M_AXI_GP1_AWADDR(31 downto 0) => NLW_inst_M_AXI_GP1_AWADDR_UNCONNECTED(31 downto 0), M_AXI_GP1_AWBURST(1 downto 0) => NLW_inst_M_AXI_GP1_AWBURST_UNCONNECTED(1 downto 0), M_AXI_GP1_AWCACHE(3 downto 0) => NLW_inst_M_AXI_GP1_AWCACHE_UNCONNECTED(3 downto 0), M_AXI_GP1_AWID(11 downto 0) => NLW_inst_M_AXI_GP1_AWID_UNCONNECTED(11 downto 0), M_AXI_GP1_AWLEN(3 downto 0) => NLW_inst_M_AXI_GP1_AWLEN_UNCONNECTED(3 downto 0), M_AXI_GP1_AWLOCK(1 downto 0) => NLW_inst_M_AXI_GP1_AWLOCK_UNCONNECTED(1 downto 0), M_AXI_GP1_AWPROT(2 downto 0) => NLW_inst_M_AXI_GP1_AWPROT_UNCONNECTED(2 downto 0), M_AXI_GP1_AWQOS(3 downto 0) => NLW_inst_M_AXI_GP1_AWQOS_UNCONNECTED(3 downto 0), M_AXI_GP1_AWREADY => '0', M_AXI_GP1_AWSIZE(2 downto 0) => NLW_inst_M_AXI_GP1_AWSIZE_UNCONNECTED(2 downto 0), M_AXI_GP1_AWVALID => NLW_inst_M_AXI_GP1_AWVALID_UNCONNECTED, M_AXI_GP1_BID(11 downto 0) => B"000000000000", M_AXI_GP1_BREADY => NLW_inst_M_AXI_GP1_BREADY_UNCONNECTED, M_AXI_GP1_BRESP(1 downto 0) => B"00", M_AXI_GP1_BVALID => '0', M_AXI_GP1_RDATA(31 downto 0) => B"00000000000000000000000000000000", M_AXI_GP1_RID(11 downto 0) => B"000000000000", M_AXI_GP1_RLAST => '0', M_AXI_GP1_RREADY => NLW_inst_M_AXI_GP1_RREADY_UNCONNECTED, M_AXI_GP1_RRESP(1 downto 0) => B"00", M_AXI_GP1_RVALID => '0', M_AXI_GP1_WDATA(31 downto 0) => NLW_inst_M_AXI_GP1_WDATA_UNCONNECTED(31 downto 0), M_AXI_GP1_WID(11 downto 0) => NLW_inst_M_AXI_GP1_WID_UNCONNECTED(11 downto 0), M_AXI_GP1_WLAST => NLW_inst_M_AXI_GP1_WLAST_UNCONNECTED, M_AXI_GP1_WREADY => '0', M_AXI_GP1_WSTRB(3 downto 0) => NLW_inst_M_AXI_GP1_WSTRB_UNCONNECTED(3 downto 0), M_AXI_GP1_WVALID => NLW_inst_M_AXI_GP1_WVALID_UNCONNECTED, PJTAG_TCK => '0', PJTAG_TDI => '0', PJTAG_TDO => NLW_inst_PJTAG_TDO_UNCONNECTED, PJTAG_TMS => '0', PS_CLK => PS_CLK, PS_PORB => PS_PORB, PS_SRSTB => PS_SRSTB, SDIO0_BUSPOW => NLW_inst_SDIO0_BUSPOW_UNCONNECTED, SDIO0_BUSVOLT(2 downto 0) => NLW_inst_SDIO0_BUSVOLT_UNCONNECTED(2 downto 0), SDIO0_CDN => '0', SDIO0_CLK => NLW_inst_SDIO0_CLK_UNCONNECTED, SDIO0_CLK_FB => '0', SDIO0_CMD_I => '0', SDIO0_CMD_O => NLW_inst_SDIO0_CMD_O_UNCONNECTED, SDIO0_CMD_T => NLW_inst_SDIO0_CMD_T_UNCONNECTED, SDIO0_DATA_I(3 downto 0) => B"0000", SDIO0_DATA_O(3 downto 0) => NLW_inst_SDIO0_DATA_O_UNCONNECTED(3 downto 0), SDIO0_DATA_T(3 downto 0) => NLW_inst_SDIO0_DATA_T_UNCONNECTED(3 downto 0), SDIO0_LED => NLW_inst_SDIO0_LED_UNCONNECTED, SDIO0_WP => '0', SDIO1_BUSPOW => NLW_inst_SDIO1_BUSPOW_UNCONNECTED, SDIO1_BUSVOLT(2 downto 0) => NLW_inst_SDIO1_BUSVOLT_UNCONNECTED(2 downto 0), SDIO1_CDN => '0', SDIO1_CLK => NLW_inst_SDIO1_CLK_UNCONNECTED, SDIO1_CLK_FB => '0', SDIO1_CMD_I => '0', SDIO1_CMD_O => NLW_inst_SDIO1_CMD_O_UNCONNECTED, SDIO1_CMD_T => NLW_inst_SDIO1_CMD_T_UNCONNECTED, SDIO1_DATA_I(3 downto 0) => B"0000", SDIO1_DATA_O(3 downto 0) => NLW_inst_SDIO1_DATA_O_UNCONNECTED(3 downto 0), SDIO1_DATA_T(3 downto 0) => NLW_inst_SDIO1_DATA_T_UNCONNECTED(3 downto 0), SDIO1_LED => NLW_inst_SDIO1_LED_UNCONNECTED, SDIO1_WP => '0', SPI0_MISO_I => '0', SPI0_MISO_O => NLW_inst_SPI0_MISO_O_UNCONNECTED, SPI0_MISO_T => NLW_inst_SPI0_MISO_T_UNCONNECTED, SPI0_MOSI_I => '0', SPI0_MOSI_O => NLW_inst_SPI0_MOSI_O_UNCONNECTED, SPI0_MOSI_T => NLW_inst_SPI0_MOSI_T_UNCONNECTED, SPI0_SCLK_I => '0', SPI0_SCLK_O => NLW_inst_SPI0_SCLK_O_UNCONNECTED, SPI0_SCLK_T => NLW_inst_SPI0_SCLK_T_UNCONNECTED, SPI0_SS1_O => NLW_inst_SPI0_SS1_O_UNCONNECTED, SPI0_SS2_O => NLW_inst_SPI0_SS2_O_UNCONNECTED, SPI0_SS_I => '0', SPI0_SS_O => NLW_inst_SPI0_SS_O_UNCONNECTED, SPI0_SS_T => NLW_inst_SPI0_SS_T_UNCONNECTED, SPI1_MISO_I => '0', SPI1_MISO_O => NLW_inst_SPI1_MISO_O_UNCONNECTED, SPI1_MISO_T => NLW_inst_SPI1_MISO_T_UNCONNECTED, SPI1_MOSI_I => '0', SPI1_MOSI_O => NLW_inst_SPI1_MOSI_O_UNCONNECTED, SPI1_MOSI_T => NLW_inst_SPI1_MOSI_T_UNCONNECTED, SPI1_SCLK_I => '0', SPI1_SCLK_O => NLW_inst_SPI1_SCLK_O_UNCONNECTED, SPI1_SCLK_T => NLW_inst_SPI1_SCLK_T_UNCONNECTED, SPI1_SS1_O => NLW_inst_SPI1_SS1_O_UNCONNECTED, SPI1_SS2_O => NLW_inst_SPI1_SS2_O_UNCONNECTED, SPI1_SS_I => '0', SPI1_SS_O => NLW_inst_SPI1_SS_O_UNCONNECTED, SPI1_SS_T => NLW_inst_SPI1_SS_T_UNCONNECTED, SRAM_INTIN => '0', S_AXI_ACP_ACLK => '0', S_AXI_ACP_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_ARBURST(1 downto 0) => B"00", S_AXI_ACP_ARCACHE(3 downto 0) => B"0000", S_AXI_ACP_ARESETN => NLW_inst_S_AXI_ACP_ARESETN_UNCONNECTED, S_AXI_ACP_ARID(2 downto 0) => B"000", S_AXI_ACP_ARLEN(3 downto 0) => B"0000", S_AXI_ACP_ARLOCK(1 downto 0) => B"00", S_AXI_ACP_ARPROT(2 downto 0) => B"000", S_AXI_ACP_ARQOS(3 downto 0) => B"0000", S_AXI_ACP_ARREADY => NLW_inst_S_AXI_ACP_ARREADY_UNCONNECTED, S_AXI_ACP_ARSIZE(2 downto 0) => B"000", S_AXI_ACP_ARUSER(4 downto 0) => B"00000", S_AXI_ACP_ARVALID => '0', S_AXI_ACP_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_ACP_AWBURST(1 downto 0) => B"00", S_AXI_ACP_AWCACHE(3 downto 0) => B"0000", S_AXI_ACP_AWID(2 downto 0) => B"000", S_AXI_ACP_AWLEN(3 downto 0) => B"0000", S_AXI_ACP_AWLOCK(1 downto 0) => B"00", S_AXI_ACP_AWPROT(2 downto 0) => B"000", S_AXI_ACP_AWQOS(3 downto 0) => B"0000", S_AXI_ACP_AWREADY => NLW_inst_S_AXI_ACP_AWREADY_UNCONNECTED, S_AXI_ACP_AWSIZE(2 downto 0) => B"000", S_AXI_ACP_AWUSER(4 downto 0) => B"00000", S_AXI_ACP_AWVALID => '0', S_AXI_ACP_BID(2 downto 0) => NLW_inst_S_AXI_ACP_BID_UNCONNECTED(2 downto 0), S_AXI_ACP_BREADY => '0', S_AXI_ACP_BRESP(1 downto 0) => NLW_inst_S_AXI_ACP_BRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_BVALID => NLW_inst_S_AXI_ACP_BVALID_UNCONNECTED, S_AXI_ACP_RDATA(63 downto 0) => NLW_inst_S_AXI_ACP_RDATA_UNCONNECTED(63 downto 0), S_AXI_ACP_RID(2 downto 0) => NLW_inst_S_AXI_ACP_RID_UNCONNECTED(2 downto 0), S_AXI_ACP_RLAST => NLW_inst_S_AXI_ACP_RLAST_UNCONNECTED, S_AXI_ACP_RREADY => '0', S_AXI_ACP_RRESP(1 downto 0) => NLW_inst_S_AXI_ACP_RRESP_UNCONNECTED(1 downto 0), S_AXI_ACP_RVALID => NLW_inst_S_AXI_ACP_RVALID_UNCONNECTED, S_AXI_ACP_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_ACP_WID(2 downto 0) => B"000", S_AXI_ACP_WLAST => '0', S_AXI_ACP_WREADY => NLW_inst_S_AXI_ACP_WREADY_UNCONNECTED, S_AXI_ACP_WSTRB(7 downto 0) => B"00000000", S_AXI_ACP_WVALID => '0', S_AXI_GP0_ACLK => '0', S_AXI_GP0_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_ARBURST(1 downto 0) => B"00", S_AXI_GP0_ARCACHE(3 downto 0) => B"0000", S_AXI_GP0_ARESETN => NLW_inst_S_AXI_GP0_ARESETN_UNCONNECTED, S_AXI_GP0_ARID(5 downto 0) => B"000000", S_AXI_GP0_ARLEN(3 downto 0) => B"0000", S_AXI_GP0_ARLOCK(1 downto 0) => B"00", S_AXI_GP0_ARPROT(2 downto 0) => B"000", S_AXI_GP0_ARQOS(3 downto 0) => B"0000", S_AXI_GP0_ARREADY => NLW_inst_S_AXI_GP0_ARREADY_UNCONNECTED, S_AXI_GP0_ARSIZE(2 downto 0) => B"000", S_AXI_GP0_ARVALID => '0', S_AXI_GP0_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_AWBURST(1 downto 0) => B"00", S_AXI_GP0_AWCACHE(3 downto 0) => B"0000", S_AXI_GP0_AWID(5 downto 0) => B"000000", S_AXI_GP0_AWLEN(3 downto 0) => B"0000", S_AXI_GP0_AWLOCK(1 downto 0) => B"00", S_AXI_GP0_AWPROT(2 downto 0) => B"000", S_AXI_GP0_AWQOS(3 downto 0) => B"0000", S_AXI_GP0_AWREADY => NLW_inst_S_AXI_GP0_AWREADY_UNCONNECTED, S_AXI_GP0_AWSIZE(2 downto 0) => B"000", S_AXI_GP0_AWVALID => '0', S_AXI_GP0_BID(5 downto 0) => NLW_inst_S_AXI_GP0_BID_UNCONNECTED(5 downto 0), S_AXI_GP0_BREADY => '0', S_AXI_GP0_BRESP(1 downto 0) => NLW_inst_S_AXI_GP0_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_BVALID => NLW_inst_S_AXI_GP0_BVALID_UNCONNECTED, S_AXI_GP0_RDATA(31 downto 0) => NLW_inst_S_AXI_GP0_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP0_RID(5 downto 0) => NLW_inst_S_AXI_GP0_RID_UNCONNECTED(5 downto 0), S_AXI_GP0_RLAST => NLW_inst_S_AXI_GP0_RLAST_UNCONNECTED, S_AXI_GP0_RREADY => '0', S_AXI_GP0_RRESP(1 downto 0) => NLW_inst_S_AXI_GP0_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP0_RVALID => NLW_inst_S_AXI_GP0_RVALID_UNCONNECTED, S_AXI_GP0_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP0_WID(5 downto 0) => B"000000", S_AXI_GP0_WLAST => '0', S_AXI_GP0_WREADY => NLW_inst_S_AXI_GP0_WREADY_UNCONNECTED, S_AXI_GP0_WSTRB(3 downto 0) => B"0000", S_AXI_GP0_WVALID => '0', S_AXI_GP1_ACLK => '0', S_AXI_GP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_ARBURST(1 downto 0) => B"00", S_AXI_GP1_ARCACHE(3 downto 0) => B"0000", S_AXI_GP1_ARESETN => NLW_inst_S_AXI_GP1_ARESETN_UNCONNECTED, S_AXI_GP1_ARID(5 downto 0) => B"000000", S_AXI_GP1_ARLEN(3 downto 0) => B"0000", S_AXI_GP1_ARLOCK(1 downto 0) => B"00", S_AXI_GP1_ARPROT(2 downto 0) => B"000", S_AXI_GP1_ARQOS(3 downto 0) => B"0000", S_AXI_GP1_ARREADY => NLW_inst_S_AXI_GP1_ARREADY_UNCONNECTED, S_AXI_GP1_ARSIZE(2 downto 0) => B"000", S_AXI_GP1_ARVALID => '0', S_AXI_GP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_AWBURST(1 downto 0) => B"00", S_AXI_GP1_AWCACHE(3 downto 0) => B"0000", S_AXI_GP1_AWID(5 downto 0) => B"000000", S_AXI_GP1_AWLEN(3 downto 0) => B"0000", S_AXI_GP1_AWLOCK(1 downto 0) => B"00", S_AXI_GP1_AWPROT(2 downto 0) => B"000", S_AXI_GP1_AWQOS(3 downto 0) => B"0000", S_AXI_GP1_AWREADY => NLW_inst_S_AXI_GP1_AWREADY_UNCONNECTED, S_AXI_GP1_AWSIZE(2 downto 0) => B"000", S_AXI_GP1_AWVALID => '0', S_AXI_GP1_BID(5 downto 0) => NLW_inst_S_AXI_GP1_BID_UNCONNECTED(5 downto 0), S_AXI_GP1_BREADY => '0', S_AXI_GP1_BRESP(1 downto 0) => NLW_inst_S_AXI_GP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_BVALID => NLW_inst_S_AXI_GP1_BVALID_UNCONNECTED, S_AXI_GP1_RDATA(31 downto 0) => NLW_inst_S_AXI_GP1_RDATA_UNCONNECTED(31 downto 0), S_AXI_GP1_RID(5 downto 0) => NLW_inst_S_AXI_GP1_RID_UNCONNECTED(5 downto 0), S_AXI_GP1_RLAST => NLW_inst_S_AXI_GP1_RLAST_UNCONNECTED, S_AXI_GP1_RREADY => '0', S_AXI_GP1_RRESP(1 downto 0) => NLW_inst_S_AXI_GP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_GP1_RVALID => NLW_inst_S_AXI_GP1_RVALID_UNCONNECTED, S_AXI_GP1_WDATA(31 downto 0) => B"00000000000000000000000000000000", S_AXI_GP1_WID(5 downto 0) => B"000000", S_AXI_GP1_WLAST => '0', S_AXI_GP1_WREADY => NLW_inst_S_AXI_GP1_WREADY_UNCONNECTED, S_AXI_GP1_WSTRB(3 downto 0) => B"0000", S_AXI_GP1_WVALID => '0', S_AXI_HP0_ACLK => S_AXI_HP0_ACLK, S_AXI_HP0_ARADDR(31 downto 0) => S_AXI_HP0_ARADDR(31 downto 0), S_AXI_HP0_ARBURST(1 downto 0) => S_AXI_HP0_ARBURST(1 downto 0), S_AXI_HP0_ARCACHE(3 downto 0) => S_AXI_HP0_ARCACHE(3 downto 0), S_AXI_HP0_ARESETN => NLW_inst_S_AXI_HP0_ARESETN_UNCONNECTED, S_AXI_HP0_ARID(5 downto 0) => S_AXI_HP0_ARID(5 downto 0), S_AXI_HP0_ARLEN(3 downto 0) => S_AXI_HP0_ARLEN(3 downto 0), S_AXI_HP0_ARLOCK(1 downto 0) => S_AXI_HP0_ARLOCK(1 downto 0), S_AXI_HP0_ARPROT(2 downto 0) => S_AXI_HP0_ARPROT(2 downto 0), S_AXI_HP0_ARQOS(3 downto 0) => S_AXI_HP0_ARQOS(3 downto 0), S_AXI_HP0_ARREADY => S_AXI_HP0_ARREADY, S_AXI_HP0_ARSIZE(2 downto 0) => S_AXI_HP0_ARSIZE(2 downto 0), S_AXI_HP0_ARVALID => S_AXI_HP0_ARVALID, S_AXI_HP0_AWADDR(31 downto 0) => S_AXI_HP0_AWADDR(31 downto 0), S_AXI_HP0_AWBURST(1 downto 0) => S_AXI_HP0_AWBURST(1 downto 0), S_AXI_HP0_AWCACHE(3 downto 0) => S_AXI_HP0_AWCACHE(3 downto 0), S_AXI_HP0_AWID(5 downto 0) => S_AXI_HP0_AWID(5 downto 0), S_AXI_HP0_AWLEN(3 downto 0) => S_AXI_HP0_AWLEN(3 downto 0), S_AXI_HP0_AWLOCK(1 downto 0) => S_AXI_HP0_AWLOCK(1 downto 0), S_AXI_HP0_AWPROT(2 downto 0) => S_AXI_HP0_AWPROT(2 downto 0), S_AXI_HP0_AWQOS(3 downto 0) => S_AXI_HP0_AWQOS(3 downto 0), S_AXI_HP0_AWREADY => S_AXI_HP0_AWREADY, S_AXI_HP0_AWSIZE(2 downto 0) => S_AXI_HP0_AWSIZE(2 downto 0), S_AXI_HP0_AWVALID => S_AXI_HP0_AWVALID, S_AXI_HP0_BID(5 downto 0) => S_AXI_HP0_BID(5 downto 0), S_AXI_HP0_BREADY => S_AXI_HP0_BREADY, S_AXI_HP0_BRESP(1 downto 0) => S_AXI_HP0_BRESP(1 downto 0), S_AXI_HP0_BVALID => S_AXI_HP0_BVALID, S_AXI_HP0_RACOUNT(2 downto 0) => S_AXI_HP0_RACOUNT(2 downto 0), S_AXI_HP0_RCOUNT(7 downto 0) => S_AXI_HP0_RCOUNT(7 downto 0), S_AXI_HP0_RDATA(63 downto 0) => S_AXI_HP0_RDATA(63 downto 0), S_AXI_HP0_RDISSUECAP1_EN => S_AXI_HP0_RDISSUECAP1_EN, S_AXI_HP0_RID(5 downto 0) => S_AXI_HP0_RID(5 downto 0), S_AXI_HP0_RLAST => S_AXI_HP0_RLAST, S_AXI_HP0_RREADY => S_AXI_HP0_RREADY, S_AXI_HP0_RRESP(1 downto 0) => S_AXI_HP0_RRESP(1 downto 0), S_AXI_HP0_RVALID => S_AXI_HP0_RVALID, S_AXI_HP0_WACOUNT(5 downto 0) => S_AXI_HP0_WACOUNT(5 downto 0), S_AXI_HP0_WCOUNT(7 downto 0) => S_AXI_HP0_WCOUNT(7 downto 0), S_AXI_HP0_WDATA(63 downto 0) => S_AXI_HP0_WDATA(63 downto 0), S_AXI_HP0_WID(5 downto 0) => S_AXI_HP0_WID(5 downto 0), S_AXI_HP0_WLAST => S_AXI_HP0_WLAST, S_AXI_HP0_WREADY => S_AXI_HP0_WREADY, S_AXI_HP0_WRISSUECAP1_EN => S_AXI_HP0_WRISSUECAP1_EN, S_AXI_HP0_WSTRB(7 downto 0) => S_AXI_HP0_WSTRB(7 downto 0), S_AXI_HP0_WVALID => S_AXI_HP0_WVALID, S_AXI_HP1_ACLK => '0', S_AXI_HP1_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_ARBURST(1 downto 0) => B"00", S_AXI_HP1_ARCACHE(3 downto 0) => B"0000", S_AXI_HP1_ARESETN => NLW_inst_S_AXI_HP1_ARESETN_UNCONNECTED, S_AXI_HP1_ARID(5 downto 0) => B"000000", S_AXI_HP1_ARLEN(3 downto 0) => B"0000", S_AXI_HP1_ARLOCK(1 downto 0) => B"00", S_AXI_HP1_ARPROT(2 downto 0) => B"000", S_AXI_HP1_ARQOS(3 downto 0) => B"0000", S_AXI_HP1_ARREADY => NLW_inst_S_AXI_HP1_ARREADY_UNCONNECTED, S_AXI_HP1_ARSIZE(2 downto 0) => B"000", S_AXI_HP1_ARVALID => '0', S_AXI_HP1_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP1_AWBURST(1 downto 0) => B"00", S_AXI_HP1_AWCACHE(3 downto 0) => B"0000", S_AXI_HP1_AWID(5 downto 0) => B"000000", S_AXI_HP1_AWLEN(3 downto 0) => B"0000", S_AXI_HP1_AWLOCK(1 downto 0) => B"00", S_AXI_HP1_AWPROT(2 downto 0) => B"000", S_AXI_HP1_AWQOS(3 downto 0) => B"0000", S_AXI_HP1_AWREADY => NLW_inst_S_AXI_HP1_AWREADY_UNCONNECTED, S_AXI_HP1_AWSIZE(2 downto 0) => B"000", S_AXI_HP1_AWVALID => '0', S_AXI_HP1_BID(5 downto 0) => NLW_inst_S_AXI_HP1_BID_UNCONNECTED(5 downto 0), S_AXI_HP1_BREADY => '0', S_AXI_HP1_BRESP(1 downto 0) => NLW_inst_S_AXI_HP1_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_BVALID => NLW_inst_S_AXI_HP1_BVALID_UNCONNECTED, S_AXI_HP1_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP1_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP1_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_RDATA(63 downto 0) => NLW_inst_S_AXI_HP1_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP1_RDISSUECAP1_EN => '0', S_AXI_HP1_RID(5 downto 0) => NLW_inst_S_AXI_HP1_RID_UNCONNECTED(5 downto 0), S_AXI_HP1_RLAST => NLW_inst_S_AXI_HP1_RLAST_UNCONNECTED, S_AXI_HP1_RREADY => '0', S_AXI_HP1_RRESP(1 downto 0) => NLW_inst_S_AXI_HP1_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP1_RVALID => NLW_inst_S_AXI_HP1_RVALID_UNCONNECTED, S_AXI_HP1_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP1_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP1_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP1_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP1_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP1_WID(5 downto 0) => B"000000", S_AXI_HP1_WLAST => '0', S_AXI_HP1_WREADY => NLW_inst_S_AXI_HP1_WREADY_UNCONNECTED, S_AXI_HP1_WRISSUECAP1_EN => '0', S_AXI_HP1_WSTRB(7 downto 0) => B"00000000", S_AXI_HP1_WVALID => '0', S_AXI_HP2_ACLK => '0', S_AXI_HP2_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_ARBURST(1 downto 0) => B"00", S_AXI_HP2_ARCACHE(3 downto 0) => B"0000", S_AXI_HP2_ARESETN => NLW_inst_S_AXI_HP2_ARESETN_UNCONNECTED, S_AXI_HP2_ARID(5 downto 0) => B"000000", S_AXI_HP2_ARLEN(3 downto 0) => B"0000", S_AXI_HP2_ARLOCK(1 downto 0) => B"00", S_AXI_HP2_ARPROT(2 downto 0) => B"000", S_AXI_HP2_ARQOS(3 downto 0) => B"0000", S_AXI_HP2_ARREADY => NLW_inst_S_AXI_HP2_ARREADY_UNCONNECTED, S_AXI_HP2_ARSIZE(2 downto 0) => B"000", S_AXI_HP2_ARVALID => '0', S_AXI_HP2_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP2_AWBURST(1 downto 0) => B"00", S_AXI_HP2_AWCACHE(3 downto 0) => B"0000", S_AXI_HP2_AWID(5 downto 0) => B"000000", S_AXI_HP2_AWLEN(3 downto 0) => B"0000", S_AXI_HP2_AWLOCK(1 downto 0) => B"00", S_AXI_HP2_AWPROT(2 downto 0) => B"000", S_AXI_HP2_AWQOS(3 downto 0) => B"0000", S_AXI_HP2_AWREADY => NLW_inst_S_AXI_HP2_AWREADY_UNCONNECTED, S_AXI_HP2_AWSIZE(2 downto 0) => B"000", S_AXI_HP2_AWVALID => '0', S_AXI_HP2_BID(5 downto 0) => NLW_inst_S_AXI_HP2_BID_UNCONNECTED(5 downto 0), S_AXI_HP2_BREADY => '0', S_AXI_HP2_BRESP(1 downto 0) => NLW_inst_S_AXI_HP2_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_BVALID => NLW_inst_S_AXI_HP2_BVALID_UNCONNECTED, S_AXI_HP2_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP2_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP2_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_RDATA(63 downto 0) => NLW_inst_S_AXI_HP2_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP2_RDISSUECAP1_EN => '0', S_AXI_HP2_RID(5 downto 0) => NLW_inst_S_AXI_HP2_RID_UNCONNECTED(5 downto 0), S_AXI_HP2_RLAST => NLW_inst_S_AXI_HP2_RLAST_UNCONNECTED, S_AXI_HP2_RREADY => '0', S_AXI_HP2_RRESP(1 downto 0) => NLW_inst_S_AXI_HP2_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP2_RVALID => NLW_inst_S_AXI_HP2_RVALID_UNCONNECTED, S_AXI_HP2_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP2_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP2_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP2_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP2_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP2_WID(5 downto 0) => B"000000", S_AXI_HP2_WLAST => '0', S_AXI_HP2_WREADY => NLW_inst_S_AXI_HP2_WREADY_UNCONNECTED, S_AXI_HP2_WRISSUECAP1_EN => '0', S_AXI_HP2_WSTRB(7 downto 0) => B"00000000", S_AXI_HP2_WVALID => '0', S_AXI_HP3_ACLK => '0', S_AXI_HP3_ARADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_ARBURST(1 downto 0) => B"00", S_AXI_HP3_ARCACHE(3 downto 0) => B"0000", S_AXI_HP3_ARESETN => NLW_inst_S_AXI_HP3_ARESETN_UNCONNECTED, S_AXI_HP3_ARID(5 downto 0) => B"000000", S_AXI_HP3_ARLEN(3 downto 0) => B"0000", S_AXI_HP3_ARLOCK(1 downto 0) => B"00", S_AXI_HP3_ARPROT(2 downto 0) => B"000", S_AXI_HP3_ARQOS(3 downto 0) => B"0000", S_AXI_HP3_ARREADY => NLW_inst_S_AXI_HP3_ARREADY_UNCONNECTED, S_AXI_HP3_ARSIZE(2 downto 0) => B"000", S_AXI_HP3_ARVALID => '0', S_AXI_HP3_AWADDR(31 downto 0) => B"00000000000000000000000000000000", S_AXI_HP3_AWBURST(1 downto 0) => B"00", S_AXI_HP3_AWCACHE(3 downto 0) => B"0000", S_AXI_HP3_AWID(5 downto 0) => B"000000", S_AXI_HP3_AWLEN(3 downto 0) => B"0000", S_AXI_HP3_AWLOCK(1 downto 0) => B"00", S_AXI_HP3_AWPROT(2 downto 0) => B"000", S_AXI_HP3_AWQOS(3 downto 0) => B"0000", S_AXI_HP3_AWREADY => NLW_inst_S_AXI_HP3_AWREADY_UNCONNECTED, S_AXI_HP3_AWSIZE(2 downto 0) => B"000", S_AXI_HP3_AWVALID => '0', S_AXI_HP3_BID(5 downto 0) => NLW_inst_S_AXI_HP3_BID_UNCONNECTED(5 downto 0), S_AXI_HP3_BREADY => '0', S_AXI_HP3_BRESP(1 downto 0) => NLW_inst_S_AXI_HP3_BRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_BVALID => NLW_inst_S_AXI_HP3_BVALID_UNCONNECTED, S_AXI_HP3_RACOUNT(2 downto 0) => NLW_inst_S_AXI_HP3_RACOUNT_UNCONNECTED(2 downto 0), S_AXI_HP3_RCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_RCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_RDATA(63 downto 0) => NLW_inst_S_AXI_HP3_RDATA_UNCONNECTED(63 downto 0), S_AXI_HP3_RDISSUECAP1_EN => '0', S_AXI_HP3_RID(5 downto 0) => NLW_inst_S_AXI_HP3_RID_UNCONNECTED(5 downto 0), S_AXI_HP3_RLAST => NLW_inst_S_AXI_HP3_RLAST_UNCONNECTED, S_AXI_HP3_RREADY => '0', S_AXI_HP3_RRESP(1 downto 0) => NLW_inst_S_AXI_HP3_RRESP_UNCONNECTED(1 downto 0), S_AXI_HP3_RVALID => NLW_inst_S_AXI_HP3_RVALID_UNCONNECTED, S_AXI_HP3_WACOUNT(5 downto 0) => NLW_inst_S_AXI_HP3_WACOUNT_UNCONNECTED(5 downto 0), S_AXI_HP3_WCOUNT(7 downto 0) => NLW_inst_S_AXI_HP3_WCOUNT_UNCONNECTED(7 downto 0), S_AXI_HP3_WDATA(63 downto 0) => B"0000000000000000000000000000000000000000000000000000000000000000", S_AXI_HP3_WID(5 downto 0) => B"000000", S_AXI_HP3_WLAST => '0', S_AXI_HP3_WREADY => NLW_inst_S_AXI_HP3_WREADY_UNCONNECTED, S_AXI_HP3_WRISSUECAP1_EN => '0', S_AXI_HP3_WSTRB(7 downto 0) => B"00000000", S_AXI_HP3_WVALID => '0', TRACE_CLK => '0', TRACE_CLK_OUT => NLW_inst_TRACE_CLK_OUT_UNCONNECTED, TRACE_CTL => NLW_inst_TRACE_CTL_UNCONNECTED, TRACE_DATA(1 downto 0) => NLW_inst_TRACE_DATA_UNCONNECTED(1 downto 0), TTC0_CLK0_IN => '0', TTC0_CLK1_IN => '0', TTC0_CLK2_IN => '0', TTC0_WAVE0_OUT => TTC0_WAVE0_OUT, TTC0_WAVE1_OUT => TTC0_WAVE1_OUT, TTC0_WAVE2_OUT => TTC0_WAVE2_OUT, TTC1_CLK0_IN => '0', TTC1_CLK1_IN => '0', TTC1_CLK2_IN => '0', TTC1_WAVE0_OUT => NLW_inst_TTC1_WAVE0_OUT_UNCONNECTED, TTC1_WAVE1_OUT => NLW_inst_TTC1_WAVE1_OUT_UNCONNECTED, TTC1_WAVE2_OUT => NLW_inst_TTC1_WAVE2_OUT_UNCONNECTED, UART0_CTSN => '0', UART0_DCDN => '0', UART0_DSRN => '0', UART0_DTRN => NLW_inst_UART0_DTRN_UNCONNECTED, UART0_RIN => '0', UART0_RTSN => NLW_inst_UART0_RTSN_UNCONNECTED, UART0_RX => '1', UART0_TX => NLW_inst_UART0_TX_UNCONNECTED, UART1_CTSN => '0', UART1_DCDN => '0', UART1_DSRN => '0', UART1_DTRN => NLW_inst_UART1_DTRN_UNCONNECTED, UART1_RIN => '0', UART1_RTSN => NLW_inst_UART1_RTSN_UNCONNECTED, UART1_RX => '1', UART1_TX => NLW_inst_UART1_TX_UNCONNECTED, USB0_PORT_INDCTL(1 downto 0) => USB0_PORT_INDCTL(1 downto 0), USB0_VBUS_PWRFAULT => USB0_VBUS_PWRFAULT, USB0_VBUS_PWRSELECT => USB0_VBUS_PWRSELECT, USB1_PORT_INDCTL(1 downto 0) => NLW_inst_USB1_PORT_INDCTL_UNCONNECTED(1 downto 0), USB1_VBUS_PWRFAULT => '0', USB1_VBUS_PWRSELECT => NLW_inst_USB1_VBUS_PWRSELECT_UNCONNECTED, WDT_CLK_IN => '0', WDT_RST_OUT => NLW_inst_WDT_RST_OUT_UNCONNECTED ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_util_vector_logic_0_0/synth/system_util_vector_logic_0_0.vhd
3
4126
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:util_vector_logic:2.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY util_vector_logic_v2_0; USE util_vector_logic_v2_0.util_vector_logic; ENTITY system_util_vector_logic_0_0 IS PORT ( Op1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Op2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Res : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END system_util_vector_logic_0_0; ARCHITECTURE system_util_vector_logic_0_0_arch OF system_util_vector_logic_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_util_vector_logic_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT util_vector_logic IS GENERIC ( C_OPERATION : STRING; C_SIZE : INTEGER ); PORT ( Op1 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Op2 : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Res : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT util_vector_logic; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_util_vector_logic_0_0_arch: ARCHITECTURE IS "util_vector_logic,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_util_vector_logic_0_0_arch : ARCHITECTURE IS "system_util_vector_logic_0_0,util_vector_logic,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_util_vector_logic_0_0_arch: ARCHITECTURE IS "system_util_vector_logic_0_0,util_vector_logic,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=util_vector_logic,x_ipVersion=2.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_OPERATION=and,C_SIZE=1}"; BEGIN U0 : util_vector_logic GENERIC MAP ( C_OPERATION => "and", C_SIZE => 1 ) PORT MAP ( Op1 => Op1, Op2 => Op2, Res => Res ); END system_util_vector_logic_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_vga_sync_ref_0_0/system_vga_sync_ref_0_0_sim_netlist.vhdl
3
70090
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 15:18:23 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_vga_sync_ref_0_0/system_vga_sync_ref_0_0_sim_netlist.vhdl -- Design : system_vga_sync_ref_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_sync_ref_0_0_vga_sync_ref is port ( xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); start : out STD_LOGIC; active : out STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; vsync : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_vga_sync_ref_0_0_vga_sync_ref : entity is "vga_sync_ref"; end system_vga_sync_ref_0_0_vga_sync_ref; architecture STRUCTURE of system_vga_sync_ref_0_0_vga_sync_ref is signal \^active\ : STD_LOGIC; signal active_i_1_n_0 : STD_LOGIC; signal active_i_2_n_0 : STD_LOGIC; signal counter : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \counter[12]_i_3_n_0\ : STD_LOGIC; signal \counter[12]_i_4_n_0\ : STD_LOGIC; signal \counter[12]_i_5_n_0\ : STD_LOGIC; signal \counter[12]_i_6_n_0\ : STD_LOGIC; signal \counter[16]_i_3_n_0\ : STD_LOGIC; signal \counter[16]_i_4_n_0\ : STD_LOGIC; signal \counter[16]_i_5_n_0\ : STD_LOGIC; signal \counter[16]_i_6_n_0\ : STD_LOGIC; signal \counter[20]_i_3_n_0\ : STD_LOGIC; signal \counter[20]_i_4_n_0\ : STD_LOGIC; signal \counter[20]_i_5_n_0\ : STD_LOGIC; signal \counter[20]_i_6_n_0\ : STD_LOGIC; signal \counter[24]_i_3_n_0\ : STD_LOGIC; signal \counter[24]_i_4_n_0\ : STD_LOGIC; signal \counter[24]_i_5_n_0\ : STD_LOGIC; signal \counter[24]_i_6_n_0\ : STD_LOGIC; signal \counter[28]_i_3_n_0\ : STD_LOGIC; signal \counter[28]_i_4_n_0\ : STD_LOGIC; signal \counter[28]_i_5_n_0\ : STD_LOGIC; signal \counter[28]_i_6_n_0\ : STD_LOGIC; signal \counter[31]_i_10_n_0\ : STD_LOGIC; signal \counter[31]_i_11_n_0\ : STD_LOGIC; signal \counter[31]_i_12_n_0\ : STD_LOGIC; signal \counter[31]_i_13_n_0\ : STD_LOGIC; signal \counter[31]_i_14_n_0\ : STD_LOGIC; signal \counter[31]_i_15_n_0\ : STD_LOGIC; signal \counter[31]_i_16_n_0\ : STD_LOGIC; signal \counter[31]_i_17_n_0\ : STD_LOGIC; signal \counter[31]_i_18_n_0\ : STD_LOGIC; signal \counter[31]_i_19_n_0\ : STD_LOGIC; signal \counter[31]_i_1_n_0\ : STD_LOGIC; signal \counter[31]_i_2_n_0\ : STD_LOGIC; signal \counter[31]_i_4_n_0\ : STD_LOGIC; signal \counter[31]_i_6_n_0\ : STD_LOGIC; signal \counter[31]_i_7_n_0\ : STD_LOGIC; signal \counter[31]_i_8_n_0\ : STD_LOGIC; signal \counter[31]_i_9_n_0\ : STD_LOGIC; signal \counter[4]_i_3_n_0\ : STD_LOGIC; signal \counter[4]_i_4_n_0\ : STD_LOGIC; signal \counter[4]_i_5_n_0\ : STD_LOGIC; signal \counter[4]_i_6_n_0\ : STD_LOGIC; signal \counter[8]_i_3_n_0\ : STD_LOGIC; signal \counter[8]_i_4_n_0\ : STD_LOGIC; signal \counter[8]_i_5_n_0\ : STD_LOGIC; signal \counter[8]_i_6_n_0\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[12]_i_2_n_7\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[16]_i_2_n_7\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[20]_i_2_n_7\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[24]_i_2_n_7\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[28]_i_2_n_7\ : STD_LOGIC; signal \counter_reg[31]_i_5_n_2\ : STD_LOGIC; signal \counter_reg[31]_i_5_n_3\ : STD_LOGIC; signal \counter_reg[31]_i_5_n_5\ : STD_LOGIC; signal \counter_reg[31]_i_5_n_6\ : STD_LOGIC; signal \counter_reg[31]_i_5_n_7\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[4]_i_2_n_7\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_0\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_1\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_2\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_3\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_4\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_5\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_6\ : STD_LOGIC; signal \counter_reg[8]_i_2_n_7\ : STD_LOGIC; signal \h_count_reg[9]_i_1_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_2_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_4_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_5_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_6_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_7_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_8_n_0\ : STD_LOGIC; signal \h_count_reg_reg__0\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal p_2_in : STD_LOGIC_VECTOR ( 31 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \^start\ : STD_LOGIC; signal start_i_1_n_0 : STD_LOGIC; signal start_i_2_n_0 : STD_LOGIC; signal start_i_3_n_0 : STD_LOGIC; signal start_i_4_n_0 : STD_LOGIC; signal start_i_5_n_0 : STD_LOGIC; signal start_i_6_n_0 : STD_LOGIC; signal \state[0]_i_1_n_0\ : STD_LOGIC; signal \state[1]_i_10_n_0\ : STD_LOGIC; signal \state[1]_i_11_n_0\ : STD_LOGIC; signal \state[1]_i_1_n_0\ : STD_LOGIC; signal \state[1]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; signal \state[1]_i_4_n_0\ : STD_LOGIC; signal \state[1]_i_5_n_0\ : STD_LOGIC; signal \state[1]_i_6_n_0\ : STD_LOGIC; signal \state[1]_i_7_n_0\ : STD_LOGIC; signal \state[1]_i_8_n_0\ : STD_LOGIC; signal \state[1]_i_9_n_0\ : STD_LOGIC; signal \state_reg_n_0_[0]\ : STD_LOGIC; signal \state_reg_n_0_[1]\ : STD_LOGIC; signal \v_count_reg[9]_i_10_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_1_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_3_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_4_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_5_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_6_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_7_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_8_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_9_n_0\ : STD_LOGIC; signal \v_count_reg_reg__0\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_counter_reg[31]_i_5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal \NLW_counter_reg[31]_i_5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \counter[0]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \counter[31]_i_15\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \counter[31]_i_18\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \h_count_reg[0]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \h_count_reg[1]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \h_count_reg[2]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \h_count_reg[3]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \h_count_reg[4]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \h_count_reg[7]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \h_count_reg[8]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \h_count_reg[9]_i_7\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \h_count_reg[9]_i_8\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of start_i_3 : label is "soft_lutpair10"; attribute SOFT_HLUTNM of start_i_4 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of start_i_6 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \state[1]_i_10\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \v_count_reg[0]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \v_count_reg[1]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \v_count_reg[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \v_count_reg[3]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \v_count_reg[4]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \v_count_reg[7]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \v_count_reg[8]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \v_count_reg[9]_i_5\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \v_count_reg[9]_i_6\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \v_count_reg[9]_i_7\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \v_count_reg[9]_i_8\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \v_count_reg[9]_i_9\ : label is "soft_lutpair8"; begin active <= \^active\; start <= \^start\; active_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"000000000002FFFE" ) port map ( I0 => \^active\, I1 => active_i_2_n_0, I2 => \v_count_reg[9]_i_1_n_0\, I3 => start_i_2_n_0, I4 => \state_reg_n_0_[0]\, I5 => \counter[31]_i_1_n_0\, O => active_i_1_n_0 ); active_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \v_count_reg[9]_i_6_n_0\, I1 => counter(25), I2 => counter(26), I3 => counter(24), I4 => \v_count_reg[9]_i_5_n_0\, I5 => \counter[31]_i_7_n_0\, O => active_i_2_n_0 ); active_reg: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => active_i_1_n_0, Q => \^active\, R => '0' ); \counter[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => counter(0), O => p_2_in(0) ); \counter[10]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[12]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(10) ); \counter[11]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[12]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(11) ); \counter[12]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[12]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(12) ); \counter[12]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(12), O => \counter[12]_i_3_n_0\ ); \counter[12]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(11), O => \counter[12]_i_4_n_0\ ); \counter[12]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(10), O => \counter[12]_i_5_n_0\ ); \counter[12]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(9), O => \counter[12]_i_6_n_0\ ); \counter[13]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[16]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(13) ); \counter[14]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[16]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(14) ); \counter[15]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[16]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(15) ); \counter[16]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[16]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(16) ); \counter[16]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(16), O => \counter[16]_i_3_n_0\ ); \counter[16]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(15), O => \counter[16]_i_4_n_0\ ); \counter[16]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(14), O => \counter[16]_i_5_n_0\ ); \counter[16]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(13), O => \counter[16]_i_6_n_0\ ); \counter[17]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[20]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(17) ); \counter[18]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[20]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(18) ); \counter[19]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[20]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(19) ); \counter[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[4]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(1) ); \counter[20]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[20]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(20) ); \counter[20]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(20), O => \counter[20]_i_3_n_0\ ); \counter[20]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(19), O => \counter[20]_i_4_n_0\ ); \counter[20]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(18), O => \counter[20]_i_5_n_0\ ); \counter[20]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(17), O => \counter[20]_i_6_n_0\ ); \counter[21]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[24]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(21) ); \counter[22]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[24]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(22) ); \counter[23]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[24]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(23) ); \counter[24]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[24]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(24) ); \counter[24]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(24), O => \counter[24]_i_3_n_0\ ); \counter[24]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(23), O => \counter[24]_i_4_n_0\ ); \counter[24]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(22), O => \counter[24]_i_5_n_0\ ); \counter[24]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(21), O => \counter[24]_i_6_n_0\ ); \counter[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[28]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(25) ); \counter[26]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[28]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(26) ); \counter[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[28]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(27) ); \counter[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[28]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(28) ); \counter[28]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(28), O => \counter[28]_i_3_n_0\ ); \counter[28]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(27), O => \counter[28]_i_4_n_0\ ); \counter[28]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(26), O => \counter[28]_i_5_n_0\ ); \counter[28]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(25), O => \counter[28]_i_6_n_0\ ); \counter[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[31]_i_5_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(29) ); \counter[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[4]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(2) ); \counter[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[31]_i_5_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(30) ); \counter[31]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => vsync, I1 => rst, O => \counter[31]_i_1_n_0\ ); \counter[31]_i_10\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => counter(24), I1 => counter(26), I2 => counter(25), O => \counter[31]_i_10_n_0\ ); \counter[31]_i_11\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(31), O => \counter[31]_i_11_n_0\ ); \counter[31]_i_12\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(30), O => \counter[31]_i_12_n_0\ ); \counter[31]_i_13\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(29), O => \counter[31]_i_13_n_0\ ); \counter[31]_i_14\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => counter(17), I1 => counter(16), I2 => counter(19), I3 => counter(18), I4 => \v_count_reg[9]_i_10_n_0\, I5 => \counter[31]_i_10_n_0\, O => \counter[31]_i_14_n_0\ ); \counter[31]_i_15\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => counter(31), I1 => counter(30), I2 => counter(29), O => \counter[31]_i_15_n_0\ ); \counter[31]_i_16\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFF7FFFFFFFFFFF" ) port map ( I0 => counter(2), I1 => counter(1), I2 => counter(0), I3 => counter(3), I4 => \state_reg_n_0_[1]\, I5 => \state_reg_n_0_[0]\, O => \counter[31]_i_16_n_0\ ); \counter[31]_i_17\: unisim.vcomponents.LUT4 generic map( INIT => X"DFFF" ) port map ( I0 => counter(4), I1 => counter(8), I2 => counter(6), I3 => counter(5), O => \counter[31]_i_17_n_0\ ); \counter[31]_i_18\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => counter(10), I1 => counter(11), O => \counter[31]_i_18_n_0\ ); \counter[31]_i_19\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => counter(15), I1 => counter(14), I2 => counter(13), I3 => counter(12), O => \counter[31]_i_19_n_0\ ); \counter[31]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => \state_reg_n_0_[0]\, I1 => \state_reg_n_0_[1]\, O => \counter[31]_i_2_n_0\ ); \counter[31]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"4440404044404440" ) port map ( I0 => \counter[31]_i_4_n_0\, I1 => \counter_reg[31]_i_5_n_5\, I2 => \counter[31]_i_6_n_0\, I3 => \counter[31]_i_7_n_0\, I4 => \counter[31]_i_8_n_0\, I5 => \counter[31]_i_9_n_0\, O => p_2_in(31) ); \counter[31]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \v_count_reg[9]_i_6_n_0\, I1 => start_i_5_n_0, I2 => start_i_4_n_0, I3 => \v_count_reg[9]_i_5_n_0\, I4 => start_i_3_n_0, I5 => \counter[31]_i_10_n_0\, O => \counter[31]_i_4_n_0\ ); \counter[31]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFEFEFEFF" ) port map ( I0 => \counter[31]_i_14_n_0\, I1 => counter(28), I2 => counter(27), I3 => \state_reg_n_0_[1]\, I4 => \state_reg_n_0_[0]\, I5 => \counter[31]_i_15_n_0\, O => \counter[31]_i_6_n_0\ ); \counter[31]_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFEFF" ) port map ( I0 => \counter[31]_i_16_n_0\, I1 => \counter[31]_i_17_n_0\, I2 => counter(7), I3 => counter(9), I4 => \counter[31]_i_18_n_0\, I5 => \counter[31]_i_19_n_0\, O => \counter[31]_i_7_n_0\ ); \counter[31]_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFBFFF" ) port map ( I0 => \h_count_reg[9]_i_5_n_0\, I1 => counter(3), I2 => counter(0), I3 => counter(7), I4 => counter(6), I5 => \h_count_reg[9]_i_2_n_0\, O => \counter[31]_i_8_n_0\ ); \counter[31]_i_9\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \counter[31]_i_19_n_0\, I1 => counter(10), I2 => counter(11), I3 => counter(8), I4 => counter(9), O => \counter[31]_i_9_n_0\ ); \counter[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[4]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(3) ); \counter[4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[4]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(4) ); \counter[4]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(4), O => \counter[4]_i_3_n_0\ ); \counter[4]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(3), O => \counter[4]_i_4_n_0\ ); \counter[4]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(2), O => \counter[4]_i_5_n_0\ ); \counter[4]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(1), O => \counter[4]_i_6_n_0\ ); \counter[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[8]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(5) ); \counter[6]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[8]_i_2_n_6\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(6) ); \counter[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[8]_i_2_n_5\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(7) ); \counter[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[8]_i_2_n_4\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(8) ); \counter[8]_i_3\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(8), O => \counter[8]_i_3_n_0\ ); \counter[8]_i_4\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(7), O => \counter[8]_i_4_n_0\ ); \counter[8]_i_5\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(6), O => \counter[8]_i_5_n_0\ ); \counter[8]_i_6\: unisim.vcomponents.LUT1 generic map( INIT => X"2" ) port map ( I0 => counter(5), O => \counter[8]_i_6_n_0\ ); \counter[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000EAEE0000" ) port map ( I0 => \counter[31]_i_6_n_0\, I1 => \counter[31]_i_7_n_0\, I2 => \counter[31]_i_8_n_0\, I3 => \counter[31]_i_9_n_0\, I4 => \counter_reg[12]_i_2_n_7\, I5 => \counter[31]_i_4_n_0\, O => p_2_in(9) ); \counter_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(0), Q => counter(0), R => \counter[31]_i_1_n_0\ ); \counter_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(10), Q => counter(10), R => \counter[31]_i_1_n_0\ ); \counter_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(11), Q => counter(11), R => \counter[31]_i_1_n_0\ ); \counter_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(12), Q => counter(12), R => \counter[31]_i_1_n_0\ ); \counter_reg[12]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[8]_i_2_n_0\, CO(3) => \counter_reg[12]_i_2_n_0\, CO(2) => \counter_reg[12]_i_2_n_1\, CO(1) => \counter_reg[12]_i_2_n_2\, CO(0) => \counter_reg[12]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \counter_reg[12]_i_2_n_4\, O(2) => \counter_reg[12]_i_2_n_5\, O(1) => \counter_reg[12]_i_2_n_6\, O(0) => \counter_reg[12]_i_2_n_7\, S(3) => \counter[12]_i_3_n_0\, S(2) => \counter[12]_i_4_n_0\, S(1) => \counter[12]_i_5_n_0\, S(0) => \counter[12]_i_6_n_0\ ); \counter_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(13), Q => counter(13), R => \counter[31]_i_1_n_0\ ); \counter_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(14), Q => counter(14), R => \counter[31]_i_1_n_0\ ); \counter_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(15), Q => counter(15), R => \counter[31]_i_1_n_0\ ); \counter_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(16), Q => counter(16), R => \counter[31]_i_1_n_0\ ); \counter_reg[16]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[12]_i_2_n_0\, CO(3) => \counter_reg[16]_i_2_n_0\, CO(2) => \counter_reg[16]_i_2_n_1\, CO(1) => \counter_reg[16]_i_2_n_2\, CO(0) => \counter_reg[16]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \counter_reg[16]_i_2_n_4\, O(2) => \counter_reg[16]_i_2_n_5\, O(1) => \counter_reg[16]_i_2_n_6\, O(0) => \counter_reg[16]_i_2_n_7\, S(3) => \counter[16]_i_3_n_0\, S(2) => \counter[16]_i_4_n_0\, S(1) => \counter[16]_i_5_n_0\, S(0) => \counter[16]_i_6_n_0\ ); \counter_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(17), Q => counter(17), R => \counter[31]_i_1_n_0\ ); \counter_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(18), Q => counter(18), R => \counter[31]_i_1_n_0\ ); \counter_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(19), Q => counter(19), R => \counter[31]_i_1_n_0\ ); \counter_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(1), Q => counter(1), R => \counter[31]_i_1_n_0\ ); \counter_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(20), Q => counter(20), R => \counter[31]_i_1_n_0\ ); \counter_reg[20]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[16]_i_2_n_0\, CO(3) => \counter_reg[20]_i_2_n_0\, CO(2) => \counter_reg[20]_i_2_n_1\, CO(1) => \counter_reg[20]_i_2_n_2\, CO(0) => \counter_reg[20]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \counter_reg[20]_i_2_n_4\, O(2) => \counter_reg[20]_i_2_n_5\, O(1) => \counter_reg[20]_i_2_n_6\, O(0) => \counter_reg[20]_i_2_n_7\, S(3) => \counter[20]_i_3_n_0\, S(2) => \counter[20]_i_4_n_0\, S(1) => \counter[20]_i_5_n_0\, S(0) => \counter[20]_i_6_n_0\ ); \counter_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(21), Q => counter(21), R => \counter[31]_i_1_n_0\ ); \counter_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(22), Q => counter(22), R => \counter[31]_i_1_n_0\ ); \counter_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(23), Q => counter(23), R => \counter[31]_i_1_n_0\ ); \counter_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(24), Q => counter(24), R => \counter[31]_i_1_n_0\ ); \counter_reg[24]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[20]_i_2_n_0\, CO(3) => \counter_reg[24]_i_2_n_0\, CO(2) => \counter_reg[24]_i_2_n_1\, CO(1) => \counter_reg[24]_i_2_n_2\, CO(0) => \counter_reg[24]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \counter_reg[24]_i_2_n_4\, O(2) => \counter_reg[24]_i_2_n_5\, O(1) => \counter_reg[24]_i_2_n_6\, O(0) => \counter_reg[24]_i_2_n_7\, S(3) => \counter[24]_i_3_n_0\, S(2) => \counter[24]_i_4_n_0\, S(1) => \counter[24]_i_5_n_0\, S(0) => \counter[24]_i_6_n_0\ ); \counter_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(25), Q => counter(25), R => \counter[31]_i_1_n_0\ ); \counter_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(26), Q => counter(26), R => \counter[31]_i_1_n_0\ ); \counter_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(27), Q => counter(27), R => \counter[31]_i_1_n_0\ ); \counter_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(28), Q => counter(28), R => \counter[31]_i_1_n_0\ ); \counter_reg[28]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[24]_i_2_n_0\, CO(3) => \counter_reg[28]_i_2_n_0\, CO(2) => \counter_reg[28]_i_2_n_1\, CO(1) => \counter_reg[28]_i_2_n_2\, CO(0) => \counter_reg[28]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \counter_reg[28]_i_2_n_4\, O(2) => \counter_reg[28]_i_2_n_5\, O(1) => \counter_reg[28]_i_2_n_6\, O(0) => \counter_reg[28]_i_2_n_7\, S(3) => \counter[28]_i_3_n_0\, S(2) => \counter[28]_i_4_n_0\, S(1) => \counter[28]_i_5_n_0\, S(0) => \counter[28]_i_6_n_0\ ); \counter_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(29), Q => counter(29), R => \counter[31]_i_1_n_0\ ); \counter_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(2), Q => counter(2), R => \counter[31]_i_1_n_0\ ); \counter_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(30), Q => counter(30), R => \counter[31]_i_1_n_0\ ); \counter_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(31), Q => counter(31), R => \counter[31]_i_1_n_0\ ); \counter_reg[31]_i_5\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[28]_i_2_n_0\, CO(3 downto 2) => \NLW_counter_reg[31]_i_5_CO_UNCONNECTED\(3 downto 2), CO(1) => \counter_reg[31]_i_5_n_2\, CO(0) => \counter_reg[31]_i_5_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \NLW_counter_reg[31]_i_5_O_UNCONNECTED\(3), O(2) => \counter_reg[31]_i_5_n_5\, O(1) => \counter_reg[31]_i_5_n_6\, O(0) => \counter_reg[31]_i_5_n_7\, S(3) => '0', S(2) => \counter[31]_i_11_n_0\, S(1) => \counter[31]_i_12_n_0\, S(0) => \counter[31]_i_13_n_0\ ); \counter_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(3), Q => counter(3), R => \counter[31]_i_1_n_0\ ); \counter_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(4), Q => counter(4), R => \counter[31]_i_1_n_0\ ); \counter_reg[4]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => \counter_reg[4]_i_2_n_0\, CO(2) => \counter_reg[4]_i_2_n_1\, CO(1) => \counter_reg[4]_i_2_n_2\, CO(0) => \counter_reg[4]_i_2_n_3\, CYINIT => counter(0), DI(3 downto 0) => B"0000", O(3) => \counter_reg[4]_i_2_n_4\, O(2) => \counter_reg[4]_i_2_n_5\, O(1) => \counter_reg[4]_i_2_n_6\, O(0) => \counter_reg[4]_i_2_n_7\, S(3) => \counter[4]_i_3_n_0\, S(2) => \counter[4]_i_4_n_0\, S(1) => \counter[4]_i_5_n_0\, S(0) => \counter[4]_i_6_n_0\ ); \counter_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(5), Q => counter(5), R => \counter[31]_i_1_n_0\ ); \counter_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(6), Q => counter(6), R => \counter[31]_i_1_n_0\ ); \counter_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(7), Q => counter(7), R => \counter[31]_i_1_n_0\ ); \counter_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(8), Q => counter(8), R => \counter[31]_i_1_n_0\ ); \counter_reg[8]_i_2\: unisim.vcomponents.CARRY4 port map ( CI => \counter_reg[4]_i_2_n_0\, CO(3) => \counter_reg[8]_i_2_n_0\, CO(2) => \counter_reg[8]_i_2_n_1\, CO(1) => \counter_reg[8]_i_2_n_2\, CO(0) => \counter_reg[8]_i_2_n_3\, CYINIT => '0', DI(3 downto 0) => B"0000", O(3) => \counter_reg[8]_i_2_n_4\, O(2) => \counter_reg[8]_i_2_n_5\, O(1) => \counter_reg[8]_i_2_n_6\, O(0) => \counter_reg[8]_i_2_n_7\, S(3) => \counter[8]_i_3_n_0\, S(2) => \counter[8]_i_4_n_0\, S(1) => \counter[8]_i_5_n_0\, S(0) => \counter[8]_i_6_n_0\ ); \counter_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \counter[31]_i_2_n_0\, D => p_2_in(9), Q => counter(9), R => \counter[31]_i_1_n_0\ ); \h_count_reg[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \h_count_reg_reg__0\(0), O => \plusOp__0\(0) ); \h_count_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \h_count_reg_reg__0\(0), I1 => \h_count_reg_reg__0\(1), O => \plusOp__0\(1) ); \h_count_reg[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \h_count_reg_reg__0\(2), I1 => \h_count_reg_reg__0\(0), I2 => \h_count_reg_reg__0\(1), O => \plusOp__0\(2) ); \h_count_reg[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \h_count_reg_reg__0\(3), I1 => \h_count_reg_reg__0\(1), I2 => \h_count_reg_reg__0\(0), I3 => \h_count_reg_reg__0\(2), O => \plusOp__0\(3) ); \h_count_reg[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \h_count_reg_reg__0\(2), I1 => \h_count_reg_reg__0\(0), I2 => \h_count_reg_reg__0\(1), I3 => \h_count_reg_reg__0\(3), I4 => \h_count_reg_reg__0\(4), O => \plusOp__0\(4) ); \h_count_reg[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \h_count_reg_reg__0\(5), I1 => \h_count_reg_reg__0\(2), I2 => \h_count_reg_reg__0\(0), I3 => \h_count_reg_reg__0\(1), I4 => \h_count_reg_reg__0\(3), I5 => \h_count_reg_reg__0\(4), O => \plusOp__0\(5) ); \h_count_reg[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \h_count_reg_reg__0\(6), I1 => \h_count_reg[9]_i_7_n_0\, I2 => \h_count_reg_reg__0\(5), O => \plusOp__0\(6) ); \h_count_reg[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \h_count_reg_reg__0\(7), I1 => \h_count_reg_reg__0\(5), I2 => \h_count_reg[9]_i_7_n_0\, I3 => \h_count_reg_reg__0\(6), O => \plusOp__0\(7) ); \h_count_reg[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \h_count_reg_reg__0\(8), I1 => \h_count_reg_reg__0\(6), I2 => \h_count_reg[9]_i_7_n_0\, I3 => \h_count_reg_reg__0\(5), I4 => \h_count_reg_reg__0\(7), O => \plusOp__0\(8) ); \h_count_reg[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"DDDDDDFDDDDDDDDD" ) port map ( I0 => rst, I1 => vsync, I2 => \counter[31]_i_9_n_0\, I3 => \h_count_reg[9]_i_4_n_0\, I4 => \h_count_reg[9]_i_5_n_0\, I5 => \h_count_reg[9]_i_6_n_0\, O => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg[9]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \state_reg_n_0_[0]\, I1 => \state_reg_n_0_[1]\, O => \h_count_reg[9]_i_2_n_0\ ); \h_count_reg[9]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \h_count_reg_reg__0\(9), I1 => \h_count_reg_reg__0\(7), I2 => \h_count_reg_reg__0\(5), I3 => \h_count_reg[9]_i_7_n_0\, I4 => \h_count_reg_reg__0\(6), I5 => \h_count_reg_reg__0\(8), O => \plusOp__0\(9) ); \h_count_reg[9]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FDFFFFFFFFFFFFFF" ) port map ( I0 => \state_reg_n_0_[1]\, I1 => \state_reg_n_0_[0]\, I2 => counter(6), I3 => counter(7), I4 => counter(0), I5 => counter(3), O => \h_count_reg[9]_i_4_n_0\ ); \h_count_reg[9]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FFF7" ) port map ( I0 => counter(1), I1 => counter(2), I2 => counter(4), I3 => counter(5), O => \h_count_reg[9]_i_5_n_0\ ); \h_count_reg[9]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000000001" ) port map ( I0 => \v_count_reg[9]_i_5_n_0\, I1 => counter(24), I2 => counter(26), I3 => counter(25), I4 => \v_count_reg[9]_i_10_n_0\, I5 => \h_count_reg[9]_i_8_n_0\, O => \h_count_reg[9]_i_6_n_0\ ); \h_count_reg[9]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"80000000" ) port map ( I0 => \h_count_reg_reg__0\(4), I1 => \h_count_reg_reg__0\(3), I2 => \h_count_reg_reg__0\(1), I3 => \h_count_reg_reg__0\(0), I4 => \h_count_reg_reg__0\(2), O => \h_count_reg[9]_i_7_n_0\ ); \h_count_reg[9]_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => counter(17), I1 => counter(16), I2 => counter(19), I3 => counter(18), O => \h_count_reg[9]_i_8_n_0\ ); \h_count_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(0), Q => \h_count_reg_reg__0\(0), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(1), Q => \h_count_reg_reg__0\(1), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(2), Q => \h_count_reg_reg__0\(2), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(3), Q => \h_count_reg_reg__0\(3), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(4), Q => \h_count_reg_reg__0\(4), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(5), Q => \h_count_reg_reg__0\(5), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(6), Q => \h_count_reg_reg__0\(6), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(7), Q => \h_count_reg_reg__0\(7), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(8), Q => \h_count_reg_reg__0\(8), R => \h_count_reg[9]_i_1_n_0\ ); \h_count_reg_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \h_count_reg[9]_i_2_n_0\, D => \plusOp__0\(9), Q => \h_count_reg_reg__0\(9), R => \h_count_reg[9]_i_1_n_0\ ); start_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"00000000220E0000" ) port map ( I0 => \^start\, I1 => start_i_2_n_0, I2 => \state_reg_n_0_[0]\, I3 => \state_reg_n_0_[1]\, I4 => rst, I5 => vsync, O => start_i_1_n_0 ); start_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"0002" ) port map ( I0 => \h_count_reg[9]_i_6_n_0\, I1 => start_i_3_n_0, I2 => start_i_4_n_0, I3 => start_i_5_n_0, O => start_i_2_n_0 ); start_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => counter(15), I1 => counter(14), I2 => counter(4), I3 => counter(6), O => start_i_3_n_0 ); start_i_4: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => counter(3), I1 => counter(1), I2 => counter(2), I3 => counter(11), I4 => start_i_6_n_0, O => start_i_4_n_0 ); start_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFF7" ) port map ( I0 => counter(5), I1 => counter(13), I2 => counter(8), I3 => counter(9), I4 => \state_reg_n_0_[1]\, I5 => \state_reg_n_0_[0]\, O => start_i_5_n_0 ); start_i_6: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => counter(7), I1 => counter(0), I2 => counter(10), I3 => counter(12), O => start_i_6_n_0 ); start_reg: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => start_i_1_n_0, Q => \^start\, R => '0' ); \state[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"00000000FE560000" ) port map ( I0 => \state_reg_n_0_[0]\, I1 => \state[1]_i_2_n_0\, I2 => start_i_2_n_0, I3 => \state_reg_n_0_[1]\, I4 => rst, I5 => vsync, O => \state[0]_i_1_n_0\ ); \state[1]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0000E6E2" ) port map ( I0 => \state_reg_n_0_[1]\, I1 => \state[1]_i_2_n_0\, I2 => \state[1]_i_3_n_0\, I3 => \state_reg_n_0_[0]\, I4 => \state[1]_i_4_n_0\, O => \state[1]_i_1_n_0\ ); \state[1]_i_10\: unisim.vcomponents.LUT2 generic map( INIT => X"7" ) port map ( I0 => counter(2), I1 => counter(1), O => \state[1]_i_10_n_0\ ); \state[1]_i_11\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => counter(27), I1 => counter(28), O => \state[1]_i_11_n_0\ ); \state[1]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"4444444F44444444" ) port map ( I0 => \counter[31]_i_7_n_0\, I1 => \h_count_reg[9]_i_6_n_0\, I2 => \state[1]_i_5_n_0\, I3 => \state[1]_i_6_n_0\, I4 => \v_count_reg[9]_i_4_n_0\, I5 => \state[1]_i_7_n_0\, O => \state[1]_i_2_n_0\ ); \state[1]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"0010000000000000" ) port map ( I0 => \v_count_reg[9]_i_7_n_0\, I1 => \v_count_reg_reg__0\(9), I2 => \v_count_reg_reg__0\(6), I3 => \v_count_reg_reg__0\(5), I4 => \v_count_reg_reg__0\(7), I5 => \v_count_reg_reg__0\(8), O => \state[1]_i_3_n_0\ ); \state[1]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAAAAABAAAAAAAA" ) port map ( I0 => \counter[31]_i_1_n_0\, I1 => \state[1]_i_8_n_0\, I2 => \state[1]_i_9_n_0\, I3 => \state[1]_i_6_n_0\, I4 => start_i_4_n_0, I5 => \state[1]_i_7_n_0\, O => \state[1]_i_4_n_0\ ); \state[1]_i_5\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFB" ) port map ( I0 => \state[1]_i_10_n_0\, I1 => counter(7), I2 => counter(5), I3 => \h_count_reg[9]_i_2_n_0\, I4 => \state[1]_i_9_n_0\, I5 => \v_count_reg[9]_i_9_n_0\, O => \state[1]_i_5_n_0\ ); \state[1]_i_6\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => counter(25), I1 => counter(26), I2 => \state[1]_i_11_n_0\, I3 => counter(16), I4 => counter(31), I5 => \v_count_reg[9]_i_8_n_0\, O => \state[1]_i_6_n_0\ ); \state[1]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => counter(18), I1 => counter(17), I2 => counter(19), I3 => \v_count_reg[9]_i_10_n_0\, I4 => counter(24), O => \state[1]_i_7_n_0\ ); \state[1]_i_8\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFF7" ) port map ( I0 => counter(13), I1 => counter(5), I2 => \state_reg_n_0_[0]\, I3 => \state_reg_n_0_[1]\, I4 => counter(9), I5 => counter(14), O => \state[1]_i_8_n_0\ ); \state[1]_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => counter(30), I1 => counter(29), I2 => counter(4), I3 => counter(8), O => \state[1]_i_9_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => \state[0]_i_1_n_0\, Q => \state_reg_n_0_[0]\, R => '0' ); \state_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => \state[1]_i_1_n_0\, Q => \state_reg_n_0_[1]\, R => '0' ); \v_count_reg[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \v_count_reg_reg__0\(0), O => plusOp(0) ); \v_count_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \v_count_reg_reg__0\(0), I1 => \v_count_reg_reg__0\(1), O => plusOp(1) ); \v_count_reg[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \v_count_reg_reg__0\(2), I1 => \v_count_reg_reg__0\(0), I2 => \v_count_reg_reg__0\(1), O => plusOp(2) ); \v_count_reg[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \v_count_reg_reg__0\(3), I1 => \v_count_reg_reg__0\(1), I2 => \v_count_reg_reg__0\(0), I3 => \v_count_reg_reg__0\(2), O => plusOp(3) ); \v_count_reg[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \v_count_reg_reg__0\(4), I1 => \v_count_reg_reg__0\(2), I2 => \v_count_reg_reg__0\(0), I3 => \v_count_reg_reg__0\(1), I4 => \v_count_reg_reg__0\(3), O => plusOp(4) ); \v_count_reg[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \v_count_reg_reg__0\(5), I1 => \v_count_reg_reg__0\(3), I2 => \v_count_reg_reg__0\(1), I3 => \v_count_reg_reg__0\(0), I4 => \v_count_reg_reg__0\(2), I5 => \v_count_reg_reg__0\(4), O => plusOp(5) ); \v_count_reg[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"9A" ) port map ( I0 => \v_count_reg_reg__0\(6), I1 => \v_count_reg[9]_i_7_n_0\, I2 => \v_count_reg_reg__0\(5), O => plusOp(6) ); \v_count_reg[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"A6AA" ) port map ( I0 => \v_count_reg_reg__0\(7), I1 => \v_count_reg_reg__0\(5), I2 => \v_count_reg[9]_i_7_n_0\, I3 => \v_count_reg_reg__0\(6), O => plusOp(7) ); \v_count_reg[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"A6AAAAAA" ) port map ( I0 => \v_count_reg_reg__0\(8), I1 => \v_count_reg_reg__0\(6), I2 => \v_count_reg[9]_i_7_n_0\, I3 => \v_count_reg_reg__0\(5), I4 => \v_count_reg_reg__0\(7), O => plusOp(8) ); \v_count_reg[9]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"00000001" ) port map ( I0 => \v_count_reg[9]_i_3_n_0\, I1 => \v_count_reg[9]_i_4_n_0\, I2 => \v_count_reg[9]_i_5_n_0\, I3 => \v_count_reg[9]_i_6_n_0\, I4 => \state[1]_i_3_n_0\, O => \v_count_reg[9]_i_1_n_0\ ); \v_count_reg[9]_i_10\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => counter(21), I1 => counter(20), I2 => counter(23), I3 => counter(22), O => \v_count_reg[9]_i_10_n_0\ ); \v_count_reg[9]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"AAAA6AAAAAAAAAAA" ) port map ( I0 => \v_count_reg_reg__0\(9), I1 => \v_count_reg_reg__0\(7), I2 => \v_count_reg_reg__0\(8), I3 => \v_count_reg_reg__0\(6), I4 => \v_count_reg[9]_i_7_n_0\, I5 => \v_count_reg_reg__0\(5), O => plusOp(9) ); \v_count_reg[9]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFB" ) port map ( I0 => \v_count_reg[9]_i_8_n_0\, I1 => counter(7), I2 => counter(8), I3 => \h_count_reg[9]_i_5_n_0\, I4 => \v_count_reg[9]_i_9_n_0\, I5 => \counter[31]_i_10_n_0\, O => \v_count_reg[9]_i_3_n_0\ ); \v_count_reg[9]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => counter(11), I1 => counter(10), I2 => counter(9), I3 => counter(14), I4 => counter(12), I5 => counter(13), O => \v_count_reg[9]_i_4_n_0\ ); \v_count_reg[9]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => counter(28), I1 => counter(27), I2 => counter(29), I3 => counter(30), I4 => counter(31), O => \v_count_reg[9]_i_5_n_0\ ); \v_count_reg[9]_i_6\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \v_count_reg[9]_i_10_n_0\, I1 => counter(18), I2 => counter(19), I3 => counter(16), I4 => counter(17), O => \v_count_reg[9]_i_6_n_0\ ); \v_count_reg[9]_i_7\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFFFFFF" ) port map ( I0 => \v_count_reg_reg__0\(3), I1 => \v_count_reg_reg__0\(1), I2 => \v_count_reg_reg__0\(0), I3 => \v_count_reg_reg__0\(2), I4 => \v_count_reg_reg__0\(4), O => \v_count_reg[9]_i_7_n_0\ ); \v_count_reg[9]_i_8\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => counter(6), I1 => counter(15), O => \v_count_reg[9]_i_8_n_0\ ); \v_count_reg[9]_i_9\: unisim.vcomponents.LUT4 generic map( INIT => X"FF7F" ) port map ( I0 => counter(3), I1 => counter(0), I2 => \state_reg_n_0_[1]\, I3 => \state_reg_n_0_[0]\, O => \v_count_reg[9]_i_9_n_0\ ); \v_count_reg_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(0), Q => \v_count_reg_reg__0\(0), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(1), Q => \v_count_reg_reg__0\(1), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(2), Q => \v_count_reg_reg__0\(2), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(3), Q => \v_count_reg_reg__0\(3), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(4), Q => \v_count_reg_reg__0\(4), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(5), Q => \v_count_reg_reg__0\(5), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(6), Q => \v_count_reg_reg__0\(6), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(7), Q => \v_count_reg_reg__0\(7), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(8), Q => \v_count_reg_reg__0\(8), R => \counter[31]_i_1_n_0\ ); \v_count_reg_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => \v_count_reg[9]_i_1_n_0\, D => plusOp(9), Q => \v_count_reg_reg__0\(9), R => \counter[31]_i_1_n_0\ ); \xaddr_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(0), Q => xaddr(0), R => '0' ); \xaddr_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(1), Q => xaddr(1), R => '0' ); \xaddr_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(2), Q => xaddr(2), R => '0' ); \xaddr_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(3), Q => xaddr(3), R => '0' ); \xaddr_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(4), Q => xaddr(4), R => '0' ); \xaddr_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(5), Q => xaddr(5), R => '0' ); \xaddr_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(6), Q => xaddr(6), R => '0' ); \xaddr_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(7), Q => xaddr(7), R => '0' ); \xaddr_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(8), Q => xaddr(8), R => '0' ); \xaddr_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \h_count_reg_reg__0\(9), Q => xaddr(9), R => '0' ); \yaddr_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(0), Q => yaddr(0), R => '0' ); \yaddr_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(1), Q => yaddr(1), R => '0' ); \yaddr_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(2), Q => yaddr(2), R => '0' ); \yaddr_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(3), Q => yaddr(3), R => '0' ); \yaddr_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(4), Q => yaddr(4), R => '0' ); \yaddr_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(5), Q => yaddr(5), R => '0' ); \yaddr_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(6), Q => yaddr(6), R => '0' ); \yaddr_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(7), Q => yaddr(7), R => '0' ); \yaddr_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(8), Q => yaddr(8), R => '0' ); \yaddr_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \v_count_reg_reg__0\(9), Q => yaddr(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_sync_ref_0_0 is port ( clk : in STD_LOGIC; rst : in STD_LOGIC; hsync : in STD_LOGIC; vsync : in STD_LOGIC; start : out STD_LOGIC; active : out STD_LOGIC; xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_vga_sync_ref_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_vga_sync_ref_0_0 : entity is "system_vga_sync_ref_0_0,vga_sync_ref,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_vga_sync_ref_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_vga_sync_ref_0_0 : entity is "vga_sync_ref,Vivado 2016.4"; end system_vga_sync_ref_0_0; architecture STRUCTURE of system_vga_sync_ref_0_0 is begin U0: entity work.system_vga_sync_ref_0_0_vga_sync_ref port map ( active => active, clk => clk, rst => rst, start => start, vsync => vsync, xaddr(9 downto 0) => xaddr(9 downto 0), yaddr(9 downto 0) => yaddr(9 downto 0) ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/csi2_rx/csi2_rx.srcs/sources_1/imports/mipi-csi-rx/csi_rx_10bit_unpack.vhd
1
3705
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --MIPI CSI-2 10bit pixel unpacker --Copyright (C) 2016 David Shah --Licensed under the MIT License --This receives 32-bit words from the long video packet payload in; and unpacks them --into 40 bits of output (which is only active - signified with the 'dout_valid' output - --80% of the time). It is intended that the dout_valid signal drives the write enable for a linebuffer --or FIFO. --At the moment only MIPI 10bit RAW format is supported, other formats may be --supported in the future (for 8bit you could simply bypass this entity) entity csi_rx_10bit_unpack is Port ( clock : in STD_LOGIC; --word clock in reset : in STD_LOGIC; --synchronous active high reset enable : in STD_LOGIC; --active high enable data_in : in STD_LOGIC_VECTOR (31 downto 0); --packet payload in din_valid : in STD_LOGIC; --payload in valid data_out : out STD_LOGIC_VECTOR (39 downto 0); --unpacked data out dout_valid : out STD_LOGIC); --data out valid (see above) end csi_rx_10bit_unpack; architecture Behavioral of csi_rx_10bit_unpack is signal dout_int : std_logic_vector(39 downto 0); signal bytes_int : std_logic_vector(31 downto 0); signal byte_count_int : integer range 0 to 4; signal dout_valid_int : std_logic; signal dout_unpacked : std_logic_vector(39 downto 0); signal dout_valid_up : std_logic; --Unpack CSI packed 10-bit to 4 sequential 10-bit pixels function mipi_unpack(packed : std_logic_vector) return std_logic_vector is variable result : std_logic_vector(39 downto 0); begin result(9 downto 0) := packed(7 downto 0) & packed(33 downto 32); result(19 downto 10) := packed(15 downto 8) & packed(35 downto 34); result(29 downto 20) := packed(23 downto 16) & packed(37 downto 36); result(39 downto 30) := packed(31 downto 24) & packed(39 downto 38); return result; end mipi_unpack; begin process(clock, reset) begin if rising_edge(clock) then if reset = '1' then dout_int <= x"0000000000"; byte_count_int <= 0; dout_valid_int <= '0'; elsif enable = '1' then if din_valid = '1' then --Behaviour is based on the number of bytes in the buffer case byte_count_int is when 0 => dout_int <= x"0000000000"; dout_valid_int <= '0'; bytes_int <= data_in; byte_count_int <= 4; when 1 => dout_int <= data_in & bytes_int(7 downto 0); dout_valid_int <= '1'; bytes_int <= x"00000000"; byte_count_int <= 0; when 2 => dout_int <= data_in(23 downto 0) & bytes_int(15 downto 0); dout_valid_int <= '1'; bytes_int <= x"000000" & data_in(31 downto 24); byte_count_int <= 1; when 3 => dout_int <= data_in(15 downto 0) & bytes_int(23 downto 0); dout_valid_int <= '1'; bytes_int <= x"0000" & data_in(31 downto 16); byte_count_int <= 2; when 4 => dout_int <= data_in(7 downto 0) & bytes_int(31 downto 0); dout_valid_int <= '1'; bytes_int <= x"00" & data_in(31 downto 8); byte_count_int <= 3; end case; else byte_count_int <= 0; dout_valid_int <= '0'; end if; dout_unpacked <= mipi_unpack(dout_int); dout_valid_up <= dout_valid_int; data_out <= dout_unpacked; dout_valid <= dout_valid_up; end if; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_ov7670_controller_0_0/system_ov7670_controller_0_0_sim_netlist.vhdl
1
70465
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Tue Jun 06 02:48:32 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_ov7670_controller_0_0/system_ov7670_controller_0_0_sim_netlist.vhdl -- Design : system_ov7670_controller_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_0_0_i2c_sender is port ( E : out STD_LOGIC_VECTOR ( 0 to 0 ); sioc : out STD_LOGIC; p_0_in : out STD_LOGIC; \busy_sr_reg[1]_0\ : out STD_LOGIC; siod : out STD_LOGIC; \busy_sr_reg[31]_0\ : in STD_LOGIC; clk : in STD_LOGIC; p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); DOADO : in STD_LOGIC_VECTOR ( 15 downto 0 ); \busy_sr_reg[31]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_controller_0_0_i2c_sender : entity is "i2c_sender"; end system_ov7670_controller_0_0_i2c_sender; architecture STRUCTURE of system_ov7670_controller_0_0_i2c_sender is signal busy_sr0 : STD_LOGIC; signal \busy_sr[0]_i_3_n_0\ : STD_LOGIC; signal \busy_sr[0]_i_5_n_0\ : STD_LOGIC; signal \busy_sr[10]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[11]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[12]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[13]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[14]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[15]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[16]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[17]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[18]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[19]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[1]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[20]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[21]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[22]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[23]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[24]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[25]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[26]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[27]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[28]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[29]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[2]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[30]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[31]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[31]_i_2_n_0\ : STD_LOGIC; signal \busy_sr[3]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[4]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[5]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[6]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[7]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[8]_i_1_n_0\ : STD_LOGIC; signal \busy_sr[9]_i_1_n_0\ : STD_LOGIC; signal \^busy_sr_reg[1]_0\ : STD_LOGIC; signal \busy_sr_reg_n_0_[0]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[10]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[11]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[12]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[13]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[14]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[15]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[16]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[17]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[18]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[1]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[21]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[22]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[23]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[24]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[25]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[26]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[27]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[28]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[29]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[2]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[30]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[3]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[4]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[5]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[6]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[7]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[8]\ : STD_LOGIC; signal \busy_sr_reg_n_0_[9]\ : STD_LOGIC; signal \data_sr[10]_i_1_n_0\ : STD_LOGIC; signal \data_sr[12]_i_1_n_0\ : STD_LOGIC; signal \data_sr[13]_i_1_n_0\ : STD_LOGIC; signal \data_sr[14]_i_1_n_0\ : STD_LOGIC; signal \data_sr[15]_i_1_n_0\ : STD_LOGIC; signal \data_sr[16]_i_1_n_0\ : STD_LOGIC; signal \data_sr[17]_i_1_n_0\ : STD_LOGIC; signal \data_sr[18]_i_1_n_0\ : STD_LOGIC; signal \data_sr[19]_i_1_n_0\ : STD_LOGIC; signal \data_sr[22]_i_1_n_0\ : STD_LOGIC; signal \data_sr[27]_i_1_n_0\ : STD_LOGIC; signal \data_sr[30]_i_1_n_0\ : STD_LOGIC; signal \data_sr[31]_i_1_n_0\ : STD_LOGIC; signal \data_sr[31]_i_2_n_0\ : STD_LOGIC; signal \data_sr[3]_i_1_n_0\ : STD_LOGIC; signal \data_sr[4]_i_1_n_0\ : STD_LOGIC; signal \data_sr[5]_i_1_n_0\ : STD_LOGIC; signal \data_sr[6]_i_1_n_0\ : STD_LOGIC; signal \data_sr[7]_i_1_n_0\ : STD_LOGIC; signal \data_sr[8]_i_1_n_0\ : STD_LOGIC; signal \data_sr[9]_i_1_n_0\ : STD_LOGIC; signal \data_sr_reg_n_0_[10]\ : STD_LOGIC; signal \data_sr_reg_n_0_[11]\ : STD_LOGIC; signal \data_sr_reg_n_0_[12]\ : STD_LOGIC; signal \data_sr_reg_n_0_[13]\ : STD_LOGIC; signal \data_sr_reg_n_0_[14]\ : STD_LOGIC; signal \data_sr_reg_n_0_[15]\ : STD_LOGIC; signal \data_sr_reg_n_0_[16]\ : STD_LOGIC; signal \data_sr_reg_n_0_[17]\ : STD_LOGIC; signal \data_sr_reg_n_0_[18]\ : STD_LOGIC; signal \data_sr_reg_n_0_[19]\ : STD_LOGIC; signal \data_sr_reg_n_0_[1]\ : STD_LOGIC; signal \data_sr_reg_n_0_[20]\ : STD_LOGIC; signal \data_sr_reg_n_0_[21]\ : STD_LOGIC; signal \data_sr_reg_n_0_[22]\ : STD_LOGIC; signal \data_sr_reg_n_0_[23]\ : STD_LOGIC; signal \data_sr_reg_n_0_[24]\ : STD_LOGIC; signal \data_sr_reg_n_0_[25]\ : STD_LOGIC; signal \data_sr_reg_n_0_[26]\ : STD_LOGIC; signal \data_sr_reg_n_0_[27]\ : STD_LOGIC; signal \data_sr_reg_n_0_[28]\ : STD_LOGIC; signal \data_sr_reg_n_0_[29]\ : STD_LOGIC; signal \data_sr_reg_n_0_[2]\ : STD_LOGIC; signal \data_sr_reg_n_0_[30]\ : STD_LOGIC; signal \data_sr_reg_n_0_[31]\ : STD_LOGIC; signal \data_sr_reg_n_0_[3]\ : STD_LOGIC; signal \data_sr_reg_n_0_[4]\ : STD_LOGIC; signal \data_sr_reg_n_0_[5]\ : STD_LOGIC; signal \data_sr_reg_n_0_[6]\ : STD_LOGIC; signal \data_sr_reg_n_0_[7]\ : STD_LOGIC; signal \data_sr_reg_n_0_[8]\ : STD_LOGIC; signal \data_sr_reg_n_0_[9]\ : STD_LOGIC; signal \divider_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 6 ); signal \divider_reg__1\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal \^p_0_in\ : STD_LOGIC; signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal p_1_in_0 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal sioc_i_1_n_0 : STD_LOGIC; signal sioc_i_2_n_0 : STD_LOGIC; signal sioc_i_3_n_0 : STD_LOGIC; signal sioc_i_4_n_0 : STD_LOGIC; signal sioc_i_5_n_0 : STD_LOGIC; signal siod_INST_0_i_1_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \busy_sr[0]_i_4\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \busy_sr[0]_i_5\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \busy_sr[10]_i_1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \busy_sr[11]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \busy_sr[12]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \busy_sr[13]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \busy_sr[14]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \busy_sr[15]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \busy_sr[16]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \busy_sr[17]_i_1\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \busy_sr[18]_i_1\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \busy_sr[19]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \busy_sr[1]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \busy_sr[20]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \busy_sr[21]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \busy_sr[22]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \busy_sr[23]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \busy_sr[24]_i_1\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \busy_sr[25]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \busy_sr[26]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \busy_sr[27]_i_1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \busy_sr[28]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \busy_sr[29]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \busy_sr[2]_i_1\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \busy_sr[30]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \busy_sr[31]_i_2\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \busy_sr[3]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \busy_sr[4]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \busy_sr[5]_i_1\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \busy_sr[6]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \busy_sr[7]_i_1\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \busy_sr[8]_i_1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \busy_sr[9]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \data_sr[10]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \data_sr[12]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \data_sr[13]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \data_sr[14]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \data_sr[15]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \data_sr[16]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \data_sr[17]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \data_sr[18]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \data_sr[19]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \data_sr[31]_i_2\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \data_sr[3]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \data_sr[4]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \data_sr[5]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \data_sr[6]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \data_sr[7]_i_1\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \data_sr[8]_i_1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \data_sr[9]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \divider[0]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \divider[1]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \divider[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \divider[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \divider[4]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \divider[6]_i_1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \divider[7]_i_2\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of sioc_i_3 : label is "soft_lutpair4"; attribute SOFT_HLUTNM of sioc_i_4 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of sioc_i_5 : label is "soft_lutpair3"; begin \busy_sr_reg[1]_0\ <= \^busy_sr_reg[1]_0\; p_0_in <= \^p_0_in\; \busy_sr[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"4000FFFF40004000" ) port map ( I0 => \busy_sr[0]_i_3_n_0\, I1 => \divider_reg__0\(6), I2 => \divider_reg__0\(7), I3 => \^p_0_in\, I4 => \^busy_sr_reg[1]_0\, I5 => p_1_in(0), O => busy_sr0 ); \busy_sr[0]_i_3\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \divider_reg__1\(4), I1 => \divider_reg__1\(2), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(1), I4 => \divider_reg__1\(3), I5 => \divider_reg__1\(5), O => \busy_sr[0]_i_3_n_0\ ); \busy_sr[0]_i_4\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( I0 => \divider_reg__1\(2), I1 => \divider_reg__1\(3), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(1), I4 => \busy_sr[0]_i_5_n_0\, O => \^busy_sr_reg[1]_0\ ); \busy_sr[0]_i_5\: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( I0 => \divider_reg__1\(5), I1 => \divider_reg__1\(4), I2 => \divider_reg__0\(7), I3 => \divider_reg__0\(6), O => \busy_sr[0]_i_5_n_0\ ); \busy_sr[10]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[9]\, I1 => \^p_0_in\, O => \busy_sr[10]_i_1_n_0\ ); \busy_sr[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[10]\, I1 => \^p_0_in\, O => \busy_sr[11]_i_1_n_0\ ); \busy_sr[12]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[11]\, I1 => \^p_0_in\, O => \busy_sr[12]_i_1_n_0\ ); \busy_sr[13]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[12]\, I1 => \^p_0_in\, O => \busy_sr[13]_i_1_n_0\ ); \busy_sr[14]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[13]\, I1 => \^p_0_in\, O => \busy_sr[14]_i_1_n_0\ ); \busy_sr[15]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[14]\, I1 => \^p_0_in\, O => \busy_sr[15]_i_1_n_0\ ); \busy_sr[16]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[15]\, I1 => \^p_0_in\, O => \busy_sr[16]_i_1_n_0\ ); \busy_sr[17]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[16]\, I1 => \^p_0_in\, O => \busy_sr[17]_i_1_n_0\ ); \busy_sr[18]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[17]\, I1 => \^p_0_in\, O => \busy_sr[18]_i_1_n_0\ ); \busy_sr[19]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[18]\, I1 => \^p_0_in\, O => \busy_sr[19]_i_1_n_0\ ); \busy_sr[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[0]\, I1 => \^p_0_in\, O => \busy_sr[1]_i_1_n_0\ ); \busy_sr[20]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => p_1_in_0(0), I1 => \^p_0_in\, O => \busy_sr[20]_i_1_n_0\ ); \busy_sr[21]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => p_1_in_0(1), I1 => \^p_0_in\, O => \busy_sr[21]_i_1_n_0\ ); \busy_sr[22]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[21]\, I1 => \^p_0_in\, O => \busy_sr[22]_i_1_n_0\ ); \busy_sr[23]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[22]\, I1 => \^p_0_in\, O => \busy_sr[23]_i_1_n_0\ ); \busy_sr[24]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[23]\, I1 => \^p_0_in\, O => \busy_sr[24]_i_1_n_0\ ); \busy_sr[25]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[24]\, I1 => \^p_0_in\, O => \busy_sr[25]_i_1_n_0\ ); \busy_sr[26]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[25]\, I1 => \^p_0_in\, O => \busy_sr[26]_i_1_n_0\ ); \busy_sr[27]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[26]\, I1 => \^p_0_in\, O => \busy_sr[27]_i_1_n_0\ ); \busy_sr[28]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[27]\, I1 => \^p_0_in\, O => \busy_sr[28]_i_1_n_0\ ); \busy_sr[29]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[28]\, I1 => \^p_0_in\, O => \busy_sr[29]_i_1_n_0\ ); \busy_sr[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[1]\, I1 => \^p_0_in\, O => \busy_sr[2]_i_1_n_0\ ); \busy_sr[30]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[29]\, I1 => \^p_0_in\, O => \busy_sr[30]_i_1_n_0\ ); \busy_sr[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"22222222A2222222" ) port map ( I0 => p_1_in(0), I1 => \^busy_sr_reg[1]_0\, I2 => \^p_0_in\, I3 => \divider_reg__0\(7), I4 => \divider_reg__0\(6), I5 => \busy_sr[0]_i_3_n_0\, O => \busy_sr[31]_i_1_n_0\ ); \busy_sr[31]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^p_0_in\, I1 => \busy_sr_reg_n_0_[30]\, O => \busy_sr[31]_i_2_n_0\ ); \busy_sr[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[2]\, I1 => \^p_0_in\, O => \busy_sr[3]_i_1_n_0\ ); \busy_sr[4]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[3]\, I1 => \^p_0_in\, O => \busy_sr[4]_i_1_n_0\ ); \busy_sr[5]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[4]\, I1 => \^p_0_in\, O => \busy_sr[5]_i_1_n_0\ ); \busy_sr[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[5]\, I1 => \^p_0_in\, O => \busy_sr[6]_i_1_n_0\ ); \busy_sr[7]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[6]\, I1 => \^p_0_in\, O => \busy_sr[7]_i_1_n_0\ ); \busy_sr[8]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[7]\, I1 => \^p_0_in\, O => \busy_sr[8]_i_1_n_0\ ); \busy_sr[9]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \busy_sr_reg_n_0_[8]\, I1 => \^p_0_in\, O => \busy_sr[9]_i_1_n_0\ ); \busy_sr_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => p_1_in(0), Q => \busy_sr_reg_n_0_[0]\, R => '0' ); \busy_sr_reg[10]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[10]_i_1_n_0\, Q => \busy_sr_reg_n_0_[10]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[11]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[11]_i_1_n_0\, Q => \busy_sr_reg_n_0_[11]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[12]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[12]_i_1_n_0\, Q => \busy_sr_reg_n_0_[12]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[13]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[13]_i_1_n_0\, Q => \busy_sr_reg_n_0_[13]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[14]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[14]_i_1_n_0\, Q => \busy_sr_reg_n_0_[14]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[15]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[15]_i_1_n_0\, Q => \busy_sr_reg_n_0_[15]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[16]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[16]_i_1_n_0\, Q => \busy_sr_reg_n_0_[16]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[17]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[17]_i_1_n_0\, Q => \busy_sr_reg_n_0_[17]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[18]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[18]_i_1_n_0\, Q => \busy_sr_reg_n_0_[18]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[19]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[19]_i_1_n_0\, Q => p_1_in_0(0), S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[1]_i_1_n_0\, Q => \busy_sr_reg_n_0_[1]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[20]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[20]_i_1_n_0\, Q => p_1_in_0(1), S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[21]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[21]_i_1_n_0\, Q => \busy_sr_reg_n_0_[21]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[22]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[22]_i_1_n_0\, Q => \busy_sr_reg_n_0_[22]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[23]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[23]_i_1_n_0\, Q => \busy_sr_reg_n_0_[23]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[24]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[24]_i_1_n_0\, Q => \busy_sr_reg_n_0_[24]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[25]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[25]_i_1_n_0\, Q => \busy_sr_reg_n_0_[25]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[26]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[26]_i_1_n_0\, Q => \busy_sr_reg_n_0_[26]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[27]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[27]_i_1_n_0\, Q => \busy_sr_reg_n_0_[27]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[28]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[28]_i_1_n_0\, Q => \busy_sr_reg_n_0_[28]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[29]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[29]_i_1_n_0\, Q => \busy_sr_reg_n_0_[29]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[2]_i_1_n_0\, Q => \busy_sr_reg_n_0_[2]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[30]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[30]_i_1_n_0\, Q => \busy_sr_reg_n_0_[30]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[31]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[31]_i_2_n_0\, Q => \^p_0_in\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[3]_i_1_n_0\, Q => \busy_sr_reg_n_0_[3]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[4]_i_1_n_0\, Q => \busy_sr_reg_n_0_[4]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[5]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[5]_i_1_n_0\, Q => \busy_sr_reg_n_0_[5]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[6]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[6]_i_1_n_0\, Q => \busy_sr_reg_n_0_[6]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[7]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[7]_i_1_n_0\, Q => \busy_sr_reg_n_0_[7]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[8]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[8]_i_1_n_0\, Q => \busy_sr_reg_n_0_[8]\, S => \busy_sr[31]_i_1_n_0\ ); \busy_sr_reg[9]\: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => clk, CE => busy_sr0, D => \busy_sr[9]_i_1_n_0\, Q => \busy_sr_reg_n_0_[9]\, S => \busy_sr[31]_i_1_n_0\ ); \data_sr[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[9]\, I1 => \^p_0_in\, I2 => DOADO(7), O => \data_sr[10]_i_1_n_0\ ); \data_sr[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[11]\, I1 => \^p_0_in\, I2 => DOADO(8), O => \data_sr[12]_i_1_n_0\ ); \data_sr[13]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[12]\, I1 => \^p_0_in\, I2 => DOADO(9), O => \data_sr[13]_i_1_n_0\ ); \data_sr[14]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[13]\, I1 => \^p_0_in\, I2 => DOADO(10), O => \data_sr[14]_i_1_n_0\ ); \data_sr[15]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[14]\, I1 => \^p_0_in\, I2 => DOADO(11), O => \data_sr[15]_i_1_n_0\ ); \data_sr[16]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[15]\, I1 => \^p_0_in\, I2 => DOADO(12), O => \data_sr[16]_i_1_n_0\ ); \data_sr[17]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[16]\, I1 => \^p_0_in\, I2 => DOADO(13), O => \data_sr[17]_i_1_n_0\ ); \data_sr[18]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[17]\, I1 => \^p_0_in\, I2 => DOADO(14), O => \data_sr[18]_i_1_n_0\ ); \data_sr[19]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[18]\, I1 => \^p_0_in\, I2 => DOADO(15), O => \data_sr[19]_i_1_n_0\ ); \data_sr[22]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CFCFCFCFAACAAAAA" ) port map ( I0 => \data_sr_reg_n_0_[22]\, I1 => \data_sr_reg_n_0_[21]\, I2 => \^p_0_in\, I3 => \data_sr[31]_i_2_n_0\, I4 => \divider_reg__0\(7), I5 => \busy_sr_reg[31]_0\, O => \data_sr[22]_i_1_n_0\ ); \data_sr[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CFCFCFCFAACAAAAA" ) port map ( I0 => \data_sr_reg_n_0_[27]\, I1 => \data_sr_reg_n_0_[26]\, I2 => \^p_0_in\, I3 => \data_sr[31]_i_2_n_0\, I4 => \divider_reg__0\(7), I5 => \busy_sr_reg[31]_0\, O => \data_sr[27]_i_1_n_0\ ); \data_sr[30]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => p_1_in(0), I1 => \^busy_sr_reg[1]_0\, I2 => \^p_0_in\, O => \data_sr[30]_i_1_n_0\ ); \data_sr[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"CFCFCFCFAACAAAAA" ) port map ( I0 => \data_sr_reg_n_0_[31]\, I1 => \data_sr_reg_n_0_[30]\, I2 => \^p_0_in\, I3 => \data_sr[31]_i_2_n_0\, I4 => \divider_reg__0\(7), I5 => \busy_sr_reg[31]_0\, O => \data_sr[31]_i_1_n_0\ ); \data_sr[31]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => \busy_sr[0]_i_3_n_0\, I1 => \divider_reg__0\(6), O => \data_sr[31]_i_2_n_0\ ); \data_sr[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[2]\, I1 => \^p_0_in\, I2 => DOADO(0), O => \data_sr[3]_i_1_n_0\ ); \data_sr[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[3]\, I1 => \^p_0_in\, I2 => DOADO(1), O => \data_sr[4]_i_1_n_0\ ); \data_sr[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[4]\, I1 => \^p_0_in\, I2 => DOADO(2), O => \data_sr[5]_i_1_n_0\ ); \data_sr[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[5]\, I1 => \^p_0_in\, I2 => DOADO(3), O => \data_sr[6]_i_1_n_0\ ); \data_sr[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[6]\, I1 => \^p_0_in\, I2 => DOADO(4), O => \data_sr[7]_i_1_n_0\ ); \data_sr[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[7]\, I1 => \^p_0_in\, I2 => DOADO(5), O => \data_sr[8]_i_1_n_0\ ); \data_sr[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"B8" ) port map ( I0 => \data_sr_reg_n_0_[8]\, I1 => \^p_0_in\, I2 => DOADO(6), O => \data_sr[9]_i_1_n_0\ ); \data_sr_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[10]_i_1_n_0\, Q => \data_sr_reg_n_0_[10]\, R => '0' ); \data_sr_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[10]\, Q => \data_sr_reg_n_0_[11]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[12]_i_1_n_0\, Q => \data_sr_reg_n_0_[12]\, R => '0' ); \data_sr_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[13]_i_1_n_0\, Q => \data_sr_reg_n_0_[13]\, R => '0' ); \data_sr_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[14]_i_1_n_0\, Q => \data_sr_reg_n_0_[14]\, R => '0' ); \data_sr_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[15]_i_1_n_0\, Q => \data_sr_reg_n_0_[15]\, R => '0' ); \data_sr_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[16]_i_1_n_0\, Q => \data_sr_reg_n_0_[16]\, R => '0' ); \data_sr_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[17]_i_1_n_0\, Q => \data_sr_reg_n_0_[17]\, R => '0' ); \data_sr_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[18]_i_1_n_0\, Q => \data_sr_reg_n_0_[18]\, R => '0' ); \data_sr_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[19]_i_1_n_0\, Q => \data_sr_reg_n_0_[19]\, R => '0' ); \data_sr_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \^p_0_in\, Q => \data_sr_reg_n_0_[1]\, R => '0' ); \data_sr_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[19]\, Q => \data_sr_reg_n_0_[20]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[20]\, Q => \data_sr_reg_n_0_[21]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \data_sr[22]_i_1_n_0\, Q => \data_sr_reg_n_0_[22]\, R => '0' ); \data_sr_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[22]\, Q => \data_sr_reg_n_0_[23]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[23]\, Q => \data_sr_reg_n_0_[24]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[24]\, Q => \data_sr_reg_n_0_[25]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[25]\, Q => \data_sr_reg_n_0_[26]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \data_sr[27]_i_1_n_0\, Q => \data_sr_reg_n_0_[27]\, R => '0' ); \data_sr_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[27]\, Q => \data_sr_reg_n_0_[28]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[28]\, Q => \data_sr_reg_n_0_[29]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[1]\, Q => \data_sr_reg_n_0_[2]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr_reg_n_0_[29]\, Q => \data_sr_reg_n_0_[30]\, R => \data_sr[30]_i_1_n_0\ ); \data_sr_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => '1', D => \data_sr[31]_i_1_n_0\, Q => \data_sr_reg_n_0_[31]\, R => '0' ); \data_sr_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[3]_i_1_n_0\, Q => \data_sr_reg_n_0_[3]\, R => '0' ); \data_sr_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[4]_i_1_n_0\, Q => \data_sr_reg_n_0_[4]\, R => '0' ); \data_sr_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[5]_i_1_n_0\, Q => \data_sr_reg_n_0_[5]\, R => '0' ); \data_sr_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[6]_i_1_n_0\, Q => \data_sr_reg_n_0_[6]\, R => '0' ); \data_sr_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[7]_i_1_n_0\, Q => \data_sr_reg_n_0_[7]\, R => '0' ); \data_sr_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[8]_i_1_n_0\, Q => \data_sr_reg_n_0_[8]\, R => '0' ); \data_sr_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => busy_sr0, D => \data_sr[9]_i_1_n_0\, Q => \data_sr_reg_n_0_[9]\, R => '0' ); \divider[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \divider_reg__1\(0), O => \p_0_in__0\(0) ); \divider[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \divider_reg__1\(0), I1 => \divider_reg__1\(1), O => \p_0_in__0\(1) ); \divider[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \divider_reg__1\(1), I1 => \divider_reg__1\(0), I2 => \divider_reg__1\(2), O => \p_0_in__0\(2) ); \divider[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \divider_reg__1\(2), I1 => \divider_reg__1\(0), I2 => \divider_reg__1\(1), I3 => \divider_reg__1\(3), O => \p_0_in__0\(3) ); \divider[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \divider_reg__1\(3), I1 => \divider_reg__1\(1), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(2), I4 => \divider_reg__1\(4), O => \p_0_in__0\(4) ); \divider[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \divider_reg__1\(4), I1 => \divider_reg__1\(2), I2 => \divider_reg__1\(0), I3 => \divider_reg__1\(1), I4 => \divider_reg__1\(3), I5 => \divider_reg__1\(5), O => \p_0_in__0\(5) ); \divider[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \busy_sr[0]_i_3_n_0\, I1 => \divider_reg__0\(6), O => \p_0_in__0\(6) ); \divider[7]_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => \divider_reg__0\(6), I1 => \busy_sr[0]_i_3_n_0\, I2 => \divider_reg__0\(7), O => \p_0_in__0\(7) ); \divider_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(0), Q => \divider_reg__1\(0), R => '0' ); \divider_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(1), Q => \divider_reg__1\(1), R => '0' ); \divider_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(2), Q => \divider_reg__1\(2), R => '0' ); \divider_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(3), Q => \divider_reg__1\(3), R => '0' ); \divider_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(4), Q => \divider_reg__1\(4), R => '0' ); \divider_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(5), Q => \divider_reg__1\(5), R => '0' ); \divider_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(6), Q => \divider_reg__0\(6), R => '0' ); \divider_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => \busy_sr_reg[31]_1\(0), D => \p_0_in__0\(7), Q => \divider_reg__0\(7), R => '0' ); sioc_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FCFCFFF8FFFFFFFF" ) port map ( I0 => \busy_sr_reg_n_0_[0]\, I1 => sioc_i_2_n_0, I2 => sioc_i_3_n_0, I3 => \busy_sr_reg_n_0_[1]\, I4 => sioc_i_4_n_0, I5 => \^p_0_in\, O => sioc_i_1_n_0 ); sioc_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \divider_reg__0\(6), I1 => \divider_reg__0\(7), O => sioc_i_2_n_0 ); sioc_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"A222" ) port map ( I0 => sioc_i_5_n_0, I1 => \busy_sr_reg_n_0_[30]\, I2 => \divider_reg__0\(6), I3 => \^p_0_in\, O => sioc_i_3_n_0 ); sioc_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \busy_sr_reg_n_0_[29]\, I1 => \busy_sr_reg_n_0_[2]\, I2 => \^p_0_in\, I3 => \busy_sr_reg_n_0_[30]\, O => sioc_i_4_n_0 ); sioc_i_5: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => \busy_sr_reg_n_0_[0]\, I1 => \busy_sr_reg_n_0_[1]\, I2 => \busy_sr_reg_n_0_[29]\, I3 => \busy_sr_reg_n_0_[2]\, O => sioc_i_5_n_0 ); sioc_reg: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => sioc_i_1_n_0, Q => sioc, R => '0' ); siod_INST_0: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \data_sr_reg_n_0_[31]\, I1 => siod_INST_0_i_1_n_0, O => siod ); siod_INST_0_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"B0BBB0BB0000B0BB" ) port map ( I0 => \busy_sr_reg_n_0_[28]\, I1 => \busy_sr_reg_n_0_[29]\, I2 => p_1_in_0(0), I3 => p_1_in_0(1), I4 => \busy_sr_reg_n_0_[11]\, I5 => \busy_sr_reg_n_0_[10]\, O => siod_INST_0_i_1_n_0 ); taken_reg: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \busy_sr_reg[31]_0\, Q => E(0), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_0_0_ov7670_registers is port ( DOADO : out STD_LOGIC_VECTOR ( 15 downto 0 ); \divider_reg[7]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); config_finished : out STD_LOGIC; taken_reg : out STD_LOGIC; p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 ); clk : in STD_LOGIC; \divider_reg[2]\ : in STD_LOGIC; p_0_in : in STD_LOGIC; resend : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_controller_0_0_ov7670_registers : entity is "ov7670_registers"; end system_ov7670_controller_0_0_ov7670_registers; architecture STRUCTURE of system_ov7670_controller_0_0_ov7670_registers is signal \^doado\ : STD_LOGIC_VECTOR ( 15 downto 0 ); signal address : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \address_reg__0\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \address_rep[0]_i_1_n_0\ : STD_LOGIC; signal \address_rep[1]_i_1_n_0\ : STD_LOGIC; signal \address_rep[2]_i_1_n_0\ : STD_LOGIC; signal \address_rep[3]_i_1_n_0\ : STD_LOGIC; signal \address_rep[4]_i_1_n_0\ : STD_LOGIC; signal \address_rep[5]_i_1_n_0\ : STD_LOGIC; signal \address_rep[6]_i_1_n_0\ : STD_LOGIC; signal \address_rep[7]_i_1_n_0\ : STD_LOGIC; signal \address_rep[7]_i_2_n_0\ : STD_LOGIC; signal config_finished_INST_0_i_1_n_0 : STD_LOGIC; signal config_finished_INST_0_i_2_n_0 : STD_LOGIC; signal config_finished_INST_0_i_3_n_0 : STD_LOGIC; signal config_finished_INST_0_i_4_n_0 : STD_LOGIC; signal NLW_sreg_reg_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); signal NLW_sreg_reg_DOPADOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_sreg_reg_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute equivalent_register_removal : string; attribute equivalent_register_removal of \address_reg[0]\ : label is "no"; attribute equivalent_register_removal of \address_reg[1]\ : label is "no"; attribute equivalent_register_removal of \address_reg[2]\ : label is "no"; attribute equivalent_register_removal of \address_reg[3]\ : label is "no"; attribute equivalent_register_removal of \address_reg[4]\ : label is "no"; attribute equivalent_register_removal of \address_reg[5]\ : label is "no"; attribute equivalent_register_removal of \address_reg[6]\ : label is "no"; attribute equivalent_register_removal of \address_reg[7]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[0]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[1]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[2]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[3]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[4]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[5]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[6]\ : label is "no"; attribute equivalent_register_removal of \address_reg_rep[7]\ : label is "no"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \address_rep[1]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \address_rep[2]_i_1\ : label is "soft_lutpair32"; attribute SOFT_HLUTNM of \address_rep[3]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \address_rep[4]_i_1\ : label is "soft_lutpair31"; attribute SOFT_HLUTNM of \address_rep[6]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \address_rep[7]_i_1\ : label is "soft_lutpair33"; attribute SOFT_HLUTNM of \busy_sr[0]_i_2\ : label is "soft_lutpair30"; attribute SOFT_HLUTNM of config_finished_INST_0 : label is "soft_lutpair30"; attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of sreg_reg : label is "INDEPENDENT"; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of sreg_reg : label is "p0_d16"; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of sreg_reg : label is "{SYNTH-6 {cell *THIS*}}"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of sreg_reg : label is 4096; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of sreg_reg : label is "U0/Inst_ov7670_registers/sreg"; attribute bram_addr_begin : integer; attribute bram_addr_begin of sreg_reg : label is 0; attribute bram_addr_end : integer; attribute bram_addr_end of sreg_reg : label is 1023; attribute bram_slice_begin : integer; attribute bram_slice_begin of sreg_reg : label is 0; attribute bram_slice_end : integer; attribute bram_slice_end of sreg_reg : label is 15; begin DOADO(15 downto 0) <= \^doado\(15 downto 0); \address_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[0]_i_1_n_0\, Q => \address_reg__0\(0), R => resend ); \address_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[1]_i_1_n_0\, Q => \address_reg__0\(1), R => resend ); \address_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[2]_i_1_n_0\, Q => \address_reg__0\(2), R => resend ); \address_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[3]_i_1_n_0\, Q => \address_reg__0\(3), R => resend ); \address_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[4]_i_1_n_0\, Q => \address_reg__0\(4), R => resend ); \address_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[5]_i_1_n_0\, Q => \address_reg__0\(5), R => resend ); \address_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[6]_i_1_n_0\, Q => \address_reg__0\(6), R => resend ); \address_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[7]_i_1_n_0\, Q => \address_reg__0\(7), R => resend ); \address_reg_rep[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[0]_i_1_n_0\, Q => address(0), R => resend ); \address_reg_rep[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[1]_i_1_n_0\, Q => address(1), R => resend ); \address_reg_rep[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[2]_i_1_n_0\, Q => address(2), R => resend ); \address_reg_rep[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[3]_i_1_n_0\, Q => address(3), R => resend ); \address_reg_rep[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[4]_i_1_n_0\, Q => address(4), R => resend ); \address_reg_rep[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[5]_i_1_n_0\, Q => address(5), R => resend ); \address_reg_rep[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[6]_i_1_n_0\, Q => address(6), R => resend ); \address_reg_rep[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => E(0), D => \address_rep[7]_i_1_n_0\, Q => address(7), R => resend ); \address_rep[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \address_reg__0\(0), O => \address_rep[0]_i_1_n_0\ ); \address_rep[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \address_reg__0\(0), I1 => \address_reg__0\(1), O => \address_rep[1]_i_1_n_0\ ); \address_rep[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \address_reg__0\(1), I1 => \address_reg__0\(0), I2 => \address_reg__0\(2), O => \address_rep[2]_i_1_n_0\ ); \address_rep[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \address_reg__0\(2), I1 => \address_reg__0\(0), I2 => \address_reg__0\(1), I3 => \address_reg__0\(3), O => \address_rep[3]_i_1_n_0\ ); \address_rep[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \address_reg__0\(3), I1 => \address_reg__0\(1), I2 => \address_reg__0\(0), I3 => \address_reg__0\(2), I4 => \address_reg__0\(4), O => \address_rep[4]_i_1_n_0\ ); \address_rep[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \address_reg__0\(4), I1 => \address_reg__0\(2), I2 => \address_reg__0\(0), I3 => \address_reg__0\(1), I4 => \address_reg__0\(3), I5 => \address_reg__0\(5), O => \address_rep[5]_i_1_n_0\ ); \address_rep[6]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => \address_rep[7]_i_2_n_0\, I1 => \address_reg__0\(6), O => \address_rep[6]_i_1_n_0\ ); \address_rep[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D2" ) port map ( I0 => \address_reg__0\(6), I1 => \address_rep[7]_i_2_n_0\, I2 => \address_reg__0\(7), O => \address_rep[7]_i_1_n_0\ ); \address_rep[7]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFFFFFFFFFF" ) port map ( I0 => \address_reg__0\(4), I1 => \address_reg__0\(2), I2 => \address_reg__0\(0), I3 => \address_reg__0\(1), I4 => \address_reg__0\(3), I5 => \address_reg__0\(5), O => \address_rep[7]_i_2_n_0\ ); \busy_sr[0]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"0000FFFE" ) port map ( I0 => config_finished_INST_0_i_4_n_0, I1 => config_finished_INST_0_i_3_n_0, I2 => config_finished_INST_0_i_2_n_0, I3 => config_finished_INST_0_i_1_n_0, I4 => p_0_in, O => p_1_in(0) ); config_finished_INST_0: unisim.vcomponents.LUT4 generic map( INIT => X"0001" ) port map ( I0 => config_finished_INST_0_i_1_n_0, I1 => config_finished_INST_0_i_2_n_0, I2 => config_finished_INST_0_i_3_n_0, I3 => config_finished_INST_0_i_4_n_0, O => config_finished ); config_finished_INST_0_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(5), I1 => \^doado\(4), I2 => \^doado\(7), I3 => \^doado\(6), O => config_finished_INST_0_i_1_n_0 ); config_finished_INST_0_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(1), I1 => \^doado\(0), I2 => \^doado\(3), I3 => \^doado\(2), O => config_finished_INST_0_i_2_n_0 ); config_finished_INST_0_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(13), I1 => \^doado\(12), I2 => \^doado\(15), I3 => \^doado\(14), O => config_finished_INST_0_i_3_n_0 ); config_finished_INST_0_i_4: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^doado\(9), I1 => \^doado\(8), I2 => \^doado\(11), I3 => \^doado\(10), O => config_finished_INST_0_i_4_n_0 ); \divider[7]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFE0000" ) port map ( I0 => config_finished_INST_0_i_1_n_0, I1 => config_finished_INST_0_i_2_n_0, I2 => config_finished_INST_0_i_3_n_0, I3 => config_finished_INST_0_i_4_n_0, I4 => \divider_reg[2]\, I5 => p_0_in, O => \divider_reg[7]\(0) ); sreg_reg: unisim.vcomponents.RAMB18E1 generic map( DOA_REG => 0, DOB_REG => 0, INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_00 => X"53295217510C50344F4014383A04401004008C003E000C001100120412801280", INIT_01 => X"229121021E3716020F4B0E61030A1A7B190332A41861171111003DC0581E5440", INIT_02 => X"90008F008E008D4F74106B4A69004E204D403C78392A3871371D350B330B2907", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB80AB382B20EB10CB0849A0096009100", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_A => X"00000", INIT_B => X"00000", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "PERFORMANCE", READ_WIDTH_A => 18, READ_WIDTH_B => 0, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"00000", SRVAL_B => X"00000", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 18, WRITE_WIDTH_B => 0 ) port map ( ADDRARDADDR(13 downto 12) => B"00", ADDRARDADDR(11 downto 4) => address(7 downto 0), ADDRARDADDR(3 downto 0) => B"0000", ADDRBWRADDR(13 downto 0) => B"11111111111111", CLKARDCLK => clk, CLKBWRCLK => '0', DIADI(15 downto 0) => B"1111111111111111", DIBDI(15 downto 0) => B"1111111111111111", DIPADIP(1 downto 0) => B"00", DIPBDIP(1 downto 0) => B"11", DOADO(15 downto 0) => \^doado\(15 downto 0), DOBDO(15 downto 0) => NLW_sreg_reg_DOBDO_UNCONNECTED(15 downto 0), DOPADOP(1 downto 0) => NLW_sreg_reg_DOPADOP_UNCONNECTED(1 downto 0), DOPBDOP(1 downto 0) => NLW_sreg_reg_DOPBDOP_UNCONNECTED(1 downto 0), ENARDEN => '1', ENBWREN => '0', REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', WEA(1 downto 0) => B"00", WEBWE(3 downto 0) => B"0000" ); taken_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000000055555554" ) port map ( I0 => p_0_in, I1 => config_finished_INST_0_i_1_n_0, I2 => config_finished_INST_0_i_2_n_0, I3 => config_finished_INST_0_i_3_n_0, I4 => config_finished_INST_0_i_4_n_0, I5 => \divider_reg[2]\, O => taken_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_0_0_ov7670_controller is port ( config_finished : out STD_LOGIC; siod : out STD_LOGIC; sioc : out STD_LOGIC; resend : in STD_LOGIC; clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ov7670_controller_0_0_ov7670_controller : entity is "ov7670_controller"; end system_ov7670_controller_0_0_ov7670_controller; architecture STRUCTURE of system_ov7670_controller_0_0_ov7670_controller is signal Inst_i2c_sender_n_3 : STD_LOGIC; signal Inst_ov7670_registers_n_16 : STD_LOGIC; signal Inst_ov7670_registers_n_18 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 0 to 0 ); signal sreg_reg : STD_LOGIC_VECTOR ( 15 downto 0 ); signal taken : STD_LOGIC; begin Inst_i2c_sender: entity work.system_ov7670_controller_0_0_i2c_sender port map ( DOADO(15 downto 0) => sreg_reg(15 downto 0), E(0) => taken, \busy_sr_reg[1]_0\ => Inst_i2c_sender_n_3, \busy_sr_reg[31]_0\ => Inst_ov7670_registers_n_18, \busy_sr_reg[31]_1\(0) => Inst_ov7670_registers_n_16, clk => clk, p_0_in => p_0_in, p_1_in(0) => p_1_in(0), sioc => sioc, siod => siod ); Inst_ov7670_registers: entity work.system_ov7670_controller_0_0_ov7670_registers port map ( DOADO(15 downto 0) => sreg_reg(15 downto 0), E(0) => taken, clk => clk, config_finished => config_finished, \divider_reg[2]\ => Inst_i2c_sender_n_3, \divider_reg[7]\(0) => Inst_ov7670_registers_n_16, p_0_in => p_0_in, p_1_in(0) => p_1_in(0), resend => resend, taken_reg => Inst_ov7670_registers_n_18 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ov7670_controller_0_0 is port ( clk : in STD_LOGIC; resend : in STD_LOGIC; config_finished : out STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC; reset : out STD_LOGIC; pwdn : out STD_LOGIC; xclk : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_ov7670_controller_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_ov7670_controller_0_0 : entity is "system_ov7670_controller_0_0,ov7670_controller,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_ov7670_controller_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_ov7670_controller_0_0 : entity is "ov7670_controller,Vivado 2016.4"; end system_ov7670_controller_0_0; architecture STRUCTURE of system_ov7670_controller_0_0 is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; begin pwdn <= \<const0>\; reset <= \<const1>\; xclk <= 'Z'; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); U0: entity work.system_ov7670_controller_0_0_ov7670_controller port map ( clk => clk, config_finished => config_finished, resend => resend, sioc => sioc, siod => siod ); VCC: unisim.vcomponents.VCC port map ( P => \<const1>\ ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_debounce_0_0/system_debounce_0_0_stub.vhdl
1
1328
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 15:18:26 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_debounce_0_0/system_debounce_0_0_stub.vhdl -- Design : system_debounce_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_debounce_0_0 is Port ( clk : in STD_LOGIC; signal_in : in STD_LOGIC; signal_out : out STD_LOGIC ); end system_debounce_0_0; architecture stub of system_debounce_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,signal_in,signal_out"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "debounce,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_vga_buffer_1_0/system_vga_buffer_1_0_sim_netlist.vhdl
1
13896
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Wed May 24 17:28:31 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_vga_buffer_1_0 -prefix -- system_vga_buffer_1_0_ system_vga_buffer_1_0_sim_netlist.vhdl -- Design : system_vga_buffer_1_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_buffer_1_0_vga_buffer is port ( data_r : out STD_LOGIC_VECTOR ( 23 downto 0 ); clk_w : in STD_LOGIC; clk_r : in STD_LOGIC; wen : in STD_LOGIC; data_w : in STD_LOGIC_VECTOR ( 23 downto 0 ); x_addr_w : in STD_LOGIC_VECTOR ( 9 downto 0 ); x_addr_r : in STD_LOGIC_VECTOR ( 9 downto 0 ) ); end system_vga_buffer_1_0_vga_buffer; architecture STRUCTURE of system_vga_buffer_1_0_vga_buffer is signal addr_r : STD_LOGIC_VECTOR ( 9 downto 0 ); signal addr_w : STD_LOGIC_VECTOR ( 9 downto 0 ); signal c_addr_r : STD_LOGIC_VECTOR ( 9 downto 0 ); signal c_addr_w : STD_LOGIC_VECTOR ( 9 downto 0 ); signal NLW_data_reg_CASCADEOUTA_UNCONNECTED : STD_LOGIC; signal NLW_data_reg_CASCADEOUTB_UNCONNECTED : STD_LOGIC; signal NLW_data_reg_DBITERR_UNCONNECTED : STD_LOGIC; signal NLW_data_reg_INJECTDBITERR_UNCONNECTED : STD_LOGIC; signal NLW_data_reg_INJECTSBITERR_UNCONNECTED : STD_LOGIC; signal NLW_data_reg_SBITERR_UNCONNECTED : STD_LOGIC; signal NLW_data_reg_DOADO_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 ); signal NLW_data_reg_DOBDO_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 24 ); signal NLW_data_reg_DOPADOP_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_data_reg_DOPBDOP_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_data_reg_ECCPARITY_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 ); signal NLW_data_reg_RDADDRECC_UNCONNECTED : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute CLOCK_DOMAINS : string; attribute CLOCK_DOMAINS of data_reg : label is "INDEPENDENT"; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of data_reg : label is "p0_d24"; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of data_reg : label is "p0_d24"; attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of data_reg : label is "{SYNTH-6 {cell *THIS*}}"; attribute RTL_RAM_BITS : integer; attribute RTL_RAM_BITS of data_reg : label is 24576; attribute RTL_RAM_NAME : string; attribute RTL_RAM_NAME of data_reg : label is "data"; attribute bram_addr_begin : integer; attribute bram_addr_begin of data_reg : label is 0; attribute bram_addr_end : integer; attribute bram_addr_end of data_reg : label is 1023; attribute bram_slice_begin : integer; attribute bram_slice_begin of data_reg : label is 0; attribute bram_slice_end : integer; attribute bram_slice_end of data_reg : label is 23; begin \addr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(0), Q => addr_r(0), R => '0' ); \addr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(1), Q => addr_r(1), R => '0' ); \addr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(2), Q => addr_r(2), R => '0' ); \addr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(3), Q => addr_r(3), R => '0' ); \addr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(4), Q => addr_r(4), R => '0' ); \addr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(5), Q => addr_r(5), R => '0' ); \addr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(6), Q => addr_r(6), R => '0' ); \addr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(7), Q => addr_r(7), R => '0' ); \addr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(8), Q => addr_r(8), R => '0' ); \addr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => c_addr_r(9), Q => addr_r(9), R => '0' ); \addr_w_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(0), Q => addr_w(0), R => '0' ); \addr_w_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(1), Q => addr_w(1), R => '0' ); \addr_w_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(2), Q => addr_w(2), R => '0' ); \addr_w_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(3), Q => addr_w(3), R => '0' ); \addr_w_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(4), Q => addr_w(4), R => '0' ); \addr_w_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(5), Q => addr_w(5), R => '0' ); \addr_w_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(6), Q => addr_w(6), R => '0' ); \addr_w_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(7), Q => addr_w(7), R => '0' ); \addr_w_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(8), Q => addr_w(8), R => '0' ); \addr_w_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => c_addr_w(9), Q => addr_w(9), R => '0' ); \c_addr_r_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(0), Q => c_addr_r(0), R => '0' ); \c_addr_r_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(1), Q => c_addr_r(1), R => '0' ); \c_addr_r_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(2), Q => c_addr_r(2), R => '0' ); \c_addr_r_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(3), Q => c_addr_r(3), R => '0' ); \c_addr_r_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(4), Q => c_addr_r(4), R => '0' ); \c_addr_r_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(5), Q => c_addr_r(5), R => '0' ); \c_addr_r_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(6), Q => c_addr_r(6), R => '0' ); \c_addr_r_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(7), Q => c_addr_r(7), R => '0' ); \c_addr_r_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(8), Q => c_addr_r(8), R => '0' ); \c_addr_r_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk_r, CE => '1', D => x_addr_r(9), Q => c_addr_r(9), R => '0' ); \c_addr_w_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(0), Q => c_addr_w(0), R => '0' ); \c_addr_w_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(1), Q => c_addr_w(1), R => '0' ); \c_addr_w_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(2), Q => c_addr_w(2), R => '0' ); \c_addr_w_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(3), Q => c_addr_w(3), R => '0' ); \c_addr_w_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(4), Q => c_addr_w(4), R => '0' ); \c_addr_w_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(5), Q => c_addr_w(5), R => '0' ); \c_addr_w_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(6), Q => c_addr_w(6), R => '0' ); \c_addr_w_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(7), Q => c_addr_w(7), R => '0' ); \c_addr_w_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(8), Q => c_addr_w(8), R => '0' ); \c_addr_w_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk_w, CE => wen, D => x_addr_w(9), Q => c_addr_w(9), R => '0' ); data_reg: unisim.vcomponents.RAMB36E1 generic map( DOA_REG => 0, DOB_REG => 0, EN_ECC_READ => false, EN_ECC_WRITE => false, INIT_A => X"000000000", INIT_B => X"000000000", RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", READ_WIDTH_A => 36, READ_WIDTH_B => 36, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", WRITE_MODE_A => "NO_CHANGE", WRITE_MODE_B => "WRITE_FIRST", WRITE_WIDTH_A => 36, WRITE_WIDTH_B => 36 ) port map ( ADDRARDADDR(15) => '1', ADDRARDADDR(14 downto 5) => addr_w(9 downto 0), ADDRARDADDR(4 downto 0) => B"11111", ADDRBWRADDR(15) => '1', ADDRBWRADDR(14 downto 5) => addr_r(9 downto 0), ADDRBWRADDR(4 downto 0) => B"11111", CASCADEINA => '1', CASCADEINB => '1', CASCADEOUTA => NLW_data_reg_CASCADEOUTA_UNCONNECTED, CASCADEOUTB => NLW_data_reg_CASCADEOUTB_UNCONNECTED, CLKARDCLK => clk_w, CLKBWRCLK => clk_r, DBITERR => NLW_data_reg_DBITERR_UNCONNECTED, DIADI(31 downto 24) => B"00000000", DIADI(23 downto 0) => data_w(23 downto 0), DIBDI(31 downto 0) => B"00000000111111111111111111111111", DIPADIP(3 downto 0) => B"0000", DIPBDIP(3 downto 0) => B"0000", DOADO(31 downto 0) => NLW_data_reg_DOADO_UNCONNECTED(31 downto 0), DOBDO(31 downto 24) => NLW_data_reg_DOBDO_UNCONNECTED(31 downto 24), DOBDO(23 downto 0) => data_r(23 downto 0), DOPADOP(3 downto 0) => NLW_data_reg_DOPADOP_UNCONNECTED(3 downto 0), DOPBDOP(3 downto 0) => NLW_data_reg_DOPBDOP_UNCONNECTED(3 downto 0), ECCPARITY(7 downto 0) => NLW_data_reg_ECCPARITY_UNCONNECTED(7 downto 0), ENARDEN => wen, ENBWREN => '1', INJECTDBITERR => NLW_data_reg_INJECTDBITERR_UNCONNECTED, INJECTSBITERR => NLW_data_reg_INJECTSBITERR_UNCONNECTED, RDADDRECC(8 downto 0) => NLW_data_reg_RDADDRECC_UNCONNECTED(8 downto 0), REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', RSTREGARSTREG => '0', RSTREGB => '0', SBITERR => NLW_data_reg_SBITERR_UNCONNECTED, WEA(3 downto 0) => B"1111", WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_buffer_1_0 is port ( clk_w : in STD_LOGIC; clk_r : in STD_LOGIC; wen : in STD_LOGIC; x_addr_w : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_w : in STD_LOGIC_VECTOR ( 9 downto 0 ); x_addr_r : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_r : in STD_LOGIC_VECTOR ( 9 downto 0 ); data_w : in STD_LOGIC_VECTOR ( 23 downto 0 ); data_r : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_vga_buffer_1_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_vga_buffer_1_0 : entity is "system_vga_buffer_1_0,vga_buffer,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_vga_buffer_1_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_vga_buffer_1_0 : entity is "vga_buffer,Vivado 2016.4"; end system_vga_buffer_1_0; architecture STRUCTURE of system_vga_buffer_1_0 is begin U0: entity work.system_vga_buffer_1_0_vga_buffer port map ( clk_r => clk_r, clk_w => clk_w, data_r(23 downto 0) => data_r(23 downto 0), data_w(23 downto 0) => data_w(23 downto 0), wen => wen, x_addr_r(9 downto 0) => x_addr_r(9 downto 0), x_addr_w(9 downto 0) => x_addr_w(9 downto 0) ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_debounce_0_0/sim/system_debounce_0_0.vhd
4
3181
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:debounce:1.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_debounce_0_0 IS PORT ( clk : IN STD_LOGIC; signal_in : IN STD_LOGIC; signal_out : OUT STD_LOGIC ); END system_debounce_0_0; ARCHITECTURE system_debounce_0_0_arch OF system_debounce_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_debounce_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT debounce IS PORT ( clk : IN STD_LOGIC; signal_in : IN STD_LOGIC; signal_out : OUT STD_LOGIC ); END COMPONENT debounce; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : debounce PORT MAP ( clk => clk, signal_in => signal_in, signal_out => signal_out ); END system_debounce_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ipshared/e67f/ov7670_controller.vhd
2
2552
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Description: Controller for the OV760 camera - transfers registers to the -- camera over an I2C like bus ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ov7670_controller is port( clk: in std_logic; resend: in std_logic; config_finished : out std_logic; sioc: out std_logic; siod: inout std_logic; reset: out std_logic; pwdn: out std_logic; xclk: out std_logic ); end ov7670_controller; architecture Structural of ov7670_controller is component ov7670_registers is port( clk: in std_logic; resend: in std_logic; advance: in std_logic; command: out std_logic_vector(15 downto 0); finished: out std_logic ); end component; component i2c_sender is port ( clk: in std_logic; siod: inout std_logic; sioc: out std_logic; taken: out std_logic; send: in std_logic; id: in std_logic_vector(7 downto 0); reg: in std_logic_vector(7 downto 0); value: in std_logic_vector(7 downto 0) ); end component; signal sys_clk : std_logic := '0'; signal command : std_logic_vector(15 downto 0); signal finished : std_logic := '0'; signal taken : std_logic := '0'; signal send : std_logic; constant camera_address : std_logic_vector(7 downto 0) := x"42"; -- 42"; -- Device write ID - see top of page 11 of data sheet begin config_finished <= finished; send <= not finished; Inst_i2c_sender: i2c_sender port map( clk => clk, taken => taken, siod => siod, sioc => sioc, send => send, id => camera_address, reg => command(15 downto 8), value => command(7 downto 0) ); reset <= '1'; -- Normal mode pwdn <= '0'; -- Power device up xclk <= sys_clk; Inst_ov7670_registers: ov7670_registers port map( clk => clk, advance => taken, command => command, finished => finished, resend => resend ); process(clk) begin if rising_edge(clk) then sys_clk <= not sys_clk; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ipshared/e67f/ov7670_controller.vhd
2
2552
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Description: Controller for the OV760 camera - transfers registers to the -- camera over an I2C like bus ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ov7670_controller is port( clk: in std_logic; resend: in std_logic; config_finished : out std_logic; sioc: out std_logic; siod: inout std_logic; reset: out std_logic; pwdn: out std_logic; xclk: out std_logic ); end ov7670_controller; architecture Structural of ov7670_controller is component ov7670_registers is port( clk: in std_logic; resend: in std_logic; advance: in std_logic; command: out std_logic_vector(15 downto 0); finished: out std_logic ); end component; component i2c_sender is port ( clk: in std_logic; siod: inout std_logic; sioc: out std_logic; taken: out std_logic; send: in std_logic; id: in std_logic_vector(7 downto 0); reg: in std_logic_vector(7 downto 0); value: in std_logic_vector(7 downto 0) ); end component; signal sys_clk : std_logic := '0'; signal command : std_logic_vector(15 downto 0); signal finished : std_logic := '0'; signal taken : std_logic := '0'; signal send : std_logic; constant camera_address : std_logic_vector(7 downto 0) := x"42"; -- 42"; -- Device write ID - see top of page 11 of data sheet begin config_finished <= finished; send <= not finished; Inst_i2c_sender: i2c_sender port map( clk => clk, taken => taken, siod => siod, sioc => sioc, send => send, id => camera_address, reg => command(15 downto 8), value => command(7 downto 0) ); reset <= '1'; -- Normal mode pwdn <= '0'; -- Power device up xclk <= sys_clk; Inst_ov7670_registers: ov7670_registers port map( clk => clk, advance => taken, command => command, finished => finished, resend => resend ); process(clk) begin if rising_edge(clk) then sys_clk <= not sys_clk; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/rgb888_mux_2/rgb888_mux_2.srcs/sources_1/new/rgb888_mux_2.vhd
2
615
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity rgb888_mux_2 is port ( clk : in std_logic; sel : in std_logic; rgb888_0 : in std_logic_vector(23 downto 0); rgb888_1 : in std_logic_vector(23 downto 0); rgb888 : out std_logic_vector(23 downto 0) ); end rgb888_mux_2; architecture Behavioral of rgb888_mux_2 is begin process(clk) begin if rising_edge(clk) then if sel = '0' then rgb888 <= rgb888_0; else rgb888 <= rgb888_1; end if; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ipshared/7279/vga_buffer.vhd
6
1583
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity vga_buffer is generic ( SIZE_POW2 : integer := 6 ); port ( clk_w : in std_logic; clk_r : in std_logic; wen : in std_logic; x_addr_w : in std_logic_vector(9 downto 0); y_addr_w : in std_logic_vector(9 downto 0); x_addr_r : in std_logic_vector(9 downto 0); y_addr_r : in std_logic_vector(9 downto 0); data_w : in std_logic_vector(23 downto 0); data_r : out std_logic_vector(23 downto 0) ); end vga_buffer; architecture Behavioral of vga_buffer is type DATA_BUFFER is array (2**SIZE_POW2 - 1 downto 0) of std_logic_vector(23 downto 0); signal data : DATA_BUFFER; signal c_addr_w, c_addr_r : std_logic_vector(19 downto 0); signal addr_w, addr_r : std_logic_vector(SIZE_POW2 - 1 downto 0); begin process(clk_w) begin if rising_edge(clk_w) then if wen = '1' then c_addr_w(9 downto 0) <= x_addr_w; c_addr_w(19 downto 10) <= y_addr_w; addr_w <= c_addr_w(SIZE_POW2 - 1 downto 0); data(to_integer(unsigned(addr_w))) <= data_w; end if; end if; end process; process(clk_r) begin if rising_edge(clk_r) then c_addr_r(9 downto 0) <= x_addr_r; c_addr_r(19 downto 10) <= y_addr_r; addr_r <= c_addr_r(SIZE_POW2 - 1 downto 0); data_r <= data(to_integer(unsigned(addr_r))); end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/vga_buffer/vga_buffer.srcs/sources_1/new/vga_buffer.vhd
6
1583
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity vga_buffer is generic ( SIZE_POW2 : integer := 6 ); port ( clk_w : in std_logic; clk_r : in std_logic; wen : in std_logic; x_addr_w : in std_logic_vector(9 downto 0); y_addr_w : in std_logic_vector(9 downto 0); x_addr_r : in std_logic_vector(9 downto 0); y_addr_r : in std_logic_vector(9 downto 0); data_w : in std_logic_vector(23 downto 0); data_r : out std_logic_vector(23 downto 0) ); end vga_buffer; architecture Behavioral of vga_buffer is type DATA_BUFFER is array (2**SIZE_POW2 - 1 downto 0) of std_logic_vector(23 downto 0); signal data : DATA_BUFFER; signal c_addr_w, c_addr_r : std_logic_vector(19 downto 0); signal addr_w, addr_r : std_logic_vector(SIZE_POW2 - 1 downto 0); begin process(clk_w) begin if rising_edge(clk_w) then if wen = '1' then c_addr_w(9 downto 0) <= x_addr_w; c_addr_w(19 downto 10) <= y_addr_w; addr_w <= c_addr_w(SIZE_POW2 - 1 downto 0); data(to_integer(unsigned(addr_w))) <= data_w; end if; end if; end process; process(clk_r) begin if rising_edge(clk_r) then c_addr_r(9 downto 0) <= x_addr_r; c_addr_r(19 downto 10) <= y_addr_r; addr_r <= c_addr_r(SIZE_POW2 - 1 downto 0); data_r <= data(to_integer(unsigned(addr_r))); end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_zed_vga_0_0/synth/system_zed_vga_0_0.vhd
2
3842
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:zed_vga:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_zed_vga_0_0 IS PORT ( rgb565 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); vga_r : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); vga_g : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); vga_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END system_zed_vga_0_0; ARCHITECTURE system_zed_vga_0_0_arch OF system_zed_vga_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_zed_vga_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT zed_vga IS PORT ( rgb565 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); vga_r : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); vga_g : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); vga_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT zed_vga; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_zed_vga_0_0_arch: ARCHITECTURE IS "zed_vga,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_zed_vga_0_0_arch : ARCHITECTURE IS "system_zed_vga_0_0,zed_vga,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_zed_vga_0_0_arch: ARCHITECTURE IS "system_zed_vga_0_0,zed_vga,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=zed_vga,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : zed_vga PORT MAP ( rgb565 => rgb565, vga_r => vga_r, vga_g => vga_g, vga_b => vga_b ); END system_zed_vga_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/system_rgb565_to_rgb888_0_0_stub.vhdl
3
1432
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 15:17:13 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_rgb565_to_rgb888_0_0/system_rgb565_to_rgb888_0_0_stub.vhdl -- Design : system_rgb565_to_rgb888_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_rgb565_to_rgb888_0_0 is Port ( clk : in STD_LOGIC; rgb_565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rgb_888 : out STD_LOGIC_VECTOR ( 23 downto 0 ) ); end system_rgb565_to_rgb888_0_0; architecture stub of system_rgb565_to_rgb888_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,rgb_565[15:0],rgb_888[23:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "rgb565_to_rgb888,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_inverter_1_0/sim/system_inverter_1_0.vhd
3
2934
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: user.org:user:inverter:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_inverter_1_0 IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END system_inverter_1_0; ARCHITECTURE system_inverter_1_0_arch OF system_inverter_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_inverter_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT inverter IS PORT ( x : IN STD_LOGIC; x_not : OUT STD_LOGIC ); END COMPONENT inverter; BEGIN U0 : inverter PORT MAP ( x => x, x_not => x_not ); END system_inverter_1_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_1/synth/system_ov7670_vga_0_1.vhd
1
3723
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_vga:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_vga_0_1 IS PORT ( pclk : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END system_ov7670_vga_0_1; ARCHITECTURE system_ov7670_vga_0_1_arch OF system_ov7670_vga_0_1 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_vga_0_1_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_vga IS PORT ( pclk : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT ov7670_vga; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_ov7670_vga_0_1_arch: ARCHITECTURE IS "ov7670_vga,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_ov7670_vga_0_1_arch : ARCHITECTURE IS "system_ov7670_vga_0_1,ov7670_vga,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_ov7670_vga_0_1_arch: ARCHITECTURE IS "system_ov7670_vga_0_1,ov7670_vga,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=ov7670_vga,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED}"; BEGIN U0 : ov7670_vga PORT MAP ( pclk => pclk, data => data, rgb => rgb ); END system_ov7670_vga_0_1_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/dma_example/dma_example.srcs/sources_1/bd/system/hdl/system_wrapper.vhd
1
3442
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 --Date : Wed May 31 20:09:36 2017 --Host : GILAMONSTER running 64-bit major release (build 9200) --Command : generate_target system_wrapper.bd --Design : system_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_wrapper is port ( DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC ); end system_wrapper; architecture STRUCTURE of system_wrapper is component system is port ( DDR_cas_n : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC ); end component system; begin system_i: component system port map ( DDR_addr(14 downto 0) => DDR_addr(14 downto 0), DDR_ba(2 downto 0) => DDR_ba(2 downto 0), DDR_cas_n => DDR_cas_n, DDR_ck_n => DDR_ck_n, DDR_ck_p => DDR_ck_p, DDR_cke => DDR_cke, DDR_cs_n => DDR_cs_n, DDR_dm(3 downto 0) => DDR_dm(3 downto 0), DDR_dq(31 downto 0) => DDR_dq(31 downto 0), DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_odt => DDR_odt, DDR_ras_n => DDR_ras_n, DDR_reset_n => DDR_reset_n, DDR_we_n => DDR_we_n, FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp, FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0), FIXED_IO_ps_clk => FIXED_IO_ps_clk, FIXED_IO_ps_porb => FIXED_IO_ps_porb, FIXED_IO_ps_srstb => FIXED_IO_ps_srstb ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_processing_system7_0_0/system_processing_system7_0_0_stub.vhdl
1
5580
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 07:04:01 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_processing_system7_0_0 -prefix -- system_processing_system7_0_0_ system_processing_system7_0_0_stub.vhdl -- Design : system_processing_system7_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_processing_system7_0_0 is Port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); end system_processing_system7_0_0; architecture stub of system_processing_system7_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],FCLK_CLK0,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/affine_transform/affine_transform.srcs/sources_1/bd/affine_block/hdl/affine_block_wrapper.vhd
2
2020
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 --Date : Mon Feb 20 13:51:56 2017 --Host : GILAMONSTER running 64-bit major release (build 9200) --Command : generate_target affine_block_wrapper.bd --Design : affine_block_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity affine_block_wrapper is port ( a00 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a01 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a10 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a11 : in STD_LOGIC_VECTOR ( 31 downto 0 ); x_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); x_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_out : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end affine_block_wrapper; architecture STRUCTURE of affine_block_wrapper is component affine_block is port ( x_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); a00 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a01 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a10 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a11 : in STD_LOGIC_VECTOR ( 31 downto 0 ); x_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_out : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component affine_block; begin affine_block_i: component affine_block port map ( a00(31 downto 0) => a00(31 downto 0), a01(31 downto 0) => a01(31 downto 0), a10(31 downto 0) => a10(31 downto 0), a11(31 downto 0) => a11(31 downto 0), x_in(9 downto 0) => x_in(9 downto 0), x_out(9 downto 0) => x_out(9 downto 0), y_in(9 downto 0) => y_in(9 downto 0), y_out(9 downto 0) => y_out(9 downto 0) ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/affine_transform_demo/affine_transform_demo.srcs/sources_1/bd/system/ipshared/dbde/hdl/affine_block_wrapper.vhd
2
2020
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 --Date : Mon Feb 20 13:51:56 2017 --Host : GILAMONSTER running 64-bit major release (build 9200) --Command : generate_target affine_block_wrapper.bd --Design : affine_block_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity affine_block_wrapper is port ( a00 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a01 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a10 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a11 : in STD_LOGIC_VECTOR ( 31 downto 0 ); x_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); x_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_out : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end affine_block_wrapper; architecture STRUCTURE of affine_block_wrapper is component affine_block is port ( x_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); a00 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a01 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a10 : in STD_LOGIC_VECTOR ( 31 downto 0 ); a11 : in STD_LOGIC_VECTOR ( 31 downto 0 ); x_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_out : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end component affine_block; begin affine_block_i: component affine_block port map ( a00(31 downto 0) => a00(31 downto 0), a01(31 downto 0) => a01(31 downto 0), a10(31 downto 0) => a10(31 downto 0), a11(31 downto 0) => a11(31 downto 0), x_in(9 downto 0) => x_in(9 downto 0), x_out(9 downto 0) => x_out(9 downto 0), y_in(9 downto 0) => y_in(9 downto 0), y_out(9 downto 0) => y_out(9 downto 0) ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/buffer_register/buffer_register.srcs/sources_1/new/buffer_register.vhd
4
583
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity buffer_register is generic ( WIDTH : integer := 32 ); port ( clk : in std_logic; val_in : in std_logic_vector(WIDTH - 1 downto 0); val_out : out std_logic_vector(WIDTH - 1 downto 0) ); end buffer_register; architecture Behavioral of buffer_register is begin process(clk) variable reg : std_logic_vector(WIDTH - 1 downto 0); begin if rising_edge(clk) then reg := val_in; val_out <= reg; end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_passthrough/ov7670_passthrough.srcs/sources_1/bd/system/hdl/system_wrapper.vhd
1
4756
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 --Date : Mon Feb 27 19:47:32 2017 --Host : GILAMONSTER running 64-bit major release (build 9200) --Command : generate_target system_wrapper.bd --Design : system_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_wrapper is port ( DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_cas_n : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; config_finished : out STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ); hdmi_cec : in STD_LOGIC; hdmi_hpd : in STD_LOGIC; hdmi_out_en : out STD_LOGIC; href : in STD_LOGIC; pclk : in STD_LOGIC; resend : in STD_LOGIC; scl : out STD_LOGIC; sda : inout STD_LOGIC; tmds : out STD_LOGIC_VECTOR ( 3 downto 0 ); tmdsb : out STD_LOGIC_VECTOR ( 3 downto 0 ); vsync : in STD_LOGIC; xclk : out STD_LOGIC ); end system_wrapper; architecture STRUCTURE of system_wrapper is component system is port ( DDR_cas_n : inout STD_LOGIC; DDR_cke : inout STD_LOGIC; DDR_ck_n : inout STD_LOGIC; DDR_ck_p : inout STD_LOGIC; DDR_cs_n : inout STD_LOGIC; DDR_reset_n : inout STD_LOGIC; DDR_odt : inout STD_LOGIC; DDR_ras_n : inout STD_LOGIC; DDR_we_n : inout STD_LOGIC; DDR_ba : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_dm : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dq : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_dqs_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_dqs_p : inout STD_LOGIC_VECTOR ( 3 downto 0 ); FIXED_IO_mio : inout STD_LOGIC_VECTOR ( 53 downto 0 ); FIXED_IO_ddr_vrn : inout STD_LOGIC; FIXED_IO_ddr_vrp : inout STD_LOGIC; FIXED_IO_ps_srstb : inout STD_LOGIC; FIXED_IO_ps_clk : inout STD_LOGIC; FIXED_IO_ps_porb : inout STD_LOGIC; pclk : in STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ); hdmi_cec : in STD_LOGIC; hdmi_hpd : in STD_LOGIC; tmds : out STD_LOGIC_VECTOR ( 3 downto 0 ); tmdsb : out STD_LOGIC_VECTOR ( 3 downto 0 ); hdmi_out_en : out STD_LOGIC; href : in STD_LOGIC; vsync : in STD_LOGIC; scl : out STD_LOGIC; sda : inout STD_LOGIC; xclk : out STD_LOGIC; resend : in STD_LOGIC; config_finished : out STD_LOGIC ); end component system; begin system_i: component system port map ( DDR_addr(14 downto 0) => DDR_addr(14 downto 0), DDR_ba(2 downto 0) => DDR_ba(2 downto 0), DDR_cas_n => DDR_cas_n, DDR_ck_n => DDR_ck_n, DDR_ck_p => DDR_ck_p, DDR_cke => DDR_cke, DDR_cs_n => DDR_cs_n, DDR_dm(3 downto 0) => DDR_dm(3 downto 0), DDR_dq(31 downto 0) => DDR_dq(31 downto 0), DDR_dqs_n(3 downto 0) => DDR_dqs_n(3 downto 0), DDR_dqs_p(3 downto 0) => DDR_dqs_p(3 downto 0), DDR_odt => DDR_odt, DDR_ras_n => DDR_ras_n, DDR_reset_n => DDR_reset_n, DDR_we_n => DDR_we_n, FIXED_IO_ddr_vrn => FIXED_IO_ddr_vrn, FIXED_IO_ddr_vrp => FIXED_IO_ddr_vrp, FIXED_IO_mio(53 downto 0) => FIXED_IO_mio(53 downto 0), FIXED_IO_ps_clk => FIXED_IO_ps_clk, FIXED_IO_ps_porb => FIXED_IO_ps_porb, FIXED_IO_ps_srstb => FIXED_IO_ps_srstb, config_finished => config_finished, data(7 downto 0) => data(7 downto 0), hdmi_cec => hdmi_cec, hdmi_hpd => hdmi_hpd, hdmi_out_en => hdmi_out_en, href => href, pclk => pclk, resend => resend, scl => scl, sda => sda, tmds(3 downto 0) => tmds(3 downto 0), tmdsb(3 downto 0) => tmdsb(3 downto 0), vsync => vsync, xclk => xclk ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ipshared/b35a/rgb888_to_g8.vhd
5
711
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity rgb888_to_g8 is port ( clk : in std_logic; rgb888 : in std_logic_vector(23 downto 0); g8 : out std_logic_vector(7 downto 0) ); end rgb888_to_g8; architecture Behavioral of rgb888_to_g8 is begin process(clk) variable r, g, b : integer := 0; begin if rising_edge(clk) then r := to_integer(unsigned(rgb888(23 downto 16))); g := to_integer(unsigned(rgb888(15 downto 8))); b := to_integer(unsigned(rgb888(7 downto 0))); g8 <= std_logic_vector(to_unsigned((r + g + b)/3, 8)); end if; end process; end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ip/system_vga_buffer_0_0/sim/system_vga_buffer_0_0.vhd
4
4004
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_buffer:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_buffer_0_0 IS PORT ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; wen : IN STD_LOGIC; x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0); data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_buffer_0_0; ARCHITECTURE system_vga_buffer_0_0_arch OF system_vga_buffer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_buffer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_buffer IS GENERIC ( SIZE_POW2 : INTEGER ); PORT ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; wen : IN STD_LOGIC; x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0); data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_buffer; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk_w: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : vga_buffer GENERIC MAP ( SIZE_POW2 => 10 ) PORT MAP ( clk_w => clk_w, clk_r => clk_r, wen => wen, x_addr_w => x_addr_w, y_addr_w => y_addr_w, x_addr_r => x_addr_r, y_addr_r => y_addr_r, data_w => data_w, data_r => data_r ); END system_vga_buffer_0_0_arch;
mit
ashikpoojari/Hardware-Security
DES CryptoCore/src/test_benches/DES_Encrypt_Testbench.vhd
2
5647
--****************************************************************************** -- Copyright (c) 2016 Vinayaka Jyothi -- All rights reserved. -- -- Permission is hereby granted, free of charge, to any person obtaining -- a copy of this software and associated documentation files (the -- "Software"), to deal in the Software without restriction, including -- without limitation the rights to use, copy, modify, merge, publish, -- distribute, sublicense, and/or sell copies of the Software, and to -- permit persons to whom the Software is furnished to do so, subject -- to the following conditions: -- -- The above copyright notice and this permission notice shall be -- included in all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, -- EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -- OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND -- NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT -- HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, -- WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER -- DEALINGS IN THE SOFTWARE. --****************************************************************************** -------------------------------------------------------------------------------- -- Company: VNIE ENTITIES -- Designer: Vinayaka Jyothi -- -- Create Date: 20:45:11 02/14/2017 -- Design Name: -- Module Name: DES_ENCRYPT Testbench.vhd -- Project Name: DES_Fully_Pipelined -- Target Device: -- Tool versions: -- Description: -- -- -- Dependencies: DES_Fully_Pipelined Design and txt_util.vhd -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -------------------------------------------------------------------------------- LIBRARY ieee; Use std.textio.all; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; use work.txt_util.all; ENTITY DES_testBench IS END DES_testBench; ARCHITECTURE behavior OF DES_testBench IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT DES_CRYPTO_CORE --desCryptoCore PORT( reset : IN std_logic; EN : IN std_logic; clk : IN std_logic; DES_IN : IN std_logic_vector(63 downto 0); USER_KEY : IN std_logic_vector(63 downto 0); DES_OUT : OUT std_logic_vector(63 downto 0) ); END COMPONENT; COMPONENT DES IS PORT( PT : IN STD_LOGIC_VECTOR (63 DOWNTO 0); KIN: IN STD_LOGIC_VECTOR (63 DOWNTO 0); CT: OUT STD_LOGIC_VECTOR (63 DOWNTO 0); RST: IN STD_LOGIC; CLK: IN STD_LOGIC; TEST_MODE: IN STD_LOGIC; SCAN_OUT : OUT STD_LOGIC); END COMPONENT; --Inputs signal reset : std_logic := '0'; signal EN : std_logic := '0'; signal clk : std_logic := '0'; signal DES_IN : std_logic_vector(63 downto 0) := (others => '0'); signal USER_KEY : std_logic_vector(63 downto 0) := (others => '0'); --Outputs signal DES_OUT : std_logic_vector(63 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; signal ERROR,ERRORD: integer :=0; BEGIN -- Instantiate the Unit Under Test (UUT) uut: DES_CRYPTO_CORE PORT MAP ( reset => reset, EN => EN, clk => clk, DES_IN => DES_IN, USER_KEY => USER_KEY, DES_OUT => DES_OUT ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; readcmd: process file CryptoCore_TestVectors: TEXT; variable file_line: Line; variable test_vector_key_in: std_logic_vector (63 downto 0); variable test_vector_din: std_logic_vector (63 downto 0); variable test_vector_expected_dout : std_logic_vector (63 downto 0); Begin reset <= '1'; USER_KEY <= (others => '0'); DES_IN <= (others => '0'); En <= '1'; wait for 100*clk_period; reset <= '0'; wait until rising_edge (clk); reset <= '0'; wait for 100*clk_period; reset <= '0'; wait until rising_edge (clk); print ("DES Test#1 has begun."); FILE_OPEN (CryptoCore_TestVectors, "../src/test_vectors/DES_TV_Triplets_NBS.txt", READ_MODE); --In case of problems, use absolute path loop If endfile (CryptoCore_TestVectors) then exit; End If; readline (CryptoCore_TestVectors, file_line); hread (file_line, test_vector_key_in); hread (file_line, test_vector_din); hread (file_line, test_vector_expected_dout); USER_KEY <= test_vector_key_in; -- din_vld_T <= '1'; --# When Designs have din and key valid use this -- Key_vld <= '1'; DES_IN <= test_vector_din; wait until rising_edge (clk); -- din_vld_T <= '0'; -- wait until dout_rdy_T = '1'; --# When Designs have dout use this to get the result wait for 20*clk_period; -- Currently DES takes 19 clock cycles to complete processing wait until rising_edge (clk); If DES_OUT /= test_vector_expected_dout then print ("***ERROR: test vector failed to compare"); ERROR<=ERROR+1; print ((" Expected PT: ") & hstr (test_vector_expected_dout (63 downto 0)) & (" Received PT: ") & hstr (DES_OUT (63 downto 0))); End If; End loop; print ("Test#1 completed"); print (""); print (""); if ERROR=0 then print ("All tests complete- PASS"); else print (("All tests complete 4 Decrypt - FAIL --> Total ERRORS=") & integer'image(ERROR)); end if; wait; end process; END;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ip/system_ov7670_controller_0_0/sim/system_ov7670_controller_0_0.vhd
5
3747
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_controller:1.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_controller_0_0 IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END system_ov7670_controller_0_0; ARCHITECTURE system_ov7670_controller_0_0_arch OF system_ov7670_controller_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_controller IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END COMPONENT ov7670_controller; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST"; BEGIN U0 : ov7670_controller PORT MAP ( clk => clk, resend => resend, config_finished => config_finished, sioc => sioc, siod => siod, reset => reset, pwdn => pwdn, xclk => xclk ); END system_ov7670_controller_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_hdmi_test/zed_hdmi_test.srcs/sources_1/bd/system/ipshared/92f0/vga_color_test.vhd
8
3633
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Rob Taglang -- -- Module Name: vga_color_test - Structural -- Description: Generate a color test pattern ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity vga_color_test is generic( H_SIZE : integer := 640; V_SIZE : integer := 480 ); port( clk_25 : in std_logic; xaddr : in std_logic_vector(9 downto 0); yaddr : in std_logic_vector(9 downto 0); rgb : out std_logic_vector(23 downto 0) ); end vga_color_test; architecture Structural of vga_color_test is constant WHITE : std_logic_vector(23 downto 0) := x"FFFFFF"; constant BLACK : std_logic_vector(23 downto 0) := x"000000"; constant YELLOW : std_logic_vector(23 downto 0) := x"FFFF00"; constant CYAN : std_logic_vector(23 downto 0) := x"00FFFF"; constant GREEN : std_logic_vector(23 downto 0) := x"00FF00"; constant PINK : std_logic_vector(23 downto 0) := x"FF00FF"; constant RED : std_logic_vector(23 downto 0) := x"FF0000"; constant BLUE : std_logic_vector(23 downto 0) := x"0000FF"; constant DARK_BLUE : std_logic_vector(23 downto 0) := x"0000A0"; constant GRAY : std_logic_vector(23 downto 0) := x"808080"; constant LIGHT_GRAY : std_logic_vector(23 downto 0) := x"C0C0C0"; constant PURPLE : std_logic_vector(23 downto 0) := x"8000FF"; begin process(clk_25) variable x,y : integer; begin if rising_edge(clk_25) then x := to_integer(unsigned(xaddr)); y := to_integer(unsigned(yaddr)); if y < (V_SIZE*2)/3 then if x < (H_SIZE)/7 then rgb <= WHITE; elsif x < (H_SIZE*2)/7 then rgb <= YELLOW; elsif x < (H_SIZE*3)/7 then rgb <= CYAN; elsif x < (H_SIZE*4)/7 then rgb <= GREEN; elsif x < (H_SIZE*5)/7 then rgb <= PINK; elsif x < (H_SIZE*6)/7 then rgb <= RED; else rgb <= BLUE; end if; elsif y < (V_SIZE*3)/4 then if x < (H_SIZE)/7 then rgb <= BLUE; elsif x < (H_SIZE*2)/7 then rgb <= BLACK; elsif x < (H_SIZE*3)/7 then rgb <= PINK; elsif x < (H_SIZE*4)/7 then rgb <= GRAY; elsif x < (H_SIZE*5)/7 then rgb <= CYAN; elsif x < (H_SIZE*6)/7 then rgb <= GRAY; else rgb <= WHITE; end if; else if x < (H_SIZE)/6 then rgb <= DARK_BLUE; elsif x < (H_SIZE*2)/6 then rgb <= WHITE; elsif x < (H_SIZE*3)/6 then rgb <= PURPLE; elsif x < (H_SIZE*5)/7 then rgb <= GRAY; elsif x < (H_SIZE*6)/7 - (H_SIZE*2)/21 then rgb <= BLACK; elsif x < (H_SIZE*6)/7 - (H_SIZE)/21 then rgb <= GRAY; elsif x < (H_SIZE*6)/7 then rgb <= LIGHT_GRAY; else rgb <= GRAY; end if; end if; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/video_gaussian_blur/video_gaussian_blur.srcs/sources_1/bd/system/ipshared/xilinx.com/vga_color_test_v1_0/vga_color_test.vhd
8
3633
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Rob Taglang -- -- Module Name: vga_color_test - Structural -- Description: Generate a color test pattern ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity vga_color_test is generic( H_SIZE : integer := 640; V_SIZE : integer := 480 ); port( clk_25 : in std_logic; xaddr : in std_logic_vector(9 downto 0); yaddr : in std_logic_vector(9 downto 0); rgb : out std_logic_vector(23 downto 0) ); end vga_color_test; architecture Structural of vga_color_test is constant WHITE : std_logic_vector(23 downto 0) := x"FFFFFF"; constant BLACK : std_logic_vector(23 downto 0) := x"000000"; constant YELLOW : std_logic_vector(23 downto 0) := x"FFFF00"; constant CYAN : std_logic_vector(23 downto 0) := x"00FFFF"; constant GREEN : std_logic_vector(23 downto 0) := x"00FF00"; constant PINK : std_logic_vector(23 downto 0) := x"FF00FF"; constant RED : std_logic_vector(23 downto 0) := x"FF0000"; constant BLUE : std_logic_vector(23 downto 0) := x"0000FF"; constant DARK_BLUE : std_logic_vector(23 downto 0) := x"0000A0"; constant GRAY : std_logic_vector(23 downto 0) := x"808080"; constant LIGHT_GRAY : std_logic_vector(23 downto 0) := x"C0C0C0"; constant PURPLE : std_logic_vector(23 downto 0) := x"8000FF"; begin process(clk_25) variable x,y : integer; begin if rising_edge(clk_25) then x := to_integer(unsigned(xaddr)); y := to_integer(unsigned(yaddr)); if y < (V_SIZE*2)/3 then if x < (H_SIZE)/7 then rgb <= WHITE; elsif x < (H_SIZE*2)/7 then rgb <= YELLOW; elsif x < (H_SIZE*3)/7 then rgb <= CYAN; elsif x < (H_SIZE*4)/7 then rgb <= GREEN; elsif x < (H_SIZE*5)/7 then rgb <= PINK; elsif x < (H_SIZE*6)/7 then rgb <= RED; else rgb <= BLUE; end if; elsif y < (V_SIZE*3)/4 then if x < (H_SIZE)/7 then rgb <= BLUE; elsif x < (H_SIZE*2)/7 then rgb <= BLACK; elsif x < (H_SIZE*3)/7 then rgb <= PINK; elsif x < (H_SIZE*4)/7 then rgb <= GRAY; elsif x < (H_SIZE*5)/7 then rgb <= CYAN; elsif x < (H_SIZE*6)/7 then rgb <= GRAY; else rgb <= WHITE; end if; else if x < (H_SIZE)/6 then rgb <= DARK_BLUE; elsif x < (H_SIZE*2)/6 then rgb <= WHITE; elsif x < (H_SIZE*3)/6 then rgb <= PURPLE; elsif x < (H_SIZE*5)/7 then rgb <= GRAY; elsif x < (H_SIZE*6)/7 - (H_SIZE*2)/21 then rgb <= BLACK; elsif x < (H_SIZE*6)/7 - (H_SIZE)/21 then rgb <= GRAY; elsif x < (H_SIZE*6)/7 then rgb <= LIGHT_GRAY; else rgb <= GRAY; end if; end if; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0/sim/system_ov7670_controller_1_0.vhd
2
3747
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:ov7670_controller:1.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_ov7670_controller_1_0 IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END system_ov7670_controller_1_0; ARCHITECTURE system_ov7670_controller_1_0_arch OF system_ov7670_controller_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_ov7670_controller_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT ov7670_controller IS PORT ( clk : IN STD_LOGIC; resend : IN STD_LOGIC; config_finished : OUT STD_LOGIC; sioc : OUT STD_LOGIC; siod : INOUT STD_LOGIC; reset : OUT STD_LOGIC; pwdn : OUT STD_LOGIC; xclk : OUT STD_LOGIC ); END COMPONENT ov7670_controller; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF reset: SIGNAL IS "xilinx.com:signal:reset:1.0 reset RST"; BEGIN U0 : ov7670_controller PORT MAP ( clk => clk, resend => resend, config_finished => config_finished, sioc => sioc, siod => siod, reset => reset, pwdn => pwdn, xclk => xclk ); END system_ov7670_controller_1_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ipshared/658b/ov7670_vga.vhd
2
1001
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Robert Taglang -- -- Module Name: ov7670_vga - Structural -- Description: The ov7670 can produce 8-bits of data - pclk runs two cycles to produce RGB565 ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity ov7670_vga is port( pclk : in std_logic; data : in std_logic_vector(7 downto 0); rgb : out std_logic_vector(15 downto 0) ); end ov7670_vga; architecture Structural of ov7670_vga is begin process(pclk) variable cycle : std_logic := '0'; begin if rising_edge(pclk) then if cycle = '0' then rgb(15 downto 8) <= data; cycle := '1'; else rgb(7 downto 0) <= data; cycle := '0'; end if; end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_buffer_register_0_0/synth/system_buffer_register_0_0.vhd
3
4059
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:buffer_register:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_buffer_register_0_0 IS PORT ( clk : IN STD_LOGIC; val_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0); val_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_buffer_register_0_0; ARCHITECTURE system_buffer_register_0_0_arch OF system_buffer_register_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_buffer_register_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT buffer_register IS GENERIC ( WIDTH : INTEGER ); PORT ( clk : IN STD_LOGIC; val_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0); val_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT buffer_register; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_buffer_register_0_0_arch: ARCHITECTURE IS "buffer_register,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_buffer_register_0_0_arch : ARCHITECTURE IS "system_buffer_register_0_0,buffer_register,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_buffer_register_0_0_arch: ARCHITECTURE IS "system_buffer_register_0_0,buffer_register,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=buffer_register,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,WIDTH=32}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : buffer_register GENERIC MAP ( WIDTH => 32 ) PORT MAP ( clk => clk, val_in => val_in, val_out => val_out ); END system_buffer_register_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_inverter_0_0_1/system_inverter_0_0_stub.vhdl
1
1286
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 10:10:04 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- c:/ZyboIP/examples/ov7670_hessian_split/ov7670_hessian_split.srcs/sources_1/bd/system/ip/system_inverter_0_0_1/system_inverter_0_0_stub.vhdl -- Design : system_inverter_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_inverter_0_0 is Port ( x : in STD_LOGIC; x_not : out STD_LOGIC ); end system_inverter_0_0; architecture stub of system_inverter_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "x,x_not"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "inverter,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/hdl/system_wrapper.vhd
1
2444
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 --Date : Mon Jun 05 08:32:55 2017 --Host : GILAMONSTER running 64-bit major release (build 9200) --Command : generate_target system_wrapper.bd --Design : system_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_wrapper is port ( clk_100 : in STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ); enable_nm : in STD_LOGIC; hdmi_clk : out STD_LOGIC; hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 ); hdmi_de : out STD_LOGIC; hdmi_hsync : out STD_LOGIC; hdmi_scl : out STD_LOGIC; hdmi_sda : inout STD_LOGIC; hdmi_vsync : out STD_LOGIC; hsync : in STD_LOGIC; pclk : in STD_LOGIC; ready : out STD_LOGIC; reset : in STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC; vsync : in STD_LOGIC; xclk : out STD_LOGIC ); end system_wrapper; architecture STRUCTURE of system_wrapper is component system is port ( hdmi_clk : out STD_LOGIC; hdmi_hsync : out STD_LOGIC; hdmi_vsync : out STD_LOGIC; hdmi_d : out STD_LOGIC_VECTOR ( 15 downto 0 ); hdmi_de : out STD_LOGIC; hdmi_scl : out STD_LOGIC; hdmi_sda : inout STD_LOGIC; ready : out STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC; data : in STD_LOGIC_VECTOR ( 7 downto 0 ); hsync : in STD_LOGIC; vsync : in STD_LOGIC; xclk : out STD_LOGIC; reset : in STD_LOGIC; pclk : in STD_LOGIC; clk_100 : in STD_LOGIC; enable_nm : in STD_LOGIC ); end component system; begin system_i: component system port map ( clk_100 => clk_100, data(7 downto 0) => data(7 downto 0), enable_nm => enable_nm, hdmi_clk => hdmi_clk, hdmi_d(15 downto 0) => hdmi_d(15 downto 0), hdmi_de => hdmi_de, hdmi_hsync => hdmi_hsync, hdmi_scl => hdmi_scl, hdmi_sda => hdmi_sda, hdmi_vsync => hdmi_vsync, hsync => hsync, pclk => pclk, ready => ready, reset => reset, sioc => sioc, siod => siod, vsync => vsync, xclk => xclk ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_inverter_1_0/system_inverter_1_0_sim_netlist.vhdl
1
1828
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Wed Mar 01 09:52:04 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/ov7670_fusion/ov7670_fusion.srcs/sources_1/bd/system/ip/system_inverter_1_0/system_inverter_1_0_sim_netlist.vhdl -- Design : system_inverter_1_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_inverter_1_0 is port ( x : in STD_LOGIC; x_not : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_inverter_1_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_inverter_1_0 : entity is "system_inverter_1_0,inverter,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_inverter_1_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_inverter_1_0 : entity is "inverter,Vivado 2016.4"; end system_inverter_1_0; architecture STRUCTURE of system_inverter_1_0 is begin x_not_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => x, O => x_not ); end STRUCTURE;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/vga_axi_mem_buffer/vga_axi_mem_buffer_1.0/hdl/vga_axi_mem_buffer_v1_0_S_AXI.vhd
1
23860
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity vga_axi_mem_buffer_v1_0_S_AXI is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Width of ID for for write address, write data, read address and read data C_S_AXI_ID_WIDTH : integer := 1; -- Width of S_AXI data bus C_S_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_S_AXI_ADDR_WIDTH : integer := 6; -- Width of optional user defined signal in write address channel C_S_AXI_AWUSER_WIDTH : integer := 0; -- Width of optional user defined signal in read address channel C_S_AXI_ARUSER_WIDTH : integer := 0; -- Width of optional user defined signal in write data channel C_S_AXI_WUSER_WIDTH : integer := 0; -- Width of optional user defined signal in read data channel C_S_AXI_RUSER_WIDTH : integer := 0; -- Width of optional user defined signal in write response channel C_S_AXI_BUSER_WIDTH : integer := 0 ); port ( -- Users to add ports here -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal S_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW S_AXI_ARESETN : in std_logic; -- Write Address ID S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Write address S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Burst length. The burst length gives the exact number of transfers in a burst S_AXI_AWLEN : in std_logic_vector(7 downto 0); -- Burst size. This signal indicates the size of each transfer in the burst S_AXI_AWSIZE : in std_logic_vector(2 downto 0); -- Burst type. The burst type and the size information, -- determine how the address for each transfer within the burst is calculated. S_AXI_AWBURST : in std_logic_vector(1 downto 0); -- Lock type. Provides additional information about the -- atomic characteristics of the transfer. S_AXI_AWLOCK : in std_logic; -- Memory type. This signal indicates how transactions -- are required to progress through a system. S_AXI_AWCACHE : in std_logic_vector(3 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Quality of Service, QoS identifier sent for each -- write transaction. S_AXI_AWQOS : in std_logic_vector(3 downto 0); -- Region identifier. Permits a single physical interface -- on a slave to be used for multiple logical interfaces. S_AXI_AWREGION : in std_logic_vector(3 downto 0); -- Optional User-defined signal in the write address channel. S_AXI_AWUSER : in std_logic_vector(C_S_AXI_AWUSER_WIDTH-1 downto 0); -- Write address valid. This signal indicates that -- the channel is signaling valid write address and -- control information. S_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that -- the slave is ready to accept an address and associated -- control signals. S_AXI_AWREADY : out std_logic; -- Write Data S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte -- lanes hold valid data. There is one write strobe -- bit for each eight bits of the write data bus. S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); -- Write last. This signal indicates the last transfer -- in a write burst. S_AXI_WLAST : in std_logic; -- Optional User-defined signal in the write data channel. S_AXI_WUSER : in std_logic_vector(C_S_AXI_WUSER_WIDTH-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. S_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. S_AXI_WREADY : out std_logic; -- Response ID tag. This signal is the ID tag of the -- write response. S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Write response. This signal indicates the status -- of the write transaction. S_AXI_BRESP : out std_logic_vector(1 downto 0); -- Optional User-defined signal in the write response channel. S_AXI_BUSER : out std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0); -- Write response valid. This signal indicates that the -- channel is signaling a valid write response. S_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. S_AXI_BREADY : in std_logic; -- Read address ID. This signal is the identification -- tag for the read address group of signals. S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Read address. This signal indicates the initial -- address of a read burst transaction. S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); -- Burst length. The burst length gives the exact number of transfers in a burst S_AXI_ARLEN : in std_logic_vector(7 downto 0); -- Burst size. This signal indicates the size of each transfer in the burst S_AXI_ARSIZE : in std_logic_vector(2 downto 0); -- Burst type. The burst type and the size information, -- determine how the address for each transfer within the burst is calculated. S_AXI_ARBURST : in std_logic_vector(1 downto 0); -- Lock type. Provides additional information about the -- atomic characteristics of the transfer. S_AXI_ARLOCK : in std_logic; -- Memory type. This signal indicates how transactions -- are required to progress through a system. S_AXI_ARCACHE : in std_logic_vector(3 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether -- the transaction is a data access or an instruction access. S_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Quality of Service, QoS identifier sent for each -- read transaction. S_AXI_ARQOS : in std_logic_vector(3 downto 0); -- Region identifier. Permits a single physical interface -- on a slave to be used for multiple logical interfaces. S_AXI_ARREGION : in std_logic_vector(3 downto 0); -- Optional User-defined signal in the read address channel. S_AXI_ARUSER : in std_logic_vector(C_S_AXI_ARUSER_WIDTH-1 downto 0); -- Write address valid. This signal indicates that -- the channel is signaling valid read address and -- control information. S_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that -- the slave is ready to accept an address and associated -- control signals. S_AXI_ARREADY : out std_logic; -- Read ID tag. This signal is the identification tag -- for the read data group of signals generated by the slave. S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); -- Read Data S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of -- the read transfer. S_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read last. This signal indicates the last transfer -- in a read burst. S_AXI_RLAST : out std_logic; -- Optional User-defined signal in the read address channel. S_AXI_RUSER : out std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0); -- Read valid. This signal indicates that the channel -- is signaling the required read data. S_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. S_AXI_RREADY : in std_logic ); end vga_axi_mem_buffer_v1_0_S_AXI; architecture arch_imp of vga_axi_mem_buffer_v1_0_S_AXI is -- AXI4FULL signals signal axi_awaddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_buser : std_logic_vector(C_S_AXI_BUSER_WIDTH-1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rlast : std_logic; signal axi_ruser : std_logic_vector(C_S_AXI_RUSER_WIDTH-1 downto 0); signal axi_rvalid : std_logic; -- aw_wrap_en determines wrap boundary and enables wrapping signal aw_wrap_en : std_logic; -- ar_wrap_en determines wrap boundary and enables wrapping signal ar_wrap_en : std_logic; -- aw_wrap_size is the size of the write transfer, the -- write address wraps to a lower address if upper address -- limit is reached signal aw_wrap_size : integer; -- ar_wrap_size is the size of the read transfer, the -- read address wraps to a lower address if upper address -- limit is reached signal ar_wrap_size : integer; -- The axi_awv_awr_flag flag marks the presence of write address valid signal axi_awv_awr_flag : std_logic; --The axi_arv_arr_flag flag marks the presence of read address valid signal axi_arv_arr_flag : std_logic; -- The axi_awlen_cntr internal write address counter to keep track of beats in a burst transaction signal axi_awlen_cntr : std_logic_vector(7 downto 0); --The axi_arlen_cntr internal read address counter to keep track of beats in a burst transaction signal axi_arlen_cntr : std_logic_vector(7 downto 0); signal axi_arburst : std_logic_vector(2-1 downto 0); signal axi_awburst : std_logic_vector(2-1 downto 0); signal axi_arlen : std_logic_vector(8-1 downto 0); signal axi_awlen : std_logic_vector(8-1 downto 0); --local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH --ADDR_LSB is used for addressing 32/64 bit registers/memories --ADDR_LSB = 2 for 32 bits (n downto 2) --ADDR_LSB = 3 for 42 bits (n downto 3) constant ADDR_LSB : integer := (C_S_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 3; constant USER_NUM_MEM: integer := 1; constant low : std_logic_vector (C_S_AXI_ADDR_WIDTH - 1 downto 0) := "000000"; ------------------------------------------------ ---- Signals for user logic memory space example -------------------------------------------------- signal mem_address : std_logic_vector(OPT_MEM_ADDR_BITS downto 0); signal mem_select : std_logic_vector(USER_NUM_MEM-1 downto 0); type word_array is array (0 to USER_NUM_MEM-1) of std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); signal mem_data_out : word_array; signal i : integer; signal j : integer; signal mem_byte_index : integer; type BYTE_RAM_TYPE is array (0 to 15) of std_logic_vector(7 downto 0); begin -- I/O Connections assignments S_AXI_AWREADY <= axi_awready; S_AXI_WREADY <= axi_wready; S_AXI_BRESP <= axi_bresp; S_AXI_BUSER <= axi_buser; S_AXI_BVALID <= axi_bvalid; S_AXI_ARREADY <= axi_arready; S_AXI_RDATA <= axi_rdata; S_AXI_RRESP <= axi_rresp; S_AXI_RLAST <= axi_rlast; S_AXI_RUSER <= axi_ruser; S_AXI_RVALID <= axi_rvalid; S_AXI_BID <= S_AXI_AWID; S_AXI_RID <= S_AXI_ARID; aw_wrap_size <= ((C_S_AXI_DATA_WIDTH)/8 * to_integer(unsigned(axi_awlen))); ar_wrap_size <= ((C_S_AXI_DATA_WIDTH)/8 * to_integer(unsigned(axi_arlen))); aw_wrap_en <= '1' when (((axi_awaddr AND std_logic_vector(to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))) XOR std_logic_vector(to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))) = low) else '0'; ar_wrap_en <= '1' when (((axi_araddr AND std_logic_vector(to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))) XOR std_logic_vector(to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))) = low) else '0'; S_AXI_BUSER <= (others => '0'); -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awready <= '0'; axi_awv_awr_flag <= '0'; else if (axi_awready = '0' and S_AXI_AWVALID = '1' and axi_awv_awr_flag = '0' and axi_arv_arr_flag = '0') then -- slave is ready to accept an address and -- associated control signals axi_awv_awr_flag <= '1'; -- used for generation of bresp() and bvalid axi_awready <= '1'; elsif (S_AXI_WLAST = '1' and axi_wready = '1') then -- preparing to accept next address after current write burst tx completion axi_awv_awr_flag <= '0'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); axi_awburst <= (others => '0'); axi_awlen <= (others => '0'); axi_awlen_cntr <= (others => '0'); else if (axi_awready = '0' and S_AXI_AWVALID = '1' and axi_awv_awr_flag = '0') then -- address latching axi_awaddr <= S_AXI_AWADDR(C_S_AXI_ADDR_WIDTH - 1 downto 0); ---- start address of transfer axi_awlen_cntr <= (others => '0'); axi_awburst <= S_AXI_AWBURST; axi_awlen <= S_AXI_AWLEN; elsif((axi_awlen_cntr <= axi_awlen) and axi_wready = '1' and S_AXI_WVALID = '1') then axi_awlen_cntr <= std_logic_vector (unsigned(axi_awlen_cntr) + 1); case (axi_awburst) is when "00" => -- fixed burst -- The write address for all the beats in the transaction are fixed axi_awaddr <= axi_awaddr; ----for awsize = 4 bytes (010) when "01" => --incremental burst -- The write address for all the beats in the transaction are increments by awsize axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--awaddr aligned to 4 byte boundary axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) when "10" => --Wrapping burst -- The write address wraps when the address reaches wrap boundary if (aw_wrap_en = '1') then axi_awaddr <= std_logic_vector (unsigned(axi_awaddr) - (to_unsigned(aw_wrap_size,C_S_AXI_ADDR_WIDTH))); else axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--awaddr aligned to 4 byte boundary axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) end if; when others => --reserved (incremental burst for example) axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_awaddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--for awsize = 4 bytes (010) axi_awaddr(ADDR_LSB-1 downto 0) <= (others => '0'); end case; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and S_AXI_WVALID = '1' and axi_awv_awr_flag = '1') then axi_wready <= '1'; -- elsif (axi_awv_awr_flag = '0') then elsif (S_AXI_WLAST = '1' and axi_wready = '1') then axi_wready <= '0'; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses else if (axi_awv_awr_flag = '1' and axi_wready = '1' and S_AXI_WVALID = '1' and axi_bvalid = '0' and S_AXI_WLAST = '1' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (S_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_arready <= '0'; axi_arv_arr_flag <= '0'; else if (axi_arready = '0' and S_AXI_ARVALID = '1' and axi_awv_awr_flag = '0' and axi_arv_arr_flag = '0') then axi_arready <= '1'; axi_arv_arr_flag <= '1'; elsif (axi_rvalid = '1' and S_AXI_RREADY = '1' and (axi_arlen_cntr = axi_arlen)) then -- preparing to accept next address after current read completion axi_arv_arr_flag <= '0'; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_araddr latching --This process is used to latch the address when both --S_AXI_ARVALID and S_AXI_RVALID are valid. process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_araddr <= (others => '0'); axi_arburst <= (others => '0'); axi_arlen <= (others => '0'); axi_arlen_cntr <= (others => '0'); axi_rlast <= '0'; else if (axi_arready = '0' and S_AXI_ARVALID = '1' and axi_arv_arr_flag = '0') then -- address latching axi_araddr <= S_AXI_ARADDR(C_S_AXI_ADDR_WIDTH - 1 downto 0); ---- start address of transfer axi_arlen_cntr <= (others => '0'); axi_rlast <= '0'; axi_arburst <= S_AXI_ARBURST; axi_arlen <= S_AXI_ARLEN; elsif((axi_arlen_cntr <= axi_arlen) and axi_rvalid = '1' and S_AXI_RREADY = '1') then axi_arlen_cntr <= std_logic_vector (unsigned(axi_arlen_cntr) + 1); axi_rlast <= '0'; case (axi_arburst) is when "00" => -- fixed burst -- The read address for all the beats in the transaction are fixed axi_araddr <= axi_araddr; ----for arsize = 4 bytes (010) when "01" => --incremental burst -- The read address for all the beats in the transaction are increments by awsize axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1); --araddr aligned to 4 byte boundary axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) when "10" => --Wrapping burst -- The read address wraps when the address reaches wrap boundary if (ar_wrap_en = '1') then axi_araddr <= std_logic_vector (unsigned(axi_araddr) - (to_unsigned(ar_wrap_size,C_S_AXI_ADDR_WIDTH))); else axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1); --araddr aligned to 4 byte boundary axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); ----for awsize = 4 bytes (010) end if; when others => --reserved (incremental burst for example) axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB) <= std_logic_vector (unsigned(axi_araddr(C_S_AXI_ADDR_WIDTH - 1 downto ADDR_LSB)) + 1);--for arsize = 4 bytes (010) axi_araddr(ADDR_LSB-1 downto 0) <= (others => '0'); end case; elsif((axi_arlen_cntr = axi_arlen) and axi_rlast = '0' and axi_arv_arr_flag = '1') then axi_rlast <= '1'; elsif (S_AXI_RREADY = '1') then axi_rlast <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (S_AXI_ACLK) begin if rising_edge(S_AXI_ACLK) then if S_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arv_arr_flag = '1' and axi_rvalid = '0') then axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response elsif (axi_rvalid = '1' and S_AXI_RREADY = '1') then axi_rvalid <= '0'; end if; end if; end if; end process; -- ------------------------------------------ -- -- Example code to access user logic memory region -- ------------------------------------------ gen_mem_sel: if (USER_NUM_MEM >= 1) generate begin mem_select <= "1"; mem_address <= axi_araddr(ADDR_LSB+OPT_MEM_ADDR_BITS downto ADDR_LSB) when axi_arv_arr_flag = '1' else axi_awaddr(ADDR_LSB+OPT_MEM_ADDR_BITS downto ADDR_LSB) when axi_awv_awr_flag = '1' else (others => '0'); end generate gen_mem_sel; -- implement Block RAM(s) BRAM_GEN : for i in 0 to USER_NUM_MEM-1 generate signal mem_rden : std_logic; signal mem_wren : std_logic; begin mem_wren <= axi_wready and S_AXI_WVALID ; mem_rden <= axi_arv_arr_flag ; BYTE_BRAM_GEN : for mem_byte_index in 0 to (C_S_AXI_DATA_WIDTH/8-1) generate signal byte_ram : BYTE_RAM_TYPE; signal data_in : std_logic_vector(8-1 downto 0); signal data_out : std_logic_vector(8-1 downto 0); begin --assigning 8 bit data data_in <= S_AXI_WDATA((mem_byte_index*8+7) downto mem_byte_index*8); data_out <= byte_ram(to_integer(unsigned(mem_address))); BYTE_RAM_PROC : process( S_AXI_ACLK ) is begin if ( rising_edge (S_AXI_ACLK) ) then if ( mem_wren = '1' and S_AXI_WSTRB(mem_byte_index) = '1' ) then byte_ram(to_integer(unsigned(mem_address))) <= data_in; end if; end if; end process BYTE_RAM_PROC; process( S_AXI_ACLK ) is begin if ( rising_edge (S_AXI_ACLK) ) then if ( mem_rden = '1') then mem_data_out(i)((mem_byte_index*8+7) downto mem_byte_index*8) <= data_out; end if; end if; end process; end generate BYTE_BRAM_GEN; end generate BRAM_GEN; --Output register or memory read data process(mem_data_out, axi_rvalid ) is begin if (axi_rvalid = '1') then -- When there is a valid read address (S_AXI_ARVALID) with -- acceptance of read address by the slave (axi_arready), -- output the read dada axi_rdata <= mem_data_out(0); -- memory range 0 read data else axi_rdata <= (others => '0'); end if; end process; -- Add user logic here -- User logic ends end arch_imp;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/arctan/hdl/c_addsub_v12_0_vh_rfs.vhd
3
402790
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block aLmCh07kamflOuBaaM0+v7gF3ZQCN4uTPS49jGLZrm9CPd5dKgOoOsd31lVTa39JRx8k8u0RZFFV nw3upaAZ/Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Aw2ILhM4six9UWZ51f4Gy1qRmB5epLhkXLiUel7/FHhV7ItYiMTQtS+L83Mc+nltIzBz41zx1hg+ tXO5AqTS9y6LHQ1ArWATw/2MxHpqqoQIEm/MMEqmD/Abq3WrBTKsP7RX5Dxj9tAlh7xY+e7JDk+a sjJqfmxL57ISjzlKoaQ= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 0/1mLFI6+FTTZyqv+sYB352QRZ5wrgfyuO8Nkt+jQDUoTWGXOFvLM95e0B7u7pGyVXEuiRNaS/1C 9K5laxba09UTfWZfUB2hMm6rnfWn8YWcIaVNd02hszTUlzNTayWvVsa2FTdMCLRIiFK8u1RBHLVP UcX9x/96nygRGOLoIfE= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TwF12B0FENmte69HLik7RgUzysvY8+HuB8EGjVY6poUa8iBKzPda2TQoHnlJTqGe1+FzZYUJuhGB clNU6Lk8Bkwu2Zvg4jDN7NVaR9NLeQFwNSRsk3xulCw6V567vcil0zGYyjbOnYYTHzq7HsSH/Bm0 xq4+RgccqurbpDb3jMTCnrT8FdAbNHrYUODBgqb2jIwhD7/OPqJ0SEE3ixLW7nbxBsRKHm9Kma6y 1hzP9cz3Q0EBN5F8DlAfJL6l/k/Fca4GPaKT+xXlCPkuH9S4142Gj3BthEYVN4LNQxtTwa2uY31y sgCqBN1SJYOxVE7rwfYIV4u6ydorl0NL4b8SIA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Dd8zTWz32pUa1MkJJ89cKoEsw+888js7vmFz+G6UXbaPykBi5+zzNJq/ma/zLUevoDTleeS0vnkG +JIO9/zchHNr4qeCqpsII+gVnZw6HhC58DuHvYGN1Y7TBoUJRH+MKXVyK2yMhoejeeHyO4lNN+gN S1MgvOyCze3SyHsJ+SIEqHrYsnjDZhaMLEzXqyA22EZM4EzfOyYnjWMgZaxxaMYob5z9jzxpSYIp TO40Bd6Pm8WauMjFHordqiQfK5Pjpzcdo5mK2zhDq99Ps7biiaBYj2fl31Z9/oKSUs3+8cqx2lgf 9kXg8/E4aiAcL+A6bP9qcYXM24+6CVH25++cBg== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block T1OzwxahBz+3DD3Rm3j/gjV9y0afSZCx2fO2ZTfZP7ske+MGwxAEj6thGu3zcWtqmD0GiLn0cY5l S56WD0icxE6wHjkL4oa4WujMcCwuovMioF6lkvnUzL1+y6Wu503nnT0iCczMIQadO2UcfK1jYsxZ JhFAghVKjOTgZLvrbU6a9oJbmXaFjPdoVXULO6RJRtupdQ2VPxYp8PFoTxnXXp50G4hGNkviUtRA KTHBgrmSN0y7lDM3qlsTT4fhiGuveo50Ihz8U+fAZ+maBUixwOJLCGV+jx11R/FO3KUwnuLfoOnp XIvpC/RD2PuDhUsd27pxO1aeLeOP2B+LsTouLw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 296032) `protect data_block v44GJFMGyPnu+reCvKgJhcLE3jZw5SFztL+261oR82yeS9JWpok9SVgUVCUy8H7hh40zY9xfolvQ 4D3SBMkTTkBQCw8hDBgtwT3O5Oad3/sQHU9mOGmR4lHMht3Yd0agK5Pm1eyGOeg6j+1on5sdiE20 SaY3faJUNrw3ckWDuM8f4IlyQBEcSB5Z4rFJAZeniviEe7vMxXZWAvnVHynDzbmraQWdsUM9Y+79 AP0uotDI54gSLG2V5++v7mXbasdT9tihhaFALhoP5/9yU4PBc6zF15btoYWSl9JzPN/+VuQwqMBk nvDnBtnbc1EeBjlEQPmCcQ3adlr76mfVs4Y4mqIluxg1Twzm9eLYtyzB/tTdUOuP7l8dwvCHCGA4 Qr5xYQb3Z6khin+iIn9HOx+rNFsvWpH9+zWsVr2pgWppKeDY25HSRTtETWj2RyY794ulE7E5dHdQ 7pSkMr39R+69a+5ucN1v4X7IZLRZpwtt11FHTLExPinftlXff6CLM0+pMnBqiQT8C+KTsiimgeYG Ers3Fk2tItLBCRNdQmWbfCA/sd1WFwyQ9Y/JfuGAAUJymI/YSJ/WQ6WIAUNpDCXYFvDJLVliJpbx r28x3Jb/Ikqe81aptP5ugpQKUMwnHNh0Ovduq503wxFjgUn5ifBAWwKzW8R65Hu1/f1ke6YsjKab 29si7l3ppEHIglQhr2W1lQcYikMmuJgqUT4Ve11z7GiZ8WYQaUz1nP7FKLVoVqTIORt7yf5cjkMB zk+GmgDltk/A6ii77FKTU3YAiU1c5tBG96dZdTmdffbzjxzTDKZh61dY6OfyRNjeY8UG58Bb3lKK 0I9rhNrcqmy0l0LrmvD4XfBMu/YgFv9hyjXyGV6cNcDzHHkZG7sA3Ux7UDQ+GJJqifmZFo5XHdqE KQmXI5g2/Lbf2kD+JyyuEW+I5oaw8E/77R6f+F1VC/feidN3RzI/TNPN9GqQDsdomXn8F9ZTPotV LQH7z06O/uW0lVJEeBPRut3q27IGKSbP2sh4yD2CIdrb50sx4JKeEQvJCHG0Zt9EB51gAXkf55Kb 8D9X0hHQpeaZuHrzUpUlO+sy1MCqEkU4omSNkQTEMWC/RNjeQ+jJcAoaPKKIXTmmXmKD8Z3hEfA9 1I65AogSD3gHSu3RdaEq0noVFoWKQNryaWJql1kDnrQpyb2WttFpxlu9hRtT6T5GJAEcT8hK9xVh DlEziRJOGObyAFVRDtkQJjfInRGYAybSdGTW1qtUM9DHMc6TB+5vcqAcR/PGQCA8xOhZuMZPHC8X 1D5t3f4fUeHgl0MgtT2kDU8X1qCgpCrNcC3nGWAi03K8CyI/r/m03bfDZr5aosHiCrWI/AKhKbZ4 pMod+u9bp01aGTeAdUzO27IQdti3dYqAiZLC1iGjysQcy9/Bbd4l6C17d2zF/T43Cz5PZESCK6zN 26ohKaUVKNuoewTWC83CcP9dgSZfSD7tlunjcpdgTZ0ceq+eLe3OnBCmO2Z1t08IuGjYglzju/oi AFj/cr1p712sYuRJx0gYVsd80JdluGZwHxO8uPEvL1MzTdiKUDrQ/PY5Bnd+SFtTpOtTst+cuILk bNX+c2hgdlyYgE9QSUXS5V7Urx06ZRxF38/1eHJtdIDOTfi/N3u2zuFkzkJbTwtN28BhL0A8jpTT tX5JiGEa+8PFNNp0M1pjwwRfenOB/6Qxx7aouEyQZX29UR20UwZTrCepTs1mMJwQbxzqwDUKRcSA o+gNPFmrM2Bx3FIQUUEHKJEmgmSAYhsKCtKkvpiCX3XTl3ydTSWlMYS7UmOkeXZA0N4Sqq228xTd NsZHLr18AdHoI4xhKz9Ok74gqyF3U1YmnnSnHscVbWLfpkqUXavHCCoMIUYD+8/XoQTCTfjK/R5R 29SGh3FOiV0TGS4TEhxmVEIgvnC7+1mGAM/9TPoYREFZoObxMfBjucFrbt9mDea60loDiEr3kBkE ZyrdceB0jsLfjZb/YGJFE7ZR3V0MM4FNty6HwBEUrGCRqVbatKKgAjvRCiyCzZ4Cytj0Mb9DIngs iF6DZAkN3FqPrrF3EsBobEQjac9LweCUG3h0Woy2gIH4FFtShs012lEUMrpf0TIyIIzrRkOyoLQh zMzrDQoQljJ4hk68HhsmVXjkRneFCoTARgF8Vt+uqNmb7P/UXQE4x8H3hJw5z5wqxcQxLDvFshMj 0IBMCEHbxv5OCcBLV8rS+pqwtF1iljrBzvGZJ0lHjMHXxrkMMlVYRwuzlj3sr6iVSRi6EesNPycb lGUmJU5t5Hsz9PLGwyMkXXDI8JAE9JmSLjCTG8WzMsFC7eSg5TRS7zN2QrPadg2+0tPk9sTEuvjU YfjjuErpT8R0BWB5j/k6rt1JRmEgf6H49kmSsw4zIMlxucq5ZMTECw4UxP2cHtfaasdoOwoGIlJG SKjETCLH9b9/rPHQYph/P7Sr+hatWqCAEHMmbZOgifSOREENINndMFyamIs7KffRTUK50vC9cAQL FRTWvRrGHD5qjVzI5LQo+Rr0KsYx/ovUbqAFwH5qzALnYhHA77vI6E3P16q7cDE6ziHFT21i1Sy4 p/ijjkfhHbHevbDk4Ra01m3g76wQba4aNrf1ETbXVOeWeUj64x9D6A48HKXAduwXZLLlajuTODKb iW+lYLhYKTiYbJrL7U8cKyrqSTfLlLZaiIUUQ+3mnIVfqhXXztnEjwTslRlYtMrXGZviGT1AAnmr VARrynF5NP20yeB0yHhENCZuOaBPaCSHwtQjQkg4ToIVsbYbgIJmkW+i4jI3zkYUfSEuzTaYkMp3 3+L+Xp/aoHDPXzewVeDx8NiPjahvPD6h9LqqmyVO48nmxw8NH+NPw0C3c7daFr9F7J7vUyvzDtti jBxtG0N8VUJdQLAtI87MSX6Lm/lwD2ipn3uChDB03xKkje7xRhw3rE4lo8GuhBk7XgawEHVrw3vD T+bsrhKmLhwl88IGabxNYfLRIokck5ixQu3u/PzWkM6BCoxblHjwMjiRVHl+TCgG1XBDHhPDFDYw E6k8KWFxqgwfAWBarY2M2vrmukc+OTB+BDbrnWkxf4zVWF5Sbo+DBxUedjkUt1jJiNz4vtKkOOcj EnGoSwYJ+rzOqqZF+hTvYQeeJ61PHj5MqutGcVLK8tdMmHz6oNk/dj8ucFYTZ25j1gcA7IpCQuiI HVFOZRmNxojXVIzfg4z+/K8SwTUgHJtfzpmwnqbGaYEg0IasYWDqcukA38ZKcV5nzb/JLzZaVYqX 5F5YJuJCDkFOh7qayyJfZgqkWWEDWAZY6rnPBegYKeeqQtzsSIDo/xJSh2hrQMLXXjXLavyoTDfS 4537MHtLSq1xBGwhOzPdmGGUxMSQGmpg0rjdXU0jLZNOFvrXfh7F7xSw5BCA/7f/IWDqHujUaumS iXyTitYFJv1iUcGe3VG5hKmubzsrtxnHIWNoelhIA+A2G8fUaXc1yJzy0vI4HCyYcwSBmW2fyZgh GozuinG0iwTeXcCFdZsa+OBdm5OgsCi4AwYzWUbMSf3UBwj4pPPPqtWlowmIkN2M0Ch7mfqM/uVb Fv3HpnXwUylKYgxF5xfP38+HmhxUhLQB6AGmbMaKCJNH2plI2U6hfl4Vu7Se/9tT/HSgmWa9KpLz yiYOWhh+hSoqxIbkBa9zNG3DJj6QIj6ueNtpxwfSOxmgkrAZhB3sqVmQoZLAEksPWwVr7nJeHsGK eZJLXvuQQ5h8N0Zval33u7JfobW2O/5ZUA6n5QcLGY5D+xfoWSdqB/a7cnbuzFvqOSzsm7514qYK Aicd4caq8CpgVlbzFdBmNKPpXl7cyNR5ar7rmWJil+W1PEtNfn+QulHnpk4aQPXqFz9O+BCTzEmb rHa9kQ9XO/A9yyGBBMZotcTUT109KHKQp7nVnkszOF46iTA0wMYui1B/5/J1nk/3WdrW09XUKqTu 0kpRgU5LbwSZqb3iO7a2N9tE/sXlpENwXFEk6MMuQ7+S0TpCm3XFXusfDllS6ccpkL6vtg7PkrMk DvgkPleEmblYMv07qzZbGmS1iBxvyYFLpZl6/jAZTAQh9QOe7A6Ma9OcCDq7O5DRrZ/agD/w9dqw p3fKNjO0h8Gk6OuEdKwArKLkMtcOplagWOr0VRjsZeLZT0W+fSoVjNZyzqVvhCNJgcSlNeZq+faV DrpsEjgE2nCkT/vKjhP5U5Q/MiWjnOwCFYjouJvKVZd3+XcBzFTbvlkFnJDENqt9pA+BttSic6MD NWGHXYGwwtnqVpBrrg06Q5fX/NoeogaQMn9/UWUYoPwzXaQ64lHQPVcS3hCVrHL68F22lGTXtb6k NNFQIbO3FAzKLwrk287d5okuPTDs/IcmaAg1bsCCajouhpzttuPw1cbkQwJhpr0Lfsu3kXQK2mzs OV0Enr2v03j0w/kmUDGRakvhLaJtU5oun+2GcLH40zHcIj8CUfzxfMdT6uRMHUgYWB13mWmIPP97 E3KIXejmfJH0KOSb47x33bkVpsd9H2ARVkMpPyttzXqgHfRoi21hOEdAA9Pp/HPNv80UMXQz84Ie ISmbsXGF6HD8mkvTPpbrZr00XvElnb+wgLwmc8Ex2mCYTERbjwrztd69+Qrk+FamtQjtsPN18U+X Bau/Tpg1/K3tSJyGVTHluYn2aear6Yq7HbxJ+yL2+8YHd45WP1Sn9JViKgbMQgXWqU8yqWh8q24K 6rMacjJdQ/4o0l9qbDU1S26oyONM7ioSIjcb1Rk2epAVRc/CtlbNKcH2/UUFzBiF/qEsnHJfPYox 9oB1VfyDQDTHxmipVyed0c6tzfXgZdKwJQssWfCQ4cpHz/uLpeM2++jckEgPefRY7Q5J0O8NRmhB q1F4aMX80wuaWDkNpvzlmL0cyly50N/TT0KtT+vdzxsG19ra1dRKgKtvsxytpK3RVISSvN05HE72 4TDGTCRhQjz6GzY3QG8P+1h4jRSxS5XquER+zLyNoUrTxcpx2+x1IkCe/uHhvMl1slipDD7sifsm qmQAxEKWLtGWn7m0KST/qAe0BK/vRIWOcXNYp4+Mc9eDTT/xIrkX1vNx6mmAWL6U3+nSVKHgB151 xzUo7JMB1lElzJiFZLCiW9CVieKgWzbjHncjQeVmPdy2BQbOV+bIbnYxBqSuMsibyG4EZ6+8bYjz 8Y/V2Zsle07OKDvP9jwTZmO3a3bGRRNE0Q4gae3C5OD6Do6WRGHkHZJSCFF2lj2ZqrAifmJvLssc BS2JzPgYkMbLw4xJmUTCVGxF6kcomySxsq1j3kqRpiHyjhUja89N5x4DI5lOz/hC3caHVCBxRqRW 4M4FrkpAC7NeMagSOPsyegS2nynHlZKuuRvj4mEuBBPWJwIqbimSGaWYG5lfSs93CZKus6A/cu6R cbWd77Zn7NsW6dF38oBTZ4doKMgV06NId/DtgXQsaJJmmrGJevwl2rccp4NuaH1HzMgw3He98YKH hvvEKO8Wqo7tj5uvc2ffAHwUOS09guOxXaS99NWG7CwF8SGX/3WvgOHCv9VWDMDQiDzolwL65n4t GgA6nq3sO6YzbEDu78YaLxy88TXpjbr/WQZTiXN2VtDi1sm3rQE9hT6RwN6QFy/5WTOLmlWQR7qc xu6t1uVzMrwXgveB2VCicB7YyDiq+aQur4Z6160eeHW1tZlmzTtizBHdseS1Wb7JXE5mynXhmQKN ISFEuMdDwgFVEzVUuz9rvANM/S8hZUkuanUcHTL7H8Fb+aO6agLql7GZkcAatYauyY1/9L5ZPKUC 3A76e42La1YTucM0avFmcMb0SqGbJTa1vRbxAUF8OsU1NUMeqgHw1erUNF5u7tJA4FnIqyL6cuuf p1AXeDsGcUyguJRLhtQPwWHZVhnS9mwCld0KBCXsx6HyP7q79ZaDmhivCVLq6DAF8nYx+ZBTHGcf ma7V3dbE8OPaw438JUHQYDp2W57hS4wvcnpUVmDyGAqYBZHGN4SjJUUK2y+Xu5GTMJ1HJUyn/AEY 6Qo76VBqNS1nAOmWdzgs2KjEgb+k9WvTVlR7Zrmtgctza4jWQjcErmXKl/GA0hxBpzgf9SfjrzR4 ux0u5X2o8U9TjKI7eDWm65aiNY8xxB+d/EidJF3Vcho1oEerZFwqfltqJyyNzO0o3+FqZWvF0bnQ jKrVrssXg5fUQlczHd6YkzK7JTO/xxwXDzdB4M65o9cY2pPG5Ql3oIMvq1dKG0ed8liNxA0SvGIM xW+B60kojBf7dLKt1VvPzPTqPfc3/y4qUteJY5Sj/+Mxt31/VZZ6RKHMmB4mBLmGwviQlRJDxfJl WOs/eNDW4jWiKc+4qv7ZRD1V7F6niFkc6zsLyDy2ZUlh2LsjYUpUG+xLnlcZ2shbYhRcwlI7SbXC 675tFGjtSJeGQ4dsOkObf/vURaWoXYVRoQkFVseZ+UgvfSLzcMSc/vWp2AQ9v4zGDEDJwtSkF3NL Mh9UymB5ZDPf7u9novvRnBH6O1Vv3as8TtERXjnFKuUihszr/ZqFCLV9Puczr6M2Eoh6Wft5msB2 w77TPWXrUgib90Mpu7b5p5hePQbIilIbRK4fxRK7qfDcf5fTcrdwLO/yiOKvVBhW47lAKbGcBw0l iUuGaBu7WjV8uNXaMKwu+n4s89y7WU4Vqo7wvCdwVnNzgjSAL3AcWFWSALstRzEz/nHJl98nX/EJ tImNhZC4o4VBMyK2W3swNGG1Gl3+yql7I1bKhpkJfALzbmVKA4hS1be1DRcqEdpyRsttHp8o6QtJ f7qtfMtnyOlSJIrH+TO5dBnLA/LuHs+1exS0DjyLbAwVRzGj1+RT725UX+1UWlmss/R30ztJ09Za UaQ8+nfBgb+2fnG5pzcbpO7mPdmdn6kNvca5zv84fNbQMacEoVKBz0h7hiEj83zFD6ui+KhyopmE BBt2TYbjBEdoAfB2iSqWw/gNKl41dkceQVGuxjPbS+TPNWsZ4GgTqR9SQBLkMw9+w+hMEliD1AzL A6Ngu6StPcNj/h/oOvm/2BQIs13rZk1ULXfboL2eRQBwqyGhGksptr9AWh/6q1TZS7Mc8FxAeXBD JWqeylWC/nXGZ4aQ2yfGPjrg8NMJkobGWnniJXuiF2wAVSBl/k++2qTTdgj51NT85dUevJdF98VX kYJG9YUI+sdZuzvt260CpPKCSDcbGInF0rDiztaAGl+vbJa4AgWPybDLTZW5zeLaoJWXPRgVe8Rw kfpO6Zp46AcRWRJzRqnJeE0Ynhlvun7SmcqUY1NYPE+DmBUlguWWNnVge9lbkCfAB8uNT0DnE/qh JfB2A+FqouWgoM/7+iVF+oonXDBjGThgxjplAcn/TMXKicfIlCjwjqjGqSB/xis3bAYY/BExNDy5 r5K3vhyKRZ7v3zU4tD6Jfu0Gho+v+bLDgOnzk3YdML8ZD2iu07L+/J3fDhjgf+HTlnXgTdQPJjDt SQOxrWjIRRH4fLdLnuHN2VXI4utlZ3AjEAl0fxHXKTwDZKnfXtnqtBOD7x2skAyLfJJbJi778CN2 +GSqMdjFGmfBjwGIpqbqAQor+h80TLwgxekCT+aObX2vO2unV9Hu15YSt/8aElhegyzAFcYwHLEv PFR4PeSkBhDuKztVkZEfO2Tnaz8GRVEjcwFG85v0siT7OrwJWbY70h9ogP6fqJbua2o/fG2WsJVP Hy4y3Jnbh/21h4v5J3QWrxEE1nKMsHrNS61S9WUJIZGeqSDr6c5phkt1AyHpc1mNDIf5wQ76MQQ1 V2hy+xeGLYxIzDWzeDP7UvcXeE6ztY0qZK45DX0q8/o7pjv/wbNGpelbqNMJFWHO3fy8koOWqP3A cFBwK5GIH/b9bfJtPPbFNzOWSO8Rfggus6tdSezOQhLU4ijIZzMigHuZy1jWa/hWYyYrG/0bzjsf k1HBA3g99/VtkwHxxznXZM1DA2bLx8NoNHJn+fPEsLSIgeqYuiK3BoK5jJI3ErlHn1vJaFCax5mV XPz/2AkOu/aw3/O+QBm3iBtiUiuid2ucYjWcIGIrp4Nb0pzb4IdEU+YiskIUM4RPVmdA+QuLycKe uR/kPbWLkq0dCtqpUQiUdLJBQSquDWVVPFFGFQjbyB8HkSROYanjAEBPfjzUv4ryVaDBZQ8Wdkf2 l50ZBFcmvHdp2PbtFymidNMShnUrOEAJu27dH54bj3/pWwSJw13s7w+h1xZl9K7vKf+yqZMM50Ku A31QRMxG6g8alwyJOz661mwXSLhHzQqRK2Wd2fq795CDkG6IJ9FgI1/QhA7WtzmjExFDrnrB8bCY cbV+HjVw0D4G7gkjWzzidtxMStcEieznw45oKiKI+KduqyNfZ4gHcPurXeGietlvecvDybMoA6gF 9Z5OIQIZjqAigdGg+pcmZB/vb5l6JZoJEMBW0Z5SmfdKlih1NpF+8Xj3iSMMSOi3InMspCYBt/EH +R3i56b8e4DdUH806VKWuPFKSXXqUE9V73ZKibVLoVp0d6HbDmuViZKQAMmJP1DDWaEreslkkfzY nu876++KlvcL99wskPnztkVSrXjcm9Mi3jLwuU4a7BKHZb/R8lDg6uv3xwP6Z+ro8wMiWZ3vIKU3 xksSDxQvcO9wykM69W/zH1Cy2PXBR4+YkD5FqCiEkO8BF2Xg2jKeCMbs7P8sya17BijwpPRKPTgk n1W9tNnxlaY6iwOhmtAXODj0D9EEbbRP0LsPKg6S74iZV7bq45FS9LA/+2w6ki98otN8CDP+aIkt 20rkc/luA35pXHx+0c2TrDRegp7w/9Jwc/GxVPacyYQtEaPiNHA3IReD16eeTZPXovLal3SjTA9e aodQxEaESXpJqr7aLVeV6LQ9DzeoW/rQscBYja6nMfjMqY1HKzSgXahmloHIfN6TwKW6BkfbBGPF n7irVMgukThKmXT7+lW54Adv0fy/cj6RPOpE0caHj/zQ4nlsTqprj4PH1NDhvHfIEXOueqy7IRzm hfw7L/D3yWp4LymNxkN893SHhE4w7du1TTBiRxGsIrBPZPsdQdVjTq4YvBUqYEYHtLMDmwwi24VV 8OTCxsEvqxzD7R3//klHGlmQdz58JUmQyF9Hd/UtDuQRt+4ppAbGepn8RvqJyuzYitT2oABQ9RyP 3wR/osxr1EjU+j3h6n8bf9KDZqT0wysjWNOEdJ6b6QyYF33pV3uyMiGtwI/KI1XuPkDTyu/CiZxM YDERw/Lh1GNShpIv5g9GePfwekKRHq2aENqjC/GDW3JkTOujPWLqUl91b2UTcky9GSqarBWLDtmh GLyT3hS5G8nTGQHp6TLGFaTxnjwZhZ/kMvjxr5YrFzHPyZsXsKGhzMGW26udJlls1pdhqnwmJ+uL 7kjUPD6qESW8fpXE1LtBOd1ymY05NVjURC+OmYPCBiEIB7UgfsBda6fWrJqRjUTsq1tuBNSledUU W4/Q1rf7WaB1yt9LpOT7EqsY1QeY+584jqUsdE5gvbrhU52MDlKP73UlFGD9VsLODP+r6dihiY2R oFA8rePIwWR75L8nHOSvyWlyKIsGt2bB6oF9x+apwcD2JZHBAo34BhIwn9fq8SgYzpZpSYF07JNm zqLnE3tIdHdRhoIGdVAwtbVvvNDfRCiWnrq0h3a1BEU5Baz44vhIU1K9mZqXHnRsClLpo8Cu6s0v NPDcuDQ+q9dioi86wl8RjtZy//puvX2xhhIoDVHod2eFAFRtp6J3hAT3jd3NsrF7WbMCS7lcBXQk Bp2Su2GQbO5dfDZJTXAWJ2OHzepgtj/YoMU8x/byEDHy8HvB0nSt81+BRuGogQA30jiSeRPRjEHt LepUTrdDoGB9ZpBraYGy0GeOD6IkZNNBp7GF3QIDmCDwvNRB/J7pBrDgN5jl6437Q4gJuX1Hyv95 wFfzDEWNCQgpbufMnGuJfIEDhRLFgAKsoVfAXA5bSn33U3rBrXmEEQm2JGf6NYbg4FNjPvQPSPQt b4A+gmcOpunEG2y9ySQw6wXEW7VhoiD82Q1mE1v/NKir5mH8Mdh56U5VdsHzh9c2kX65UKTxArp2 F3clSt8EV3AsQsZUt0sbd7kQ5vgDtcYJeexS3kTqbFXIYQDV1INCe7fjfuYI24nF2DAHjOuNNh3r LIBRDm/MkIYflm4QVgu/CXyFLMjcpXY9pPpbAhfZVl3+JW36Y0EzG27ZBX58wYfPc4t5dpTdYr5X RJLm8PyvcpckK2oWIJ78A68Gg++unaQUf67/SUpqY2Hc93q9UuXUxoKlbByi9DZhIOVrU+kw9AKH nmmlSlwmQ89b9H93BrU17T5YUwxjURyWjc/VFTt6s8VqHNjElyanF+a0RYUdH3B1GStfXW+hIKXD OjKxmlIU1l2wko40jPoPodICxzj2xvoXkLwBsRfdUcaEqpGnlnWuHuWRuzMWpNq17piRyN0742Um OkBGCYFwUwOZZCG0V76nRRQuD/Kw/F/8Zg2FAFXKIxvOIg6q7zfNUHX7oePELo3lFWbOdglYcqDd eA88DZrHWDycCcw6u7ruu6Pvts+uI3LwNAQhqzyN7HFRoDsDqMKHr7kFEvWymnoPri4JotBh2W/O Uv2oSKohSihOZ4S+5gaAxrawCKpqEWJbNjiANW0G29RUTxREC3g7Xd9GxozrlkAuBjizHzPu+sFE 8TM/wKFUefpCJa58+9o1WpMIDe85BhAkYltrP7LMchzaUpQ92o50SsPnLFKielwX5zWSzEVZTV7p pikmcMtwPMrY9itbdAN+h/HDKZGW8tUaTRVRIkAg23CnHURhgxIq3lPNEdF8qe1gsgbB0223Ml2W q0AlFIeWDDvu7kQHo84Rj6haJkfnTPErdRXLrg+ZKzB3LsO7V0IBP1VqY63nKP5R1tttA/ENvbbh IcBtiyqSqAZ/ouuMLTtT435FoBSkFU6T+I7IxN5u8otTtYF170cbwCi+9FxASf2jUf1GlrZL3ENu G9qA5UCRP8Tb1T8unm8VIBj9/1fT57M037jlzEJvwZo5A8yaNcwXyl8Y53y5iPvqbysMH6n+KqPl ANn2K/0bpwWFejncQUE1lu41DoduyyHFb/YNccHpkCIVhZQ/U8xHwBwxtbboVOo5LCGAWagMo9O5 pft+nRHhOjUuavZgUDc6KMB+7Gq2bGrEyiTAdArQvzUoi+GP/zJogVMLSRJLr1vxuzIhO4GhtL9k D+O1Dfg6kuSpNNxgCLlaBdgH5JGe40usoRJkqBNg4fGOoINmEMIfqJvfT6aWipqbAd6cLrXtSvCC FX/Yo63vNw+VDAZqPDS4W95YhBLd0nJL6xGeae9c13+Q+YwUS3mX0mmeX1UwOYPT5kH1rFsHMVgf vSZCJCUMGJATCeOn7NFqm0VYcouq4JZ2MoM7WPIOOxC1qAYZH1by490Ow2JinD3bVlg1SbibD/h9 asitY0TgaKOMtfv/IxWMPnqgbh7+n6CgTI1RLlb65P65s4K6P3XOcRl2rJRmkfb2sjUAl7yG6Mrr 6lAvXNrsWu3TKOSrV/Ee5u1/dcIyGaXQCtkzYoy8HqLeVmNqy5jdWvvuZVZCy6wGPYm2blsywzm1 iGHMgmEg8+24GuvnRinHnE8qNeSZNpQg0xeq0Cpj5pr/CEd0rAEWuenhRiZbbdkVNCH/NHjOtn8f yWuOvLgukeghj1I0TSUh7Crbk4IRJU1xJMJCW4DS77vL/Q1IuFQSbHJK5K3RMsOIO7TKjCeY37/T qGfCbgoJju9Jr5nFWTZrnjEQRcrRd3J94SFcVe4gwrhfUHxehVdDSZoO/T/ElZGIQ8r1bnE4mejy EsX2EMz0j4YTRU2FKRf0uA/DYrJC856ipW3sJjUtKjRlahcc6VdL0a1kH6tYpOD8AB+ju9Min/Sh wGqb6nml/xVSHSwwKXo8jAEgih5aHz7DIKsjlQJ4ihLXiMZKKR3m3uFitDCnHttcgULxyc+ALYJZ HIWvZbf0ZDPortb5o1Y41tY9MK7/SF1nHfT36FKF6AESEelXW2P4Z6Ic0Zgj7bs53BkSE8XfYCgc eL40cS+02JXOs1KP0ZrvzdMcyjGeXBVRIuJ+ZRV9mtA4ptxlXfnr4aDNsBkU84nBz9/gyVKJJQkp hsYVQZEFBp3JH2liAj6ucZiKqlDVokRgThaoIWMhi+VkDWKUe7VJX+CKNLk8Ma8BA5o2j8O15O+B lg4ZbHB+Wti4s8ehEEzMXAizqPgupE7w6P3SqxmhGMaMt5OEfy+E2zK3MAlYaEnSXF6XI5CVaqwz 1pCwGbVtK9IRQFmJMtuSVNAem6/gtB+3WeepHHac2lUFDz8YmPxyJm6SxxcNw9I6Y/jcQdlZYC1A fCf4w8mMNrwA5WRHSbTv28+9McDeNhVcRDaSp2jSWzZhISTrUutIEx8O3yckZsgbdbt+p9OpAZVd nB1aTARNhf7zkyiPDQIkF/zPBzre+Eb/SIhjXY/alHOHPlEKhsblWDaB0cO+l+OmeCYpvGAY4RJ/ SsBJ0dBO3g/Ty2aQTmeWqY6cMKtLfNwvJnPixcgQYlf6w7T8r+Sz4eadOJ3sW6TFqklgdQII2Rwk 1DiNeHlTF7MppHkP2D+/WA8LYfiX40puZzP++wj5DxXSE6OpYKBLHPY11dkNShI8aPrvElLqtfI6 c1p2LyTxuPyDVunhJ47tnKOOjk0Io4VnM1mFG6jh2bh0vsRK+uIe1oFlHpEu0UUg94flyO+P5KGN kasOWNZN7DsCd/+gzD3gnXgdVpMXkb1raa42rKqqSKQbp5fXFRUGhH+ti6H4h0aKSt8cQDklKrn4 qPgn1YnpGrm8kQMZ+/TKIYEsU0spXeZ3D7ULbxIfR0sfjPF7MgBbAosXyCHyTUAziOCAxb67ynWg Fv/lVpGIig5A/p82aeCRDpTN8NlPL8awW14HGP4cmRRshPIL3VNWpTP1WIOoPX3NNuF1HewlGKXp chY46X1EVyvVXiVR33bmQozlB0G/ByNh1beE3WZMqDM2Hi2goyrNIzhaFQcwqq3G26uXUR7U359X c1MA/aZ/ZN9RGf3qJ/7BWYoW1ExCSF/mVYEc43StOxpScdI9y+mRUbIPAunhQqCXI+HggWWP364Z Qx4zzJAHDZ2sbWK3XMfFv7FM9LLWUQw1TV8ZfAv1vDbLmB8BAdOMmgx+C+UyoUpU8fSXfE/YfH2K 6fa/5Iy42PPVVRPdxMOISC/CnHWJRMMbFB6nd/G4frQQHFXipyGXTplylwuFyF9T1EwwNpaZqJmo kypjaevRdL9le+1t0xdbJFZsQuq0vbHK0d6iF0cXZKrj1CQfpMtX1U9PJOt0s3ppiMErzU0eIfn8 i8/obitwNM+PcGkafFXLX5bS0GkLVlU2cf1WE7+DSsQxGLRK2zS6ebNbYwof/Zl64sBtEcQm4gN3 vveKGzbtBMPP81h5kFbQ5AoTd0Hbb6eebwEmzn1iYTYbtHGiOGyhOwfkQAweT2/IRiYjrykATkPA KNp6XGc5RsIjcmGJWpCOyu0vWDJYBL77lZYzVrMzJBgsVipaDJI8JYe6rsaKQWDapagbAqKN/BJW GNsg+dSDFC2dX8uwzKurLnYAh9AsgLdLGOUS3s3tzpLtecmyoNBvXGYiepli3E3SEi7gtjgtBE0C kiASax4UT6rYN9N+JWKp+byyZ5mjBJ9Ygz/I8jFbm32OwV0dL3Wkf4dVezKfE8eFnJ6BfBEFpC6C 4ak4+wEgQDLr+hkJulPZWuGZjC7hTGfAJxHst2Rtl6BhilrGIW3C9QLmR3mAk0olD289ZiJXjDWL aZz9xmSyhm2sdVA2M0/ZP7FkyKG8JxUws9a1llCRD2dQYPPBJ6Z2yMtnys8h7Zc5uPba6jty16He Uk9R3pQm9STaY7SNs7VW84n7OnlmVRvKuhXrC1LRUeVYFG8VYtjbbLUqb22McBFAYkPFY94kHXRP pEfmpB89w/HvTFowZFC8qRtWeIIXMpJ/fyQ3tsrj+scK6h4H2abj/WjFKMZl+DdmQY+SdOX7bJmA Oo1S/cyJ+62j0Zi0rY6Wz48ZzbUDwxh6KQH/+KyaPEv2bgRzOIiIWx1gzVR7GpumQOAAASE2xBbQ MaLqZ79jEiL31fvUZM02PhWJH6QCjODy14lcanoLpKfi+7Hyo9g6o2mcFUSjmxKYGZA6vZ8YVvwa 7mG6UtK6ru8fAjKMh96CHjK2yhNMkOSk+AQ6g21knHpSzbU5laOZFJ8NuL4NEyq949dlmR8JP+IQ PK5yWsIXOn+jBAxyKAPygClaTOMORXPhoLLrZt6J7Bq5NPFy9gX7WMfdXiXo9IwIm61MiiiFzjrw 0+T/ZOFVK+Byu1KsX8pM07cLY+At7VUfhoxhisMjP4HJbBRbl5sBg2Ue1COp+Qa2bRpOqhOu3i1F tngCZjPpzPNdC3wG4niLNlrwvE6aA+6Jx8UGMuFUQ4dS4AlDtCRNLI4KjJ+AXyFTXHcWJyrRRvr2 fpFWl6Jp1BVFpoDrEbdQFp3SCRq5rD+hOB2IIB9KMJY62/HKY3urRSq6V3Nmhx7H+7Ec/mGHLQ2K LLH8X7WKn5/KW1ntH01V2Z7fZelSnNbTUSCwNnaS7ImFpFqf5U9ejMBT4gvNMwqZjQVFMfYW0tct Grfx1L576mFtsE/TRoIMVfoQ9aNE7NMH4+IBgfIVVKzktRQguyctwpqKTMOOtIGwscd2iq7kH+rd cZ581qBFRLWfoRSRyKOr6FHcISskGkZEJQF8S1j5EmmDD7x/nHCQe/Z6qbLcvEDXVA+UHsY0LASP eMXEKLStqG7noWq/OsX2WsK8sCH8CoYEPapaI0fnGNPNdwfmgCJmrxzs045IxCc3dhFmJFMNEihy rnuixajwLbHi4WrEjXE34kVkCuYEBBCwu4XQg3dwIOdemFKrtMyq9y8YDIkxYB79XyVeSzEnD9ML /sbtTpD5EMO+ZwlnqIT+KjmGsi3b42AN5zNz8RDjLBE4dR0m7qGGGXyPdhASeN7BRzZZQJH1XGBL IlhGJuj6kS1wLXnz7fJdroR5Kj5JSqaAUzLbzDabL5PXBJebacShCPZU/knHUvdt3n0BrjQOdrgg 16cL/DJIx5VdE5KGs+y/o/4IlZaXM49oM8Q8IqueOKesU0DQ9TQdB6rLGfynK81Tphr9Ue5I+lWq oVuTTQtJRtFbELP5neGJCZyS3n6iSSThR5BXmA0JxlPoa6dCDiBcQjMEqzw9+ufMWSLQHVRu5AmF yjp6D/KMur+vLJI+RlU8I6I+d8iomnPNiVcaVOxmP7irc33KCvMa96XvpSXpIOX9wxz1IBUHbZIl pD/3oYCJsf9AMZCT0dGcRzhQYkWcuKSQ1tDYF0AYams6noH0bLURv5vojT+vQNLizEMhOhE64suH fDlH3vdHb9mrWCusTNuhvPNnuyeniNDkJzpA1WkggYLyaIRE2HRS2xsF3v2kA02I6e+c67gjQQ0V VH7E0Jlwf4IjNbHl7OxwlIA0rmQDqb0HbbmMh2uJVBNEzR5kodxQ4K//W/9f1JCvc6fC4p2AR9sK madKHklLu+GIngtkyJHQcrIuqHxUYw82UoiDlQ7C60UMRyXCCCrtCnqL23QQI8M9YmN4CvQhMkb6 6uz6nZx+BGORtklmOSugJUyjQzE+chsMtSRBt9rENWf3OSSvFY3BwhfbcEAXGMqIIoJHxAD1M6Xp ojr6cg5m/bUawf+0eJkKYKAIskfDRzm/umAQPf2tpJlv3bOCHPKR5Dn0jl58BcOvSsIg4WEjKKcd JkiBBXGvWt9ieh0S8hXHs2cqsz3vgxsMsWWrt0+RzoQbdFLhCMSZNIab9QivNos4gJ1IV5nccSZ7 LWSsgWoSdEfYBK9mQd06RdCKmwQvxTFwcE9EAEl4QPMLLzxphH5xaEIp4gGEFX16KH5ZrikM88MJ xUct+d3fa/93IpLAA9hdOep8C4o+R3ItidU45PHJp9i6i6TZ0EOeJJ3i5kRAibRy+QJo2jTjV9R3 I1KGuRldg4Y4rw881MEj5+I66KWSWUpBMoyYyfDPgr0c6BFDG43TTy4oCS1Tg3rJfm7+AUMa4J/g hVTN/sLTUdCU+LoU6poXyJzj1NkCG082cSNDJnTc2kz3WIFfBUIDdHiUStI7L4fWh6IDrmz6ms95 0CAV5l5x/QIZfO3BJAvsuQfCkSeino0d6/KEoINKsvpkCCTztTCyMjE9v1R7yG27B3X5AVikT+1Q yF5wpeVDQS/vkJxgNBD3JYscK3t3h+NiKVU/hKsY3bAvLZCKRy/m++29CFo5isMU3LB2eYSbBq4y B11vtTTLd1M7jHdMeOhuMVy8dVvy6+0px6ZWqC+/7tnljNL9mFZtiIi+nA/rmlcmd4pRweAZ9o41 KQEoy2UTBoBzowxtUFOIXeDavZpwKR4y9x9u6iymEtJHfC7+hgn4Wva9XcCihtcpVFj07UQHTieA ZTDSuYEmXE2CaRlU7RKiex/J0zF/Xq34lQRIrg1/Gk7VXqEiY8Ps7N7FfRG/FQgG8VZnXhnuZScE 5bEnFLppm8XOwjADzD53AMUCkZy+h6Mjwp9U45EnYedHpC01oiRjV1AzDXay92f/FoUrj/0Fuzc3 f5FudStCoKSExk+OZuM4uhdROk79jnLKuI3xR8naTwAr++tsk/GTjdNoBqc4NAlYyl7RxUhiVcom HQgtDgfgKZVUcdjJSEnomTK/S62YPyeGuXQf9FD3Qi9bGps4UJaLwYdqXmoN0g/Jbnnhf9G7c/WU 0hFJV8LBTzKwwTgRsV+t2BxIVMKyFhmaGLx0AeBzHuXnBW3pZgbE2Hf9nCd9TYSQnO63Y88YsMCs 331WAZiRe5+vSH1IIxKJvl3olTg7Kflg2TbJ6Pw9qyY/cUCE7FfYF0KQiakVyqnCNj+iTj9VWbSh DFM0duvAmeQOvajXhVBZDRnL55PqdYmP2q/+DmRFMPDQarKRDHjwWTUaRsz6QE/JEs3fsHNyhJos d7lF7Af9W+MNo8gropOsfy+ivC3y7RErlaGuEOlDXK6FkckHHAAmOmTl0UBtbuiCaH7vX6eEdyAC bGHXBckVYC5X42tUxPwDDUYIum9J5bRjCNxglrxmzpDZgtUbkIlazC/8fr2y/ozHHskzs4HS14mC TTZN1YvCbWZbzGQ13idxxyC9lpIAT6nbJbnA+iWDZOGeClszqkYck1+8lwKAtvCUTZlTnwu2/AMd 5JcJ1buGz2p9h5a4o5zpERMuHPIrnXBIDwHeCIwvj/ouFSqSCAD4k1l6SibBYAvJn6sYEI4RrldO W1XzdU3dq2+lEanlkDacXUdKVvxiR6/aNl0NS7JJ5/SeLrqIRdxlTmE+6/T3BoUv4xbSPNHMzeoy 7Kw3UCU9TGgvzc2v0VHtISrZZ49ZHM7A09GjIg/B+cP/Ns+vRk2dN9P0z0b3jYaCKz/eBpAwr8ob QWgv9i7BTeiQSA0eV6wwz2ybAJttLwIQhkNpf7dd0/GCuae0oPDLFxZRpQwqnw4G5lGICv3DA5ym QlPr3Mb+BZMSm29Gpf8AscXbrbYZ0XIrhyV7s6JT9gcd9F7OOMtefigWQEqck7HiAP4oUpsoNEL2 5SLj9HHfHcmjhOZPQQnMyKtSkTMZbrEZnAQn1uFsqivbdyMyexJoPPhw4J+IrZPS+13tOHZSsiLa xe9iXvFcvJ8iEJW61DNuQ8QyzDOF2EpfIS6UDsNDJQHToscfPmuHh09Mhgwr5mOaGokTHvePY3Rd CgarF3BAUKXaVOLU6nAdSn92aoEM3HFbzwBIsvB1D4nUj5aHXbWJcIZUSoHgmOtLRLfdmP3M+EIM k5XK1Xv+s6/1XPpYbQ09T/1eU5PNiO+KZWTffd4KOfEn7jDgy7csZeqhECZGDGxCniBSjadamP31 tYmjya9RtJ3dnJ80tbFx3XLQAJs34HcVBQz5GkEy40Kflhpb+vUv3SAD2AZQ8D/4kKZqwtPZF1V5 m0mnB9agiOtsC8tG3yBM/RRpKKOW6DyyQI/xKtkHleH95NqHlQoiQvo5RCsSujlpQqbRKvQo1/3c Rxmwp+EWobGSFq4BzOlIpMtp+qNdS7wF8A07akagDYgyfXTc8fzA1YMAMD/2TQshtmjZ5sXW8UjF 6oarWQnwh9kgdM8mw4AByIpsvnnUaxqSFnLWb4QIPxBEutgYjdHunWZ+ZOSHFgrK9kJQHvvQHzi9 u3yjs3t5aQPiZrK04vx6o3fdgkdemF5hELW+DJ61o+d0HB2mKQWwXQ1U2WuX/2MSB6EIfoVPNoZL 245IYk/k4277uU01F0eQwJ9GAJz3BHz+5w8ZCns3Yo2OulSW/6JqzDcuhIGga41QkTg6ROI+5tEL 2KsKQ64NNIKrR2YgQEfOzN2PnyPycco7NbvGy8OrbpFJgaMNbpkx1DQrbW//xiw1whEPmpSB4bqA k1DeMUWe73zTsBriqSGVvk3N/nrwz7Y9ocDaGGHiiP96egGP2bFAYFznABJ49bfqlAiL5DVEXfNk OWFb7Yi7DPPMi1wbPHh5yjRe9Xgp5Y4IIk9OHqpVWb0mOdVl3WsvbchW9CNEGfgQpEjhKlWbPrgz GkuMqzXf5M9KorzE3s8UJXJbSa/Aek9XmP0rDu3FKo8kbwsiVKinLuBirvjGVUBUd55/DKfR1fnI SoIODh9p3pgK+YEQBVXo2RuR1v9OL1PEFv6iAwI3Die+espHsaGDTxFMyJ1wHAm6HOTT33evsG+Y t65bLqncJwUR+cWJrXbB5OgfqcoubfW/YZ22kCkhTvkBJC4aS6EDhFv33aFjNY4gwqLU1TR++iCm 0ZjTBMdO1aPGe8f+67Dzxz3GgLadOI5LkmwHfQWvFmebaoEXGN3KC/UP3NQPRosSaeC3APNFyiKk HGot83AoH+U0zchFjh408CS3taWib9EgaUzCljF9b9nPFZHQPWNo43RWBMKRc5PPmV+2800Krest yBqCUbFH9NBvO7hMi7U/Pq+vJlEpLINxs5LaAnQ1KawAA3Lp1gE+xkx8iezhqhTbeAeYbD2Bn7vW 7JfINnMuVodLWbaheiuy9M3UToNdbSZ9ylvs30G4/Lmll904Wo9s7DHiM3RdhIEfbCgy6D9wqtxH O51lfls4e5rxzoa47811KyyPvEoS8CwxoZco15EOlsb7YJogr3TC9WDrGj/7eInIm9xf/1XnCxWy eGIRh6NhA2LI0C95zvSJ5Iz/8MHStzWY6gB7hDwKFD2EmsfeJ+wdqrFdTyzyUhCNYo0rx8lV2y44 OiEHZOLEUlMofGdyoECrykFBM0NvtfxyqCfODP0spTBjXY7iODuHWQr6QRpzdWUmzIH2+61EBtFJ tcF2HYx4flxfD76HwXmssTQ+TGLGJZOIuwpWlQz+cAGrCjPweSvmJb6WWkd5pVw3YxFtrSCEwIoO 7nHZOuJRVGNiHzkmpGulwwpNTyYkAM7F/IFZg11W2py68aPOxZSj8NhnY5MIKd9OGRF/2HYKpU5i raa4/AlT1GH93blZAygqvGNPTWJbao45jblccRZ+hlIF43bkn5qaxuttmN+3JCzveBJpPvaFkFpd cHTwmLrPBrCB9CMvmmtAdzscrzYXPkNchrb339hVBKJHtCPXQXAedhdm2aY2oMjnbXDkvZwSQ6w4 bXLia/27Fze5yi7uFnffxw1zPrirs/ZU7YOGZVtk4pJIgjO0cHxXgqOr844az4t+9h5LR6hP3yCA f5EoQc35A0ApVrLgAA/EuPXpRWHyQ6OpdLpnNFc6XTg5eSCyQZThMvG1+EIodNkYqA4LaKZcepxu tkxzxr13YxMdErqUn5lnMOiGaFNXJ/NsRNUfls1CBy1Bl9izrb3yqzksRnO3EPFq0jVzvFFaCduZ tguGEJj1Gugelcm5JndtOexoAAIpmIUEmV+nGD2fttHZd2qXeEaz/orsHoOn7vz0J57EkZm5tueb HF5gWv+x+Ou5V2Vkw738S54mdqEtpjsTF3bbF+uQCGYMmmmz1XATLdJ9Qm1qAlLByQYdRq2Z/twA /QDGVlEbwaqTXTNhjo8aJ8/URHeolb5wOhOCeJJG8qMjFJrlk8W2wo6jiFWZO78N8GqzglkjVElU MBK7OV7Iv1YT+RZdEDie2u6bxHn7JQpdr5yATa4nloTQ+aCnkRUPlGfdXoP1Yw4OK+7zTTy19Vkt 1Yi/dIzmJadmQ+nLwzJW+16GHgCOiMLPmgI5LhpN+WoYjatDb+Q/jgdVlK0yUxiMUM/qhJGdhALe QrdxJlpEipibtSXLaQCseUrSipAduIb6Z1PRrSWZS2tJg5mZwz2Al6EqMlgeHETdKSXTp2h+KpRa JRTp+IQ9ddHgCXbl6f4g0MRmA0WWwI8UkR4MNX8HaYRaBgjAkyzABeQPiW70Tk6p0T4FqMYanDAe RT9IZY+i+mZU4lDMOIV4klCpicCJPcw0/Q/U+B1o6cxPq+OFKaEhs388C7SEJOhAFpAj6SfD/pE1 ZEbo4l3iO3QnthS3hgsN6t0+xfJmZp/4ppgZa++a3TyVC/VKUciHPVjOWTlh2XFtqze9A2O5TZce ZA37EPs12bMwuv26yWu4d/ajJ6tgtpuUbaokC1H2YSoWWJAOX+cCT9GuOf91A8VRlyDfOe1VQ+Xy Mq0fSBoFNdeWXEj9N8EOxmFsm7OGwAIEd9ZiNXZ2Nc3cklTmYL+rPz4ZIDP3flsmc9iQvpbwvwUj WaNASV2lMvom+VURE+GdIcIKEVTLzBpd9/yiatO4VnhVQXFBKwGBfa69VbzJA8Fx78wpNheFQb3K CCpoprdJzD/UdSL3damHXth+lfakNIRkhOQQtnxJQgGrHP380MxulmfeYYq7Tj1eUtjDwhFY0s0K xneKdG3ELouAMl+FVaWjna0AduDTqm8LuGo4gKunkpKU+ZfezqMOUFku3kEOuoBFIAKGuY17vrwZ vkIE9txVEdaruecg0nfxnw4E1duTkFzXTxldw0eh84AQFlMzA/xrncCmXy2+dgWVAMsHhlZsqPgU 6OHCb63rNQzhwfA7n7fb7jONknKxiI56AMk1vdZ5zvuHhcu1iAcTTe9X0dJ2/CX1pJklM/67O1aB 8Tksm+UL64bmGLOE6dzJuVGwQ4JJ3eyzOMqvzS+Ggvyx7tUOwiE6iNO9hYc9+aoOU1nrEHurBNtt uhGvPovHn571IuonTxWS6v1AKIUYrLo9L2lDkAsEXwuSxCUBZPCrF5pnD83fRqcDpkQ+myYiV4wQ J/WuZ/ikgJ7i4/Xszj5wPUl40Z9IDDqbaCFQU1rixr7U+UwVHLsY0cA9dLG/fiuTjWH1N8KMChJM fNWnh7YIpmUBd6ZjwEmtaAxUtwp9U0HIPgWLTzn6KvSv5d/kNcW8+UwXQoZsQDuEpchSH3JHCB20 Hm6z6N7X9SzXgWZn7CxLUM5QA7AByOlTtkurt+qsFD1Muk8trBu3kF9dt9C26kK97eeFLFuDMPza +VaM3NVnMksDPqYP1653Tz8luHOAK+pLPNcoMhDOQWeV5/fiHnZEhEm1qRXKmZMIJ9JPDl5/uZ5s 2u0rMFRIOK/3u/lVoqAK62IZuOawFvGQfM6PutWR/zyVyPV/aqQf/SHhEVQwilaI8L7+jJ89mE1a drvVft3q7pWR5gt6kt94BDIxo9SEYNL9LdrIbU2wi1ge+pz1XH+v9tYKuqRcDqwzCLrsSxVsAWlx oBrq23yq8OOlX25uLe6CjEL4SjIeysdRsoKeeaQ5KP3bGuWkABeHGNZAE5eY9DsfGmf9MxJKVM9r IfOoPHLbD2goggEOI1Jdp38MC8WjX3oUPfGGnOVV3YB1yyN1FRFGsZ5vG3e9JWsRY+R0M3U6U4E6 jfdbjtGChZ1ebuNbwXUGUMxf32IstyCgWBUVTSQDZISsvsLLoiCJr/QQ0J3KMpjf4aaJxMXk4yZD GghTj2uagEfcqqISml6qYtMuJvUhBUtCCpEpe4MMIY10/elUXNh/+ZvAwFH2WnJos6GIqKbk0lZD 9vNBocByy5ZH9RKwddhkoCU2rxdhk0nNc7ZWy/PqWKeApdwFy8PDKHhFFq/ksKjXPJWIxL8y2kgB jAb7lK8wC3xpg4OcMwjmRKUpNnfk8qvgVs8zHhhk0pukEtahiHjQEwCyqliT2kzRYxYO9RMFD7pL raVsOJ80wxFzoL/XRwbOIXZCCjJAhZ+03Y7FbL3RS91z75m4f5D6EARG+kJFN0Uv9qTyCNV8H5OW A4H6A91s/G2/qjXlRAHgJWPO8ZSBtR/mlxSFH46StDFvZJhbQEGgwSITeCxe7LPhH8qPdcHjljRS X/BsjL2UpMnFxH3nSy+akM3MunNE2c+2/YaWczI1LSBOLy69JLTQFQyH/QgJmKPmWF+NPHK81Bzz j1uTPUFkmj5IberujP7S5anRBJabLXNytNl6chawds5kl5jvTPvsNx6d3qa0XcGe41p/WO5LW2pB HTMEPwUxWINS6B7hPnDmFxPyf944Yiyx0sOMVwLX0VQU8qp9Xtx2UgvH8/I80sibT1OOkTNc9db9 RiNBxGUP9koPkNfQzuxxg2HuB/BJRp0XYRHfeIjXZGHXa7bx52lz8YbJyr/8yqFXBj4qjJjn7qCj QRYMlIOYbI+58uh1nSIV5CdVsiOG6qMs4B8+MDZJ0ZR3H8WzxeMl8beSuzYpCfFJ8OHI8VN1m3HL SDVX4PiYKIaodRS1Q2enPw97onGHG9DL5N3kEhOGr6NkvjbCletUwl1fJ3mSPIRbq0PfJ9HdWsFc GiE7yVZlW4Sjdj6u+mdti3hHirJE4KcKrmmlLPgNKdFiP4/c4zjCy6l6eeb2hwWh4QOOTZsGWzsp xbqyieVptjMDlRWCuEKYE5E9g4AF6y0yzQZmBwN2sHN/ir+RmHgdfINc+/q+XPqBZ4/8RWS6eNcB l+bLkkVHBRfxSyUre33ajoQHNs+5bkhMQWc20hjbMzRwNxzAIkWPEFPW9LHxuojEYeY1+H/dCndM Z1qwejyzEitmxQXksiqXD4igfarQSdlA99EmPfQVWRwnqWqZaS4vUxpA5czavO4yWiROP2bxah3F pxy3ICv8Ezu+JDKzk1wPTPhyYd3hF9nm4wKnD33wScIbpSPR2Elgqcs+6qunABinUaO7r54MJ4rg xDOQSaCPYw21qA56OXlV5L9RGTizl9Z7mKwssqawTjNLysgRFmSc6E0GeuH0gZhu6Er2xElg25xW IXp3K28OnykTcSKYmpn+UXjNqwANiaRK17CGIJv+nae+FvKCmAcY9gDkRSzAYLMJd4/GU4RSOyYT 9k7u1I34WXHUW4fyOQ0P1l+njZZiyRSFXEP490sNqZ9hW5xoN1kRg16N3X2krIA1fk4n1w8TfKNp V3u6xam1lPg6hXE5aRf8g56C1ntPD3I+/IUPEeMsXUjzN26JHHjEhioCJofjkl8tL8/zHU/lcP1t Foi3hLKZ0CgOxE2Y6qzUNMikMrhdk6ScaSyZQeEz9OkF/Korv0GgyahtnK18faHhLHmLt+3JWO/x YP0Vg1CMrLkvJqei5YwRgNwndfbDYd2wxrh+v0nOIcAVU6Z0PvDoMwp4r5CyptbCsnqn5nOzOGA0 t1Yx0p87Nm0BOZkcFkGkrrlLeST3OnOp+7csT5LW9+d83SFAKcqdLfW56cS632Ml9PqzWE9gDnvH sKclMeP/MVbk9ZSCkZf2goWEm0S6ReaYeB1orrDZHLnNoTiTZF/Ftv9eLA3yJaUSJarCY0NWWU72 PUi6ZBpyZzfky+R3om8jB7U26UIgOigHCjP0K3WBYCJc7asV9LWWHemI7zL++t0elresvA9z1kol o3nxSR/VS56gj41uCMB5rVNiSriMXNjCto3DpR57jsI1DoqND6ug48/WBHaSrf26DCPZhMj4HpRn U0dXE3wYuhKHdkQBr/1BX9w8qPuE8brVvaJW+sEZidWt4bs4wAYwoqUkji43MeSPnqf6N0pxlASS oZ0bvKqPk4mpv1I29Eq6jjPF2e6tz9CvHo2/lBo4jVYcOw71VSw7+KAoCScSoSOURNiromj7Yxwf IzKBWTxmGVdqG+MT8lyZyMnl37NnYsk0ukDS9GWa87iBOSy6+KNj27JL3r4Tp96GaofXtfNycfkS IXY5NdwVa/bnvPpN65uCf8DnvIbOc1h0fEcbOiMJx1XAxhdzh779pAGsQJS/HsawkZO7FcK/wox/ 4go31V7w0EMowbz1xrTKYXk/76FG9XIjoO1rAB3w1+4wiSZF5Cs+po4prIoohAB5e8G1WXeGhwAz CfHSKJUqVaRFZJGROJ7LVo9j25/kl3Iu98yn1GWjHNBVqN7mJeY6MngpSIsdAtB7uaF/Pe9GIIYJ dCRjVBte4eQNRcyf2qCAYD3V5zi14OLX5KKlR4l0DfXP/50n1DoljXnjZf/Du+883GGcFOyoRNA4 gfweZwxWwF01n7arMGxU5NPhY4tVsyzBCOAeRrnglK4TE1fXeq7+ed1WK93uBPMeMWhdesLxpQMe Cg6J7uQY6iIPBU7FFmFEVYc+sUYrihih54rk3iMgvxwRN1Kp6T4DWCi9p0fFvS01PlsPuH9kKFc9 JkTTqc4g0K7ghh6hiOW7UJNeYw7/Yuo/I9UsAMIC4/Jj3r9vGG/b28+OAxobhZztLRuIhGebcys8 OAXX/YrGh1fvXT9SYL9FGLn85vC+Smujefk/r5v+TDYintHBvsPg/mUztn2Bj5onhOWEgwzifuhi s1f/hrJC+n3iu44uQdFzYx3P5Y91fp5RgNfCvTaaRaPTUpFEsGxdVJl2BVffUBqf6nHVDtL0VGys EDPiCuCDg84wuEqUm1q2mrsqFGGU6hyTs8uk0lWtGRimcdL14dXF2FcYNTXHI4HA+RjW9VWbfJ9b 9E+XvBE5rRxxzs2kLihkGCpTUnNelac2gS+WILdE5NZllvzfENvDhvOANhByICGgIAdS0lrDdBQO h3jwcwHMhn7R/sDFC//MpTxEYjErVIy3e9cQOh+wnT88jLf7etg2yr6qeolln4qvHnx8Tbxl8ekI ks5JP3adrFJVJU6jFCBwVOg6roCIevdfWIwNfHhhljl7ZZI5Sx8vjLHHibTMt6RvdfqkBsw5dK65 ID71C916gJkSnNtlbNtPZuAuQ8oOJ9/jKJuDnBEmDFU+F01wZ88f6up5kFxohu/OwiqKg7pTnjKE ztKc7iAj3qgDykDiRwuCMDxEAys60Qfmp/PMEkJGP1mUdsCmtf9DjIsVhF5z5I3hw9mEhdL3dQhi Zvj8iOOFad70A+C28+3ksx2MhtturkNBCbzlPT5eD2yF2yk0sV/QwP8Tp089UVG5LQ2LgHmWz+ak 2QxTOza+9HWxtcx+ssrWjSXiHdIO3Gft1MKKXQSY/m8OKL8NMltu1At8zZuareAZE7jio6R1bLui 0Rsg1eBbcgveQp+DFNOhR508lUv8aIfCKDPFf8MMYEuj7QAywCexFEutC+BX4Jsv+GR8VsqSXx6O Vm3PqkeivhVHOiS21IMuWxRpDUqpK0Wt7fNcy9ZMLTMM7pNHw0rz570hcXB789BpDeCwjBEtA+4B HPH9oaY/4pWInLE6KJuw9YS3szmgS8o/vINWxCO8rshFFajfF6ubTyRQms3wyDhpQUlnHYlzqxXx bHCCYuoNN0RyiOpY8eofPzn886FXAlCRtAvQJZO7TB5TWZ4xIzB32uwqNyy1s5FaGUz9fwUa/xRs ShqHmSOt3BMlCe2RVQ1Cstu/3MSqVonIaOI4rZdkRt7aOFoe+e06wg6K1kYyaUerDlT6hfdh0lV1 3bciQPW7HRrq5aqDVRHZ0fqp1Ywt08ARk6Q8Cq/4T9iXsQ/WzJyP4rZRsEBXYPzM5UQPidZ9+gc0 JLrmxLW3R1BU47emv/9OkmLhiIXbtpK7f6XonwTzCjAmgTjsEWbf8xYYDMaO+/vITaB7RX3UhN3e oORrZQbiUYvOwkjOV36b+R9GGM+vN2BhIEZzjqAs25vSHHUHa8KEgoyxKa8KZmyWaPd/1xL/mkDv IRnchN9cImfWY0Ya2gjLYGSyaj7+G4fTuUtbjnmbEZ4zHrPVfhBcpOR+IpBdQaQRfiXPsGakyRSr 5T+wYSXs7JzSe4VyWOgf2gtXXWaflVfTwaXiaU2Z0O0Ko+4fcXpuEjU6Tv5uEol9/OQjWkWoLeTR lLqqKZewhA20m3t/BB1zayhCyJvE3P29OciveYsYBN6WEfriWP4F5HShLsjYf5LUKC6rEJCSAPNT +jJeqhKQGYg1RGxFO65fVYIFKz1/j+6bsPC89yAjuplbGDLV/BTuiA2iRHSN8X8+oU1C+4uRzcxh B1b+liZfkEF3GcMO3bFUw5OOHZ2DKuZugAljCyvsDV0u6kXtTEMFjm/ioUl3fpfwgvxiMJ5u9j2i g83uYGmSLnTlsgHWwMkS8Uu96YdwSIRyue9/952fQLyRZb91Mu398SCRpbOOahKTTueNH+2fMlG0 zmQccLWVj2LHlZUeYUeHZGIIbgdwPpvD2Z1Univm472M8EJHEdFaYU7VByWxFiTLZU8jTcs+24Ud Cvsum+Nzpu+9/Y9K8c39bwnbbm0Wx6LBj3gP76gZ+X2+F0JiVEq+ahqi5mAvVM92QAeyJcYbLERI NM0OdPAWyQlnpGMpBNEVQsD2NGOdIcsd/0BQkJddZhWbPAqNQO8zMi497nJgr7RAYGVR56AcFGhz 0ygE620IETMiAGHXrQ/XViWipWe10jU+JK3iVgBJByY/3+mKi9vuhvH9USRkn+SnPxn0LR7NvGcW 0cS1WEAJc6mgLRkJKLM/feybbUXr2pntX8udvX/zEPOkp9FYfIXSeii8iSxtUQjN7VepNSWUSVzT xJopGPVFztKS0meRYMD8LPG/qJK2SOf5HjkfVMeq+18No1IkgfY0FRV7wjQwZQNlLH9jU2rWEEO2 0SQiC3XotI8wPmB/L3xt9ptAIzAjPIT88Tpnk1NiW2Aqp1tzr46rfOnCmEdcbjwz5sjaLlbuSZVR vZ4R77ofHOtXL8PCXyQ4AW1zu4BvBFj6TVv9pOsK4mOPkFXJwmbNDUfPCdJuXRmwzJVzwLiHcfkl 1FdyrkTDCANYM2DAksZWYqXZFuvk89GgtvKtujWMh0MQFM/C5U+kWpZyHpMQEuo7Zv3hWrRgKHYc iM997RAh09OQeGIUWx88cm1GOIyg0K1jSNEGNOuYTQKlxo3UbXej3MnT338nrb9ed3XA8tXxrNS4 6awClSy47cqz2WxCEVcyo6FLMq8CkO5j0HNhI9j6XMwKemo20u9AMSpoJTgMUNMAee3eytuhIN2F kO6hOfknx8H+74I4IkfexIPakFkt1j+VXpewb/7jyf5v4dbT7axzKyuVKt1v43a+KHgLcrxp+zVr lEwLR/SjNX+EnlDiJczbp445916abwz4WeR8RziUKfspPkezR64hQn5GTvtnkPlzYa5hngxQcNnO pgDRhWTce+LsLyYllCT0ORbmEpIoMH96dPf6lwCtkvyK3mRJ/TMJwuVEdLn+Q5foQnh/ThMsGGgp rSNxGT+Am9KyyNqTbd78+rcRdk+6XwC97Bjp9R793xti3YA87kNYIDwGA+QIbcIVHCwICGKqAloJ ue7Co4J2LEqxC8IV3A1th3FzkLO7vz+ecRcdvQgWv4ohtLGv7bvCi/Q6Fc8sSxJs54mi9ALNw6+c GattnYfhvIyZZpLzzopC8A4Do12g0A153wIjUsyxxTtD5PS7HgWb2VsuhGKmeK5YNMuZXoUYb5eU rCb1vbl+2ru/WcnqLnBI5z/KA3YZotEV97eMxwnjsGbECZyZejEFbV2vKmi65FOkkuRb0idf6Sei duCQdYA1rYfg2tu4GcoEBqAeWz/8LGasrPD+wq8Lm05W0tpCkfKzAv8mX7QvrJN4kr6b53D3tLSS xKCcetCA5Xr1D8iztMp6vel5PwF1TqIFUcpFiUnJNOQ1bdZrzUmcZSTggoUioKfSrkNVn8H+BDNx Hz1ammfaWjkYh6PtBLQTjhdyzh1b6CYtbzV2s3Fg0qAIF0h2783RKbQCmk0/PlyMUFvSD3BoPirF 32HrTqZT/DThOmFpO1bbDUD/20wxvs8mW2fA7tOL6aydnF2umqyCQ3F9wggcv8ILyARrddkSdD8n FzavacpUkhXW3QrZHm7lad5cPg4v4vYxgi39IKlbTJFVzfMf4n8Fl+w65F80D6QrRlomd47/fXkH HIuD79NZ8Usd1pp205LwFD2sSW2TI/ZrP2QqErDQ0bN49JjzOnooJx1pRv7TXIbeDL2rMadATFP6 xCcxHY+sDulblPgbfjxDB+6ubyWV/jSFVjYiMawTWSvcvDPwcVD7uoHzZ4cEQn4fsbJ17N/vDTih N3fBfZ430gS6rJRxuERbrbP2Jmn6fm6qr1aDIpfi1UWbHBosFM8c5sn2HL/WA0lmIZ1OpiE7prRL 9A01VYcVxEKtf7dX+IYr7FUQLPgAgTT03qoOja+QtxRw8Oy2pwhnK6pZu2jHBU2DPb2uNwcbDeUH RJ4F0irmZwnAGftDH6nUxXCR/87cqp/F40mNonYkML1NyT3dFuMj95hX4Jm/eoiJPJOqK4mX0MC+ cSrADJRYEDknh7FG3y97pGss5qCZxeovHJFkRZ6SS2yr7IbHDHVOdw0m448PuUx/wXOzQpcQG8LR 7Ymy3IfwkaFPHI9CVO59neFiDn3CRoKafBm801aK59HKqTCb65TanGUSbnUgwCKOqqWemuOd+ShC iGoMsEbBBe/kYXwv+9PYgpqtxPHo+/VBtAw/q7e5cePfERu8nEWSlPVvQv20alO/iXzO9omY3y3U PKU/yr1kWfqdpsgfMazUY03d3gV5yAKeAlqLujsnLFWVV9ZlPyN6x3uK2owqdjU+sltIRIrw+tpr PN5Q5TL+mpXpuVVZNH/v/NwVFgn8l6LVPW96BH+YBaoIuzku0z35nQ285lbPtWKMPeMEJfQjbLiJ smz3roIhxaU1ucJ3kuvmQ0BlOSMGmmkGcGCf8sPSxDgCCx9h2bJaZN87eLrty1KvvvrdRy6MUHCq M/XVdb7+6xVUD8pQw4Mj0G6gfLt2ar2dcqorGl2e27hiF1nFJVjEs5/U+mEAT+SZ3wDzBi7Rxs+Z 5pUjp5h4cZqgje2JjA61JGEogikrqEDBh1/JClxlfyw3BhUivjRC8Bwz3iH/KTvMGZjyXRu1fyaB gOJnw3pSVEFsP6m0NJi62HgHPUA7wmHBMECKpYhzOum6XEFFD2gcYxpOwil2Vyxzg92lANUENMWa I4z1lMJbung65gXehrrp29lz6dHL+6d7MAnXLsD2cSGDA1u3ax24d/2BUo/obPtmIQHhUYVgRbSe P+TPPewkV3cSxTg2963Hlq4CQ9GxlrIaEhySnidB9dy4HZjC42CdMdqpxeEG/rrpcL65L0C7nWtp GsqoO1og6nBB7GIiaLsKMcweY+WfCGYvrelahS6qRjuW2OI2PnJYxXM2qPlQ3uGncbuKBB+ipM+S V0EdTclkoVnl7j/47oGJqJiyKRSonYE1VTb7p71tSgxThHiZqiPEtzb1dUHhRf89U8q0Vegot0Kg SVkcqAqPphPiJ/lEqXL8X+d9v3z3Jy7W3RJOdosP9Y2abdFQkDEoKXsNACf+4xBwnJ+pdPLaFFFf akMVjrh7cVqF5MmpHtyAUOgq76lQ7R6whaUApV95uAeGO8zSttc7I9NJESjXbYhyqIoX9uAkPZBI zHsDuR4RXG4YzY3XKcmaFv9NAvCC0y1XqStc1PVUCFAML2M4IUl2c1Qh5CrwdbB3/vPppDFU7n9K /zhb/gifYMIlT6E1tqqwlMiU/Smzq1u+LQrbJdCL2+Fh3oKyvriNr3B3/tDgV0H49byZyv+mXbtI mFVQJTkuzGy9CLpsSxNunBY8ojjnz8Cp03eo0WYzwDvb2Nih/V+ysgwYT8qDF2pewCaIHna5kvyH eqcKKF4FAAc0vKr6XzZkr+wxTc4bo1JPWwJFLgaiELdOLH4ujLD3t7wHV2ykka7utOkMiR6GjOnF /ck6G8DwAAeBMRBP9SY8Gy0DVhqsXu6q7XioNsgkqjJjN0dnIqNmlgAYN8pLRiHTECouv7ebkP71 6JySM4DmXvjoCtbFUAohfMUod7RZTJ0ROH7WUL8FSFDmTXznglWpAAFgmKP2L/RMIh7DzUecH5jq on6dUEsw3VQu5iDesC2Hfx74C1ix9+feCMNwpDtHqI/MwakYTfmUCsxGXIiuPpvbcGnyuYqiPno5 oWwb2dUnU3ETMsQGWQxVloB2I4ZaYK8RTXqO6ic+JgQLMMY6fvhWPH9M2S/xV7DcegSwKBbVkcAh JBfd2nMswmuUNs+vfdQ0glftCVMaBITvQPeIEtbddnFdKcswKtiUnZSnjRGHd7zxlcWLJwEh9WuH IMUIrOUU17KFF+Mr6Q1DeYq10GTu4CvsGFpQ5WXpQU2GznNp16oSXwJi8sd2uyT94nbSWegU15ut XanrKxgW9JzbSXoc1KZTGmmGKPxodpKUBxmVN4Ya/yZZACCt7V2usY7FfiQvHewf/h0h3i5KIeEp 5CobnkTO/T11S0fQl/PVtSYABHXe8zWRita4QnWt2zvwteziYbi87tqqbj9VhQwDVtm1o61WUD18 VWw8bX/qjuecuH9ucSYM8cIO7LY63Z0geJY2V9nmZ4I9dMHqlbxft5Es6rM0/MiuQn2H2KZ3YiLG m1/VYlNq6jGaZQTDxxgi8LwYc/dnjPvUGgYezOOr89NXkaXwWcMMzOP30gFp+VInaL/pBtIydy/s ZPWSJYeDumTgUz3w76HGtqqmijXBYE832bGUHzhx9iy5G/BMPJmayoLkKHgFg6DWNDhZ2jf6l/px AdnWG9qIGbOsdwVAgK+8QbsRRGvZB56e79zcBmLfKrKxkF1Qz2DJpRrarge2dXyO69K3wLpIj7S0 gKRxvUPi8t5E9wECDzlcfME8Y5Ib3EngKSecWMXSijzHc2MpDFk8i7jsSUiLrc3UFBNMV38QYP8C fKiDnCjgtCels2tq33NO5mHn8O35Vh/enQO2isJzCfEpO7frX3LsSuHUA5U/uZLz0ov6QKMDwyEy zl4R9v6NdCIiAmR091jYj00Em485g14mng6KFoakVc+hDNQVBdZqyfPMHiJa4koufuWBUJH9nEyh hYpgUPWvRS6k3nMEqBQPklCiSKqd2tgbexjA3pHCFk9d0718va5gAvjD1WwTyAhyGd6a3rxd1t10 YGKasuXz3hNbB/cv6Jo6Apd+e7Ky3mC6gqAsMDojKru3Omq6zn8jXP4SiXvXYusqSev0NtLLnvEV GzPRqmfWfM91b8CnFV2WhuZcPcSaQwBnWQOFgABYVPIJP+2xCfhzxi8lu0WXR8qxeuxJVrI1KHX4 tus4k3Flz+co106NiQGWWXtCBhr4heAql4hmXTg9cPXlAfItHZxrYURGWHcSb7GqyyPLTHDmHF0t 79oqZFzhgVU9kpKYDbKugYPYeBgVDjrHNf525dg0O1003P53VHVPTM36sLNp8GDFEmXQVeCrUUe+ UuOBlxOhydP89vvpd0yLBDs2FWnlSwM+SkSW2DMNPmzpIkoKWNwGpLy2OsAyPbBr6XMsqHhMZVyE gWA0p79v6YPcdnb9g+xMtjbehZKn1+M6DzRRh/J+U1RBM0tYgm7eCLTxRXSZgRdzpCAxMULVI8rU 0nELLx0RtUgSNgNRZEixdpGiump6povcFIfnfBaEA5LWRkrYgnYgLvkCBc0JchO4NV1qhZskI5kC RDvAVze+HrJjW5d6/bsmxC3GhiDMu124+v2tS3LpAZzHGOm/JBaTJ7hZ0gwxNg79DGdvZ1YEOpEj gOdIof6Oaeyv4lam2IODQdcnIZ+5P+18Hi8Z9FO/NXq8LahZh34KQF5T8TGC1hZpyboSVbCNpj1V mI9EYJgSDY2aBMnpl/OG0NExvoiJW9OmEUm+RhCICGNciIVj6IbLxc0h6bCzi0Oj7L0N2uorZczD NUmZSyz+6dX6HUatLx2UVzdQUGx+hZats5moEFvz0F7AG5Qn+Ypn+zyN1SAilYijazMtLZeC6YVR Tw56V3C2T6B0Ssfvo38TZy5OkZlWSQTIlzgmMwKEhOhXZGvOV8LlgD3NQh6LLJ6WxYqreZ7JRrfy 53Ax9nH4FuH/oLvHr7HUadOol28ECs+QmqEyGAOM83hcocmzWxvLrVXrypV0A7SsvZts7MtVda+q RaTbjsNaXER9O6QRC5MSmuGk7TVl2dnon28+WVSg4Lz0banos4Bgvws/lDCFWx8BGjkWgwJvm2sb +l0zazgGHxgZ3QAbEVfsZtSJnwHP5EA5BHKRlR6rPdICx1SYDGKrlJb4o18nne3pGdH5RthjEbvr 1UpJYg+2zJpg/AMFyBv195E96d0ROMlTKQII1bRJ7LYfdE4h+htOPe5tTrI49fGXQxQ6FgrYjNG8 Y0PMaCbNSLGXVchxbU69Q9V7UN3efblmZFvm2Z83PZ9hj7e5pu7UiT3Fh3uFjX4F3tLnmgXXOEd7 LID+9UF1CKv7urLU/MKDh4omHKbpsBgKMy2krs72Zk7HcY3zPMqN+7nCuBfx1RdUVRgaqDoTDeiS hf5X/VY6/gNnjmKKdzlH1GAc2DRnnuAQjErfgOUZe1LWCNTT+Y+/LhZtMSA/kMVc+eI3qI7Hle3h zl/HwmI6/hOV7pZlRWvEf2+WgIL2GEbhi1rzYS7fA/oBpbDS4I1aSeGD4iFZlzSa/MB7kd/AOIXA 1iSO3Px0h0dia2oec4zSFko06wBOlGM5q6Ia8SsJisUq5Pi5d5VMaNB+YaKQpVkkHKgF6Da6WqZf 9TrVkER1WPWLBfzo+AGhyO9A7mm8QQ27CQbtj409ZsqXhKeBV78M8OgcTp+aW1jOdJ362w3pwqqM UJYqRF05eP+fbZdTJdlFGLmAMgsCYF5XwA/rfF8PJKgrG93qENc36+mgQhe5h+5xV7gIJ+cFZY54 Rlj5q/JbPVmt0ZKmKyI4MrxTlnoiJcvpPa7fC2iy0fwlYG0peO8upRtztFcJzKauggly5g1gf2Jb 7dtQTnBX0fIFZyboQjhj9xYr3UwwbIv2rBE3+JpR197/NjthhWzczuC603PFyuGhL/HtiR7ijb4s jV7VTbX7Cja+QhZcG5fsso5bLDiw/Rbht5lu72ZqDMqEp+Mc/HljUe2iP5DfQ/lVShXGO0BiY6jU C8Qfz0fvLGEc6U8qsDGKb6weZTugzIyjex/StxfshsC/qE6IQOCASvP2+QLsUVTx+2E1AaFdTVut RP3TiRJ9bjZ+VlOGBxZFcf7wVNZ7GrnlkMslFcHJPGe5L2wSSoegVJSf+qc7ccq2OKpDmCjkGXN8 zZVqMkkYP9p8dWvIwAIeUWyYq0b8go4ujRi2qA7OnkaXPwCNEozCPQY0xDxCwd0qZCfDhgMtZsOZ q7bmqlFsRzwQ5Mabl5ZyMXlucaiIgt591HgMVU/v3tcD3aK0aP45q1vRiictMYggU9X5DnVV6R6T xHzrZXLW3QLYGKP5x8kx7t7OM6bTPPTPbzeZ2mE+pkRkEKjZ4JkqgUyIfdBk5mtf40H0Xic1V7W8 +YWxuQXgPKLx358nOd/iulgXQfSsvKqHkKodHrzNe2X1xPBYGMJM0GGlKqkwzBlqdSD5WVVEX3gW URhO4qspPwtne4uAjcoj6xw8U8Tomf/f+U3+We5SEBQifuHZGtBYoXjbSXyW2SrSw7TJId8n5hnC KipWsZNVihX+STNfolKD+QyQf5AXN2oSuTD1FbWKXtkG75mMnlX0pJCC9aLUIG1BsnHlRMNqeyMJ odCdAkHuwwrNs8t8/v93TaesmRY9Oee7kv5bWnJnwchYcoAmMy6XEVJi3Gjeld9xvMVHcw5or1wz kxiVEgus2QOOziyeTvJRAYw59DFf+z+3WTcd7953OBdpIORdO/iOhuhk2TmNQJFVlZNo6UDKr8wu 7kPNk9LAq6+yvZHdt1aeqXP3/rrA0I/XrHX9cWLNdtIrNAfSnI1YF5oAYdseqx8dI2M+rD+naZYg 01HY86J6jkqJa4ztbFgpSHHHy5cKHU00dM1oARjaiaNhGf+jVntW3u5mqpXM5qxjVCG9KSgI9Yot 0XUUkA0K6iIBrNHsAry+9et4cRX3smqaV4hn+TrTGyeHjDIMpflT8qHHEx+cwu1OtCfQtNZS7z5r jhRV6NKgwRey5ldMdUBpy0r6UI8uVhSYAVui3kZ+DEc2BN1J/jmnyxjbJbcJaIi6/nqi3tE1fJPh CIvXaAlcQT8HYWWXmlZ1parRU4S0PVRcSNRbcJ8CDG9Jy1silmxaV8rQzKmVskPct5EqvTSpKh8z Eho9xAc0qdsxiQ+xMkA7J98iwC+bXTKxC7aHrAdwzFRrudDs9e3yZOJSMq5DlhSvr8RZl4SaFd24 Ov4bgBC2crmjb4W3M8TecvkTI9BjWkrM2IkASs4/IYca/qt5dr0oQmru4RBJ87phdunljFt+LD12 EOF6GWBgT9BTjd2woXb99YLbewZ1EMM1X4Lh6mUJGr3Dsq21gmzNdl5Y8KYH23aapBwgygYkFUos rEuSi1S1u805WeiA6Lz7aZhq1jrufd65BVmLpdOnzVGS6r54TJVCX0qQIyIjcKG+kJq9iwycUzn8 pE+pKKAT9p6aEFD8RPa7rZQ/H9rLbvMRch+fT9zuLb/3ohgbAz6nqgxNIaBmdXWTD/s+2OGFY8se JgFbrIhA59fWoKL8sOB/epnNYpZMSlOrPI24ohkz+RDfeqhrGMONcOCbB2fkMTilDJ//v6L8s4Qw u/KKIFEZHCflHoogQPVRL0SLq4Xntvmy7UO7EWtKFZCmbXLhqa+QHb0XjxkcFtwEVEn5f5e3Pby4 sjxlEBxOjWP+0CVGv6b+Ezllqux/O99xWoDTRzDefm9wMFu5OHAwM40EeVgFhr2MGh1YBQYnXQHB i/YqYCm0sD6XVjygkCgP2NlydyyufMchqcHNka2X48pdDpWG1bGtxBtnBms8PS+Pl0qA8NeRdY/8 yheC6zeDfIePC6d1ZjVZuXcGqTaKVW3jViDr3xAZMaxxBEcYAql8IFIi9wEBjJmzIYHsSWP697Gi kgB/UlKDg8WMoYhjs9Ym/YfM5+J3tIp3kDgPh06TG8Mlnft2FJlTG1GH0lJ9k+xtQ5RW/CW0nwG5 nVnaCru5tWwfMk0HfldDR2fkzvbJ3+UjDQo/T/t4hIOCv11dARoAdRNgA24kqTyHza76wN/N8BlM 9cl0x4sf6y6GE0u8O2uWiR0+RPV+koUISzSqhMaLzAOUZobP9Dl7cpvi6OEPyEzkupiBZn3rqLC2 IJ7t5s1M9JcLdTWT2zvjtVSDL2wlag+wrJBH2lAel8RohJi8umvy34K0x1Yb7+ECjC/nuxaUcD+Q Im0Cn9KR4leS89YDqhZ3wDrHSMVqrJfWYiFaAvpSDqHKTdb5YCZW+FAPunRm2B6CMCNcGxUc9tWz 1xBc/ffGkrJxN3HUdPVx/8o0jafhswaGpEnopHP/xiryEmeaqk6TbAfVywK42GCkrwtNQh/kIvzO Gp0cnLIz01Y00LPSjPKLFLtVIMJgcAbHDdXNn1lH4GYUI4934fH5DNNDq4DplSA/634lrKEMBwgM kNqFfaFtobNrMPiVyYPDYRyFiG5/eVZhzGJzT9A1a1xju5lGnTe8lYM2FRw3jT0JJEwX7ytJy50/ w5iiJLYFSYolBZ+YZevRaN1bSAi2p/TLPW30ey1Z08xfg6ODcElNdg7vrTqJviUNpOWU0mT1XVme 3RgruU/IdEl4+bX/kiLWaNQ48eATpj9HfDAIuhnWVMg00nfAu4yePMcyNMzHHgzLS3MvpBFARhLc uUQW6zHBTR2jAi57eliVqZSJaLmvoaN8Bzbsk5O0xfQHxV/97PFIuye3Zz4ui88mdQzY27hLoJ3+ BZ2fP4deNm2mBN1HuK0imA9wv5scnGMEphxDqVi3PBIDi8Ivxm97DJPH4pZTU3OAVvfWa2yj/7zG npl+avy69uW1k8TCRopleSonNLGVxG/Yx0a3CwA/uV8NNN6x0Il2NcnTEpf9S+DMvrViHXA21qxf WUVhWwc3iRi6cXtUVJVPgjZC9p7yynBMNrKODN/1g9cchGY5iRXSjrYlemfE9y6u96X3AJ8480og mXtf74ZP9UuMfH7eef+7VnaB+tnjxWtSABeV6eqIfv4ZZTWRvjucPmn/F3vzo78JERdiFBDuUz22 qGI1JseAPCGkxIPXmD60XX+K0BESLD+jeiTqOHYav2zdKWLCCJ+iGbiGVRCG//f6ri/kt35z5M+g klW7lQSFJ3WpuVTgM0BxScGiyIGoYehF8HWG0/6qtgpJ/7c0uH4mECkxQFqbaNvTxKprbOWl27yC +mDCOz2t62womN32yE7qU3owVCkj9BM2MZIzVgGfehgR2Efvg4uyVbGxBx1xCqixzwD6euakvwCA YS0RyRtGS+UJYqt8tXpzhip8P/c5AKEDGxeSGGEh2cdDZMx0Xy49POxoFdIb+1IC4jcwtu3KcrMt 85zqciAfNCNzh6d+Z7KQTgD1lDTuQxqlxtEv9yw54iekpKdn10dQjJT394X+QwS8+szV8IjBeW8c PXQExPJip5pv4CR0b+rTfE9Escst4lFMPX59uJ3Cir/sypqcr1OmSHMlrGwSN1QHb/QruscE8o4E l8JOR0t9UPucamAkSMVcH/zG+asDFWWIeFz3RYMYvSvUjyEEXzeD7RypbDjnUepI+qpOYUGyZICF ZNfEnUiMX4f8yNdA5EKC9F0wPAdzdJEMDDZbB93Q8of0kMZ+NcA37moH4goRdemP085dKCcH2PiB 1SzFCmNH7uGGnEmpv2e/Kdi5nGL80LCLmw5BZgA+gwK7TM81g6QfXaPIWn0zBAGOUqHpTYN15/qt xDTISLGvy00Qf5rIDkjOqJvQ6WkYMYm7u3vLalYNmKH+VupnebKnrx+advfxZF3ii7TH2n8POg55 0+xK+JAJjC9Tqg2VDMZmqEGR8wV6Y4FwzL5uZzve2fhe0aY6x+/zEo6nF8Dx4PX5DYO1Gx/gKzW6 XZDuKLcbBdxHdJgbPXg+EcxzZ5lLcoJLZMmvLQwkwwnaZy6cUBwaVp7+6GrlVAnr9rrZpJLnfOZf qcKIKSupn/oEzQraaSI76Q+InuUVXgDzRXjlt9sBEyad2f5/nBCW1pkzpGmAkwt+j/zbY0TChw39 LLn8i6F3FpeKCSIBFZ7KvYYOljywMtlUc3cKHJpPVAPyJtsllt/O37d5Ne1q59/XNt7BvvMAjJm4 dIAleE6jSNUmjLZppDf7NKb5oe6hU0D5ymq3qh27dGvQbVBhumDY2s58oJqZoqpPaEWcQU2icY4g qyigCbd9QqoKvtVQQHemJWS3buwhe/ljt7YesHBZjpkM+0aGcwKQMRnYZOZ/qTin/yc0+Xvu+6Uw xLYok1RMZZjC99OLfoY5ZDr+czCZJPl/7rIwVycOiKY2AhWyeDInAAW3qZV3hAKNGAXMbjLcNLMr Zdpsv81XupFL5PbXKNKxyhbGKE90k1q3wxH5zHEhHXxDKbjmiohsD/6Kv7Xb1UhvCG1J+mHolOVr W/6zN+9A8Vr1K71sB20uBDB4kQOcjN6Gia+0PYWdsdAK8CtpEgAlDbIecvmm1c7bT90Znn/HjMuh Be+7qgmDHcxiA3LDv5PbiDr2gJ2SpcYOqw24P2OtQW0Yp5OsbxTARasFnJdV0ETuqdh/+BmgELiE J20kwzyaLxa6/ZarB/N0NkIHY8dNAVTQF/NOXeZNn1tGC/zKY4B37E2sUQ+igX1e/App5OziYVVe oJDRBQfdc0Ek3cgpXKyzj3oVdJeRhL5PvaZNefocTqvRwDOxZKtAvHJ/ezgfhbOZA6pxlLRP0jvb LAnTan8LWd9tKlO1FgEvzd6a5UnFfw8QmcVx1wl2iMrtbN1oy1lqUTh03/C4+u6IeBXGdcq/NS7u 8zXP6j42Ebx1/fM3WwszEjProgEHbgjgMIbJGBu1aO4IT62QZv3zJ+ALJnmFVbp78XDO4diyWT36 QfFskoMm62kwBN/wuHLAQpj6hxXuA38Nd/ARvXqAKiT8u8zA4I+LGnTbsuCXKBNK/6EZYh6mYOhA 0HwABAcxJ7SWXHwCAluXPBpA/9gm+ql51pzhioIPAA6gPNvdAXEqVEetJwg7bmewXghT2YfhjTUH qUXTpelcQaM9GI5IwenuPhB1iN9xgKPtFBz5fdVEHzG+/JJbElcK788GmUJsM4pgImPlLjlSDyAN oFmvP58dRJPPshFi/bTQkTdcvD1DQmVcRRrOg4RzVRsPWfGKoF7lRfwXbXBmflXYGtG3jYoi1D9D /jVqyA7YbYuBTfOhGnagpm6dRHJKgq7tyLh8lHcDQn8425/3llb/KWBQZ3Vy+2qmj6hiwwrZKa5T NHR8qgW/ivRr+0ZNDev9eYCxSjCCBAJ/TiKQ+XYSaES3j1EPOiW66VSeNXdTp0JENDbl2m/G+DAp Irtj6hoSzn60fn3wip8gN5DsByau+P18uJ41zy3F4S7ZWDXnTjHJ3gTVzCg1Y82xkHU6YQJwMgio qFqSD24FjhWZvkiBl2B5O2OXHRwD3dGBQpVeLIKDBj+GGhcqXnRmhzFhBlt5f6iRQtxPwMu1rBWI o1qzCztOq9v3OJMEImPOLamVHchfmKx4jOnChWrj/Wx0h826CtWA84vnhr/Ch2A0EePC1JGy9Y50 M76IAKpjeYdWNUka+XKUeP3VM33sbdPAC99A1XBL9Fo2tQKyhetAv/EAGv0kipV4JNb/SDCxn+ZN qHRMEmh6RlBu5hEyZDR1Bv9AXBQWNHEOWSd2/eCJu1Wr/wE42Bk764+qTyn+7m4QS6WrV+pYligu fssZbiAZPBKeFWh8Muqex2dM4UQsEWIYNh9R6UtBoEJbQSY5Y4whAFPm+k7ZukXvvY6dBplAgXhx 7o83SbG5+dXr7834PaS23Ml++qDG19dlhsmOSs992Tp4dR4mmymwU/iv7GhhFYdFSLorxyi6RdXA 7rbnw0hVutqkx5eOn4kMjJQGsSgZCFWylcpEDVfBEdI2kDIZBgrLxWRnxTaJruGjRJCAchvk8UaZ qYzALY8iDb4oGsGxp5yiX36wgTq0o1C/YVZURQEfGkidoXHUp012ETyZ+rQXTee0WJvePKamMy7c LTPXjgeKCaMFPEwfayNF3VK6/KeDDYJeMWYCxGpUlRCRa9+RjQuxcbL+CWWGiMlEY4ACfbBaDTV7 Ylqt7SUeFkmCmZaPu4P4txOMkuXLRuUi9toOyJ7Gue/w8FvFyQNVJKDwzuKj3/QObyktrK1xvEQx jQBYBfArG/6WjTdH3Wp9s5OdiC7Eb6D35e8JsfDxmTZN1+HTt9MPXSsM4G1yQkcephgVaI9XuWr8 8XggUitDfqzC82hReLEsSmk90DtRyXjHn9kOyKEhuVSI1Kq09Z3WETmjJ4LANAX2q8vYvxI0HoXX vyfl3r8b2Hvh1ROir1xjLj/wFgF2bmJ1EUlbPtaTGhO3cePoNjv0XwaIrffZlX+71ZXYeK6N0xK7 GOIr7oIiKVetmGY7qWYu3lyGpg9/Sw4EBexQ1ARlPp8fuoaxcC+9wCYBdUe4+if2okTNrxDICoft MAvKx6IBV55fGfhTWSkQQmtSpFfMKM1w+hh29WQbuQf3nA5Zryifc9ZyP7eJU7opwvuqMBsO9sze JkuY+7YBiwB9yEPjx0tTUZ2yIWd3jH93y+vDiVKLEdQRbY7dxsspB4jPpH8ldP8nXkgAg3XMtVGH 3ge9lQBFDZlvyp05nIXeh1iql62eIOn35MmaGZfdLSSbZY1SvUn4N4cTJJw2ai8pkbWrG9bsvCTc Li/DVNtFwFTvP3Z2TfLT/Qzi+bxtZTx4RKjVxJ9jwLjix68WBM7aM5qxoHipskffWVS/gEPtxhyl lDXIDIzjc7bYtH/1vxzkGFAmkoHtz/dSYLnzDnxcF8VOIEghaGAHBc27pgGylh82vo4gIX3BBeiE fGphhW5uIvQoDuUH0lAjex7MboPgjHMe0/0fWRN8Ek0pD8oQlkEYUY++rbqyjMpgqXvg5u4CBSdD 33NRhE64uy1XAiOKS8raKLIbYq+hRQ5xRyjYV8F/oURBmzI5nnLUtz1BELswbOr6rR7CrZqOefKc s/E5pb+EneCpKPoDgv+5W3DkvIK3YuMgjsMxo/GuFK4u/r2UTjdYKzOrlLgMeCXswAp8urrp2mJB rLQ4eiAvivMn9uz05kyWMMpJe7WZNGCeLABGJpGoG+0yEKtzkMYZ/gINJdI/DHBasQJGUgoyoxxZ XXqt1w99NSDpiMz0laaz0YKm5ujRu0A9EgtfRiRiziF1aw1ialLlQA6q6WKhLQKTE8p6QuDWLwlF Egxj7KqFychB7ktST189Q56Fyi0wRUnbnUc6n1zlnFCi9Elqlc7SCV4aXN8yX1b7s+KC8pYyWsyK YqE2vkV/ptj5N2Rw9OCQJlUHRsba5sIQXhpPg9TNy0VPZGP+I9sxPpm3tSZTKU/lTsHGvAn4XyHn rWSXisHMXT3GPGDFPJUpGxiNKa9M2OkhJWZrfBYEVCSn+pKsS3AuMwroVzCIEGsFJ1UpO/la2MFM RiGHJuUTaC2ex2pjN/XegnVWksoL4Q+maYBF3Uoq3L1Fk5bnFCLWkE966puECpBIcimWX1W1fwdt qoFTq+m0a6zu6WpLgoeEwd2HdeFa6dtk50mVp5x9iJi2iQ4f/l5fY9U6myBlp5VNQ3HWx0VVQSv0 cduh2J2o6PhFSWnI8rDmLyJunpfOgAJm3J4quSC39oL43JUCnOGyPqcOEObqla/zoZxcXkqiobGB 0raKVMKXVepD1uYa0YDEakDoPsi0dBV29p6DbOwwCiSZuFqjFxL6Cs1nVzTLJxfs3vpLCe8AmHFT aXKSn3dMzioQN5esyOQ9DleTJuiCzNBDhNUDOOaS36/KnOuVdQFcLy7Qum2k+U29qDeUVXryH+KR 3iBTk7UCu0Bz2ZlvEHpslSsHXDInuGcgiIexXFIn0IR+xWr4LheKEmCwsiThgN7e1LvGRGj8Ania hsXk7H0bs1EkgS0dhr8pEtYXKgFqRopEkqbQ7u6XGf/rcSd4lmWt7835kkRovHXqjVApBLdvOyG1 LoAn+lualwNeHfNc68UysuDayCUPdc760VmfeuHgcn3TuPEB6zjKA8mLPhEnnQwQ8oFHdl9Fw796 kXHoDTrW2EgFrUmYB/6ksdTzl5uVKELy62dPRBRQ7dIDaOZQJaMmTS7T+1GAkfZmd9vlIh5WVoLd qRAVXR7m5hhyoxPaVppISdBMuu9Q0BS6HbzpYl3zdM5zV0QCIWNtnRfWNS4kssYY3iy56NkWiz1s GUUC3Lw9dfvrtjYjaRhNIqdDxT/G+VGlL1umUNu98n6aJOQU+URkcFLqR6lWhA2Wj/kdX+JpMmLo VjI+Vl3LMW6/lim7szzEaTeOcQRrbZNYBLARy3miYw3E2qVXxAcy+lYLiy/C6Y1HOxlMKiH/UsZs xzDNdbApI/89yHNJSQhLinXtiqAYo/7A7Ls3Jlk5S3LGKJU5+hgwY6Gl26PQ1ClebjnSUAK1Fboh yJgLPvbwLjP3seorT0W1lmajr9mVexNJ6HDzajNyvROP3Olpc+CcIn3BA3WZpTGXjm0ZNy6RME5I 4sNLm8ljATidsIT5/dG6/LNM4jwTBRf6a6XqIKqa2yiKJyjKwTUoalZgjfmDAfSdDhC3Q4Wb5rH3 5w2QtVtYA8zfOeXtjphIcT9SGZrpwo5+JEOi8ApFnwyxBAiJSfQSDAAFEZe5T7SDYalGQWf5u8cK QMgw3gyVM5aR7c/SZG7tV9aWikILMXZAfRRLQ2MezAM7dtb4wUHca1efQY8Og8/fgb2oKvpMhoqm SRo3UthG/SpneYZYuTzGNMYDpCUBJRZqAHCRyLMDS3KbroH8jI1c9/fZW+spG5S/iNzYhC1ZIWRu 1x5kGKNxSAdbk+zJAk12D9TXvqy2cl5HJYJCJgqPXLEIxRHLCbSqOq6G+JVHxOoZ8aKti+NK4HNM WvBroT2J8cnuJPcLoq4KRBgIlXagjqD9dLuZyu1hjiBQzl9wMiBkDlZu9YL1XRQho0V5WL+AWAJ9 h91zc9ZESvBNISIe234L7f9vtMajnRT0fzpkkyTLzYzOhRxT9shorj+V9sAzTY5HRwgWf6qTplK0 xmL33h+sGfltjUvBtpv5f8oDwGmpcXpDBl908X/xdx4qsEedUx5o9+Sd9oyXT0saAFiT5e4jHA5l x6wxeUrac1tav13XKafJis/eyELHkoAV67oK0aacH1d4naTkef/mPQTXk6tS5lccjuuHFVXfjgkn +HqH3R5aYbGeFvxy1J5CiDEFtM0+PejC0V0EMoWqONEWPa/VnicDhTSJG2YV6ur2ayHrdRlHAKi5 cR6P1mb/q2NqNjCDMycNn0koMqIFyQwaaRCs47U4fg4QYPRjNtxtdNLfYusMvxdUZlVXVT9Urs8E 37UqKwO619g040gc8gS2RJ9dcFjGkcPBfAvB7ANsEP9MF27Kkm1bw5OFzi7vlYhcBQcC0l6HmDR1 SGTG2vbVxhfm3dYC2eiuVQK2RpWnsESr1Xj2J08eVXJtRb3TFHz6oOm8mHChtPF6THkPoAZl9ah1 drEImokayErf5RwWxdrs8jmCcbhdHWvBFRIxHOzmZxKLGkWpL43fz8vKqPDJ5zy2wb7qKOG27sry 4mYMfhcnUjYxdRoYVGvxhgYCzVKwFuxZxLaxL3Wz6v2HXgY1V5ixvPZRrOp5wdsYpmI6JuWKBg+r lPrq9BkWaZ1uiyVEnAuztE86O0gVjeRIwbSNgxOoQ5FoHqix8EwkwTc5uggx1WXpor0FPMmOmw6Y XL9qz9CVmbaae3pCHIEw5szuK9U+mjvpNaA/L3UHs7+ebtlHwUdH9AYX+E6hDKxPM/07DgcCiMnv 0pfe7i7Enm4RIVXkX2NQVrdisRxpStYOLgTNCNNfYbT+A38LtInH1xacfvyfVnkudFCyRuPLIzLW PZxd56bE0TcqGpdGV4aPen+rGi7LF4k8nZR2/2z/twcYPWzH+lYiZGJUO/CO8ZqGvIvf7cwHC1cP niHemAbh1aXP48Lbet9D/Sn8BpDJmnhi8CzmCTpqagVI5BTmTAUAPU0lO8ZI/loShdVTylSI4+X2 PdCMX2e4Yn1wpjA9IW+r81Hx5SsxbhWuD8mfsBnARyM9/UB769NnEmqNbfdRO/6PPEFzV1GAJMid yUtJPwTHMbvsv9K3PMhPpUYmjtf13iJht5KBry6ENcvgDITvyyFNgK7HVbBWneh/+jrFI1Lfhx8z FPEB/Ibtcj3fUrL6LKrXb4pzmNIgbUicHGFM3JUsGZhj/3RoeWCfhrJBkqQ1diuCkOfXFmy0glNc RSTq51mrDwLeAvtbHLq8XzDLtPgNc4M3K1nKSNZ2rQIgP+gOYJcxm26bQJXWycP7m5SsbAuuuZeP CIyrOr4O0zmibKWbpIzfJntiG+IAphmQ/fUCbiFrlO/4YPVlyvlp4goJ5cXyZbhe3vsHaX5I3FwJ 7yA6/iiwUFFXz7Fc7OWCI+WT67KwDZiWDLXQvXehq3zicKEtq3olAhkMY3Elk8h8QFvW1eHzpPPc EdmWp/2nfnxIZ2a6swyWUr9ebzsfUBKbSZZ8StXJYs6IO48XfCA+ZAX6Hr411tu2WN+OwVxsx1Bf KHOIMWwJ3Zs9kKLS3ldgLoULng3nTlW9C+/gDRL9x+VCeX8ev7OAxky5TyXewJ/pQMZej7n8kmwN Hjl8Dp5386cDPBnDTZLzCJ8vN6yXZqtwoxjYIYNFZDwSlOpOtcw3631O9L8Nol3bfmfIusafqOwA Flu5xuwdfFmOGtA6njs9rlmq/kTF/YInPradqDbnZRq2rWjbUeV3EOeqndVSlBrDxhUuS0SOhZtR 0COwTzc5I2oOFzzXevqY4XBBQgV9OT0kR6Amz4HGbJYRAEpEfCBZEbKBaTD5Bj+3F8QOR+iwVdED ZXUCp7/1DRFGBcTP2ZLmPn8ZARhAFistsxTeJ9uLN2RWZLIAoayryaxFCh/AZDU3oviALw+i3Nuq sjjt6Lupa+rTD0VBCS6u4LH2+s7WOTlMWuqRebvnNqIK+ILVnhJKhvj8rAtcrm9FQtq1m12VW768 UbBtyhJ42z2WfMzpBC+UbYqxjlvLSNph6YBjgwrGySSTtlIZoPaDU7RTTk+b5/4KIgX0gHkoOocR 3dRYHQTydCAGvzjna0wiYwUFvuFq8t59gVfbqcrpQaTk08GdphCVE/lm6pdQ09ZjhPxGmSa8nrEN Xw2GHPj2AW24UkfhiJjm6rrGfmK3ZYfKvQNHZN/nKo+cZcRNBSFEmmh3cDBa5ErC3v5U70iPs3ho ECQHrrgeFpMBEEA64lRFMhGv8/oYZCPMZrjHP+IamguI/NPxmC/xob3sIbzRzBktPiNXW8mFzduz rNG0r8/RhRp/vPIcbfqXyYCGTIWkr5Nn8xQlYnFC7te5sCDR6fijlSIZjLtE1uqUGM96Q7Xc7A1v LRDsevzlB0+3Sp6fO3dHs3zUodDzwpzzmrKXmeO0EpSFgg13A8ulSMa0Kuo/SHF8Y9JcC4HXtMe7 r7ZfsU2KEPJx3d/bQ4uQkHxSOAP2gZJ8wLYjgWGorPWJltF39nhEOrqjNKGDVBoEXvGOurSyvRT/ Vhetodt3VL9OHGGS08J9ZwjqpdUzxFK3JQvS1YacuGCUtQNxbvKZSDBer1AwdEylrRAX95yT95CA TsVwnJbRg/ecgmBL/E1gMBK9OACHpfk4bdkmu5/UoOQE/N5nR0LnWZpPGfW8p7WzGDEBDw2Xr+0H lZvML18GbB91Kmv2hzcYeApUFgsepj/GxGFbK7E0AN0zuC9S9/L/OcueyDSUZ4bsVSVpERyNwpd0 aNK9TA5liEUR/rVBfMGkf6VdKk6iVPy/sPSe1JiwGgPJ49hVzAUa/EGcKbR0B54FvZ3ZZ9gisIEC nXyPPFrOS578OPrTUDmHYziAo3veCi5mNLOCzJ6L8qKEXFRpZwDwb93IGx/89enduOBPEty6freg ieyu0XCutXS7PxMIuzvbuBOqxc/4kLHsmH0QLV4ibrful2M/bhqaMKDZoGtG9qUmxxASLeyL2UlX 2LFHm4omWrW3zJEpjGy5A70jTVHZ7hX9bhwB71H6nlgr+l5Btq6A7nJHOjCPZtyPpTEt3kpEk5E4 JzDxu9iXqrFFGdzHxu4JZf6clkluqgSRzWq40aa8ooIN+P+r42Lv7oYo/iH1pi9KvwNoA8uRQIdv 7Xy0gi/XLJiKUx0TgOcJ43D/25ZXQEo7Wj9n5+EGqE5HZCtjgTi4I8VapIjCfaIcDw+iG5L5lJol Fy6tg/p6WToQeoHblT6frZdC0MTgReCwRIQLtAoETDM2BYYbN2ir0pRI/WYDK+JwRB0cthTA0fvH JC39BU4xJ0jI8F7LCUxq1isPpKwBJ0uB5kKbgjFi4xeRLSN0nFO17zCN7atGeGcWbl0PZwca0pAi yo8VTOEQX61IsGmWtbhO9D3fxh4p7HYA5d7GmG0WwleHN3yT+dAnacqI0kxDOPDP88pfrWlNG3hn V8SA+TGnKWNph/cLCo+rQ95k93b+K0d6VCeT/mzDYlNWJvLTHiBRvJ8hfoWHbj44U+L15XSNGAXg ftufp+KymuJydxQFXDxyl+SXIiKIcCoG+CXhWRRe6Ed7i/TVBB9xuKmRGLPC+tNNw87hvZ980hZB NBHKFarkr0W8qHer5iJ15ZJsVLMcxXkWwAgEEeRXla0I1PovTPX9A70zp7WoaXvGjHGaDhChirLy SHx8tRw1f8jSJa732vNRZ4tggpXg9ZBRlG+clNae3pUax9POCrIU/n/8pQcJsDOBWthxmfl7NDZG NXSA4YhfsgOvVgECR4VuSQDUeedup5POrN8svftHxBqVDq3L3H4gOL7GGj8rJAcZMDi7SefiOUz2 PV8xzxZ/z7o5/lbGDhCv1lx+WgJxQgLJylRKzi5yE4lr5oWrjfcFE229BlD07OQnCif37Vc2Gt7Z ERw1aWizPh01FneOCL/961uwPaKuKyRkllZ/OmvK9ozZfnHDX3WsoMqfc1NJWm6qJiKstQv7rbOf flylKvvoCwvnO6Wd7X7fYeqi4wymU5nbvW6VXGhCRWQdhjBJGm8wz8wpl5bJjSVncfAtH0GSVROy ibhRPu8GxhEvsrPzuzF2AiR8JeWKjY0gS8meQ9upE8haj+1bgzv7b194x28573BYcGoJL+HEq75L 85T2cqmc0o53lylXlfIY7RV+1yWpCWvFjX/lrsj/vOk1Ow/7ojTLZ3En53nVdCG7wP/V2D8g7wKS /uxEq7gHxpxvGworq3ZK2G+72LDeTZ1u64tBWsDJDI56razg+DL+Ux9dwp2gBG0NZm6tDZC/7yir H3kX3IReO9nVf4tE+cxjGi0oPiRvGUotex4/VmU1xYU0Q7WY+Z7yfhE+UO43B8vzfV0IejZekkdO cJOjvMW3Gz8686GPxYgPFxVzYLsN5maqK4uPv5eQx8FRg0sxvXYNjygZWd84itCYIqW4NdPKdiKB bFCAZBWCGXG49QIEUP9xHW5UgnK9cmftxQot7QzAqzQwcSTGV22dnrsaT94NyR7ay5yWkWUhDZd5 EDRtE/xfSukUT3iOSk7NhiqPVTdncgo+3RO8HaCL8lfwp8FxV7cX3y7DVZ/JS0Zqksk5MnxMlf6d kjD/NE7PkgDuohfvK6mcp1tYde1P77zeJhw9mDuMHaGu9rN1GfKHhN/wwJLfxhb+lYNeUKcNvhup qKO49/J4wqIuXgX7+QN51+IXHRx7V0RdRfc8XtO2Oky3Mmn6hsQHQzMu+Bqf2l7O5KpOXRfY6oQp bvJIP/SuA15/FjsGp6OGj+WMU0DA1i0BrLZqlU4YXQrt6OtuvcpoIQIxMubTsvvs5cIzLMAQ6wq2 0Fz+XkpKjCMbCpXFpQaUmUkCsv43HiTahhlawimn5/de7D1gi73uqokZ4G4/+QOh39Jl74dFpw5d bNdjDxGag+VSaj6WskA7dbC6ivomiAPWnOpU65Qh1Tky0YQIYPHPCc2V8xNXuOUFGIv8IK5Pv8Me x0+FFvKobg1mq1VX6QYu3LZ19xK4z3g1XV0Wffp3OG/3V9tW3NrgI5srYq5fwZ6Y5962yFPGmeca myLVtIIIyIsbae4uUb2NyfCaiAvA5qYk60SU8NQBchvNZscvDzBEpvcinPKQmGAVEvYm/HaKOB1L SbT47NAenN1fbtcHjhO/IAvQKwlRhYvsFH72JKKpFlLGCgRO62MmqRWHksG5MYrpK5zoQxjjMRVg 7AiiZHf4coOMBP6mINVWPW/srNAhdBp5+vHJkviTtmoOyqji8I+XCAQbpckQmC7fKnLBayclVhvp SPGItqqnvfWNY3RQp0jpK4FAqYOcchVj2NsIKzbLWjhBvL2AW6RewxLiHwFWf6Sz3UdUZQb7p/dQ Z2SJJnClG9goS0y1xJ5ComnvHHMXlRxCDuDwuyeBhyg5H7C5Sn0wOiXBmfYWnEXYHaHELNT2GgTb R/BBxasiO4Ch4y1Jp3Yd5Qg2XdslABSPoAvjLD8DIQ7aOTvVpCuQ5IOdXhTVQpIkGhmJ1TkWmPp4 BMWH5lHQSxwwhdJA25mx6J5XZcYqO5qySq+x9wGm4Q2HzNyLS28XnwK4jvKj/ObqEKjyh95Cl8as 56/rHrlXYdp7yGeZdCibPG+brYStLVCX26RqedZwWa4HtWoNbOOT0gR5dg7XoyHRwl8FoDmrOyXJ L2UcP/t2diFNegSPYiDjtioEeucCNmdY4GCAGQGrv7LoqSyS05JkN9gnHdiMoBWZILtDqiwlKzVH zM40PdQkUcZlldikSA/bvzqwJa0q9SGyme0kbfCPRyBntESlDvIlWFFUAX275OeGCoGepBuR184o N8ZctMuL/1m68ybnfqWSiKJbSwypQeGfOLPLBNPa3+yIGAc/N7gWFtl/AeU1xvQyMtERa2W1Xk5S a93fSGui/JWTdGwI6/VXC+kEasa+iIGjTsRv+zuib7ocAM7/PTz8K+rEWds9aZg6LDNl0dbz1+4L GXNhhE1J81l27t5qB7nR/LtN5XUeC6kNQ8mFCLnAmDi2o/UtrDJE2rcGCZJhNGv2NiADpRdhnMvW Vy0J+iAxE2f5Ayms83bF3IkxX2BDYU+zWdVF0r/DjcMv9oAv/70MVbW7T2QnJgB0yA39IZ4OLJUM rdlHfP6a8U44piuv55dhghvC9pdQRpT/4vwaKV2NB+E9EFQxUKNjKWxIml7bO26ugzBocdL4bsOp ZYx5Fi0/UzHstsptt3S25NH1e+Bc6ZEYwWIR6EWNGbs89FnwJRSXGrFMsjhtM9mMW/kiEKwzvCAp I5ljJ+u4NNt6Cp7nasCgqtSi9vj8yCdVpHlLq7DoIH6FW6ldQbBXWdLwo5D5eQKOYp/JJe2dOroM TaxrRV0pEARryk5EMpHq+okk/FStAvGxiofoqU4AUzfYzc3NeDrGI5+T0LLbJI1PDBYUSFP8bs8n DQTaSWxs2lUMw8Am6jievtBcE7YK4VGNplo4mM+ziIW2+p1p4jldDgYOf+Cmx/chHzL/TOPgvSJE l6NCFmsYJV6kNVybTBsLcNQMQczDcgy/4ZPdcwZds4U1i1FT3GyMq/X02lFZZ43mIz9qVElvwmp5 C1C8JwqKN0Sq8abpBrf3lNib1/GnmcRhxSJEDr3rtYIgjqPSFH42oeEm0SRBR/wJ88wEq9LpZknj 80NC1l2fKvNzL6Ea6s0XETCfUWsBdgSvOYTUPkVVcEhztMTJw51wQZ5lXlNTK9cCmc+/MJn2Mf7q NN0gFbZyvBxRBZFW4jamM9kMupiAhSpu+lqHqCMDh8t7/Qy7/QFQAx9EzMm2nGKxSSK1h5qClsj3 XnxqKMyR3d0kUR44pNvwcZx/50AG2FkTa1Xt/M6PHkjHsFfvSkbly32QvXPfCZvS/v6FpdKDg+bQ cnPA3WSFSb4Ugq+3XowE4ObWOxZ/ehUMH7xHyylmfyRIrHxIyA2N01JpWl+xPJ+eVpiwNWlGJb8l +/qjdw7bkXfuuVrQ51oE106ufEQ31ynhJTqDgwAVrsQhRkxV9i4V0+pdgr/2gQiWRUiGFHn9732F aQvbYBAU3cRrDXKSA8MPYGNB2Djj6JxqFsSeCG6DjB2wQVnx3nl76kUwtdCbJsdDKvtmIqwtM5AO 6dnzrQieZbetj+SfHZcplNt/Wj4399qWQf9s1aVcetn/I8AosTbJeNSWWu+qqSnhUtmIBs8i2xnm 4/mczm44oLn5bfwCpVxyTQ1r0S+jo5iZZ7ArZCpita8PSitQPAknFc7ANjYmCQLdMOdGFzSrdBHj CMG4tsTC87eU8jYraegZ2HRUBO79/JpflYFEGdWWOqBxIxViN6OU0npZfRXMu8nys/EbNmX9jqVJ hVMmUYdSgStDSiEhZHREXYAwH2ZpFN1TKMXOVWp83OZhTcJxjtWGkhkZU9xftoO/CtTg0BN4ZmeL ++JMp+iZE67gB7W11hEdMDJ2B6VyQClAAjAWHPmbtutA+FIx5378twOHCCa1W2Ypw4KfcmU5auhJ yki7mojhveJyyyWeIHEnsuRL0j/F8Yq+NJW3A4cJXzc8MGX2MUfErish3Lry21fyxRNrsVaroR5j u4OOuvy89c+xM/ns9gyZKyPyiDiSMltB6mvGjFJmH/5fR3a9qAMAfdogJcd0Mdh6R/WW7zljQg5P Zh7emQS35on2F8YkSCfNaETyrNpIcH5ZlA4xQWKvJOF/b0OlEV1gMUISXG15t07ajmP/ncRq72Cu D0LF4NKXy30rVeAcJw6M7EELQdOPLHtCpfbchzUyVvEGi9rPVnlBaelEoSzoS4xdsMkOeobbSmrP u3NjsRMmusg2uJ2b3S3kqPYNATma20AQJjqQ/RiquH1P5vCd6YB+Zr3rN+EkaRctZ9PNZQusYq4v kLs2Tm5tPSRbcsmrJqgPv2G/Crxqg0F87lTJCsrQGR1btASkxmvhDdb1YDDnHvvZe4S8+gIcQK5J OdqyGAtNhuxLIHVepOAbjckKfE+5b+Ao2fTTVBjuNRVtUcEdas473JL1vuNKiX4cU4L1j9QWFj1z T/vTPzsv1hiU3uyb9eL/Oxq2ajnquz9DJrjzt9Nr18EegFSutWCYO42VQTbw23/bE15cjTf/JOgT 8ZX8aH28cthGv2pUVq6E4yoK8HfgwOE4/Fr+i/PJB8d54vMFUg+79aEDKAw40bbwyVYREbHftMJB S26rftxfjx/1AJ40QiAbIFAIG4+Dw9NPXs1ta9UslvcfNH/jEizF3JP3JhNTQ3tPw2zWxGa54iDe am1UYOqNkEMePZ8YfcpnG67ItIZyJqxhVFRzyV0phK0lSC3hNp35rBWMk/aJVR49ebcWpEujM6Md gjRfweqxUd3ZIyOv7nJ+3dbge3OAtNhRNZAgyE1CuYD2AtQrEKD08E1w+a+5Oc4upuojDUosj3zf hhHbjRvV7RrIlejbb8f9yMENJ89VahhKJpN/hgU02o2AeJhKiywqCffuhpYDtNqmK5EbH5g/2wQL vey7ym1lz7e9lidu9xHvv6vU0K+aI4UmutqYlQ2Em6GBkKuVaQmLGHpiLIzbZoFJla6pY9iNfiKk KghG/EIiszvK0tdTO1BdI/l+0vA5lkzbK323qYwPoKHuntPisTzOQig3fzrKohRYSNAKelJoCMyo KISbRNgj5wvFIuJTWH9tsDQn5n0elPDivFfBP1bewQs9KMs8bS5iXAbn0wpa0FXRoWRQM9FY7aYU wxKl9d41OFhG0oQvP+XsLnmAfWOA7qIYdJKdRCk0pUABZXQzJMSYMti8guaGMKdelDWnoqzWV6zs Fv9PdKs67B71SCsmpGC7SMINLKxc7jeNYhZoI7JtDq93n14q386b1MWR1YJQ9ycgfBVHlU7QjxUv aCArYbIli9mi5EKni2PpSLovmawKIDTIR+GZeMd404ULibJT+7OmawgVS8MzeoHFrNFoMgvhUybo IDbgiTJsViyKb7sNsHgvXINiGBSFKT7bZwDNZWUDUXsmuE1iSdRDYBvk+pOy/++PF7HyDCYwOaxa milOtG7GwN37K2MkibOR0SZv2Ke5xRQBOCiXVBTb+47nVhFS3E/AG6w0NugaAaooDIH0bERBpegU UZjUALeQ4cYLOs524EX/qaf98ratbkZBtLmGjY/d/9PAwMcLTrc6W66rrbijh1xPnlRcjD1Ct3tD Vk13eVqGUr05x/sgVE7dGXO58SoSPFzSHOlCEonDDvVHflYYfI8pkTaL11Nrm+YvaNWTKXH7b7Ia YMj4zhclBMrM34T/a6KLq+cyUFMCeOJ7uYhlgMXIw59Sk6/j8IMqD7E2qEmxFiUG8/yVJJJvhVLY IlUKhU2ismvMdQZlK44r1U5bIuvrkkWMKTm1d6o6qRTeewcLm6Jjb4iHO4WqJ6vvel+fMAFLRn2u YzRSVrdgxDLEgVdZvDa7CqIh5nGBqK9Phjkaf+HDCPa6cPp3dE9AlckISBlOu6C1GxqZDdWZEDN1 8i9mP1hYui4VUtOhcNozwJxhtj90lTow4sd58x0apyqp9kkYEEb0fwOoqeYfHca9evRwaGufcb4V b6kIXu+yDdbXCNcr5gSBU4vMxkJ6diHl+POSF4QMEMsX1nQINRfMpk9Vq0XHDHXbUUPM1jOoFhUs YK2Te/5l85hFy8X70NwA8c1kuQLfWLrVRoUAEJkAOxFQoRoYBAHffnI/4JTKVqw6afBVN8FybBqa BLUHuXq51DD77JW3KW6xc4dlbVG4x1Z3GctEMLwNOfulJr3ZNnoB7jwRuvZyOOmf/EYNdKqCsVfJ QjjbxlFLegQ+POUfDiIMIwn9/xx9Eq62BXjN/NPwQu1DQR47658L7pqTQMKvoNnZd46tUqq0db8C bfShta9Lx1pdGsfT7w4wopq7wpW49LL7jjcmKbH2W+sLOPkHElMTjCscT+SJ2BBkXk/WQ5sjsnDY XeZmBxX5EzcrgxKEunei06TayKSnYRwFk0tuoGlfPAB/kaqvOJ/tDvkEYqPEK6MFJSeg8sqKgnbY S3/WV4UbWYTR/OKKkw8lEEOAknuxzAd7XBLi5/N3XPDBihwQEhNmL0BWUXsCjti3JUUBLpMr37Ib bIejgNZ/AnZdxsX6I5z+sIYeEeUV+v2voJVUQonWuHhE2Z/zleIg+A7ClNclMojVKHGAP0HOnd4u VeVcZG+gLHhWdymZ0S1ZoUrQNeqOg9uoBpAmb78iAA55/ZicJS83gTC78KlvbQfHtAdFl2Crbice eQVbVhVnXh0RpxMiLniQAwVrzL+DnyxV0QaLybadx334ba2gen83KbZIfTuU51Qvpqztq0REhyQ6 cNjGtT9igG7+SiGemjjMyEzH45+J6aAzNDRcaLk5pqRIGnmDwnA5l63tpWP3Xe4E8qnHnwH5JwB7 WQxGfqSc247rbl9J+m4vK9PaAhGM1ziCBRtyYK4grhL80GweyN2eXfSPurV+vb6N6ziKnD7gXcPd 8/Ki/eXzWiudXQqwn6xKlWomlNMX5KgpYkM/ZfLLGRuVmXSafaKdDo7SvqJUvBBo1I9AUGyvmKje iMzIzLbS6idsnZ/m/v7NAUhKpVlXAK2gSFiBd8c8T/49UcbWlLmBzGAeaIwzSec0r2cef5V3hBOP dUCqd9NoC1kjrhLyr0x+6+KbLr90W1K5V1gU7i0Yh6fKaDlsvCx/64/lapap0KUhi/o6NjpX6cKu m6CvWTBSvyIh5YBbWdXkDLRN3V+qjX7aL7jZMVnpj03oF3ZV16fAXh7nCLI435gnSjAIc1ADwBwk zDI/BBOnUclTzeNY9NWz9E6rlzHrhFdse8jb2GHFrLZzixf3zTO3mmrYNVbgosC1iHTU4BVTuTVi aphb4EpPmKmQ6IOBTO/CIAYM9W18psJYhDXSNbt38VlqDodLmSdAHLK67iWseklbnPZiaiXAAkvj Df6AD72Mf9IL2E0Zyn/y3To+H5MfmG3ixHWMUhr/Zg9Vi7eU9XMWlQAwrTS6AdEUce0GVZ5ca44W RyplAjWWTp5qAhpROPHzruuAvDojVe3pq+B1dsiGgmBL0w3VnNetW5LzmVH3oy6pa5ayj/rFUGPn Fm6rmnqDvNnUYM4P19KlXeELvqk1MibDmP0NbdvcHAWL41+5pPjMrjZpcM+1Z7PBwbl7Qq/XVvzN 9D6fPvuJS8ZUWth5ZJkfnVzMSOSySlys8GFRFkCAqDJToK0WdZuprDNzAfcAwF7N2RGu9tygeaYT 00YHlHQSoOyY4gXLxsRDrR/MjwUTJHEaZ2wRpFMSQGF8hiW40cUNXEvllgt1cwSUmiwnTe0N8KYn LpP8qQbVfZL91hDJo1nSX6p5quwyp0fjJEK94Gc3ZRbWCkCzRxd5mRybgN8/5U4qGlFWiosm0xVh CQ6bIdWyjHF9Fho9thU/fzLioAkMZvzxVqVH6aj1ZmDc2XdN+7OvZuYo4gshuUHeBBmux+YTLecE N3z7hUfw8lOL88DNHe0tS78XvM/tcK2DJtPl+mcNJfLOKFKcF16iJv2XddX5HhcN+zfr8jDd0BiQ rpnqYCV6dYtQyYN3JuWZPueGptO2hBIFb/RgswBd+KzaSrqAGmTrHhdmwWczXH6I+qijL1NxoKLz um3mh9aRbBhmvzgSbQTpGMi2jXgrmA1Y9CrFVmL3mjPQ1JieNroty6eP/gHfWhEjKdW/62qsZpvG EiF02btEk8l8yXZ8DZ4mtEANDpXQ9zxazFfVejJHHahD2kG/uGNVXMnb7P+TUWYuNxMbvjeJxHmc MqEwUCz8Ny1Mw5Loi4reFIbjh9EitRYqgwPu4bRxgRIMCPbROyPVfOy3+T3ucVHCuEeMbXCPv3pc bqkTgevqDhnF7/TDizTjYp3GCV1DhTe35gXAk9M/4yCDaGe/9dZZUK3K58C4xoU3+paTpxziMFxw /kcS7tPd7vswZxVaHJ3zXFsJbWJymo7tik/XNO0zyUFuo4hUu9vFIzAkAFwdKSmfMsOrvZMAZSQW W8fiHC4ARHbqgW2zJbnb2Ej50hjlEtwmrsKrvww+kvb+aP5pHM9jtg3KWeIqzhJX0Na5Ez4IOVWz mlVJWNAd7dBOka5MF3RHgK7ytJPl6AEf5vR9tq0f8vgkAWpt9hv+hYrW/vlp9xMVOSsXJep+PQlj iWdBCfdRQsfh3OvHDGnQ3EgwbbBvYRJmqqPty7iz4AD+YltDZAIxn7cgAt4TNI3fEzZcfkvSsOeZ 68cjDVll8v51k5EgMThSsfdwfVyZejrp1RirECHQL5X/1fY2a+7K6ocPlnWuBiPwWwJZkYQz6y9A yjILtysFtugfkt8qhPdWSvHGG/C0ulFzky7FLdY4JkjNfLpYTh5zZhcq36ZU+D9AIrEwlBrJ6HWk AeIwbwzLaEN54sAiVesSC4qArPoABoaIY+Bjh0jhvZfkDr98CBpB/d/V05BugyqC6lwBX/xaPBI6 n+GKSrs4eneENRglRRh6uARpIdtecMCzqSPL/xpRBZWSjiridM0b8K/G0NG9MmUee0eQCVcDb1+y WPttE01qOzqxJ8pn01Kw2cJd//U6vLMO4gUO1eTJde8/3QExmEIqlya6kieCqQZlcieGJq0m9smR mugvZQJu4zff5rW7rrzPVgU5YBIQLALCSiAJoB4n9LAL+UCxOtfXS0j5BhxGiyuveYC0WRh0uRG3 gHrIDfphzmLrOwl9Coo0QmGBCR1ctJajPjmFOV7421kjlXOlBcg/v08r9HnEQVI5pjLdOLvnow3i nBEi+9UlKGses/3hHQimvpG+JbxKGmd1ULi0DrW6PLQhO6dg2I1yfgRrxiNS2DV/FOry0lg+vwrH JsbpYAA/6idgsthuI1t0BKSlpk6gbpK4ZEzSLFWLm+7v1aPvHkNlTrnNTEg/IBgrIaQGxIUMoZO4 gJ0mG8stBV7m7oXW0EueLuWsZZsKAzchQFePzWpQ643hx/FPMv98CIHiMTtjeyd6KOFEWU4wag4d +w/AQApC02Wl8Tbujq37E0BZ45qmlGf9bjqlcFgBg2F/NTJea7yPTqMjToaUhUFzLriGkzexcRUD JVrZeuPMpyqII7eqY3dW9VgXr1n/3TmpH5hIRfH8/SFspu8FFGCXaqYd78jJQHVDeqISau2SrGLt 59g60ow6Xg3ekVFC/jvHWazn+gCNLnaPtbebtbpfvkOn3qfCgsVBA25XxFLJL8hBwqC3LH1jbnMF Bw2TH4j7PQDKbphHhZobwkL9J4/VM3TiGngN4VeiD/uqAI9TVCJxgxbiOpAmzcBWeoKyitJVgAhc MKJ00q9CcUDXBwKwC+CiVz9/rKkDm+KRDCN6VdkG627lTjHJfwvrOawrG0VSpM+S73SYGezgyRQL eOgfiuF8CMEncLld4ymiJRZ0G0IhEE5a+hMoEc0+zvZllFEOQrpbgIL5msAs7v+75HEFoGijbSqF aF5IsW123f2GnaLwcXuJUFhjRuLJJjT4p04DCJ0VgZ/BHou2hj+o9lhIPoMpps66cXfI7cup+FEv pjhok0RIshQvDudOdvZrcCXTZr2p5Fi/GLnMLmZ+26f9UG139Iuo4LjgEzCSSt7b48R4bpTM8fh6 5PIy4PoqYNYDbmlBAktMfDh5/gaAZCTE7UuTV78SsDRUC12SMLmGHKqCUEhbknbHOGg6EnX6ifR2 tkpL0e5ZQBsz9LBLYgVfHjBwEdR6DgFbwN8Ae1h1mCPNLJcwsyX4cMbVXrsJ3c6AZCljnY5GwYMp a+t9QODdNIPzyiizU3eQeaYHmJ6CPnC9moPaAB60jVApCMLVXm/49FGIompDY0iA+G6wnqe9zJXD 0nS7EcUqZQ2wOLRqURVlJ0K7j/Bu7phm5qoBcDf4h2Yy+aDXLsatOOyz/VDerzfpOglvXpe3g1wO m83rxWisdn2rmVUeUUyNn8BOIYEGscf4HsvAwHGA9H1yC605jSUZGWDKlLoysj4prtSUd2SZLD1v b0eBQGzZMu4mXtrA0K6DK3hfFKKo+9PklvDe5LTrUN6ol90lcVwCjdvAgzRCgjHj+wbx4LnOaDtG 85VDA11xNM65e9A4zB3iTJ9IjlYmuy/G3vY+64HkxfNRzlwVDqzB0GgeecTRfETlhVo52pSTn5V9 HPq6eT34xydBWxZw1TXjg9EUkSAx9djkt4FfEGUDxv/DUB70UsLgDLSNMtQ746jmPUCx+uYQGCdZ hCYqeBdmPuA5LcVRn4ylrz+i0zyAl9j8+rNDYd7bLxeETzlgSQpqWMlIVpkELxcCp45/8MKvomIv 9SMBUFEFc62Xcvgc2saAN+oLiKEJQ1mmdnGXtaaMeKlrc9PqJodb47H9XSSfwbYUdq5j1g/gFPG1 SxkO1Mfd9eP+LPapEk7YHNwYjoubZ4L5O+AkFAo5RbIEPtas08dPNx6rf1zsiVYKD8GthI4KYnGa aFYq3Nw0yo1mW1SCNtn+X95jrY221lsw5LCpKKoeoqqgoae2flHgDiKUCvosny6i4JK8XQFCttp9 tFkKyhadzB0gsMBVH9xmjjeZEPyMdiDA/ARcM3zCY0IIF5OPGyjFbuM/5l5WHxccpRNdU7MPIXe3 hVsURaSacpZgAxU2iWhevM0HWh0dopnCJXPzjiTDwMZ5+JNdA203cxhYIzLadqPqpmc0KVzGCo+l otlTVNSqQ69d/2qzIk3pA2iaZzoUm1pZ3/biDbqDipj7sD6b1r5RJDMEqOOCyEsxAqUVbxgJ/R/o WcAD+byWKiYXhVihpNY0tYAzao6B5JeKoRRHjRTsJ5fZvP4sxv5sxuVjFglUqu5+4Vpf7+INgFTP 9HIWjtm6M8vM7A6ZbOyYKCU1p8mKMKiJ6A8xPx4ZCaR0mjbRE0KKfXC0ZQAjMcUFiZCTwVKVQTJo odUc6/oOn8C5LyAurhI+vIcSA6AGmlPHWGNZ/6vVMiu2NpbrE34BanFcUh5+zgG8cq/Y7gDh/eKL 7s0MD2RPbBwRdkO2g2KOGPT7TAo3H9kmKm/SNEOOiqHzXdRZG1UWp82nIfnG67DUTctA7dja6vOj IrZCQTY/HTCOkJdSG0mi10ftzCBPQdz3ZpQGV0ECieDMZktwQiltW3SJK8xyBYiy6xfUbjCDq/8K TjNy4dfYufRwsj2pClu621DZsJFdjddrs1AJEKhUb6tQHqIxFBQZGTMB88DDlDzubDGNrxnkS865 4iNrpdnfLt5iYC1XxWgXf87LC8PIadMb1xhBKeWzJwSARh6D9qsiF7yR1449TQ1Vd2Y0fbJfu8Rk dWLId345RLGhiIQOnn0wyN1KxivoK0Y0oKGAUITFYSYdkFE9SrpEt9jIM3B0hcx82IyoyLoBGp9e 0A0r27u+FevUyx8Og/L2Ld0EI76JHdP/i2/poSBgRDjSgmg9ICI2WtcjgFHmP+q8DB71QlGdYYYp +yvh2UFJN0AazdAceiHaZsUn3C4N0nl4Vbzekd8wG16qvX8SFYf4zl6MgkvscspL4ZlOTZS1Sqpe b0KzNYSIG9KEzyxykbryZJLAShoMwy5F709u5/Vkefha8B700yFL+9yiUUR/fcaAdEqSaLQpkAnq l9GU3x6CtVtdnP5quJX4/C+ROtBr+A9BCamDzsEltBzd+NACri4WYGJnl2ho++rXXiG5woaN6PG6 TF7l+4RIFp0+cJSDE0Yaer9V2GImPRGae6eBE0jynG0pDzgY39l38YE4m7CJ4IXSeiAl12ulQ/8L f6fgC37CzK0dCOV5keARsYwSncbnEkzvLmRhTGrcpD5byvI97yFXjvZgCfjBsnhob7ivMx96bqtx eskN07+OHOaMWHyXwPUanemHst/PYbmwlT/PEVJGkhSltKH2MbTY7QvKg1UxwuiPn80RGnbK9ruf qhcYZpS4fRmzRITXI/PmHSlTlIhdpeWDqWxMyg/R+PeFtyiP85kvvYnF4y3twGPLSpktQC6nUXNC W+SJNs9jMCYdzKQeZAB1SUtBvbKW8J+uqb9xZIgY48phITWMEG9PHcIjti16Qgd9Wh+sunVsD+D0 8yqcTkGJT45X9bFDTnjRhKJ1kC0WqV03XtxL+SjUr/liJIgsy/Bi8PwFc01iFYPCerFe0xkKi2x2 869JuWQQTQU5VPwukZojHz6CfxgDstc/L/1zGl6MnBR/xGAM72Vs9NsBtecgbD7PSSN2rq6dd6oH AvEydFT2s/Ih5gHrzCqsyva/VWx/QU/5LOtax0bVNrOjN3GaWs8Hoa864hY0XQ2GW8qDIpLgxp3x 9I9+V9Hq5mGWKUciY0c4Qy4+0pXoTJUDQ4ZKBlXWtfVj++paQ1wSwdNVJHvwXatNSn6PKRm8Hq5g pvSxTTXBpCzViFC34s5kA94lXryxgx3MUiqobniOcXGb5w2MYQcrmQf+lsF9eIVaGxH4hsMcMryQ bLDNhAEeXTlP9aFoyw+5NGZHkRnV7dxYMLemWYA5tKZrxBwZrstXBoyvu17KMjbblKYD9Sy6fvbz EYNrfvPnDMXDN3q1WRYcaZY9Es5j9eqQ66xy8gWLd4bSkV1xznFzuh0hFQIsFJ3QVFKcrxc5X/ER zLUSqMv/Wy3YYyAQHmJOesIlYQLvIjTTWwQtHKwWCG3DsHY5P44bdzt7nODyM+4lxe2+bW5fGh3C Ms4C65LOSzo13loE8qokBdkCHqEW6uGUurVQAmgN26bAk8B3R7cJq7uArPmoi+REnnlDHE9UfuxQ XDpqpBDBnBD+zD5XfI8ggOfWH1dY2zCKUnWgS8u1x7W/AlZiA05RTjO2Qgpl3LHVHUXDfv7fNr7S VHZRNauFJL4aIhe5RUJsco0rlqfcYlw4/vYnBqHu8Gw4JzoONuOdBD72vQDMuatIqsrdvVVjD6Ot O4EauNvUszBkKfWjJZctkHtdVZDQuc4Sgeji8dKVhcLsFzyZheQdyJO4rPHk5bLzlcpZE5bDXwaR GT/p1baH9SWvrMV+TK6jq3hYIygeOd6OM4P6rBvyAjQafsDA6YhB88Wt6zUrK4tCyJSwmunhRZA1 F9owj7QEK/2Dz7lKAn6uMh893mldW3Plskfkw28tgBeWhvri1wx+CYeF/Yg4yHE2Sj9AI3EmSqXX UzckOb+i3/s+sq3VYDln0oEC8EMtPWFvBUlzD5NErA9YCXkvqpzfAegmyEZHDhimN+y+2jY5k1Wt Quic0kbEUyTcu7H+7WlV92nc+IfRXrP6sYMSZXG0D7WqaaOyf0oSnIRDf9I1s0hu9oJqSSI9cUeP DhYhEsrg2pdPJoJnLhwpZZ4sZ6g5S9wXe9HefW9JvQ0wwxaCXOOVwYjT4LfgZwimFfgdovlcERzf 7LEAADuCJ2Tj4zhnHhyhXgOQj8XHzhWDXsY33P7IAzBLQZ0GDub9NAYr+00uVLfkUwIGJBfkPDmN 7kYwUFSYN0S4cifFZUSWvJImSFiHlWQC/XO7P6CDlNEV32L5WEIaIcOvIjkiLWcdOnuTxWyqI3qS rMJiE5/NdGCHkyNOccOe1dxBTJaXjgElsdd4mXEFoKMcDmtH0jDtFAL+rBV1L8BNrzjnw+1Uts2X Kiar0in/2pgAeZsLu3hi/mCjXn0Dj5uMMmcy9bxt8lr6txLJ8HXfXbojuwnY6ZovYBPpZbIZLYTE IpLZw+zfd7LmnhKEmVVVk2mxpajz82Xr9SUM12BIhU7X2ZguhYW+jfV06qpLMsMVPj9s8Lum2NOO kIHSldzVC46wlAYc5yW2aj4RE3dTwyXumdAgVLd+F5Zfi2q9vlZWPr0NmanbQg0j9MrqMHX5LCb0 /9Arhxm0oHdUY0lMis3ZpdHeI3ax24/HZcRhDoAyloVpbRPJPYHZWoUHUS4vgpcBP2SbpYFmcsKC wQekAOAsO36W1J1+NVmp05zgGoPics0WcSf8w0e+aLjMJfcuhVYs2NEbHQ/WGQarZBAMOVn6d2qO itj8nWPQs9evGtDSapi8ww3YSJqOd34ibDChXoMH0RHtSWEU6XtBJxRzXXbO/zzDAv2MZ8YmRdDN wd10FkMgzQhLFBveXysFvwqA55Pa47AFixJLRAxz7UygmfJyiecCS7NO9Y54x6ELQ7+1R2iKMvYh 5vzlD+GzeCgyMDrbbVrxedyyNR4nVFdRJ4U7xqMmCI/chZfH2+HiKy0qZYUDOiHj/KmmsQWJv/wF 0oJ7EmnvqrOE/6wL3OqMfAFP1JrGmnNApRim4OF6t0V5056irT9S9XFCV7GzjBxy/FmzmWa88dnf ONO2oJtUVDHchDhjKIpf6xospBV0ZldbKk9DbOgwAR0prqyk2COkjHz23U8c70M8Vu3zvuHZKMvU kQ7Wv/FYMncMYqhFWY3B6ZMqN6YJ1kUE2n9mEOKnJ47sIeLXefegpDUMi+dhS3bxa8A8BM9nugXw W8rJflmJ3USkU/OLNsaN3entLMoV75Yb7zx5K7+WWJgOOeKfjB7Q62C1l6fnF08IygPu+3cfuJn+ K1l9ry1lMUdaKYClVC3qcQEtvCYLE7hNqBDk7S6ZaLIBSRjVYqiTJEKGa8fPr7v4wFtGdSVlHufK +1Pfn0wQWLk8FE5lGih8CNwx2Gcl6kVeHuAoKtmtfZ12kSiBgJre6Ry/DMF71hDjtGi6EP5fsHUG ao1Gowq++ozPeYFIfoOScsnujDwUnIgnvWzNEZssF2vWBV3Pn8xiWz93igiMNbpep7LuGHhIkJGZ eJZDcZ8skkrMnfX7ogfcD2qs6bwLP8N3jeoxlrSdBtfjPnNXWdNlX1jOPcplmyB2OrATpaT35+ml G7c2oX/R/2lxGyLsFmjzhufxWByQsHEa/NKZ1tZki7U0XlnpnJbnl7IS/k4SdvY01JAwU8QA7V8X mwWmm1CkEbBbI0vI7qvrJpXbiDoyaHLl6nM3E5xDODGaJsII6TrmsC3wM8ozimbf/DGPbrqKU6sM p7+2HYtZKKR3K0z6ooHdyPm4yzIMGzecXRABWAwbb9zbLXtRgNomI3VigVwPbGk1TqJ13cTerLqE dmQhjIgox9r6JnCH1brRRB+z35qVyb6eUqIFnyfcF2v3X4hMY9A5LIQZSuPlITR/vfGEL3jMIcRv 9C68mJiY8iKbX6L1N8gPHJY+LaIC+2pr6C79myMnSVDZEm/KJX70M6xP1zrCRMKetX9I4NyHESvG FCdvEJr291QciosmsfPXGR1DI6gZ/irCnXbLAf6neA8WaB8oKNx1tdGaEmgnFe5FtSv+3IVpxIlQ 1l+0z4wuT6qzktNNQZE8eIbpYkqyaR131uAyhxKvGOAIdcw+vH40F67gF8upuWzoT8+cLPRkGAo5 kXFXsNurQJFcmbB0og9rj1HSMWHqtNK6Y1hRidw79fgpDU8r6uT1RCMt5GT67+zmxjbDk0xg0UZr 5xNsq5Viuflg83MQVierR0rKHzn4087oSkHr2fQ23FFgIORHzwd1ZaV/aoKNckOQh5D+8nwkrN8t iYlp+EtFiesqJ3H1SzLTQLbhBh2+Cm5KpYeUu1C6vnytKxlmGP/lr6GykvuCMNhXoVb76o9UN8Zj /SeJsPKp2+JWKM/zhTaj/9omfDNVkxlMtsxZTuDOVA//V1L27yEiImk6e6ejVQjtKivgLpavSdT4 X/11mQuSvn3jTTBH5ykSZfRrMGuEgLbY7H0w9e+KmXNtdPtHOtUs/GzoYYWN7/kLJbFhxQglS5/+ I/wZN4MG0STGyEAjP+xB+ewk3wFODYruoOMg6tEotBWv4YFle5oAzaY5n2DUKVxV7qJbvABHuOYy noFQ50mf68ek86Z2cZs46OUWLNzfU7X0qp7APHFI6FsMgs6fqm9SWceFba/IDlLujoUl8G01+nWS e4JF+GT6U+68fqR0GibtfvMDf4MLhLvGJIEMXHLi4HQGjDlj3Kr/b3LGfGUCDF0Gvy+iU/IdDbQW uawINoBvYLNlDKDq8UfxRPlvUdOYSUGjQ1kaMfqB2aOpI90PTK1Xxoo1h/wXFCdD/e24UhocgIDW yiCmogconBhrOZNGckgO1QElBjAuqQ6TzHUjYN9qPeKT9iSgooGh6saexp7jvbCtc7VEPVc2IcVa TT3qGkV7Im5/aYPp+twCk7CDNTq2cXN9+cS9dXxr7I7NzknO0raOTd69TpnTyyWFpRf0222FdpOf 7C92i39ZWDNRVcz07qWBfV/RyTe1YmVBFvWW1Ebsb6Z3gx/t8kq6OcYlvkPwkQC09oHcq8CsvHNG 04xOLEoDzembkr+YyTZY5pv9LYhcaTOwjQzUxJjA6JwvxsZ6HpDn2jE+iX56UIgNL/NlvNp250Uq a6FN+6oUB0Y4ASQz8TjnuKM2B9giBYfGpb6bqoKSSZVNK0MMqMH52pkAa20fISJxcyRvsNUuT+7K gz6QrHbPl5DwgfdcttPcN/FrqBAWEYrCunFUuS7/MDKGYyRDuVHdzUGsGk/xzGO5IFipqPY3q/Ll d3R78M0moUBGcYe8Ue4zBKFZsrWe1R9WP/attXsY21Z07LL3cK4y43sDC+w7NF+dwsQQTLdeuNJc VXM2GKEnjR8hIIKk8DDvCe+nzXjPo4tLDGBjGqmgHSpS+F47rdvKabEftxjmKzJLNvdZVAdCG63D LKdj9vKyHpTYInQDxOwfGDU2TRO5eG5yaMkwiOaB91LtCmg7MtqA6mMIP3hyTz6r9rzn0fCEfz+g Fi6cq38dA+zcqPo7NsV/tNdpA2rtEk+zxFAnBkNk0L74ujp48BenCsW7+XbPkwuo2kJMe9ExUJxe BaW9MSwDu3tOyQtel69doaVNwxUVq9i9MxSGYUdmO/a5oq+7Zn58XAqzLGYVHYlsnXDvIZ7Jic7U 2hwIofvgfMNAqsc/OTGL2VxMeFUCi2gDJJ54UlApQebcvq9owvpFl2+KyUgr6u20Jx0BQ08aHpvq 70c0TGChM/iCDwBTmH5+1/wGOoKrlfDvfNfr0T2FGPgeZX3dSUMIabYUhWQHqadktWzYPoCaYhAf jE0UdilqXFmgka45dT52XEQWLHoZYAq+QO5woFQGJ9F5Ibe+8zZSxubVvEnAQG8bBlfS1lF3M9T9 v26f/o/tl26vd0RgmIh90QwilllIuz4aXm1kf1g6y3ppBaEbg4bbSj9a8Z/KdAm/RyYt6kFl+aYv gRRh/zciideztrduRgEctWrpZZ2QJRiLU3j5Zxrhax/xhMoFM74zfMGSShatVROgvg+b5mH6OnXt maBho2gYPjTp3JP9bhZvl+SkSt9I6xz0VP5v6pFxtfxbLNY/v0Usmo6Ic97dyYvjqpAfhOG+6gOd 12sF241N81dF1RB1BXrgCzgBlxeWO/ApZNtBRp9WYBIDDKBFLrfqGlnphnCt/eSNG9vv2e7BFUD/ rjPIO+/0My8l8kOGe08soVwcTuAO4RvuBXm1a/D2KpUYIhUOuORbrF124c/DGCxPj4xidtvpaI2R t4Uws0HQlck/eMZKgUDjOStPdOIWSfH6VL1ka91bLL7IseYXog0UR8PwxvvNspuNtm3I9PW+OzHx Sw/aaxkIS939s655P07O/FcGz/4xw0jJSlEtZogF1TTd65SPjbQBeu4BBlcJ7wWVUeEjMPEDm6qm C3/N15s6WSNQ/EM7BmpBPIyah8JqrhHWtUgO4tgx/NaRm8zNfgT2UVyVU+eQmUpMclnJLFnlxDvE D3/jYZ/ZSt8iT9iwbuImy318Pj83UPdQgo46qWsom9YHImx3uzHQpX19R8nH83D/hlc+ofjbAZC4 k5Ar8JGeVPrMcg3ScJghABlQqNBcgZTAPsvjGsWIjjbMjSd5C7iqi+w6B5ni0ClxBrB5hbcVEPEV PebqjN2PybV/2QWMXo8M/iaqFpjrxuUQCHLBuM6Icr6DCN4C3pvEMwVbaLnPLzOhE/1Iw/bkcChK s/s5oT0QYNr5A8VPGe2nYFzxwVAl8Q5LqyZIe+CTnPWk7jvsbOn9UOnWbWcRe6r5wsHbNLtrvIio w3o077IYDbJs5Ym+hHpyUknqXtEmn3KFoHsA5WD5rj9RHj2R0ko0XONWL30wNBYZln+4Q25XG8MC Vx6hJZoWODoMi7J9XLdFmYy0WB60oOXxMeyN73iJYHhsnZ5+irYzAsjzYY+zE9PuXSw+x0SUEl7f NqwTcUsM5ZmAU2P0cX8NE1PKvhYL8QMNdNtMNAYOfavRSXq12Z2A32ajfUDhtLWrPM+lWjcbF5Eq hgZLCtm0v9bmGzyEO4Ze6mUIDOCkDxEO5qdX4JC7nJZlz2eFjVOIE+gx1/Sc5RZVubwORVjNlo5D dMVz2eF66jeCssEDibz+Kn525Xs+l1Yt7s4nfNuDyLqYUcUUDtaJ+XIyOSvobmQs99vLxxL7i3b+ 7HMr/p1fH380YPY/hJ2wyTQxC+ya7owKPZ8rpDqK774OtN3YnZUScAKc0I0eAqBjLUQiHGDAe7z7 R/jOTXj2WU7S8BDbPapWDvKCvc38tXVAk2LDd8a9btc36mbsQtsAFmMC4gcvC8XTXEfx0kCc1dwZ VGqATYHymEc2x1fwjf+Oz9LcWJdsQunjrA6PFKmmIZ9OPJxHy+BthI//kcSDt3xEwTHi/NElnxIR i+XYya16QJeftdJ+Lq9QCAKIdBCMMWHhOofUtph+LePJY66dJdoAvLez9QS0op9+55uOvhnuJU7L KEDG+61kG3hjyfyJsQ01ElYU92eeZiANW98/uVEO5jgxeOPkwT3r/v4uvaxE3XjnazXrK79g9s39 pEBqeCEP0OECanrcjHbqzmCdNkfhjAVvVztUl/Rp4FsyB6KGXMGf2M5Qa2PNCACYlffMT76YSvfJ YIDUEiaVkw9DCgJWaBjGhJW89HH/ya6+oIxgdat+Y2nNPayU0RGfCKZaheUlkvd3Ij+9zx0/l2GZ hfDIWcD/vwEwYvPtE2Xa0Nz7ui3SHxHSt1Hd2Jiy5fk2aaJ7xA59ApgPvbnMnX9dbz/8AXT7VRvd G3JDFURvOOjGG0ltp4d1znkUpbeI/qpb04wkl3pNPogfMUOkArfx4rHT08oCn2WteKoAM0yQfRfA BRRftC57jJWiv3e5saizVaHmSTOic5HNZaX38EMdGfFKOzkQu/cNxTGx+Ook1LNvvgDw5jFKdhx8 33uhCs7Abopka1CPz29d5EiJQscGXyzxoZrEclkf7gMjxxMHEo+1jBuUOu5THwphZNH4+SlEEenm 0Do9Ae93ntcy+mt8f5zEPKbe63I4iQ82m1CydtfsjRPsjaUhBsINAWMD2r35bWN/nZNWnlQnoQfL Qwu3YuQCqsHpLMUNqs8ZKAGd8I592bttFAx54FO89TGMCkgZKlfaXqtyNYZNgztEpgmogE1Ai4cm XS1P3jXpb4nw1BkMuSZAu/PxxpGdpuvI41m+8vU4h+rutrqiDxWS6z9V80tfN+f9egbSFEXzq4Ig PaApgrg6MFoExsTCrjabruCBhe9Rm+Q/IbD2XYD8fg3SFF4mzPHjrz6+XdlmYtNrQQL7gu0uvC1G VnE/2UatAACaUcfv/N1TtzY3c/7ZAyvRpspBPTDlEi3RmctXLmcgpeozWC1taeQkAvgQd3mLxsLO fg4C4icV9Myusw1SaoRwjfd+oS7K73oR9oSlUxhdp8m3ARnR9JS+Kg1YrEHyJYm+PZM5NYaDAoGx g5i72+U9FMs5vun5WcXRdOJRLc1vEPZPfHBHlR6Bx92ZUAKQR2WM5302hIhFJbxZTWUZccI413vA 0QkuJrRiov6L9uZGo5nOrOPbTDM8Fu1T0HxtDISeurhaXEB6M0S4VSiIcLUh50kYKrfAlPHnVtO6 vY+Q/XdZdFgnlC0OvTeXrqUoMJ29SEQkkD3mqpmKz6aYZc/RFhRN6CPxAfUzvSbjmLKGepOx2WF/ o9Lf3sY12TLVifCtCg0IcpDqtkwfSGiFIFKR27XgSkGhFTbtARcBcJGc2w/KdHmUYFuXDPhitUg2 +ElrTAallopzb1KBVnrkeYGCG1t7gLxa5E6Bt/ptOsf5D0P2d4mbLGwRfjISosmVSyaXbq8bIb+Q CiRN57g6NAvPgybMxxDNzwgEp2FCCW9lFE13EvPANwNoYjsQ0tOUVb03VCGA3URGvY+0jVFD8+wL iqwddtLWdDKK2EkTgqnRrlZUv6mXQhP2zDYWYC0HRtMtq2hCKIm1ChgCQDpVXEPjnJmXNvb/2Duz kyEol5s9km8MhbGA+eBDaB22QbF7JhUwwVkfLgi4tIqlLVG5c7VcJsoOFD+T49Y/kuVdZBUI1vKT jLN+VvAx2lkdQMqgYKPN4dNFRvRRaHRsaiPzex7MbHhIsFDjaSUKGOsQaI6vbVmxAeRDb+xr0ZyC nMJ55Tf31HORumAMf3H1zd0QtfQWi3U1ZCk4+iZMkWue9uq0CkX+j4ewxTkA95awvz5wdgC4DMGI 9WpglRLtB5Dw9xeRprR0guQAs50HusojNuoNuvbd3W+IZZXGNZWLUd+ARhYYIPhPC7fFbPTk02/C ILEM44f6K6VOIKVnt/smmSu7C8v79m+Ni7JDYFsrdyTCwukkypmFwS1B0vP88/5EWr9fFJYaz4VJ Qr3vO1du9vbLHrJ4Bsh9AXem5Off4ZPHXLMQRrq3SsX5drjN1o7MpCbZ9fSa+94ONjjE90tXl5yN UofNZQdfbB1Ib0oNWdxR2Rnm2jEHsLGMqlQ05VbQTaBSV9KcGYFpGQwCAEN14Crlsvhno+4/bUnf flT9E/IRjinUxYVkv1YNaH30Tbj6uqNsCh+fbq6NQIonXaIqMFTwZC6bO6dm13r0FzylTQOEcuhk Od/x354UwiNGb2Iu1k/oykgS6VxGYwGAWPObYwCzgILyHiAuXNB9LeJFPsVoLYtgmWE7wNsFwzBQ CPVeX1+F9QR95+Qc3e5J3/n0b+LkdNIxUpa4m/WohTe6XIJh9XmOpcokGy5DA98pQaSDaScBgo+J PQTJ4VOdppzWaPzsGtfuFL97u6Sz3Zmgxwt0DHZ7GEhNDYm2MrooA/T5slvs+kS6ru+gozEFPeys wBQ+Dj/1jqW1xOt2J6BULRTKuVVMty8vTDp/UjPkcK2NcJSf6R9O5zMmFH/OezDXSzRTnN9g3IwG JD6oQ8uueNwB+DQeQNnbaa7nmRqPyvi0NrYcu8vg2NN00FchaJ6YdiiMahx+xboZT8XSOQtMYjtm c83ylnNpg62mlGtQKnb/7C+h6/99qOSW5/fsGhWHDinT4IjZ3adNudnGBQAwpqnE1KJTXVVTcthT OpJRLkVoyoFK1W20oFaFkxJ0DHVe/s21+4XkeLypBWxC3e/dbu7coAAIa13GyDGdD36tB125GdrZ 8WZj+75eyK969z8Obs6hm6vGOvruS45B9s2m9JIug2BQYFHarQDKSisLdT0Z4q4JH5xNN+f7HGQo ywbwCiYng6inuaXJ3ImlWrQJWWnpaWuXd2BgzRYFAluI4NkdY+XdhjGMJlFnBYmk1EzKm0JRToNt ldzvWarQkV1R2e4IOMVFVoZb2V2gZ2KDoKKWNfsQylWVDmQCZZ3KiZIZCblFBJM+Bw0MSQTB/3y1 1Fg3e5fq9rNXkNQ6f+8OLJLi9we495SnAEvN3WFb2/YMYT3+oSk9fPp7iXvRo31HbfYzjzVBbNES IeP1z9FScIXAUkbitXOoORd+3KrAzVgmE1LUplM0g3THkdJ1PobEfGDkT8DXDMJci2hCKKZVTUMr M2jMHm+4BuAK5dqTYjIvxGChxy2IC5+DywteK7uVR1KOC0IhjcchE7NMnod40wZ188/EBCkbM757 xxGHSDfZaD1MgbB9YIcfScGKRVv0OuHCgRkJ6XQZ0wUHcLj45QwMlXlM2uwZm6Umuxgp+rtmeBAF falWNdCln68bhemXBTBdguk/b+YdRUc/lZ2o0nbQ8aJanrWxzuebeKdwxknCY9L7Tt/xqXXmVHaj ElUsUKxIohYigsV5bunpKDRFbDiBQN8dlDvSBc1HySQ6tnb176AK0vlECcgkoCIqceh1wKXmuU2L d8P0EZoZRSZDm79ND8fPKWBmmaSMZhJ85GeC1oOfJP3GG7gxY4fmac3YAWPtcWk0px3I181XonVS X61i1wi25Qq8gNOC5sK6yk9pSL7apdDLZaDJ49HxMQEKmgNCFal1NPs99S3GM7ww/jJqTVks3Dwl QecHdFczbSAmAEvar1X4APaFky+G2VOpvGGMbIxteOHOpLZJID+PbiszGXm0cG7ifAKVSeD558mb F//qGv59JCbUiHn96CEhtceSCYlSBLtCPsU+4W5UzpsvldYU4l3AR2eG6+hU16V2nMOhjB9fmG5B hiLDW5X0mrtBFlF6ev9da0kiK9kXJj2EjPO+xfoPY2wu1dvKclq1XE/es/BUDHqslZkiEExgDrg7 4sxWCBIcbN31LdpgGacKxrWxtC+N6ij2YO3b7zQXm4gsNRorjBVuXtpygxDpb55qCJUWtdBF5Qnn X8AqZQGqZfnf8PME7UhFxXnO3OLa9t//d4s7LlRktiRaeNcVH74vm2cBVvRAtXla/NwYiF+WMzOR w5nNP8K3EKyMsTOFqHPvRmrySMxmiDS7O5/OCCNy/m1lDT0CINwKr105ZS+2YAhEVjuqRtQAg5tO 5sP0IGHUQxcGZ7MSRPKZF+oKb9xGCunbnWHujofgJSe/jt+k5ZOAJMTJ2UcCKONVrKknfx44Syga U1tPZO/kiU/zPicbsWeaSuKJX6ahgNNrUMbqJzWN/v3x7CCaRmydE6Q0U2958VcMPvISem3yrJD4 HNNvzLE/MCr/HcSu2b4LYZGSbJXF4K/m2J6+CnOjSy9o6GT+q7TykD4nfwnZfuDySh7VyQ7DcykX u1fh1L7NTO+Ez455yks5VqhFiYFRWrav2UYmmzItJi60mxRMGDzoGBfS8jFDkbKjzyiFf3wur96p g5OLQ8xHlivwBOAFCuy2CSkCiN2k7YABXEnb3ffzlp5ESNC6B12x/Eoo8VksIakv0rYSOtULB9Jd uRycRDWpx3Pp/OtpQgHHcOuz8PfRg495Ms9/2VdKr1seo1yhizZZpaDAs8NZyMPQ9gMPfuETk+vP VGi5XH44VKuafJz/FjbIqzg7rHPnoOtrTmNV6bVuVs6PoTJ39NpbRNTTRJlzvIdnhjRTr4reiizs wQthP6AIFPQkkOPsvDlsOkB5Cul0CzDHh6UqtjmJ7cfQUaCGXHouJ9qUMqPsnl+HZTW7ppdtdkJG bqQOvme03ORITFWWz4PPSkmL2jwkKlVDDlqAWtm/kd61QYselId4Qt+LlSZjPFo8FIhVOfkyMvkN Rs8XxHcSLEPSNMKAiIoiMFdYaF9xNJE6juLuEE3E3lMgiD9+7Cja3EH9NFPT8fg73lKyag5wrXhW qD6hNGEpJMkMS66yfM/MQh1gINZvchodcsQS07vFG8ZaBOQG2G4A4sPTD4MM6UgCtgINDcMb2fkw cANrvbIretA9miWo9jGwXI/dgYi+VnSAb+iTi+y8N9LvLj8eQ8dXM78ff3m5gAtGUL9qIPHUqjpd BlA4R4eS4ZphuB+PQSmeRQujdQjdKNKGd1TswLXQmPzfn5/tZqMK8BPi6hiDXz1AmLVKj/5WdlFs gvHnktvq8R/bMYtRc5KcJg9Ub8q0bhkosu6D8G5hmZJ5R3YYG10h8Yw82+e66VqdZe10s0F5FWpE rXvZ60xdj3V5r3xXyRw94QfPEh3PerhJa3koVeXdw5MVrpe5XGNEtjMLHJ/gP6daApO+wurBwAci omGMqO8t2ZU8qSaYQFl1IFG4VQcNpBpv1JmwKQE2jo20jbJyV6TrbKWVdmWLjmz8m2fGYN9/gXop 16Fr5HioyeZuzY86a9/nTPRJ9QprgCwZ8Dz56ZPsmUVx67AAXYAnV+zE1cvygLF+dahx8G9REEEE /dPfBvLwseOBDXUNamllnPIbVbHPKeidcuUCI6tQBfisgPoRvTRn+muceUzmX09twtXgCVkB06j3 aC2ohgGhw2/6iBDX/q90st2szWuF6IDNFwgjApw6JPf5T2LE/ReGSc52NK002FLJjRggB4v9m/0O LibpY0fL4bXjlfYuvgJzpRlHa28oB5w3Si1DNZII0xj+Llih6mumEhKlS7DREZzQQetscKn/iyrD oL7TAlTb468/cNmTfKNp+hGVsu6Ijxy7PBm/c5TjPbO2n6v4JAit2RbqfV0w/Sn18hmN63yjkfjU xeGgZBo9HyeRnLWNYZWOeCqFOK5jksW74drtWlAnw4G0ll7QxeiuxjI+cd/+ICLMf5ofdyPR44sp UHA9051uHTuaC0xn6HO2+t1eCZO5UTi2YlKv9/gTltISFS2jOzRn+Jd5wIZmjmSYuGUsN5smrGP+ p99SxNZFNSe49FoDcInYOSW8t4ePKjcVcBf73Z2ji+yEes3WDvjSVCvMeGt9tSA/tK0tuk24BL53 /TrnBuMrKSaMKhiBz4KaS9i2bBo7CNbHDa6Xpzmy6sXdA/KgTYMP1Mu9d4WNCxfSen6kxJ/kgQs5 GxNgpQ+EKAUx+tmYbq05KyHI6HCu0moHBakTHtUhUiWN/QBULcafAQnmC/RGXXoacyETERRGAyhg da9Qr1uMt/PehEIpuxlN9XncQDzjHtuRfFDc+FGQTmmi+ahWG1DIol+chC1RpNFD74JmydRyGtp2 v93MPDyDPn5gHSu6xNDmFFhYyvVWuNvrI3UNljVGOcS52Bt15/NE/Pqgqk5D9XujbsgErZ5cZA4q KTP/nja3VsqV/ZnabknY3/SiuyS3sAhhMUSZa78CgzM11t0Qp8JFDgUq8xdP4W6YT9JKSXfsJ037 FeemwLpVDRSQziKt0rPUD5WFr3klXzyDkcdVnzIgIQjzSS5RIgrN1HiDBDahCxw+xbFKb2OtczsC NaPgw9v6bLzMvrJFiOAWfdpXg1sQjfq3plsqVX3zSJfgi+us75Rs6FNPFCsLQOqMppfxPNqB00/9 zWDvUDg1HKMr+bwffHi6gRX/4LVVPbnPywwYD6WG6182Rl6u8Pwq9odqnLqo1j5N6fLIyQopgoBx tOdpLfR9Ws8ItpMGVCIC0M+yM9rZ/FXMQvR6YAxOfP0YAhPXxCTYggRp2Z/hO/kAtQyajJdClwFJ MPpYbmg2nxKucwTJroKweG1dw1ht0SKgTIPMaQMF88pCZ+nCpnBTtyace/MubnJlkb/B7coKClSp YcvYqB/SeRB/ltP4P+xRkmPo8Ro+Nx7dPlqXoZ7JQvmEdVLMZ/sl7QTduVlpjDdrSx/qydl3hjiA 07ps44yNe6TD6gaoky9cujA21Cij4OeWLF5nYzgubIPfA1jHF0Cwk5VcbEbXjdrvbqCAUpHjRmpl /OFZ0a1wzDbo3tvZBXgyZPVZvj4aOrPVk9zxkPLL4+/pnlqBhYwyKSJHcKd6k3Z4DNWsilzfU5rJ JxACfN05m61gudtawjofCoWiymyP/3SRfxxwUOgT8g4+eOaLNhNgdC42IO9xBlXnTu3UQQEKCWqQ ynq1Gt3z24NK8iNi/b9VN0Bqv9c12i9A954mypBwSakLyfQ09n8YyJgksgrtRHLPFr+4Anf4Gifj 4kjK6tfynlLLL1fDTwUMucGKhL+iuud2Gs9AUmkEWudT7YDrmhdkzuYkwrfwU0WJiaQOOWuH6ibL e/dpEohdjx2kcY8ugsR05T4ITnWpMD0Pe3rwYvDwJTi0Mk3a4tFXfez5PMvafEyYyuB0Vmh/7uQZ yVdih0hbJ5XvOM3sb/uZmQLRnZy0dmhEMQIKo7gd5keZjilNZ80IBlg8uNMtZOxsW+aZNFog43vc Gb3Qnt1fGwGXEq+L7915zcmmVVBadV0xU0X0jUbBlzM8HbxzaqVeue4zafRN9siu+BUpqOXIxlo1 NkFw5wyA1VymC7EtJ0NhUW5DtC0uShC7dFMFe1jBG/5ZlwTsBJAOU0JD55tLjLTCWPu8SpfYhH8Q uy6iTBSaDS2yDVBZfAi0A86mAzwda+Cni+pBXzbA1oH6IafevlL1GP3Ldx8gwkGVrkuL3/vhhIjR 8y6jb4hjzTJYsievyVBJ+qXNKLG1nn4YfY3U5CbeIQvqeTJQAv60g4OZudRoSh2hWJkDEatUVIDp qdAVypypxFWnPTuzcigeuQyG37DaRoEgoOXjx+6Jddk4cAp/ZFVPdgYee4DYH531ShBlPUtRE4rz 3uNd54yQpJr3KTrnhOF/h/YS8PoV0Wif96TE3Y7uqTzHy/1K4m2/gxjFVDxWpqAgg0985n8T/rbu QFxBJM/NhRXIxSxq2dQYwV5u7KGBJ7DSajwHE0qPzVY4RKA5Viu5rvcPG6YfMOlIMbf3yi5+cKGX UfHLk4AXuuwjilbiVnACAhQPSFW/Cs4LNRnVk7mkHsEDtuRuejzGiansW4K/4LOJ4xHSy0uQsDSX V+wxK2IhUkCPWJqjlwD969BdRI5/jQC+Z7zmz7NjBh5TGUd+EHAT3dvUe4RTRSPOpL4cNmwLyNWM lAWatM/xeBqj9CWBOykPSUTx6Tx+C5mljaMdmjzBdgndQFd9y+yUy2rYJkSE3KKl2iPKAYDGswCq ghXNRVqjkGBeIXSmxgk1sIMLP19PTfYiG99UBcrEuxanmBEfGUS4xgX2IXAYVpPc7p1aNxA7ph52 v8UHr5lqq7YidFEqf13FPZ+ElKx6Xsj6hNpiDT5A66UEMBlsBKGsWDrqLRk2k4It6ey+YkIPGsxT fluy7ugMRftKMzZzyiY4CYs4MeMnp+2wSUNtvcyHkqzh1ztlHcCMDFWCNpKxzOo7DP7VlTVtUPdR CnkAkZDx62/aAc8H+gf2GAJ8/2JbPYNgPALU/LmkA9Q4r5TEvkyr2W+puj9U1wjmuQ7wvRb+RGYf 8+i7C92Z0shBdFvQ1f2qQc1NzGmTiQfUqV3lHItIgsT6gWAbIHF5d0DxqoMnAef9NV+3pXKYa5Kn ISM2rMlshZGrnCX/5fUe6XF7KFzb9U3Be+VYRC+ICuQUcs+Tz0ICv9rA++iS/Wh+dnw8jjcUBxpi rCohj71PY9Z7pKiIeFwPnsAT73W7ZsuRMxCAJe2/xh53zDvIZLfchYZ+f6ViW8HBqZLoHCJ5NFLy /eh5P5hW0wlniCZ42u8NZeYtYHnwRNDfbT247E8QEXSqGHl2zHCCgpwh9fV/t2qZT8z8orIN6cjx h/WVyFT48clUihBzuOgEm7VUV8ltKTcZCXJiC1emZciyNN8mS1pbrH8PlG00oMDaqsn674yjifxg 98I8EVk8nyvWyR7W4fzzKuBil6R5lqDIKaeA8LCCrV/hJy2KzWFE/YHxSqbUfKhF+KY5aeiiOMIA 6dr6Wk2fLq7YmKjlm080snJvfsUTLcyKU+eT9fM9Uu4GuxG1aWhK8KhjNQDmkS09KzznXfa6/92+ hziH7tyVRYXrEQpJJNieSI+cwW++yh7rkhcTvfriJY98N5SPNf4fS63UJ6gfR17tVrJqbtHprt8H kxk8ps2tKCj09Bkgi7+TEfDagNLvA5WmZ8cq5W/58kclHhLpq3Ha7Y/QXMjFY4HLVDS2WKTWPh0a ujg/oakSCGP6NLyuxD0MGRPVviMjRcLRiYXBiETEA26dg+zO9ebWXxcx5DwGnv2ZS9X8AY/MPqr/ KrZ/RgN7h1fx4kI3q6Gu56qmTJqYT2J+dqpsFaEMN1tkXNgoRV6pwmKaltr0BMFrQgYOVYgDxux2 S/Fb2wVFc6eaIp0jBv0gwVkE1IkQnYWtDmL2CVvOF5pc4glfhkoqifTpT0QnHWQeqIl3HiwsTKqK +C5RxGDzhYX54MVQQxPKARUPYa1pnILTtyqpOBk6YWYjQ89hJm7EBxmK8/lIrXg0nwI5rECGlqkM KdyHBI2f58X+0PejINbexSEmx/GZWVo5pfsbh7i6GdpDwtTb+0z66oSjwxUgHPJ1jkPwgQ3iLuby 8pj5SZM1Hk82EYY7j0yKCYP4fB3iHG/wApZOdCNvezdGUvhkKV3NqIOKIBdXxaowzXctSc/U6h+L d1SODlLWCDtppvg1b3szhhlrSyFW6oXNulj33NznUZ7hX/+sqGg+mUFs1o2M7qHDWbD4kPQ9qci6 i80IiyrHJL3bUswYnUB0D8Lx39+g0/1SJxEMHvAEXuH0XornUavgIyaViB5KF6WcZO8vtOSqWs90 718gL3L1L4OO9mMSSseCSmYtO1hircTzppDo1vBaMkgX5UIeS/ts6ActXQ+QSVEvKS4Q8GpK6CaT EOiyh0HB3ZASU388iMOzC2mmP0qw1j/53E4xUj9RVLKQE4aJuuuT6uww3A+lR0rrfICTJ80pSWrW 4AhovUq4h14/dHt5qcaxuR0/4v0qsG3WTKJg4jlCDa2bw+d4/nKf4ZbUGhwzlOKGkvyfH2/jZ/ke SGDiqRa3stO4qsTYXBX7yzEfEY4PAaJxlBCIpke7UnnV1LHbDxF6UkI0bSmdJE8D9HDE2XKNEbV8 2gSekufGiSHBofQRYgx/1juCdL+3Zp4SLBDNmXOlsS3GHJ8FbIw933+Ocb9uEKs62ga2hXWD8fWx L1lKFrIwyF0bWR3YyVikkqQC7TWm8MVB7GOXL/MD4c57ppdHLO9HTlKJ8v7+hu2rET2xkxpRSnep FakrrU12oOw21YVdw3QbLGNXD1aRyTAIy8J+R0dyGzzWlpBfeaU58vtguSm6gA4c7/Y/jTBQrzwx 4iBkcAB4OcIA2p+FTSeCAoLDIPjJBZ6tiBfsFuV35hNMkjAwIwqm7MGUmoeedByZOwwd3QjYpsTN ttnswiVZBCgEaUazpimROpekPyUObrQbkKLfcULbHdqMbTkgnDPhASedT+LnJn+VaN50JbmIWtE2 RoI3DzBKh82SqNQNU0eqhzy0qbJy9cDzq8mC/belfk0hmKo2127djDEHbKmNjUHU34Z5XEllghna hqW4cy6o5KFku4sMMzW3NLEIYNwDMSkraY2AdmniaKzp2Bq471wMMfALeuTKskOFusS/O+AkMFrj Cax5xk9ofU+deFxsZNUVqc4N1knaeynnUa8/vHhHiqq2+C/FMe+V7fN/JPxk5fth5ZgoKZfr2JoE 1xpWGY/P6TkbLxliFwW1MlZ2Nl6PFkZeBrmOk6C73LIIU3bMH80HEDzerd0Rx/ws2o3Uzhgci6De ocVhBDkUk4w72hVl6SzJa9oDycu1cuSSFT+79SBXUKdgJAyboTkjyTI9VSqsAPsjLdw2jRuIE0vq XCZs9og/w77hnrkjfAN7ZNAPGwz45ZWmeiPdsbH0PWe6HlOXqYceCP2g1egwfdaiDpjY0FHdrbHe BjIg9PfktLLyhGYdyxP10ndFWSlYN91lw30YX0V8qL3NDeQU7AGsniLDZyUlVY8Xrb7moXGddtD0 6g50vXEyM+fkqFbSTcBd5Q0Uyu7l8Q0UpTlgTjQ2CPxHQs/SfEYBTXt+5Ft2/gdtA6a2ZPD89Re4 FKoMSLkSCTc4o2tVroHzUPHap/EXOHDZ53cI2Nvp4ymXGr/ScyTfWVbMIlCAfg+HmpSCVH7wn5xE DcK2PHfLenP+AW2uoH7sdL6kIq1NCH6rHWDGzgiauvjNqTPMChWb2TcOEepQ4yyeWrV+fbw46QAC 3D1Hht3/8fPngUDctzC8XOE1tzbmkyrd08adizy/61bQTeIkFLtWsRgwdPvPXGrMkhbCz8MWfh0x TmOqlR0DZYclNGcsgiKsOXbCHEOtbvfgGKEosRamd5fPIs5X+qy3g2Wli3oTQb+Evpg9J00HkcIG GeQ0TSs3bnCUEtS7GJfG//prcJ4AfSRv5wKR6bS7cI86YA8ZWfcW2NDJnpCaLoaIl5wh0LdigK3h ZUAbTbHxUQCOIZPrkGjBsF22lN3eEkYftqpICIH16jdXvWjPsrMTUTULlhdnid3rq4nya38M3tlx sHuUiQDGffIGOz8ZOB0YH4zjgpqutQUhSGIh2c065HWI56U/Fd/tQcqqHZ4iAIbQRVNIvkNZAZMH GBj5MO9SVxyDYobt4hOFFi4HeZX+0JZH+3Dv8krwcBoRIdO6P7IUrairL0uGFBtIKDiE2DjfRfuD EPbEsRi+P1cC682Cr89FUC+YGrScbIbGlYwDq26gjojttR1sQXHwE3VnK/vgiH1YlG4JEwZM9k1G jGgAhRaVy2CKOITrpFI8NwsBefWDT1tr9w0tux2U0p2FSI+cYdzW5Fnz+TmO2p5D1p/gg3oRMwDs MHVNVEShqUnynKaB0Kb0YNP0hfZwc+p+YBzIa+CZJiLN6eG2fYyGbR56oSlsEdVwY7G6G2uatJ+h BeUHnWaj+REMUQlAg/0Jv1CeTo8LmzWKbALvSAOukeoNAyV5Ogne/S1G68kRfFOJGfTGZteOTNUu Kswddj2ILgmvlsXSXwUUNCj34Ib/oKN32bfyn/+kNGGm8Vs29boL/HGOcWVAJ3yNbPVjQtOF2TMB xq7cK4QOmnrNQRTZmHFj1HIzWLe12EuatzAmSqNdAlL4F93St833Y8nit6xOo0mTrTyb91NqoMBv 4ab83zFJovDq/KB0CTiJWmRrFB85IB8y07nyx56OmOJ7v41sXBoKP/dAJvo3xbmmGBnL8mhbE6H3 JfSnvEcBIZitcFlkoC0g3kM7cb7aY7xaidX/ejX+XkGKU6Gqrr/8mZqWqkxOaUlR9v0WNLVdw8BX WS52U+hJZ5NtlVmrez87LZruDrkkHBPJE/5jWmOHnUU5d9xKO9jqetBfu5fmdaCZ/dihvH/LdiyQ 3hDpSEDlYMp//SUcvt0ENWeBPlbTn0TyBUkdNUi5x8NXVcZl6fB83PbpAWxn+jy1b2b59D3nN46S ok4M92IpRAlffXDrfDIyPMpHeR+NzFrCZMjrSJXvTkz4uxlhMBTiPcEFlzrbl0R2PAZIUDq6hSpk XBAea8G/NAjf+a1SYEEY2SxqJJaDesoF2R2J4jMhiG79FxnGTGDGjJW67WxgyONNAoLxNXFLBT9A ythySuB94dpqj6Y076MZh0+AeP3PkfT7+WhhWUcy+Xs/HLdUKxs4lSpQWpRCA99gEN1t6cmiSmi9 Hp8Qx3sozV5wQR14/gQn0oEd9YoT0jOnnOcRzR1eQPvDYLwhoXwigZhQLn9ezRHSkTHjoHVvMnaD z4jS79xNh7Ob2kTAE7l3PPeQNxFD7BwUAOoUhItxAqIJ6kxaq+Ogj2e87ealjJwzy9zYP90bceVC SxTgPCmtDnCeUIxh5IGNLeO9k73SMWjbLpct0wcJKIFXdleJ/MLBdu+XjUZK3w3I9qJX4JvOzRc0 mpW1aR84mqQHrm3XJEGk05fcvYAqjdbZUmNzQBGcLxgT6dHD49biveN9sSZLbyzFIw0AQYG/g/5M yRh2stCiEFRYai/b4EQBqUeXaSRE/ku+lj0gO+RYlmDNt6KwmJdmCF0Nm1f8VdhiNvodCY7lkD6w T+gLfrC7gUz0YpY3Sd7mY47+bh1f7n4CJT38joTNcZfs62hqra+zjfcQqOvr6wLVzTOzCVBy3V/1 hm72PyyclYuWw1nKeEr8K8jhXmU8s2Fpe+38etZGGqJ6SCvGlL/wZDq1b7xiRp9QPb9+ckRaPGQW x7XnzscA+AoFqVDPepteEuyvIHDTatKr1IpQ3frmNJ0mQ9sQ4GWTP2C0JUdoMinK/M+SZ2dDXNzk 0J1o1l3n7NvAu0Ket5bwMhpaSluSYf/FVcqMI3A/Q4zliiDBVM2lVl0hhXAkHx0gM20OCJYi/Uj2 vlLNjxxDOeH0/ptyFmeUb5RYlcI9Dkvzy8G8TXq75xqjkNVM/y82tdGZtU1a9nY7olT8u/SGFyY9 ji623p3LG/iIdUzulcmhjzzjSQcSB2vVeOM4FR4UObkoF3r3oREk3OIHS+qv8KtAK0X8ySWj21lq ozsIslm5nsEwYP/70sNPEH8P7tYnrYcDeSRH5/uJjooz64BwiAnZGHvVUDE4fLZE6XMO7dp6bp36 UGZCjfUJD/NSOtYSKKGigLG3wexpCNb1C3O+VyIFk39/xmxGqdlLV+DppPiP0RxQTt/dRkj3Ffyp oZ9/27224+Tl1c9BMLyHZIHw5Q7jS3J+Ff4HHzp31nsJiYJP5E4RMG4LwyLv72ZcgxuNh0YlRwTI 9nRlq1dQajKzvRtNdfA1or0bs844utMPZR+0kjkx1/dc67/IYpyMdkZa2CHyf9GTYS3OgWsn0sfK BftUUQe1kx641jwq0T/4ONQSeyc1J2KrH5FAYzcc+vc4tC4h7sDxM6dxsH9USOZN1wb2JKU6ZvU9 OSy5zi182kFs+Dr28qmkzruz9ZtjTsSXz+hXY9+0BCAz8kXhyyFUMTdF4kOoeUsP15SbMjaQV49d tClbFmfufxivszaaat4xM2ls1bFe008qrHphpZgftRT7msVmrN1SO8ZcX96SEoJyur+GFcjeskzR pLVFofcDXNqwqQ4GaalSsnW1gGO/3zV2r3GidPwBf+3Ofe9Rpa58PDCM9Nz5U5BGdlq6Lu+S9CTC kJ5PGrIL+zqFFEIPN4iZWUwdVveRhajXp/OKA9xYoVf88zD5F6VldK5uK3dMAhvDnVB9dV+6cWCM ToIsJ8eB3a2RWhw8tRRFt2YxoZdUE88ffPRSC/8HgPTxoQ8RfolUe/8VChxtUfAsJ4p8aJ01+W40 NtGRLF2/sxaV28QpREeC23CUONK+qvcVOij1FRZTOArTCYcLP8TsCt0SHVGdPrNjPZVGSuCs8wSd cCUCtIuc7RcQ197DC/GkZbsrn2a81pqtvpZieXM1v1pRCrjDOKlH/RNQ6H7Qk7l8QbiHgGRJTvtE XsiL1MxVoGF+GkGcBpTS2HiqM8ku5gKr/rlVE3/gxbARo747FnRLeBSAL9QJ4m5siy7d/he7nd/W bNcmyuJa1ZvBnGrUQ+yo83gyuA5p+Q0v37e6MlNijXrd22iRxRakvWTTMNFJw9IBdZt8kNuC7WBo kjkwHkK51if8wYu5YvijpLz+26AQ8tqclbEa8AjRfcdXLlAwIiF887EW5uLEtGhRVpIjPw3316me f5/oA1i898yL/uzzUJxhbdTK7bDJHso7rfz7whdeZRv+t7CDbYccJsNiUc0uKKyL6I9VK+jQiklH mejnjVmvnaKPyddsuZomYo65MAYkmksIYux8BO9aos0PRs/nEFTFEvdZ8RojketJ1jDxns07QsKl LD99r/jc66PjwBFOBAwL4XaTFe6KCTDOW/ItpWpY8Ah2SxMmvVsNRqCtqTV7XHz61zXaf1HLXNYP nlaNIcDbqjcHOBleFyoHCenEbkpY33zRfMY5Wn+fBfe8IyfIsUsJPQhc9aleIHrR5BTxgoKqsHxK GU2Ii8Pn/ij8FnjqE9BtIH1b9s58Nsl7QlwK6Pf1k6Gd1hm7U46Qw5Qkje9g+yOQBvzWoK3Kk3Hz eXQMcs/v7tn/wHR4GbhZPgJziH1yKcwq6Ci1ZkESBpnGa5u5svSafH/8nojA14DU1DTh/8uGCqXi CTZO7pcD9l6WKTwuxC57hudr/M5Mtt7wiZ3fqEm3g60ptB/ZVIb8gF8EbU/Av2jU8fzcM3myKlbX cThzShjEdkmORWCEzzZo47cBgkU8HvlGjlC3eAYKX55vRM9UqBMgtlwk2/maejrg+FWXm0pWNmTx gdcz7UUn0lMoV6yMzPQKw5CpGgC//ESKD+6kJbLb2XqdtuZ8MNF7RzEgiMI3wovJ3YBKvlwEpPSX 3ofbDXhmk1o84TrHKEl06geIZA+1c+CRms6/D7qVRR9SdNSjv74efrOFPrs8RnN81VmsIEHN+MTP LaJVYGL1JnoytLDPT0IQpGq27S+gOGBn8HNOjqb2Hs4oRtecDTjjcfyMrZaqBvsJ03BtbPl/AKYm DEOpdpPLh/EvShpHAN2OGSNcgDbVe/IB9B0IQZAAENq0oRphOAuYqYts4RBCJLq9YBA2Rl8EJhWb cng+6QyyLMbS/qQy3H/qXli6orkfxnRueW8yVt+hpoiqhZXYEDHy4QNjOwRJDtHltpZZ287WoMeN l0rMbQoxe3KF0Cfzi0/A4Yt+8f/0acqV8PLjwYfwzHU0iWL2qhkjsJtzyJ3hptCYFgqPkpSCkiz0 NpEer0/6OtGwtq3/9PDbaYSHZAc+2nca37GS3/8aNar9lQtf24IwILFjHhnp1S3zsR1yYCT91TXR rat/GvzC/+WnObUyPcwt4z+U+JvT3IV4J+MrDzCE+dMCivLQSJAiQeOqZO2xYJfxJbhFUQHS6Ik3 q4B2A8WOWtlNIMfODsz4jZ4aFHoWmzF5vTMovERPeoBNkUrKw8rDTU9zVSJKh9WL1EoSS6CQG7wL 4vAwFnUQkvtV1Iv3kfEM03gIIDSeV1kcTsZ7MnSxxibDz8gtill08CeOKS7LWR328L2YyXJwKO/I V8sqxEVVLRB3xjRWu9cUm5CFt/MXE7QiG44fak+UFJ6oGLJHp3D1sdslOdjVKNsFGpcNtChBRAiJ eZ5sg3u+B6uUfrKV1q6JHu1KsmY+Aquio5d/0lAAHGVbOh2ZzN6buwsSRYrhAqE7izVcIGL5YvMq wcw1Yd2nT1MhGNgavMFitdNZJMxMH/25zYJEXEk6W9Ods1NOwkKLzSUqc+PZTf+7lDGW3ILW5H8K jDto0rN1pe990MrzrJepa23pBJXF/in1wp4wNEiwQI7wXBaIRxz2vYcEdd+nFArPI2L3sgNDgJAE lECuslNGErAUndkz5PEb9SQT8db3DIALVr0zxAznmYOu0lDJpuS95BQijGZ8bPd94VLaoKvqcOIz rZiu8Ogy45exi7ylARmzFLcN5+8xXxdcwPoaCkQJndMMWJCneIsAWj/rAhukUbmSD7vL5tUgHFk3 JBY/WthV4VB9fM0kC5bX7/Muqak+0oMloDIIP7AzEqhXA2bZG2RmMtWzqKj3WuKblsnnFgm/+gn+ YmlqgQ+5bey/x5e31seV9BotPmnCNO33PIE9q1pHPWtmpgTvJIvdFC92plo314vvH9fJr5TCZGca HQ6v4evWO+dLI9qv+5hiwCdXPi9+fsmJbxVj1BTJb17pcWL7k66ZSOn3kEAH40CtJO3dm4wioyEg PBm8uv7S7x/fn3stNVNoHI4yAWb5dTX32LB8XWue6YPKL3XN9Bsntj/OD2+Oy3FVALG/a8nowAVs L7LNhPRJe5TmcgJ7EMbLV+yUrLM1g5Xa49xqrb5BHfh13fV/oa4piEKDAOETGuh7i2YT0m1p+4Z7 /rjXlhqBFyV4fdviRIALgMOnXInrJSxHpNlaKADRCu/cL5/hQiLPcdY8SL9xjfdA+mWNnDFRs/gb l31A2ydboL1pfBMC5H027gKaU54+iuOR5Nsdwwo7mzJzh6tDmGIQxJxyfwDkEtp+b+WJ06gxNM+n Vwfogxq9w4uNGsxnuf1ueNGFfb09S5M0IIJfTcnfr5hWDFp3JJGLwWw27aL3zjV1TH4374kCWVVD xQGmdEHUqo80aCAqPaxCi0ZA1kQoB4nuqE22xHeFnQdQ5oQkWET5fsK3LEiCY4Xiv5W1V4jXuNA5 UbKnDighQuyvZHwxQYdIS2P5NwVvpNo1XpKV2VMOHlAuSnjkMG/FKiqE/Ek6YA4htABmXgqU3bLW 43qGljpJjCEmRjvCQqk2u2wnKXlbzRIeC+4kIWQ9sf6EJ+5xhmHAV+JUOKnTUVhglQbkO9UjCTl+ ajV6jpHfI5UW7aDETbfAkRDeHuTwKPm/7JUJW8PvbVvIY0yScwmAV8J+9pkFnjSrt6alwAonj3eo yxsBqLKG5MYbgPsabm7QS8DK1fSQQU1YFdOA8uPiFAYZVAQ4wMzFf+gJz2faA0cZhYpajY/ncIdD JHv7sc786alUj2Upo1sLCrSe1h5+tJMz+mg/BiUnOowfu0TbWF9oLTnLJQSL5obIRrmLqR2BO8Z3 0oR/XqHNXlt764Eb/XF+cf2ei5WP46XxLzZTHEWpYGMV9Cei0uPZy7nkpQvbkeud/5zpYU2zJK08 VtUP/jRHwQgUfRQVvyELOTM7WOLOEicwoJhNXY/FFeocMXPf9zb1zWXCRHI+kZWSSGir5rwD+0OW LTofbUgIfvOjeZzMhmd4yLyQcUvvsW7O2AZd9U8KBicv4kGrRw1UKC6QJjeldWzzDwlrnu3Ps4Dv +6fv8WUpn9X0mUenDu1nd2bh4kWCjfTAclvZj2cuBDuzGD2/bkCVQC/R845pfyxPE5rucXYxTLuu v/ECUIt9wD+0YjdneKc4raBcQC43isNHgl+36vPu6vypep+4bzHSjnEDw4hFBsQMMueHVrtxHU9F ceRkEL2t5mGbp0WguPpdB3GVcwM49J0BYb+Y2M1JJuh5qyfA6CG7Pl72iOKat6vrtHE9bFLJE2Ow v+6k0A6DWzKxiOGqQl8MecUkhc4lTigE4R6DyK1Qsp7f9ebFwhingiVsI2nuT0aMtaJkkvDEDO0Y hYm1BWozFETbtmBnnLGhJaK298t9uqXkW5u9DtTcgF5hkNvCxUjx9BRl+8DeV7/CmGx8LKjjqNiu Pjy+SjFwtnqihKtet/UZihKFc33KMPobmnMJYVF6WYoWlyCm+yHEp1sFNL21DDNvPNrASBLTEa6v 4xsk9///Fbqq9ku+hcoqPSoASi8cDiezAU3oTtLQCpcODThzoU88rVO6GNXHdBYOlb3GEzY/huiv PFRQ0+fxnjwnSwotTU2/PGjbI5h15KlxRBrxtm07eYIBy3Sg2lC751FmZT1Fvx0KQsfuKlkuT6Vd bTyZ2an3ohWshBSmhdB7DzpjeEX9dF0XyK+B65uMZb6DiklqPnO1zjJzp1vTiM7CPcXzeJjzB1zQ dv+B6ZZrnLT2V4cc2PeI5bBk+8yFCaTOe9E87PpVdFCpNqZnNKnfy/K5WYMkbkhavlBQ7yMpGBcv JJ4V3uBCGSDtuPcmSfRlLygG2mLFw/8+rhp9Z3BpisFr0u/oLxj2zZNjtzAFbRCxhylMkmlq2r8B TyCpEYOy6LZYT58YlVj2LjUjcR8j5911RxTbwab8+/ItOvu8M1uT7eH/eYXAYigcCTbIjr78NauJ tKsCbeq00PP2357cnJ5Oc7rSjGj83AiWo/DD+G6JnaTDnFPQPT/oiPdGUuvOQuWd/4jM7Eli8iTg CTTnBj8mF/VhUm3SymK53AGLCL2JCJ1S3eiKyZGK+TZRjI6iSCYDiv+vnDAvM3fca77NmUijZW0b EtvcO+speNnN1RTJ/xGyP0XHfjgSdcI6Uhe9R2yLk3IJeSLi41x74z2FBMtc+2rt3YJ8wB5r+s7p bf/VN6jPXk49VCMyg2gkiYDWcNXAt768Ooz88sdvNAADpDxbFK5Ist723cw8xBBAOyAnCQ+Vi8Vs caB2YU1sx5zuAcOCMdZzVYC/aLx7XkgQ2XkewwWudYZAVUSgLu17fsJ2d9c1Z5teVsV9i1WEUJ1L 19bfVJMlE1tRV7vAXh41fgfZunAsANCVPJlxfW5r7HLJ3PXN3U6PzZtNWtpdLeITUsJvux4ZbYuA Ge08sPKOs0ppF2Jizi8E/Vj8dF/L80BL/FmEhbgCK0kKp1GvO3CLDkn27Q0gPA5R97wyZ018OMTc rN7Rtezn2tP58OmUWItvFTBCQYq7yTDR+1q56ZX5JGeJn4oh0Is0piNJBQpRbXkoVsRSKX9fBwq8 8vZ4X+W9ajZcSRVSJl/rtmwFRA8nU6xyxGSbr4N9FLB1T1+Sw9+RZS1fAViRUom7o7TuOdUCZvnT nR59dfoinU6oWF2GdIPKsTnLzPrxiL45ARpMvnK6oOUC22mW81leRpPIa8YJpVOQwh7PDbetRsPg QnLoGm7cirzVBO95RDrieDUgn6ifZTVQJnOhzvQz3qv0ob0Ho25gEu6hdW2XORRSuXLxo+WWVOD8 HKiws4Qj0nsEAl6NJnmps2Q0s8xBtBOXQFbY4G9NgZmOJ7eBZSe2UAClT/2B1mYUYIuN/LzVF8vE BrbIp8+Xgr7uQyo8RaVmV7TZ0GL4z6DaWVQje08+zNcg9Gbcd+BUuX076xMDSRtuIHmTYcv9iO/O 0aFNSZ6m5N3O0170iGoe53UIxknxuiguaiJMBBeCnWl3J22j6kTvrayz/ngu5LRIFIbwT9c30CpZ i9yAD22CxWZsScAtEBcdcDvZ/uBY/k0JDaqTXGM2QOGxKBHjriTQSRBWTZf/D/s8eIGk4JIWzH6t RotPPgF7Zlp7ELwn29b27XehN8thGfbc1Cco7AL+gS4Ejcr1fFuTmz2utVaNuwNik1LjbLXSUAIc de02EP4Mhrnr9qlslunXZ+rpwe52x7mHUYeWDSc0CY2WXpJYvs5szXUuOTUYqJvh3dgdKYC/9ky1 HGuOeolq9IuwjpM+KdKrj1e37/ESTi3RfuxUaKlnf7Ce+5bmMGvAbZ4bq0cdXasJoDQOCyAPRz40 w17XBAMO+yXvqupor7OB31ztlpaHpDa/uLKbEuuR9YqhAYieZxlYGc0jIsgV1SIfQUq7gcuz1ocV niH7SSd98SC5dPlrxFtuI8+BDycdV94g5CqCVyaNyRlY95UdhAKGXOI9lU60sVDE6edOImMFUz+L EDQD2bA6a0AK7oGJRRdIGxJLygkz8AOkwytwtoKX5XgbHO4v9seuNGQT6bSs1IVtAeq/yl7QiNiv cuwnDisN/MpOLbcg6MgsMNnNUF0OshKarPg8XzpWxcBMjpcopIln73ITZa/0Eqdo2W5PmR3IflM9 gUz8cnSBuEG9MqcosCt5iptc2/bOmeP8v2OSXpiUGlqR7nlyS8pdP2j4C0bAyl/eQUgYB9/4erHV fxthE2WHi+s/+KP5+0M0/aahz39F7egpSLz2+JSf5hSIWxdAr3+qJ6qfWpgHCNhbGHk3eSvl+Yth KYtkPDCUNWZJgXBaribMIfjyvlDFgSUK4r4bGa3tWY+Kv/hEvS7ly7PghzTLPe7mdoHpIZI4KsDL T1Z4pRXjzN1QXSrnNCowbQbx4eX+w+Z9fpRV4CT67vYvWE2VbLR6p0VgS4Oc1/S9HtDhF8v4WzOB zAQa9mbm7lmlZCUSPu+yyvgdMjwq/v1UeAbU/hM7DOUCQ3OZAYmWH5yOkcHiVje91gtaOqUPedni aD1L28xUBz1hUiySNn0ESTaSarZtJf/v6c08AgWceSoMvCQVIZglMrgRav4IQQ5Sy1Idclr39dXT d3knqgWtIyiyM3cQLDY+xyixPbrI+WtgiXLAHp/9xSbjweyAxx8mmwDYZBPSIf8ojHcFrVsa5SS8 lNtM4nToH9YCTmC8HCpHhs3yig6vIpf7myOuSK9q+G7EW5fIryTFDZKTkAdaJwnFzXS45K7nhHIR kyFJT15fK5jaW1G4EkyBcWu6aSFYHquCGck05j4jWmdEXt1qGJY08MOaMGHvLmo6blfVUbvBpAy5 lumwE32a592O3uWFrW+vXAyax8CSG2rNa0cvoMmr6liA/OUIFqAhFStj9T3bfKaFFj6w8/AS2wsD 8jchVhZQw3b0KldX3uc9QcJiyGweMEdMPSyEwpXgo8/7eFRqG7OdXfillV/1ymv1hQmYEgDfrg9H WF5W1nVX0iu9nYm37atRukN4zkAzfsZ9EJ5PuZr+HCfwDjQh97EGS3oOkB+cFknSicNw3HzK1n0Y 12o8bMBhi55OzLVR+ws5uWDcqJ2MzkzDcwRqwJfC9XlU9hWQGE1GF6OzO6bX1zbU+WmuC5q1tqID D7ZaEHknzRlDPXXKeYmIQ6p3obmwrF7moeRjr4eGF1nHse5Y+kP+tZCfnve8t1pBfawed62g9fQq vA5Yy56DMo6mcmnqyeL+lCJhCl9MFaX1OBocWa71a1hSezBdG2tS3nVexKXnCbsBww1rQEapIbZi vucYN4iaVYwYMlPoYdsM/uuoHjB5zP45nE1z6/0vTq9ZjBzWU+gCY0LCZzGM2dtTDHwIH4afjZmw E4PTHczGHELL/sYpuMZtyuaJ7HPEcdYHxwbNYgaOO4VDw16kV8dSpP1OCoZsrKrGIqTjb/ZetJzm JJmClXozVP+MI9aUxQv8j6eBnHPE8xJunz0Lv/AhkdqaQUcOHi4LB8apYaYi4U/JWLl3roFFsxfE tLTph+ThD/l8bApkN3+r5hCjbJw6JFDE0u1graTmESCDHdYQ90nUzbS7Th+tYiuMaoQJGL9jDaDz QxvOhMIiJJLYjgG0vVcCLup/Yqvp3NjdAfqV9Mtdi5A/OZR2ffZJfqj95SGwTyp17nPI38JD2x+t knEdZINa1mjjzdTxWcvf36RttxEH1FT95FJMsOyVtI7p3XEpl1LkCXg2ALrxWr38QsFOqShtdc1b gySeMPmo5D5ZXFdo1dCkFp0LXj0nfqjPbLMemMNOdfqLePMFZMEC4Vz5amlgso99uaV2Vka8fPHt mWjcz8+5nL28b1+BeQHQ1xWbLuFNMkdF8O58sNzmN/mrk/KIxMENeNRnOi83p5lmtJBDvM1HoPSw szk1fFLaLQgO/1RDAePm/AHGCG3+Ulbp6KH99J+IwxQAIqc2FLc0xD6N/PoBZNwfXCFdVPmP8TTt 28Zovoi7eiG8uAWFdZL4HWuWauVZFM1MqxPn59RbzNUWkVyisnZb2fhkwiCxWu2igtkBYtn3OUpk hxAcIPrReYmAr10znn9hJEl5nlnkyhrvhVolo63DoZ8AWKd0/EHA6j9or5cSZFZUCEPQvuiZvli6 8Nw/ZvMIAycuWZjxSbiYcNCaJ+AhFpfT79bX4g2U7OblZwhmDuvDS9p/wpNCY9OgRje07gfBCuGw 1vne3FPtK/CClHlATULeYEamTCel3c5JPk98mXfnkAoYPkcQOh27n+77PBHvJx2G8GnnJteygnhS qX7gHtTWxnI9W/jB/tjHVEaEUesq4eODWHXlgOqiFnw3llx94wz0aVFTidB97XcOgVLO5vDfiPKW 5/UsdtivWHFsuV4sYF3il+iLjbtSRW/zKkTHG2BQRxe5SZdUEhZUjZPZQaSvpbiTewBpYZeMzt67 KvGGYKQeTRYxLHCfty4q7qgebYWI+0nvVmQxWa4dpwWIZTxz3nhDHXMMIXPk3YGyeGMVakPuW7K/ 2TPiQWC5kclzF9nI+QVw1mQN9ZCJwJAeOZQsuXzfLEwqf5TEDGKKg1HxdPM7vSVBHASOq0vQTUGb NOgjWkpxYPv+TN+s+Ug/V0I6AvI+nMOiw1LTB5lnq7q7dF9mEZ5/C1BwZQn+kbVFF9dlqRLOEPfg SS8ejJ4gQX9lKFkAgWL3sfI7roTrS2HuUwK15f7jdIru0hg6d1QXfKQD6/xSt8WagyM+fMxUwTp3 TRC1LmwQGCCQIve3K9F/py6s5VWX+69YR6LE/EG5Q44F8aQNbojBUk+xeaGX3KIkRLSYBfkfTkMY omKqsmVwSU8tAvz7t9HMpnOV8al6hnVMmcXI+OF1M1crXiE0klqVkSO8OYBNW8jPx1lEXW2BTSCt BJ+5t1Nq1fCyv4Z6lIFZYSkFuKO5AiPfKDJIjZatnysMovekttxKri0YjIRrQRvKL1d/gk5/2WWY sFqptpDNR+GKi/NvlWPnghZqzK11hDcyVL2Xn8fDqYYA6grzTKOJe78TpPyAJq4uVKoCWl3/xMvF ikt7FSwzSRHHHMJPz3n7rWYwy479YFRp7tyuS+/5kkO0PSK8dr+biAXi2AAT7gkZ0CwkVWOLf6uL mfXWr8a+yKV5cOKAAJvAEODT+tsM8ZzotsCMzQB9d1SboGYDdUaj1yOt+00D+VcZ1lH9PL1kl5Uv IjhOSB0c0C9398Ty3YVyCECpjTADDgXaejH102fGu2tHfLZv5d8HugmGxacanVPhYlDDWts1fD1o vy9cTKH6q97xJ+qPUrNtxRHGYIcdOeHA2NKpNDi4jIavQ5/Mcl8JPwOQEuSZNdN6ZGVHvkcaOAPf psUdJZZyxiWp6D8E4uNZsl4JVg2ZgeyvjivP7untz91TfonBAEhjumlhT2QdBP1LRhan1/guhLOT d1TJI2qwiI5G0mNo2+gX9n3BMAGu2JoAcsiYuEB2vZnGGGc/j3ptxLhIAnl8cNI/mQIGqVoa85PX t0sWG1hWpzRwmCtXzlkWyL6wQ4L9kjVVaruUWtPSwEjqbX1pXqKkhxo5gftumzI6jIIuBmU6I8IY 6iTb1UC0cU0+SRCWTpCH9EehNNMRQfhVODh2jnP/6SgQlpLTMZG31TCieFGfabGnlh3zHokzaHQ5 4z+dxLNwdr6zPiKXfOA2+Q4nAioqLfy0/bTPT88HhlnPtIMNq7rcIasqj6tU2Ftb0bpUZCtS+CDv GguTcxjB1iEJd3bkr5HIMHYi6zCv7gCG0oJdrX3hmsVu28hVgFe3iKSS6EhqSHwNBzmMAFyvhqR1 RgkSVBv7veso/PORuBrAgBMV72VTCP08ytmA9Wdwb9I3ZMzU0xpNM+RbJvi4xK5LHiVftQANVLCv bo8YreszU4trym8oBd9y27vH0ex4KQd1zMtcoxAeLlK74x58ARaHqr7B0bE0rDxRRmf2KP3BbUYl dnTf0U1jKgcpXyKpBL0jdnHwkBcFZgM1ek6mqgrqaVAQ/1ZShd/aYwKEaQdkl9+zlCAB/uNTyZbj F3hPyQIGpzTGYzq+6IKAQ729ixLRgLpPuEvFXpxL621IFCKX/Bpvsn2m0Gq2PzcTT0NLYDZlrlgx JScgyLcJb43SxofMebVPh+rYlAxfgeq79hiFJqZXAKFLSAfm24YyaQyPbZZZUwwNPa3SfPUBHDlq MO/bHKDkT+jWZBG7aojzTGU66XdT/10i3h2EX+5qnkh8mMoxZcoHVhZa9fmCmSafH7GUZ07/83zF vqItHt5OubrwaW1TVhk7Jt1eKQUf5aWa2GzOZQGpLbKv8ZHE33hPBPUHbJjt3PfA+x+2EWF3T7mY VOSythV0dBT31F7S8N9kL/oY0wfHnBmRVzqnCeRiqpzcNCpt8J3gMahhX553j4ZgRoiTtFUflfja RMbJYeIXbWOFrNVQQPzfLkU4ZSGN55AmyWxKi48ZrM8vpVXzdsssFGzjksn7aYZdPxQQ3DNwRGXw bWxKYHiEHpx591iNGNAUBMvUd4o8j7rXLFnmR+z7+Rt1BcAAyY9c/kvhkCBDBMRqTS9XAfCAhTSa 3c5kv2ZdPInsvKUiUUIJR5Qycy+4OHPqTLdD5Mqs37V8ACvU8WW+Zp2cExHeJNpg8lLNfEUJwJge M+akjxTeWV1k7Yx/pssWIKpk2L5R3lPHyGaL7vdV7SSI0nSznuRCzYS4qLkBP2/x2SJwBrDsQcJq agBcxcMT6UHcstMf+XxZU1T4AN/t8UbNjFIAvxAf71hS8JfgnWD1Y0KdZGvdfiaRuGZWBpxPX+2u M1VXJ55+8uo6f+udcBL6Dv6d0YSVYaQ1mHdWe4m7ZfcXJlcRCMlHv/5D9yG9NU4rsr9nzpUPSPiB LXYSyOmK6aqMc5XKsfuE/DfhpFdkbX9VRP9pwMZ6IFyOadHkqLb+CYpbTHWVcpckGpaKcvmDyK68 lB1BhPhl7490yVj21vaRgMZkZF4F7SAfe7pQMHzKPc1ZCC8kw20AFARjVBaM50Y8Yy0gZ6TWKERI btJ/FRQnnaYCwxnwQlVKnYc2rDNGU7C/dLos41dviLWqV8Xdb8xuOCS1SswR9b/RAUbPk96VNove stHQkWx4j+L632xLK9OftAqmdQtIqZZyBvWpTdLF++r/S+NvZKFkvbl/1O89BRl092+QNeUD4QvL 7tv0SV1dx730S6AwMw+PPmwuAPIJtpa1hrayw7bfnagjkLC3iUOX97BSQNNWeBJb87rYHviStMbh QFtmFAO64eLxNry+ehB5lm2Jo2dcT8QvqhAE1pBCq70KJvviMqXBDJnH4LDliOTQX1VWiYaCHXT9 SuTsxCnEvCeiBfd/FyotJG5IlDkJGhXgsn2pnO5kQWRyHphYID8hgXdWoidODpdnbzXTA1RjwbuS kgjoTWND29cj65eI+aai7aNGxwc/nSPOHf5NbM43K23SRs1YYFHsq8Pmg7rVrwDOd2thil0YY2D9 9SpnBDnzNow/gta1KSrGcfPO+54+Erf0JXEH1XeAwksapjKnHU17zkHkVxp65P6QqxzjnuEahokz t3D2s4BOKpuBZfbTloD5jS1/DQODR2x/UwG6tz3EZe3AmSFOLgOASDI4LMTUVSDZDcVZSqglsWHG p6oErx5zPcChaKg33AdcXjpZ/77+CO2u2ctXshvrzFiG4rUDAK6JniyDsd0qQd0VoASJzeSmjS07 U2UZvTFudEnxgEafLtTDnXJ3zDUBJRhu4A54Ct1D+3MYkscBdtyXPpHmtlHRQtY/uybXASQZcjf9 KDtf+ZrY3gBIC8BHhE02eus4anuAw6C4D1upx75VUHmPszyRga9OJPLNXANwfFQnMiYhA/45wR7e utkwT0X4Dvfs1RJGyiOGiSfB/KtChDrkjk81EeTcvLDr2atjVZ3Q0kVv93XD+CBXndctO8LVQmYt 8Y6ly9rYuzPnYZmJNECRLQlrkVZ+1146gCsp5EWqHYpaOACO/RYmzdRUZIBBo08Kx0g+AYsypVnj Ue31KnZna/cAf/ItBeL3+L1PL7Dx2FU996sF9Pk1pWP0mR4HtDSAzxUFwuWoH0v4AilqjRJ3pZtK HkEgOd0pSI1ljFMgHdsvefiVruODANk8SAMCB2QLAApCun9wQ+CeZdiutKPqzyVvEs8tsEFXrI7d P+BVxdEiKSbWsguhIuGxAFDYzO26Ds4Q+ITCWEDB4nByqlRASZjuzX3IbijdzF8A2UGU7G7QkRhM w6tuBDQPouL3coeilg2uxvdUPG7xg1GMwss1KnONrvXXFDd4133Dproju+RLNsvtiRzYsJ4s5RRO 7/sml9kb+5cPLil+MwdoWphZMv75dNK1lEYKH45KOSVGkT+klXs2I1gWFgPuCNa7Jb0N8R/52M17 KoPkAva8dlfFFs5crLnuk2U/+SS6QrZBaggoopgPxNXMoMH6iLV8QWg5I2XIlvYJEEmzsaEgccmQ mBsOAPpfFtdYvjEDAl8Jx9calARBgRDsYOCUNqrLvh8lGkUG6rNBv4+G+ybCMIvxBtl9bHfREGyt kaGNaJR7wFFKMmYe0wJfdazVzk3MVadyD6HcCXGZbOOi6/CKFdEqBdGE9el9w5gZ41yPA7Zwmh1y CdJRoCiE2mhuF3vUUX+78mP2Xp4sduzMwuXHzs+s5427A7jpqYES54Hqu40yjMfChwHDZdN6piTa /BlDf9MkMPDkda1iQtR4WrmGiHbGQaBiTDpdm12bzqqvfqQXH1irQGFhywlWs/oDTv3eiBy0zCh8 Y+JHjs+ubKo/ZdzaTPEXlPVAI8/pNCME8BIZc+qUMgIWWwVq69yIYp73nKPWfafEQtg0F0lfI17N OUu2RD9KFqIjX9xpQh5y3QDZfzVtn99YfJi8k+TU4Po9YUSTGLGbBRJPMkMipTMXo2kqC+Ry9U48 JrCVyWBfG1maijbvVTN4aanBw53/howIebyYu7mFNaED1GmRAN6JvF3v1PJ8H1yhR4BPz66CIopN 1ytudF+qNb28Vh9EtQXLjWQCcTR5OPJ0ddthE9AKjt3CUSTI3wihJPJiqxcddAugVtB7YBpl8OBt MNWyR0ndfLNaQqo4syo+1pTZHR5Lylrj0A0D3HmPDIyGuMUH9ebgblKC4Nlf5BeZ2bhay+jyvLVt E8c4ImAuF+Q/S6w2sRDvJmyO3OBVypBTOslLggIzhTG6lMTo4TCWfymwGR/2wCzFc/+L5mRVp9oL HSSeUGscewRPxDrECkzpyXSqHjiFMf/8jlcVkdbIus8MZHxohoiN8UcbYrV/H+BL0TeQj1cH4gfK AL4t7+vfs6qr+w0M2rUpNDLAUYg91OKwAHdcNfh1XC3/jTZqlt4ANtLg9Pl9sqZabFDsdgX3PCfV 2Riqll45ciNz+ROOBYvX1UpBlORsqm1xeIMs+G/giGXz/lyjcXncCpEROwQ//rhfIaICgsyspBEi ibb1A5V4hUsUPgVUly1R6zLaYthbM87d8PxKcep9Foxuly+XVlgqlNHMoJxPr2o4krmoSQ79MKIw R5jOzxCKadlNXeugs/WRrD9owXVh2WNB8q+JZnmMnWQLOoEVPjHkCcsdcAC/eRVnTmjAUuXyPKjq 6ZaxQtk2oQwd0HHEV+RztJeoZS9+RM+Rqxg67kr34uE2haallfGEQtCKVt5tw48WxJj6y5wiIoI6 JYJUmYe/f2HifnkFpt1PdHspL6g8/ce4R0YxPHogUwo0w8G0y2cldpAxrlhJ9/1o/UIGvbRlc0Bc 1qXfgSqyZcqgWnMy2dplvmRDQ7fNj+e2fnUDEZDYCMgJshldtjLr0LtnWKHtpHGqr/bbX3UlvwY/ +N+SwHnrYFB+0hyZxBXDhMl4gpHtDd/JsMe2XwJ2xiBhSWv/I25/YjTpQBdVVqiux1AfV/Q9ZyK6 y3nSJiCPS2sdC09kMAz/0grn65BMdtaX/jLe6rtiiVNh1bPiU0N1P0ne2/PIb9Il3/xxlbD/6Fws gz7T69rZenUomSMq5/cFfJTCsC4+rcybxFZogOcuvIHE5a8azK7OxyJ8F8qoJZt/dJLdCXsZuMD6 c2HwzjGze0rUQZNrbqRuYJVfG9TSD9S+YYmyy22FfCMPPgqjqcIskYMs/0BWw8vuBnx/vRfST3M5 DAkYcvsg6teCyjsecU825oRpJ1eY0EGMb6CUP4P4aIClJWPhX8pOjidDNMFjrjLHAy2xwEomNa33 9BxQYKFJk8mDcKPdU1ZOv0Jhc9zzFve6Wzs7HRX5gvcLQOc71NwrRYOiJESf2RnzK3MzsJJ109Ey FROhW9tui46q0/qB4Xe+K/FMl7F/EO0F6GG3ZfJDRvZE4/I4TG+WI2IWh4tFEQXjIRnAcI+ckhyt yg78uEhX0ALaQU3pRTRQ/fiic80gdy+V+XGuFonacFjY6pxhQuYnqUjCl6W6yWmKLAuukjhR3jbZ NOveF+v7QZg5saiL2UwlSb3D4UcsLhWG/mHFmCCyTQ5NeIGgr1bbdSggZTcueq3JqWnjRseFLYWG XLcJqzgxgqAwzHYrEKDneSEndthWWkddtPGKzCXBtHcNDUHbQPE11qlA/K7Aan3oexvSVhLXWOrj e6at3cd+RYClgomnoH+Jl7rq2lPpH+QQA+Ymi08aEZcYDtT96WfEqukVvwWGfiA/pVNioGKMeS1N OO9cfHIPzZd5uqpQlCkK2Z+jRK4qfQwCwx+5/qFD8d1D1WxWt/Vt/tFbjhogqnJBfsVzl8p7yJtt TooLe0r7z58LA5eyuczNw594UYq8ZxM5Lq8r7RM9ECBeQya4C16vqHt0e5oX3RmDvVgkkbK5JLv2 eMIKu0ka/2YYv13Glp6R9JNyNQd0n20e2o//Itu0sQ460YRm/VRMOJW5QsTIenCoEnJwqGtmvEnW CHw11aj24pQ59Y+owo/h8DeuGeOxJufCQqcl0wL9Tj24z1zBCEJjPXi49eOKC18u2g3cC5j0PMd9 oOcn65VOKpucmWmAOhmLQIkA5XCkjRdmXxv6PPUMw16KOujZskq5rDItaYl08zeAr6wh+ldWPOqM w/G03mx7QnqM+P+xKUI7Y9CRDCf8Z23OQlT5aIY+zlDDtswUpNswJW80JF4SAU8MSF20QHWDPmuJ wOxcDmHvIIiIojgNpR1NOt9D2mo+xFehCJjaTf3vSr4oS+aMZv1xEWytv1Zd4qrhCfn/5/eHcn04 78wXf6wXQAlxk7Eq/xVTWw9CUx0PtbLZKisflSbmTHiExn14xIC1K+D9pcPODhPGHk0XnWU3iu/Q N6NfF+E85IwbXOYKtX9Rs3yeMJ2wQoxo3mwjg+EC0vYnckDuvff4yxznL3M/EpYqbhJWFhqooyNm B7gzkysro6Mjs2MrnFoaFFW/NbT4byH+TYES0d7usux2SKl9gwtt1pLEC+07xRM0tfV8q9neMnjx N++zs9TzQMf155K+KVvHIbR5hv55ayohdtTP41+caN6zzRKx6x1R5BT/oNU5B66vPECQMU6Oe4wv WZ/st33+5n5u9SGguqohHOvmh73jTuEqpcS+i1ENG09f/nUl4JPbJ0JhBjiauJ9cKyIWWoE+sVAb FKb76GwpnB/C/bQ/M5cEx4CQb+43NmiVijJpGKZy4ArLe2iQRZlWpgXpePLj/CV8a3ivZEPl88CI huUsw6Slw/iQ2gtxr4C/RsuAOgd/Vi2t1XbFwsa/RYwphI15spgiaKAhz4KPNbdyWMnnc6rZ4FR9 atfkKHcGdHzI+B7edDT7y0k0su+oL4VdHkQy+Qhj7ftBFXED1JULafblDSBVEEKdf5TDni4l+10G egEnftFMVQnzHHr2ieeesUTUKkO047tZexMp5T2/X5YRp5Y3NVUd9ak+eLU3DV78VM/rbWmnKeDq K2fTu3LGZQ5Opb8+bl+oydhVqDpieQCEAPuSjAHUYS5au4MSf1/O79kt5OjSDFwgzD9ayCoVvzTX aA4N4vRj6qeEJhsexyooRIemO6UNADY/6SqNR3FIg891CIVr/qF9HKRQCbA/oWxiyET1hZNp1aRo jdfc2dGuzLHJ+f/dBaNRCBa29XYHydslEGnhlD3RAzGoZiZOpwTncfwm08hB+V+aeIyUhhcBGHQS cGHYi+JWZAT2sJ8bixSFQdSdNXEoGPP20wczaWwQPSKT4RVTf2q01Ze7gvyknU0RqLjh7d3MSZZ2 yZtija+nN41C46ETDPvkAO6WvUdISeM88Cug3E4+svVBIZAsa4ivAygMwsXIF4/B9aU7OsFUY+Px ek0hWea1FM/3Wl2zrWAh26hJzMZCh4bd3xqo3odf5+EfRl2StP8sQGAkM87uMJG4jAaRgNELlwRj LJyR7Y4L9QfAG4MSmeujU5cNpX7LeJIp4cszM0h8eDF/oRTBW8akDpED2jEJIoi0QRASUtMxX8d8 oRIDPAgCeCdxjTuu++O/QjfEQDrVytS7egbPPFzCnfchS3j5i2/LaUWDET5F/gJ/qg/XZC5Do326 kt0Fzv6pFYOdDkVBHhlzpVvpku41RBexrjACyHibDYog1GCjAnyL42kYkAw2YjuOFtYye6es8g7C jedcmkEixILl9f1KJvL9wdAvNWB6Lf+DBYrpu7fbkRk3ZvN9kAOqcXRmCDPm1q5fWLXv4oXV0jj3 MmZElxBoEDn2ebgNf2LbLc2Bg4bMgeOuGjKTD4TUKav2/Q28OsceAZgDAZZYr9moIolFD46K9FM/ nhm/kHq4HLI3nmXZ+UVipoDiHIUIvV1UKRK8l9ixrdHOhwCgKHC+h6VUfafnm+d7c9zP2mMsrMXC K+mOe141xMdIrHAYWAYQIQ14NkCCHT2M2IKxT6nq8C3MSEoHSjPyfdjhAvtECb6g8m6ofOE0t8D4 WWevSUMwq5StGZbSVrAs0/tDOi89+iAXruIMhAzcf4rzjDwjKYkchaJPrutlHDq+Fxz031CCxH6M W4NgiANtH2kWBUgELvCoyj8UQ8+XtGkVg5tGb2Wq9JlXOd3Nvzl15bCSs5PTSQ9/47MLJAjGMCgC FijyiI5997kDSbmUo2cVtc3erpN+q/7DL4ND3VqMOyGXy+1pvr/DAlQBFNIOs9CzdfGVOKLtuoun 3uYJl7dr/TqXvHgrTXPfN+f82WIl3MyoaKQPJ9lxKjedbPgLoO124/5RiYRqQiFsyY0iMBs+91AW 93KQjqm1WES0x75S2SGV+zCDF2yavmh+GOwfHqfO3l2KioiiYAQl9aTiFi8b1OuOabY2xGTijSn7 86ykp1So8/Q9nqS/AJWu1aALx0uttqcHDBzPJTZeO6U5Lu0s/jesMtxElfpEV6souWW2zZRafOK+ MS8nlmLsN0kgKq+euqlTtVnVikaaPyXjyUma7jyPGC0dham4Z8BAXy4DJP6yXUA9vSQBQChwZqeF Da889IOpCFHfPTxToYv3wu7ekDIpy95TFTVlDiipisq8Wwoa1aecThpkE01zqP78rKO5aeZ4zDey evsIbgvfksi6LLisIsBFlrPyf1zQnpA88u/VzM+YfCAxRJjoM2Oe14419oq8H0IHNkFCK1pAJ60k UB8k5IV4q7GoN/WQWGc9PvkQ+UzDbw9r1JhcGozDwinEV2gznbQOP1Asryj+pAKwWWJrc4Etd6Os 44wj/yb6zScC3q/66KZPhZ26c2NPHRKVX0d/CvWqB2UUyoDDdGqBdoFnHWMONDjh/tesuj3BwaSc 2iboi6WqRXNgnGYhC5MIVaoAOyzN1tiNVLQ+jQT70B6Feh5mbbusfudQCytySMfAOJHdu4+6/yjN XCoVB6sP3THea7qznDzO707m+Spcd/fHPiGmyZ/YJd5w1Jb2GSZZgLr4Gn1kwR6esH8cFxV7mxq2 LFMKkW7IIgBkRU3G67tQwLA3x7zuuQBOyKLN93WUjRVq3VB4wYIbMN59b+5hH5MkuB4Ln8CO8cGH 5bbDs9EA4zQ1bSqg8zwF4kNKIa9riKv0VgTK4rhNkPVJ3Y89QiEg4FyV7lOcDxKOXsK4NyuXZob9 BPvJ0T79Ugk38j0M8b6Q07pfkfIxLxWgc+E77cJq/3XrGUmnoaovCXTfv1ML28mZo7gH4LblBWH9 a6y4sSz07D+VP+1sGAwffFS8T4n4C3rZwtUobTJYY8HorKaZGlzitQuxqxCk98s8anuhCjYJbAwB 8ALGZiQJfkiEUAMjevbEkEBE1EIcjLFze9N6NEc60Wrcv6kNG8Yv1FvCKGZRrBPshuhabV6uAuXb 3mZbZVzUkbyW7DVmZ0DhY01j59kxaKsPp+KE7wmgLscxJHesK4ZuF7JpNC6xpCmMFJ6fTZVMScjm bTjy6wW8l7w5lWWezujcuFZibAE0Rmi1VUUw3eKYXvuTOBn+D2H5l/fckOltdsPQ/J0P5jhvKvKD qhdIWpN9qPQ6psRLZd9VDg+gMrrw+8VoQL1j/BsdZ25uKJFxVF/Nr6SkohdcGg6XigYS/lxK6y8B NuyJDdH5cDb4KrWURgV4UMAmxH/CNlKNwuCZLiws0XgI6g/2+iePcvbdSY+K87w59eiYkp2Q5Vb7 9JN/yQX9wXQmb5T4bBcapphgs/H/TsKLe+eAECJ5EJK1EGe7UmHXq9g6x7u1uHpyQPvNSy/QKNkr JjaNqx4Ry2C+jwKnhplD7ul06kdG5RdeopVOljyo1nRuesVoWe2np5GDEUaMeJHvmJRWOHyLneLr p4hAuMS96gxZ91aulAeBvsOScU6LTC9iec3RJCIHn45GSUMjEKJKwy+lBnu0n6rlXUo+pu2egNEb WU+T4u/8ZWdJI+DOsadI0+zA+A70cYImPOtAPdpBR5O4DrQcbO5y5IeohEYaCwy3HrU0xBAONkdW Kpv5Hzq/zN124miav2pRCPb6ev6juyA+QdttI/Vvz4iHxUMtRjmtNTvnbrCrnPWYk/Fhsyer9c/q KEUizCzkKMNExdRZuRQBbsGUWmqELUDFq7S9oKklyxn7iLuNoDNSrC/biQKFfUElE0u61dq4Lpcp 6JJt/dhoSCS68YYER6rUIAAEEULER1Fkm8xY3zdpQfSRUkCLNgd9u27JDt47bls7ISQd69S0j5PX zJd4/QE3FXM+QoMuzo09EqR7PFJtVbPCNfRcVMoCQECZsS+Jek5erjELSx9dmCZTFLA/cg59kdpp ngbLu4e4mB4DXKlWgE2S6/9ESHK845mEEN3Rb34NuNG5P31j+GNxEGL0ASb/Ol+k3dwszSeYxraC CsK8BRWgcbKy1ltfGfxDkopyVDIVlEUSWrag1EXKnaklkuG2zETTPZsj10RO7BkvxMLPjB0X78TC k1Jsfeqj1icSiNEBtgenK3BnA2d53jnIo17DwXy1uklV5BzNcoWok126/PS4yiSFwAAnqufkxb1C DJTf8DrTHQ4dhA8G8BGatFqvHj3D/I4Q+V2M4KfnxMxl6NHC2nFfUkf6PEww2jO0WVVYCMFV4eYo rr7dbCSOow8IViISwtVAW6++Z2FDajoETmJ2KQISlk8Sux7wCFbY/9IDDDRT7gdXVuWNvmgeNI/E fpUX2/J9YtJqoalWUxfmVWG777FUUcP1kE9+72XOMrjKD5pKWquy68d7U7bMj9MItVx3xwsCI+SP HJE/Lm1BLR2YX0pbCWc0lOT7vkStITwX4o2t3UYBkU7pJ87uYujcdqRabR/BA6RBVvmfxYwdKPzb idNwHoWo5h/7zy45IkYdcdUJ8pWzzlBBpe6zwUS8vAdC2As0tIxpdXzfOMKCDfUEMU3fwq17T5oZ BHmwbqRZ8fu6lHN7K4vwsagSXwFp5z91V5r4NpdOGraCGaBAWMVaONnRcUt/E6AKd0Jg2ndK+lUz bVNAaKUmKyzSID/CLP6rKYoczvv/gdcrOpEHCepCZkgu9UOQdumfNtAzdzpi4vRPLZqSK1mbQhsS +So85YLjRBK+3EESCHDv6EcdLyLILmEfyCS2Xo36YeERty8kCcj4ujkSdR7GQwpjZqwibgNUmJMK 9tmOF1oe2XhLrkN1kgfRay/gJ45htGlgsTDX/E2vkZKQqXYPHkjjc9KmcGkFij4XD7XsBdYdiKgY IRaml+GGTQcYFE7e9h+7ufvnhdmtaHRBO1mZXuAo4mT34YGvtrlv+ygLCM0868zyiqR1SPPhrmPc N2rKcI27XE1fmcYM0glL3Zc+sxQYPppewbhemjWAEi3BYNseCig8OEO8lefIauKI2RxydGqsEtLq KtHWEizoR6LlePTc2rM9qUJCLHsoDQYPps/bDoEcgw61bal10kH2QubRJ0VfQq0RjkZu/+ibw+BF n0O7Isy71EdxLq0UbxP8PBjAzlxQW6Ch2q5MhpPUXm5MRt3SvTNnjcsXQA22qvbvTxgmHcV5UjXM OHUSMgmuQkFRa6db5d4X84jiqekOfhzWVu6+3v+lE7oqAVp83vgdx2L5t0+3cauJ1bom71Y6356X GBQx31fF3kkg15Tvx2s089yJDlOQBxjn9bEqunjXaTajPqCCvbd5fJKgO8LzhxR719fLyoy2H8tc RU/gp30wDnB4zztBP8555Q17wzTHUEBXnBAE4eAKHUvJB5X26Q3oWaTpzNGI0I13u+KFErK0ou3u kRlQ+L42wjkBQ8bSQMTQBj79mquoyFvkZ1KCfLIjEwBtJ9SqH5CPdxg+dT8rYwSmnG0F+oRiVelu RyVMR27cz3Ohisx+KHue3mCkd2sfk2Utne0PYd/wxhsdQoSvh+VcAYvOK22Y/gElop7M4voneLxS tIwbJgRtFpJslds7wWoh/nVpWslPdcb3UX3uZs7S7+/FiNzcKOtguQZCuXC8xR7IebZheeFlRFsJ f/tH/idEvlsVmYcLACGZuPe1NFHTzT2yN/of7QoaUHiIGpHmxAP7SJ41oUutyQAcj9l6A+bXXVjM yYwSCrgm7o3958M23zlLyCVPEvgwXrnFEtUxoAaZBT80DlVNFQIS8y+U2jrri3XlzMr1c1vyLcWN 3oN91kPHDe/Nr2FVl7WZ8FjpiSZE+GfQTtDNegx/bwmN1b1kuhNhrZ6RwkBatEGe8ms4ikYqoO56 5FquThKt5dETdCimomIu97noecGAC15tZGKjyqv5xdI35Gsmm1w7ZmK13rO+2LyOWKltYHG2fj5q I1XVZRy4QyPD5sxPYpOD2xejbToNOMp2KLHXkJME4wxKxGG3UCPY3MkyyvAaJhx1DlbcunMbTTC8 S0UO8vwWRn4Srft7zEbX6ZhUY3rI4u0+DPEQDoemx5ipJfclDaUIxktrllHkPYu87VLhjAsE2JeP yOJaSj29RyFyi/TWE9ihIiOR2A+NZYtw4uaUnh5d+ERJRI/LJSAKO9BBx0oTP8IqXuzHsDmqfY+d Rde+1cMyKkjncaPPtYUNaF1PhfghK3Tw4ljX3RZhphp9pkrqZZJq58XACu2YzduigcHofnfvDADV KhOQWXdiV6bYXIWfYifi91XvPbYyOsPr2W7vfCug0NVAqu7GElUYbM56mcpVn4QCjnN4Xz6VtMWT zEUpLUSHLOdmKCNy1LXmbMbHMUtIIjt6zdau2oFAHCys210/mElrMS4N8BgZxvRfLIJ1Yh3KahXG JLIQaM8bfW+I2tWg15LDF9qVtsMNrNfdfvifskUaS41Fjaut6byiuxS1QqL+mKAmnaIVGnhypEGx cgyJb2Ewj5ht0PU2swstH/Zohua8NDqRcNl/JoVbfrR4vHyuA5RgtFUreu1q2w+xc7asqjPce+Ku lRongGU++35gPx06I6dMug2TZ3FS0aDOc8yq6Rz/QYqJfc5acHKilxHWnf2QM5mpB8zXWRb+5qQw egSyAOttx8XM/VnNMXV/zKIGcj5wVjO93zzwcKyYWA9f6r/ZoT/W5YRlT9yWYs5CYjwmhzoewy1q dDOxrtLgCcw9uM138zhVrlFDt24ju50ZudySs3MwuzcDbh3sTITIrJTIfO57DVUn0351DUcCNQik oBmYOCJGxHRqTzApyeq6ujYullhAyym1mWcZ0Fq409EBfZE51zEJfAtK0RsZlZlf72lc/hAjV7Hl cmjPw4SnZT/Ak6IXeChiET6PCoMgdofyrZW/D57xDI4AL0yFkM6WDjNAd+i6Jl8zrINdZqcySJLS MQBFJhOcv0JlbNr3FbrAgPeyxmnMd6BPTuMXTiGO0X9hC7ZVN1ZYrBuaP3GIDIEl95ST9Xl8HFWa rzlhFJMLq/FVKcBJk6Ug1eLKtk5Vs7bwo/zB7Cxs4wyzfeRv3KZ0pn9Nv79gefD0ci7AFVvimi9A QTlxNU/Ci6/3oex1d0s1xuvmwllW53hJufesclkbZ09eWcEPlN62cZM5fT7eYhoOQ48AuHC+vR2h Mi+PxUuhwainyfJbzj5t0wa3ynbxxZra4sVxo8C+wS1VdduBfG8QsMdhcb5aybXKh31hiDizqQ6e 1/Wdy8asquRKxwmzvJX+4QRug07m7yiB9v4luaOyZ2OlpNO/qREYyCpMERaXCRa7877pxdojHsU+ YXeJldpgGcYtMje1Zp7+ZkGRl0D9eQsFLq2x9Uu5q14HNbB7yEuSkIzU+h+ZDWjzfJfA/DwSuD1r iWR6lgpLDccECKCuuXJyUkq9Jo/7tWWAF5qJkS9I8h3ZohLoFIEcn2b+AiNHdXiw4F9d9e0nMxih cMiUuJClj1NNXtLHfi+Qb7XH+gCEbBme3KWXDgWbmC+iF8a0JCcUVgPwCWppfbqOPgXoApJ9lX9n EO4TEn2qxNkucKqNMnoT/ST6ImcWoYElFApphBcVvD4saoSlA2R6P4kKRqWbZcTZTdUfbhPpfuKN o8FvrSAJxfAD0+Akux1+O1rGpTNKAGMRY6dSDT+m5bQa0aQ4ELlNJ+RUSaaMS1F+6mrjOgWw+8WF 3hJDPxxQ/4csr2upTQOvZxl3QsHuiTeYxcpQy9VLcDeelF/1mW3K1qK/byqnWDyWtcXFjPmjXllb 0b3sOGXrmbOCKRbqo4/RvzNMrPz3YV6Y8hzJNq2jBUeu52OTSdBFWwaLgJl6EFUAinuqzr43CQ0G pjsXK3DDKiVCllPs4ymOGDvfZY+Nqm8FF9Vz/8YiPPLI1NJTsRzFu587ahHNbwRkGKT3glnRGgue 2oHug0/UmqAXV80ECYZKPLHJxpAlZMwVBr+ed6aBJw0ku567mwmFM91m7YQq/vfxRcUqagYFrrv+ hDOUPC7FSJgXHzkekKpVMHhogu917j5+6XChcW95ZBMPyIJcWlakxz0r8CWs53bZzYo60xz7uOqM zQRS4ko7P3gtE6UJaqR6KOSBkrHv4QbeOplXI7NT3XxyvULoNV9V9FgZfWBhn4aciK97W/BsBORE x7ps8lFdwZESO6NSdVxku+dZf2C1iwncD+dxStP99omfj0+opikHtmKfTIlMKgRkujRwsPgswUol +e1nwBy5/J/P5ZLgU+XS9RATgE1+O/VP9C+eIvckJ5FrSejDGYTkwuVI7N7YIYJj6QdG3/TfPfTp SWBljWbKfGeZG8VE3Ayo+QLtqpRPpy+mKo73UZOxcfvvF3POTgOCWE54WaRS30eYq7pXfrcckMdx mgW9ZVOo290i3vSM4RWTzPrQ9078Iewi6WaOKEjykrPp2fpZH/xCxmDZIGZUPbj7AeSLPQeK4jOr bA57XKoh4J4/m/5ewfn1eqBgYklgZd1iGUhHHSzpc7atjn8Kq1t4lH4vAeQezGwZ2CWu7LlQaPlg qULtp6WQfAnW2TI1D8ujBPtNWBrs7YwQHLDoNHpGZ3hj+Emkd2hi9fUVNDYfC3aAmWaT6QQgTJ+C Xh3gbctoucAMOtntEAb+Tv6PlaXJXQnSbFMkzMlAUG6dki7hzFzAdu0gGEoI6gtcWUFhCANdcBQb wGuiUnOMv84wkDcpqk4F5VNAJmr/n8vEj4+7i+tW5xeOQGfzljxmGlMt86lzJWtD/Bxyn9vAa6Py R7Nh+PSTRHOmDV9TVnwDlKlMg7avG9Zd0yip6Yrzl2DI3VuqoH0JorTj0Z83GC6cy/HRo9XfPj0a 0Lj6jotoAvZi/r0OUbuQYcfsogCXJWdxnIXafXLvCDQhP5lNgv463olpTMQMfqVom0IsK6q8r9Vm 9Hu2y2DqIFD1lQgi9G9EUJ2lM9L4Xfj3c4CFW9nTWEOAqD/+lPUrROyiW3kp3OP//AHLlxdiK8Ln QNIMU1v9WUUKgAdYDTxvqc2U9pT/0YOiybWH07EOYjYg7y39XQfxuY8SVj9trvB8dvhhZVRO0doK gqxst7U3YrR97ZKzqVzRHMOht+XlVaw9+3SQStDWwXykIvP1I4McA2E1Kg6yhkfqTKtR8DQGG1DZ VOOGK59GU37eKDflUcmX0Y9POAIouBm4uvFs4/YQMrb+7CSXZmU17Ldqf2gTTDp7NZGSmzBniDEF a98uxzFFafI3dyHyB+WmF4oBLxPTI2mULeaSU6Z3Ss6To1tg0wNE/6VNICeIQFcZMX/s1IzwSCbs jtWQ4lw/v65UdmTSMs/3c8LlqHdf5Z8cDUd/DkpCcts+xz80p1teKW2eushCeBgPYao3uSWZztFN v2k13tNbieI2eHo23AWPvGu9ICcrY/X41CDWX8wK9t4ZVZWdSRZLfnwGyLoptxbm3yn1+R29XS+y bLfKEmKsQ4hf9thhQZIJtl2UPIaRzX4KrmVPQcLYIXs1TH7gBxsidCPa/IadbpC4T6n3OX1XlfPm WedmqQEzP2C2IUT50JV59mctLtIHp0HtnDS0dDmSjfYQMadWrnp1MsphfhgLU8hKDlI/TXbhiyOO u/oVnSkNaYCPlWPfaPV8lBrj7f0gbNlPsVblTQqIzNhFB4uXxusJ34yKg6bYGVoMupvzZGlQPjPZ 7/EX8GoadtaGAoJASSXXaJMGkBTWTSl3Afhtd70rkfXrPWYnfPLVdJurils/wof/ErFQcQiWCPvp NAlvaA5SDkeKWq5J+OF+qjLYSkKUddKJnJaDf1nEOlew507+/FFbal8uPM6k/eW5R9BkDKUvoM3B PbUN+tiEqWdIXK+eJA4Gi2mGShU8MQRo2Ze/Q3hC6Agy1H/FJrpcNyWe+LxB+a0NL4ng1RJk3oYE Fxau7GMm1pTCwONVjXj7Q8j6DC15elHcQimq1tOm/MNTukDVChrmtn58bLUw9t0330junq4GyfT7 zzhuG5pfgd/HgjnH6CP3vAzIzv0DyVK4dqTmOYdYu6G9GRyrdPO8Y/dfx+zAltqcKbvyWRmODfPp l0LXq60VMX7qk70tapsToEXL8HH1Fo3Se1gteF++GOCIRLbof9AnQzNS/sG1E8cfG+Jie1L0uMNO T4fuXPcqt+g03Wa6YhH0QGwwTwoVfobcPeyK6K7pOWpJRX+KRGIH2qly0PPCOBbDnYKP/8SssFBa 7DaeKfYmMXP12Oegz9xCHBshec3J7Jp8qiKGJUPcI2u/HS6V+WaEAHTL/9PpjzZ+tR9LYx5Nhb8F C6LUy5tzwCyPQKnPO6SkezvcTxZSp2Pv4XT4GgvacysSGG4VsWslHC7EJtm9E/yV/+sMjf2dqbeb SsyVnI9VbxaQrcZjTj4KTTIT6VsdoyXSs4WL6aU7nkdXy8zpT5zzReEgEkxYetysy9+pIBfpztvA ZV1Z4mYmm6929Jy4y7wVjHBcEWmotKjL0S2sxbJfa7OuQV1UeaLtHpE0n3mjJ+3kIAQLgb38w6ya SuZD4phae8pjktlATquh2n0KL3SJ6Mq58RiE/fOgCYiM51DhqGpc57IFA7T/fdQzuQdOzyXbQEgI jgrJ8xTV3ze3vryzcx8lnM8nDZAPVwGV7yIB7sKC/HF2943qFptZ+rfRYaf9tZfUK3Qa0KxvJ7a0 Chqom1wnwqZ+3GZybwsyUlC99ye+PCD/3WJ0MCHbMSIPELYLnCwGaVrhe8Zrox1/s0T0kPjg2qJ0 +hbTS/dyn/uTjedeCRWj9kJArKIMIYs8NGWytERdlMDWk8ZD0PR0WO9esP+ItUP4xOs67u15L48f qOco5LR/jTuDHWjX4ANp6+AHeBWFcGJfh4SDeIA0uLkI2WBzallkPjzPbR3s7NRjN1AEcdx1SwXx UAW533mKv/KnvsjRqCGgRfnMbvlt11TpTm5n61PrgqdwRxrIlngwyw2TVpRbrwWe9qVLGFZIs/Fu 3kVmDYJ/GOgthmK/gp+3LnGPAzQQCrBSCAzUk9TarVZ9oKFyd+Oza2amaCc1NFZhW1y+EjI9Wo3g ztcIdxFg6KAt+NAlf3nV9BTvD/j4yOasZeGhQKHww3lc4KQYXbXVjgRRd/AtAymbaslvJSkpX8hs 0BResv2Bz3pguIc+0VR5KVVq+nLTSXqFW4yg97rDfVLSB7z8pI6eT6KQPB55d7UWurzk85qIvV48 IiAHk0hB2rSzBccJAmL9nYTbfW6QglZxUtwdvz6ckVR/KrWy7fGIvqF1iWTitdra4q4n89HN47DU WjMYjdlIv6nd+nxzmAHyt+7rW1NBlhsZDXt8Dz6G02BjCAjyEWcB5XVYHa2MRXr/SFhgUsJxGDC3 NduYtiQ6WUwmGCOeW7PZomh7/fkKQs9J+GQc0S4b7isfgAnAaXbtFcEo6Mn5sultHrRBnGiPlFH0 d44hf8RroX6dzghm2rzmn4FEgjHzfLxgH9sJi7hsOHJB+Mz/odxiv45FT0le9BoVm6gANg45Amee k2hxxJm+VuhT9B1jvzj89pNzdxY6KN52LENNk/Usk5O+59N9qzQKel5AP0DpPTCZehamoVelBTLn eCzQdOzLa6NHUDYgJtYN6rPMSa67seRJ9N+NZKRYak9Y2+w/BulW6DXeo3OQM1tqVkgUqfMv8bcI xXYr8eWX5hGyGN70HVmMURE5bYJz10kZOcJfZCl9mlykv+tG9gdAPR3QLD29xtvr2nESgLOckkyJ SX6Z8ZElUQ/tcrxM4xn7Uq2iGqlqy7Sp+NrerjqcZ3nUtsbruigwETy/yxUp1hJfZvwRIbVwosin EX9mmQk1x61u2Co2KDEdQSfkxnNt9/0XFoNko4yEl/Y/jmPx7Bw3pKXBJT1DVDiCHcda1Nj4mTFr iz4teo7LhzNCPh/raNZBFqRpjCpFCKtEZW7DpME/qw8ZtoDkuCx+0cTD02e7izW/GBs3lF9uqI9W 1mAPyAf1BlnuR3zCwrNGtnhqP1Tk06RT3kq1sP3PZ9bx+twOIYa5G1v68BcbLCBkQSYECKf9o2El yvJCU75NeYVhFgNkCM7tCZDM4/aqfj2O+ZJIs7BO73ym3HpS07Ob7CfFWuaFsEdvB1sUoTrlzMFM n380HLwWLL6PnahXBu/jH75TeK2VjnFii6n09FaE2rV07Pc8gY1XAP+BaC7nUhe7xcoxMtFy4W4z XrDRoODvlYObZwfCLkIS5o+w4jprooETRTB3U4yNwTz58oOYSGYY1Z9Km9tOLj61b/EFxCSvgsdb 61VlDlMNrsJ6U8nErA+D4hOgwQepyTjtnk6E5x30PFBmDiuw7nau/3hlr4/h8E7W1s/QGgtjHt91 qKcHkpV72QtRM0h0wVsxRE97YfRapTm1vVnoOPgiWF48PXzMsiaiYgW0juRZ55l9svsVCsIdx9sJ EjQofbKjDbpF+q0giX6qRIreUveLfvQu3/q8XXOLylMTteWSA+6clHSWTnLxZSwBgoCc18o77Twf ZZY/YnwqLHEAMiiRCILzvPo69tXNeN79miPpDvZL9X3OTrTYa2Tz9yflC1n8FHW0/TVtV3Gqb6K/ qopnJvfVT+B7qEAykhIa7Y1ZFwTJjoLQFWiO6aSqAptWosUEU4/HZaAue56YDUkQQvSAP/7Fr/s/ vgWPn4ZRskQUx/vZD1MoYsJaK87lWqY1x57LBxIUoD5P+eYiwm5oyC+Y0PQ/uG3C1HCvVdVmpmND aZb9vKGF+FhZEXtFMbGT89UlR5H6l9uwNV7KDRW/AmEt0FDkBhD3rDw9lnGJ38htquuuw2LC9k2F zVxzFDa2KSnQBe3GHDXTTkaEc9NapdXiGDV8A0Fx60ycVxEYQ9euY0Uju55WUF7GKfLD1rYss1sF pkTAXT2TIBrWVvT0yfyKloyeVLJ5iiU45tjGWQQGmbAffRX6GshVM8z30BdEUYE1b4DMIXeVIitf XbVMWQ1dEGN+maf+n5ZDRXDsjdhnL8I3QClb6SvzypJ5bb1WmLjjt4D/wVRlLe8aBIYtfwrOfSNt p8M/GUECobb2NIoKrqf7PH2VDbOtj5p7R/7gt6mFz0RjijjFhyhLKoxA/DwGYLVk25PYg87AwQxF sCVkSLqDk0ejhO2ekrcR8V9jPFH1DbTfGZmmP2egHlopW24mkyDb0nRYWqRHBJ7Hjeiabre7K9Wg +8YMzwkqUqOIFfiRRp6TAvMT/xTUauGL8JutJY8w32Cdz1irARG1kOmA5BocjPvHNYhZb50M7RJJ Hr8ab8sJ+y3CM9jc50iEnNabX/8Q3FVl7p5fA9N3G3dVHgu9a6qtHHbYcXKgNKNYq6PHKp5fLDNr e3by5iE9ceVYQqo1NAS4kmpbF96RI/oMihb9+f+UjsS7GlkOakjPQ4I+t+ABU/0w7DkpPdQ8s4QP 1/2aYcdgABY2Fs5kYRBdo+HH4oB3bH041e7+D6342hG3H4FZ0dV4dS3udB4Z/334xSr5gJST8Q7q cT9OzUv6heOm4GL/Pw/YoWnYi2neq9O4kEFJm/wbIn1IjfOZg1e47P0g557VNauI1+DIYMQ2NyFd VjP0GRp7d6LzxC8ZPDRaMreDEIVwbhFyqLC2g2jdtbxiQv0tZvATizsTt+edE4WazXFGAX5MhQlA caHAcldXhNddMUOQVNFvXM065ooEX8T9nE+cexpuZLykOsuZLcRdvK04MLiJ9o7Tz5Wwt6ccG0AJ B8Qky3Nm82nWlobZa2+jl5ONkbY3jXu2K9NqiVF2lEkoBCipSC2QPK5eNw/OH4B5C7EzfHydENS5 kGk8EPREL/2L/GAkzJfPKik02dViXU/knWEPNx9P8iAsppkG2jjjnOWVr7kToVfW67jIYu5xSEX8 QVgs7qGsZ4bWp1I7Ce3GBnaFWjrSNwqo3l+JiW70r0UUVXPXdXWOWdHV3ZuSgjM3nfXUqSFytYGg 1bGJsubemexh3+7lcejcUPqqwUIhPqYV2q5/qbNBgW7gh5WbWXhOMKjmET+v1Yl14MBYvpF2RTzw OzrqEAk6sKNEME5+WRZb3C2B8B8MBYLF9A7AlwMFfjQu6ByPAZ9J3B6QrutBJJTjiH57PB/syJje ENIGcXHVK/cm3wSCqoyiVTmnZs1kYYeuGFGo6UogfjLhMIdKvTji+ykAodz/p0g28O7lazWRzqM4 zvfaa6oj1TQm7sSMhY4R1yt97UoX1hnxhw9EZQo2RLDddkKvPeDDfzEn5dNr51LcQ0VydtwKjcFn hHf4jdQT8laxULOO5BcD5E1ZwCFhnLfnKx/HsS4JD8pgjgbuzHBaXnQM/o8ATHjDfMIb198algDk 7q9SpIiJCYXrblO+yBqjbKdt8b46C9WWln/2D/V5+ROgiEQ3lDZOZ96uKbQmiZQClLlyLdCCLmvs Xn/D6+hL3laoLfBTFrMavcsPguqB4w5MZyQHJ6lX0HWVg4YUHNlZ21uA0Aoz6UVlObXu1Qiw6Jzv rN8auu0lrTBIHK9K6C1BW8W3tc4XGdH36fYjIRpz5MTSxLutksuQANBaZYZ8YuD5om9tULbpDvax o/q3nt8/vzwiL5UfeGgaYdNCRfmyJnx2gD8Fwo/24JqfMo8EIanjMAO3om2SfGHFArhyfX2gtBIs Ryd7DQEL1foQRyNdbsOtinNdQj3W00xKxuVD3jJyvP3MP9O/R37hOBNk7GBqroRbpVRiUAL6zcX1 8yBSP2s28v0A/D7M0mi7HuT3P3HrOnVKGtKf1AhEaMgTY7joc8BAiOAcYo7V+BwaKxusmq7DlopP wPoHL9d9doSqTCHQhRqiTy/+s5HBmYSM/NGkjJjHV7NRfR1j/PMcYxF5rmYDxkfzsI19vOhkGLe7 /gPCMyTmQsFQGPRRBucXrgZ0cefytPuEXDt6ElGyKQ4dd11nLkqRFOATAj3M3sKtZoNN2tqKWowf HzEpxe1wVDcnVcJjShSiCOw3B3QAwOK5AMt3tYOPg6UxC+r7tUwEc4Q6WmuHr41RV0L2/X2tUApV lMLk6fINdEij1qgTq7H0DbNOLZgYiwRoe3OPuflfMiizUKaoIVMb3oqoZEjlhuvI1xfanSlX0Srk tTnVfBX/zvmCur5qRCWvrHqFvcgzITd1i+e0FMaLbq9RRecEYRmjNzCQDjpfc+3ca9CfafH/iswv CgMshFx2KhfM4sklWnTYOX0L5h/kmAg3R4nPYjNWWZZXoPZQNGOtVFd5rQDyyX5Ni2UVQKcs5q9I HA1xUweOi+32mTFQt50b3p/xiQHcGZmzdeSVQb43ul43oWYtEWB3pWl56vTYvDkfIgTf2eNf57Iz ksx4otCYCplEqRvat1SKc+phott2uLjoOtLxzlcBgns1liqVEGMOCA4AB9DHSHyqNpC6/PvMilAW SF61kmxqTANoY1vIcO5/Ms6kXrLrNqJbnSu9VJ9uslcmOkR7Uk8XMTqfSY9bnMhChr3SlcOxBWw1 fImbsX6HlS4st96O1fURUMjlcDqZvaHPpbKmK/lzZq8cIPbVlNSZobA4qEJud5PQtB4BeUFzl7ww tBdbuI2GUIkX0lZuny/2W+GLVi14mAkRtrmjq8FSRupYsrX1HYnbqEIbK0sBUBqO4w/06DN3eh57 tBinUTkOBaYqPCMOiUcMXkdvZmVXtcd8KwL6F9vw97mXQRMCbWjhTuIRapqE/Vyp3fngbs/I8Ewq 4PBxaiLiQdCzDcSA4jqFRbRPuQ147jRRuzTjEKuM/0x1KRN1my5fnqa6r1pjTzdLlTRHu+ANs0hC yXQtu/SU5s6JROeFmG8cs+JcHCmYDcS1JhCZ/sEuVaCo9PVnSBk72SuaQehzJurTc3bqyQ8nVt/i JHiKos86FB84ZThYVegS7zTn3tTgj5nh75H8C/sGhAK8mH3pB4ZaZojEB0LV28GOy3Ml5wbR4F6T dLG8Y0Q7A7QzMxSTkGuEQABB2lTMYhPy3yNEA5ujqiok0gScK65arIjOZDwcsDNtfRMDnx9PKem7 BuGXaATgevRAKcxEL5Yo1Yobd9JVFTQmBupI8sQVb3IjkyKyUFPI9okEzza8HURzOcMZfmYvW+Pv 7UfaBewc8P+Kb5Ll9eig63C3xUh1O+m0TXwQ+JUGOkj0HkkobkHazQNgyRsnt3hkSw/9Ln1t2qJu NTq6t3p38ONqVLCowfWfwSUOqZWa5R8vIs28Suz1oEhHp9tulLir6mmyYfXJ2efUDh1DJmlotcXc rUQoBZJjsBX0tFpYrKATQemz2uufUW4EOetgh0ARXbaO9Ik45mPhAeDyqnr/L6CUuu9cw/ZsVYVh KxcVCJfssqmu1GH1gkbCNNSNmzwGt/yXt2Fm9SDo1AwZpgLggUsOA6tTnm3zVTfByRtGDseTIYNq /B1brrQRkZiMCq5Z4pNyCI1mnCCCNnzWUuhBtQiWnEjmcPooHZqWP29icWRkT3rSHCBezK5yXn5B nIKOsnoxdKDQyJIqT7Mt4LKClD/iG5GdCyI3DBJIJ+dCiQe0rIamngQNQZ1gfSOlyzE9+wNA6nn0 nc5Ng1a9gt+BlOcQy06EQ62pBExDAg0RBA4BKR0lFQUgzlLNqQh/FKUeoL8grtzLnJjbrnA+hhMP yDlNz4B71fL9JyHWrWp9BrFpHqvLtx89cjrk+/AazfQD0wPSF9L+MTMqDU7HeotcEOw9YqhZtVkV MqE1o+gV4w84nGAvylNija327dDeI+ILjqNT9vuUQ6XPZCdFRPCG/QAJHo3uXlZT/PRhwUAe3nId em1siS80UbR8KNxIwMRiEHLTthILuT6np127mUtlqOD7Oyg2tjB5/2ps9jYC/SwXg/kI/aj4ymJI A3YuyLC9cfMXUWDWTqa/7Q06eOiQNu4EeTBSxKLTyQRq19oXXnA+bfgM05wYDqOwbUqDMhFhU5ME WNHGjl9w9GuRM+eg48PS+GpMsfyiQXdC1bP2xWl7SguC3VVnhb5M7q5YTiMfSBnBxR5zLV49MZqJ 4Mh5IH6ZDisFgOpWA+/mHKZgp7kffxALLAOM2gf8WJxbDQUlX0UgnouaBPd3RJ2vdFY9WZpXYxSo lLQy0Gg45zGHNdKNlCHJtZCC+OotEFu2owsM+MT4vY7duv3uiOU7lfcHoJ847YKSY55mLpWgdpdj SZbd258B0tcAc+fWJDJTC7VDTPfnQMFzgmJHXG5UM9I4Go+Zya7Pea60EilaciP1IUOAxhcr24M6 tBuJppJs6wx1WIR4F2TNzBUAye7cMxlAnCO6UFRNaTAYqrIAL802qZaKMT3QkW+X0kNpmiInvxZh HTe9fgMz44lI5jOnh7h2uRESkrpk2gOARBIoSEL5W3LIygNd3fJ66A269ePL3rLLXh1/Z/s624o0 pJjlx4i8SmkM8aHdZgRyiOA/3JvdolUwGdBOcUj4lhKjek1335sUqIezexnnw2v32UF1lTCSP3rG Cz/cWtoh2VFhm2OZc4Pd6PHFwoibHLEpnXgM3yf2B1yBD9TMKF03YVFPVUgLqVSOjLeyow8FqFSL jRyz8NW0dNiZ9mh4QTqIdg7b8yd2zgwU/gt/EsAFJEcspkxh+mh0mQh8BNfCgAW3vclXVpItJPuo TQiYvJ4PvGWKG/nx2aKu5SdrZ+DBzrUg7Dy/BHY48Qx4gGqyLhCgj/CJwqp94IwRO2QunoDV+Zh/ UV5Hk6N2yfZAV2/MT953qJW/Kkig3KM+RklDRFfQ56R2QsOoM97RMqhY5Q5/YWn3G0TBNlre+qxN UktEiAKuhOcLY03vL0Tq5Af5vdN0zSwuKA6CjQDZWR6t8WJbsERiymsfRoLYaQ43y4yd7EwX+LH/ HkygQtw0nV4ahVzn3G02EFhVdMEYyOU1EUAXEIJvSvoYI97YoY832hA7q6owyGXlX76WY6fLBuo/ +mTIQlUzidLj10i4U5A1uq1cp3lJJCR0TR6FuQXhAQmkVSb1F6Haj38yUJSUjL40DlfCgVTTUc47 KoUSyPBhsEpjBp8eKwwQbdOiIDXb3nZzNyumzCB2rjel8PriEFZ2ZlKZlrtbREDKzeKcHuka0Ei5 kMbfIVdqlJjfW32b32ZAsqdfTx+pZtD8Fs2BWzExk/mPsBxuEKDQMMhKtGdtm1Qctq5aHOfK8tPt 9I81JmXK8ohgOR70U4z0/8GXZ5OsKUuJNOsyRtsm1R5kXzH0NJXtnQlVXUJCiW/RisCwiTvUS1xh LCtm6CumITY8caz1cBHTsMCwJuibnQ5pEQiq7pfg83U1HJ+Ifp2X5ZfnWqgZJ+oYvaPTzBHilV3E NCgfLF5ly76XPrb+GnVvRBMrGYfWhttiKg0fM1piBSIwfLRCWQJ6vXY2WrbfDDMfJa96DYiuAZz6 LhTEpyyMb7tW9a3IRlhUPBh9nGTRVjpWzjWkeTqSaHnEMfQbTig8ItsWJFaUBmO6h5PrpjIiGTMk ufFiBr9SAgNhWyL+V52+N04catF1S2dBihYGY1yT1JWravjSg6liu2Nak2rNGybFNO/ECtMQUBnw SqcZU77D26lXhSTqI7yaVzUnML90swKyeItzWQUUGSHZmWqSyWfhFLqIYHjPMasutdKwzuAh8Awf Q1VhHUSWcww7/RdsgSluPDCJ75zJva8n1tQRZofcJnvvAn43rKMlIqa9Ix705wnaip5zSQDXU+OV IDVm5SoQDuJCpL1Oz+CD7+eKhIwVKtin8L23QUaMjE3faq3lXXrHDQXkE/Q5jSoVygIQmltIpVp3 q6lvgSYF5TF85Jg0KUeQulIluP1ZSNcevhXGDZG6PsYWcuViLUdlRx4u0CexHQzJ5JvaVdF5bTl3 Zy0CjWDKh/lhviIkkdfNxkOrgL/dE5sZd8wvEok8DunmX05ZSNMtKm1XBCVyJf1UDtX/h651muLX q5GRgBN5spNzyOdIP/eEeB7xyrLgYSmIvXxfhQFIf2y8isl6cSLvsKIhRy75Oy9kFsimFw/eGeb9 Mdx/PzfLg4/no8tBw+SWGWfl6QeZAHqEz/uU1exsggG8PowDwd+9yofyF0OVorvF/cNvjqhwx6R1 R3eXojDsqLwHoLg04RY+0yn/B/vAZkBPOD+iUEiYoLJsRXaBz6xdkMc32Kucjr4hHkVQX5VnTI5g 2i48HMeSoRRwWq3sGcrhLcTAxsr6OBfJ8FbT7n31Fb04sqkYQ0BPc+AsVQceXgCuqsVg0AoScHdU FqPjQWl4Cz1uOBzOJrncwuVJyUlt0wOD0dkIkjm0a5DyC2z7XzwMpHWj38lthlvUtiW2wHMCKOJU ve0Mif3an7iZ6k7HloP7geUfqoL0qU3vUoyYNrE2CE+f22qICgDAB+Wi9T+8wrtzCYsrr29TTvNm QsqD/AoyM89V6GZJrVZl+sg2YZBxuzhCXdW6OFjW66Dfcw/N+mm32ZyE1KKX6ZpLl7hfXStet/6I z5Foqsh1rd8kYdThxiXSgueCs8JOpmoXAXG9MosUQJEciqeEpwGQepOADJtOYAKkqTVt+2KyheRE yF3JrH976BQGfc4Shx44Y6TA0rkArrwyEmkQrch7/5bHjp/jX3jeevqJ5nmFJnaHp+Wz8yjlOyMv TRFlwetZ8SRHMNLvQV+zbsYhq7dVNeHQoIV+e11M1Se6ogY5MchQcWaR3UKE4Z+SCOfKKJnX+nfq B7UtkZgVxPbRgwZRZij984AR9kqhn93Xc2LWbfovZivGIJhjDl/F11WRScg1IU85830GHWkTonW6 JyqH90WPt56RYiRnIyhmJC4zlsJLAPZwHyrSS10HiMTEn7a9mMp5SLDMDshKL4aq/pCo4baW0JEg pzcFalf861+75J2wfGApFykTAFkGV6biOG0b9GPkrPuFXTZEFpXRME21w6L8N2xWcl5tMwsW65Cx IwiOIjZPnAPaJtypWgsR39PPLY9RlFkiG0uHa/KHukZiotCfZO86jC/HS2vCfz7JB+xODUUZzhEC Rrpiul4g3fc7HdQtk0CmFTxKvsQuN2Bpr43FUNXl4QFpXN34sD4zDDd/u3j5Hs2r0eJVL/UXpwwu 6lyNIbIBVuihqr//yhLYcsqRT9/FG9gy/vrfQXm455lJckKWYngQDX12/r50c9sZ5h1or/r6lV3p 5vFLRF/JKdHdtuOc7Upj41890mpHiH40dnuOcBIvzV9YWk51yX2hWbqU/y9rV13G9g4Q3tNHFQs1 QSxf0NuxKVZ8xLQMsMX6uuoIrLZQhym+OrZqn+9jFaVvKxhPANxUFOlM7grA830klCzE9ucXl/y0 ug27+9JidE3VwttG9tC/sxZdAPdzQb0cXUKLuTeuuvrlcEbV8/Wn1fmlYPsfL2nptaGfgJlrkbMV 4KIqPWbBE/leUVAS1IsQMFyp1fEaQ4JN7/T6w/WvrsMPw/J9BLju/r2xEj9FAuc2nqNbKir49CVM kOAPGkzy62hJOtJBYKbOJyOpblP6P74YI9SI9l+eVGPaCTrTNrU3EFBwI5L0AesjvaGlYlYnDl2z xUxyMQmt0NRCkduaCCpiyrIkaPFyEvBGzVgAHQ5HFifW+umj2UeouwGcBka4dcs0uaOLw17Abjti NkMwZ7ppuoipjuncGgHeGsjNGGsjE5EAwuQzugyB9f8QI7mP0waURrrmkY1XerswiqQQxEHc385T UGebdfa+IVO/Enff+1iSNogVFAV9hMmFCwRTdw22UX2FhLfxMJEzfDhheKnVvInOh/hq2aMWBLwR SQKEs2EahQJCKsqKbI4ZidyVEhNtI7AUd9LkbhT5cD48+IMeQjB8sCl+cm2ODqkclHZZPYil1S7i lKkfgRo8PEvBlPI0Eio2+HWcEhM2BUzmphj4dBi3a8Y1e665RXE5bQV+xhW+YXv7uowJVnsFmJvK NJoH091YG+ACoX6d1THgnotjsW/RV4X52r5+J+jGLkxU6O1IuJHKDT9lnJZ3zMMQPKHooVO5Ksq4 yg753swJ4q88KExOpmNArQ9CdCLHBOBJNItAVWsf22SlXnnv9e9nSfWB8DFIfzoqHj/Dxaj/hEkJ g+bNmUr0zwA2LZc19Lwvmjub4Y0VZ+I2wBpcUoezAIzo0qX9eZwUyjapiy+krXJrWIqbJU9WGlcr HOWnxxv2mW8ydT+V4QjOkGgfrbMq3U74eWcy0AxVE18I5iNd8EXclAZkt8r2DTey0uHPmoDnpnCn niAzABt+vex5u0m3s2RFgjzg75O/BfoI1MYLqsJQ+kFme+Z053gInEztwuE8sE0OLP7g0P1yuZlz 8sC7m3tCHHGbWQ6y1BeEh09vbbGFoHXF/yttynFgZGNqnLuYrmfeb9NRWnn6K6sMqWWsXZNS0dah kKZGjeqw+glCF6l9E/CRXZHVo6oFqavBM1fQq64e5MYDickkD9z9/Dofig8e9OWBs8YyTEza0Yd5 XupLh2LkcwxQS0R3WboeAseVtZTXQlB+7BY6MCfp38uB4pMA9Tta/6qGZ0XA0x8fdRGyIHHBAaEb VTGVuAQRatL2uaxbiBn6+uVuZKSs4yQbmJSlaJtXXqmasFBu/gbRglvrcYAoAuc031rMaw6XxBeq zY4Gz57hGGbq0rPFstGwGg3l1pF6gu5i+OX0zLAPKXyXEZpabCbK04wXUBBLTxd03D0VkY7Bqs9c 7O8CezJQCsa1YJJdBm3FKU1YlzLMasOndaTNP2sdfXyKjviZUFIcHsWTIjdnNYTHA05AADWkno4E MI/pQMPoL/TaF1brgy0rAVi9iY38QZP1rdPsonogUScDJ2RyOJ5gGkBz0+UmLWe1lXBwY5kVdlIG qOStZ2Mx+oIk/vrpjUl3w9tWgWAgMsTkLBMIImTQn/ohUIxa0cQQwZ2KhGVA0LbbmjTTFBK7oBcx qsscSRCqpc41o/bzEXFwWfyovJS0B8tDd/K6aIGNc4lLVauvqGCxGWbVCUFbTky5dNHAIz6qxrdX DXFyY8xROmoPfE51LvMQX4LlTD+Y6KvVF5n7MKfHMQHLZbHS86uMeLeZqSjYafW7wIO+K8rQWswi 5WmLW9+98S8shMmR/6onF6E5yPJHpAIgxP8ZLfHZ9Brkm0EeKMhzyMxLqaJwcouG9WajwWUzAoCg vfitMZMmJL02LPvkp4Vk2FkfLr7wbQ5fElRftt+O0EDA8brEfExSesf2HaRypeiU275Rod1n+gGE MOUC09SAeIzqItiUc1DnAUzUdx3KhKQm8sWHMcTzsf6EZkns9lMyCtWR+VPQfYm+eTnDOHShfVL9 +ZQZLcK99vkO9nf4PWysLnizYdt+qc1z8MU7GEV0nNVZ2ukiAV6AUbHB7dpLW1/UPH+2jf0M/1VE 1k6YO949/XeTV9vHyIohhZNA4k3bcGBEipSlGLkGAJuZD34JpCw6NwD8YujSbc+Bgr3/8xFBzMf6 dVBHNqEICI4rCSYtuh0DENNg0fhfmUaXnbDFQ7dbBdaIrvnrpXJnHgoIH9S9Uxpb/Um67tKKh2tc ow8gxukM2JUU4r/jonXak/6OWawo/uw/qaaK1VWVkvxUx4uhMXxp+cj1ldK/oSlXeQoxtWIGmDZU hlmk45xZk/Pe8rH/WOzf+3lBhG1Li3WZ7a4qbqM0kYTiQgjsqn4IgSsE+HwA7ZQiaz5pWvWdIxxW 1TdngfnPY6SVBC8b3KSFkNQb1yil1vHFV8thE7CPtqGsi3tgL/Pm9nG/pdATnCYnAiiq0qyIewC0 OLtbM2uXtrN6dKDlHbWh0vbkuphE66Ki/THCFIkdBGMAAbMevofM6TujP+2HyQ/WOmPplhQvT4Uf VAHVHoYXqx4OftgyAWKelQUxiNjQanQ4rbSYOZVLOSnuZA1Bw6VI5FmVMstieQFxzjasE6sCJ5od oyopymeaXGtArb/PpM8Ex/SWPwnPGvLOkboBpGnMlT4L0yPdQrV5pPE37xI2VtpndsDPHUnpqr4y 9IbYuJqHc899dQDJpJsSRWEky2XYi0ZqUbw92aZYjN+QDDrVc4MyJgXZ7+AOKcvKVeEycJvIHd36 UiWfZyTB+GMRTIvvLFlWqI7uS1Mt9jfZKhMx3EeJgh4S2skq4NuYESGgfdWVvv+dtKCnw516KqvS CWGMh0OEVxdg6VV2hYj0zVReKnC45PS7w9I4XA+Mk1dLEod1/aAORo4ni1suFsscnsuRPS7A4KOL Mj03vukSrakvhaQnjrfnHZB37tZHbqxdiXncpgUGsLfZdWYG+OKx5MhaO/vEJugX6wDDdkSQVyJD wkki/hAkf8EZZy31C1GVKSIwwcHlb8RaA75pvVov3NM1aCndKHJXCvA+vaGG+sxAbBZsPIdItb6y QO/iEBZOBTmKPMXalbW6ol9EvnLvL6YfsMccLui5ONqtdheTmGOe8nkvE5kR4u4uMdokot0Cqocd zNtei9O6Wv/gGuSw3niWNsNEIGYJVoQMcmbpVEmEaAsRYFwYy7Fkipf1g0hXGTPRjkPXya1cFZZc U8VH3Mhufk3uWUxJWbxJA9Q9v4aP5EiYc9LhFKO4TjbpOVarbwyipkbaXSpKiYGH/kCJjtM6vaku QSwlxKfD7UjmImxgO6ADeFbxrrXWXOZjIcxEgctg5zBtidLmUSuDWTYmYRwFi9jKg/PMg83oQWW4 XNsIQqTk8RjCEJVEmYuMp+xkErwCtDkscqhpkGHoymeHy95hETT4RmPJG9PFe9x8Pdk48xMo/Yg5 6D0GbMCNifg7ZTWUD8pgMyKAgxHsjERslFPs16c9UYwPIvd6uspThF4YTX6VVQ8+hViorJoFeDfB 4DpxHvAjYyZ1FniwCXdGu6qQQor7xJVMEt0B9UM/+fALaHddvFdDyFg1evkQa0klb31zOmZs0JQV ZkE+TQ4Sz04xwB0W1LSn7l+1/wtKskFJowVo3pF/nfuWB9+PpgoCZHz8h3M+C1lzpkcxpaz+6S4x Vi+o0hMqN+jSm6xSUrglaiIIRfEE5eX1Wyji89nOBIhN9Mk7YH4uBi50821xO4c2KHTeDPlCgT4H cBbJwZK+NbgNj4eXqsk8G4A5NrCacdN4JXe6K5TgvFTXT6Gdw/GpSM/99rsf6UoBnM7ATKbFXbTx L9YWzdAafYbgaQvGAK848RREmq9mKlxlPMpoQtrqfQz+r4BsDLoM3ne92IR6c+2XbALHFX/NCn6W WhlDZi26BDHUKuVARcDq9bUhBHl9x0Wemp9UKDA9JKfP5CRa4F0giSv63j4tIwqutEAM+0LC3Rl+ kXFYi4jJcXvN9j0Dy71jAHuZKzJ/r/d1lOBIIQBBz1c2MHvNb5yiMWYkGg/ezjBHYpEzj3RtMIAy 5wXCyqCijcvoOZ4ynT31JGg77XV+9/jA/yfzX2rYsA/dMMlpZlaDudAzphdLOMw5jAc0GrAkbzQT 0wbudjAW3LUJKkklzZAZZDg24rGeXZWPa9R2JRo/X/8vYkWSqVWdVbjOFnvIac/KNFZ2GdS4JHvV 2q5klK4/p4b00+ouzeBrhhyTc2sKxIWsxStqLT7KH0pXA5t5tYFgu1FDXNsy+fq0RqP6nQGBE9UW liZT4Bb4XgktRm2o3I41sw5kzda8VjYIAi49Kdunnb6TL5VovQVKceccWK/uQQjUjKEn9uDL8GU4 WwgquetJfbH6kZX35WAtPWviWYuR1UAmG9y2AI6LwLkivuDS+Ik8U4Zn3oAvjsXtuf+1QdCuainO IZjLaRbIAVjtjeNbnjQ4YUUQ34kB3Gw/HhsJCLtyDlWFf7BXPqxRxQnBBa25311ToE4go6tvrk0c TIDso0MYsxFX+GJsNU2v+osM8jhcfgU2g9kfC0/kTjjXtihOWYRa4UvgJvUyy0JHdW/mXylqgYvk tzTiZmfJc/h0P7bkb2eB1rOLO6TjKrK8csyEIFqBQ/1oUpnEZ9vdrkfB5D2rE37nlZF+qQCh+YNj oAV+uc4mFy9dC5PbixJm/7sPyLEz5RS+3ZBmOQXCiOxavbLIxhmzgrWAUNmk3k0h3eikziPNVIvV bwkn1JKwVv+Y9MCLLYyvGmNT6I690UhUmIWITzG/aPsukOyfLcaINv2WCrDWaMe80kVTU0luEnPe OCWJSCBj/TbN2guYsBII1NgwUTrQoKaL03NP+xC3xx4c1gDJPcgKZWM3peRfb0fWCtZkDGmpkPpm TuxWD5tMSr9PRkVxCQXnrqtn34b3QJua341XWDr+u7nuOl/8C8AGMMBRovzmlVgpZmh+E6ivk6r0 t3loTwCleW+7Xuepdbu6pxuHqxx/cX0wmBsAIYM59ZUEgk1EsnMTlxdPSGSNEXAROxxgvpAWmQ97 OKVto/qfck9lndqyTgwhUMRUyrZrPU0pS392NUIjl43VXpTutetS8+9J0wEnOxTWaXsAXjItpIbt w4RlvIUumBtw8bGKxwy5wo+iISvpgExRUHwhaYvJO3UpnLCN/7KrqFQ1QliofJ4aezv6oEgqhcAu c5B23ALJ0KXDlckUhB/4vapElNPtqbO3P0CZbQpPXktywwh9XjQMlivjd1mZWzKvc4NC52ukSimE N5qdM2nemg4oFKtC6Qa1vvFQOUMr6apfiCSsTRIkxMSINGqP9LATKZO6YKHVsWxjT9ISdY1+uWEJ EuBjh2w6isZrUM0uuS5bkQvvAKMsLRRqJrD9y7W87w2AaqD+VUkBnIMmNbSdwx4zhzOgTmTnC/ZA FFpntIYcJRMN69PRbiUc7EMhT9OXiXER/I1Z7lS8Foevz3ZsbthTRlQtqML48kkxx8/UZFPul25v j0Li34I2u0irZ5WW6hEgJzJkQMkQaYsHq7uSbBVJ3V23PU/GV9oPGKWO4TMg5Gx3XOJalwj4mj1F 8J5p4gnDvBCEMb7YCrZUSOSTK2CAqnls+PYg3M3DcNDaliKxUcVKbf5DUFkxBE9VH/0Q4ecUdeu8 9N+9icQ0xGcXalX3J7fJ3WDc1wG8lihUY0445hUuXi0DpJUOvfuPQOBZTzu0m2FOaj3napyCixaI 64WTwYCs8TxiXSidSb6NMjTkhLut77PW7BD+0ZOI6x8oqzOLTIgDZReeh6NLrCimjLNI3ohYUSgn SuhGnp0JBj59BSf3ny6dPxw3O5xtAFOO77M4TBqZrJOS7jwNpTkjGvV4LM2gRW4ys1diSQi4+One PdIxbOag2UKknC4a9F6e9KEkQlCZB2o9UfDL3RsURgx3lWeAAgTXYS1vEuzNrVTRbfUGdt457dO1 tao/CSP4Te9sZ+rbD41OsEQ6X293bD1qg6BWkA612DgPnJtyofophC/etg6mCRk/mvwBXO5BDwd3 fncBehOHLuOnf8klZd++RAhx+e7WZiXb2HRG7xzdDY810K6uBTgCJ55bYN1K1AAue8ugnOZ6t+b4 fQcJFtBaIBc/YS+z0s5xdoLQINqgZOg8SyWVNIOHuMkyU09FI1TmksZUqAwSSm0bl2Se2nqDl4QZ LIvxURSCLMYQrRbFfg2gdiXQaVHiDcy6c37z9LL3X0rT1He8j2qSN8dyRZTvmBe0M9Xa88zqu0Jj OJ+x0toBVLas5jVwmv9GPFngXZGeskKxYBWia2+xdQSj15hUI5GhKtG5YPqttZ8bxaVjIZhrLkRj VweCn9vKIVGt+UTu0Pa9LKHYXI9ayrTjbetaCo1oSHyK/k8TXrkaG/AQvp3T7+jbN00oZgymM6Ke 346z11wcun/ouLwycI92UN5QsD1L8kEIkNgP6Tt6Tvcgdr+pcLpqZ5sTrfe52qm8M/zU1wtsd0bl +zSFk5IxlFx/ccES5enLjWvjv3mJhsfRAbbSbCy8o7k5ZPW86i33teNUu4yiei1gViRMuR1rac79 EoF/fig9Jl5wTTeF13mKdgqyzf4lx0J6zO51hDvotZzP/bsz9GmaK/zQ4+Xruq7yBOxOJmppOppP Eq+OiSPGeQcpZH5zXPOrEguViGxBzoqce14SfhpgzHbihlwBXjXWnbXJA2SozA9jikEmFgDuGsGI 6pUYW+hhSwySg/nPUPAcKOh2TrbhaqpfZYKZ+URp9qnUbIkBREqGQtxijYIBgnBZx5p9m9ijThJN Wk7H2E43f6eJgHgPzIPyUmPjoM1WQfZjLxzRmCXiSv0P94gRGnR7tiSgfdp/v60zEurtkBDl986b 8e3rxPb8zMeR893p7LPmlVmP1wPGmh6mszzBrGl3Z2ZMf62qFCe/Cn7vkp79svFs2+Q0DK3TXACu GWB1Itgv22QMW20Cl2MZdxSKFMNIbeD0/OY5wFXfyqGSSmYOX1vC7N7dogQCzn6pej+DfSBAPUHZ VJ7ZIEx6j9gqZU96hkpQNAlBsh6Tot+bUdEo7TUsdIUql+7bFXunPxbynWJv5xkexm1OhDGNlrTT l70TuOusgVgnYinBNwLKS59KJxMJf+qXTpB1/CGG5EoiQGp+uSHzUi6bA5TZuC2XqjtxuGhGPSTA XTWxxoB4qmVFJNQx87mw+Sev2GOuLw22SctmU47ksptCWCztyQJ9T7IU88yQVu7QYzZfBgFbOwOM 1mpbfbaswPZN4MK7x73Wq5Acdq1Y+FOUFUGbxz3pteuBTLuE/AwVAvRL+YgLCg+sPRj0HuFOUcF/ ftEqxKvnb9fNrOVzxYgDSZF2iIfTQzxBDuMspL0G7vsDynJIOYOY3/wqq3nVZZs+9uZvENNnPavM AP6DX5ZSkcX+AElwULQwlSuGWxz7cgVIe96VkzwaN88NnKtIMtg+24Wpzrd8uPRH96/zAAoXHCln nsQEWdvbaZwTzjUmnh68auWZKMdmXN1Em2wnw+Kt1WerIuUFyHFHH2F90BPzDUjXVYoBVdckv8EK KlVm/CBPj0g9ZzDipltFsIk7z9r3qsBRDpmUxMpccJ8MtqSUIQ1HArOSAheH3DT/EAQsDg9NqvPZ L5PFy9v7zVKwTXiSNz9QzaTaXQdXUy8cvm04mZjLw0HYN+6S4UpTIOaEBEfUhUFRyPejGJcwxBfx uiVost13LFHF2J1su+n+VtdV59SZR8dzytXoItS3SsrZAyzYXOVjUzAer2LvXgsdUDFekMA0xNz2 0LXYIZOkQ5utjWK+6Dj3Q6593PPgnyvU49E7bCCt0VsH8VaA22U6VeY/mUc2Q6BZv3wocb0jlJGa ofkK7+hOv7wwzMf2SoSfFTOmCPsGspjNAOPQ49Ngo6V9GxjPNZO4RdKpodMREZCNC01KVkzidHSI kZtFSAgUtsb+TaTrDHQizDVRMXa/Mg4/x2b2e25ebQewDenEVY3sTpLjOdCQHfKlx/1GQjKoGaDD bRFwbAj7wKV4tIodEFzkBv0Pam5Eo3Op2/jvSo8Letl9XQFhkhMDmb58kJmHVQ08RQc8rkdpZR1G W/VxkUnRjqJKUrx6WFBDylscsTFPsEd66xBVL+0wuD0+oGTLK2Bch3lZc+YbZZ4TZde2/5W9Ddt/ t3aXWSPGKL24ApWvKYUXxiQndn4x0I4ZDQwJmgsN35j9HBbYAY5+yg9Y+6bCZZXovXmiMeAG6G+O QgyYq68SxydF0jb5VwwmpNA+yL+YMsjI5+0eLAHiaeegIR69bs+TM4fR/y5Hh554qa/E7wsQtjY1 YMoGjf/jokBvNhnYY2uqodAceCcuhc8RRb9CPA5Y4M4z4U3ZUUvH2rFPGmfHlAGz4YUtx7Bvvt5r wrhYHPeXwViVO2XeyfIhV27wuvywhO8ReqJYflTYoneQp72gM01eIK4zIovMQ8G1TwR1R8kOKG7X rxOorv6TR/9ESIAGAzlDpe3pH8Xm3XOmQKJ2pJIBmQWPpF7LKRQ9sM0x6hdSPN8D3Lz+/X991HGl T+zxNDH1EqlkZMZS0ofDrF3VZ/NDFwIFw0r5ZN1YK3ztstxyCBszQ9mUSW2qUWGpp8Zh7YIzB3C7 va7s8IVxFc9WZ001rN/Q368dTPBjlHynEbwbXS5MmForMrpxAMdR+QJiRjrZIrXEJxDJt1HE9yRZ qGqUd0/xBXSXVyG7+IoNcyVQ8paOjDmEFJSwDQayr08aqL/tfMiZBM9i14SUBfLfsRDDBObBeRoj FpSoqoj+hvwF0LzoD1pB/4rHYJsV6E/FmZpr5cRBEumsuzGc3GrU+wdOLol6ZbWyrzLp8+QDXHB/ FXNs2jkmv90yVNHUXSa0hubKO0Pb2fdYjgNonQuxpqEviNkDA3g47dZsdd3jX4QFsNcq+faVIa3u xfcZtaUI9jwSsroNxK8x+ddCaH8hNrDJAdrqG6zu3mhws+S6VeEIbp6ukgKcXLbkYDhcY2CJ4VGm 17iartP16rEV7rPuR5kZKwSlJJz5pDzt+eSZCg7fnHlBvJ3geltApyOH2KCclxu2O1aSOo5d/Ef9 Yj/THnvcBrsRNTyIC0HzyMbwJw9WwEl0Qw8Kn9tXprlvORPfhiD7WarkvCwRbXfiAnaFlL2Mzm9A Dj6UgoZDQe/YK0qfnjM5Y1uy29Pws/jJ8QAD7k5bec8cY59ndpNhBGf4DRx75K4P3VEz22lmWe6o 2Z4RrAzEh14q1xjf6UqNKquJbqSxnTxElmDF0/5+2SW9H14tBBba7Rw3d8cHfZC6aK+GJnzJnmeZ PM6CTVmzE7qr2tKtewjetArL4f/DQWXGtKMZFEEIxg3xhGBR8MNlfiZTH7O8pZ3I6TguBkuyn/C7 RqHNfD00wrjz9tNSN3NIfYcSHUQbsD74a/tgXv4Zb7UcJgsekLSzEHoOTSJ+PT4FL7GvBTe4rS+J AeRWfvt2ct8DBCZUXxk9vRczCPF7LYBunzZP2Pr9eXYX482cdMiKAogw/2UEEltFgwNeZMasVXEp Q+UwrgAANZAyrql8d4mKrEiZZB3eAnQKXdMBrUZbX1vwlR5UwLMurLwPO42fq2m/cp3ulNDUSAEd MmDjQ1V9RXzQub/8SEDsBya9elun8g4rXySJPKN66XmobXnCKQJiQzOzCQ0JnA5J9UMV1aiQAqDs dkY3R9VuviBqcx+3qV5kbLeinH2UJLn5ASzT+9S7gqjU3z15WbrVz6NFzKSDMgWtN7pbaViiCEqD eiOETL4M3VgGgdzB3ENioRjgt/5KpuGP5UtGPkCUPR6HQZnIuU/FtFLkg98Xid+B0eZEq6T7xc57 Q8tSDqvnDnQ4O70QXotA+C6KN1G4Ls+8YqGOeAa8S66wfFTu98AZxLr7AwubC/+hWvWz9SDbV3NR TYufXXqUaSlUxPsxBqKVHUVoQsbpfv0c75GelfYmk29fB3j4oJ/ksRrE7EBZgk7Dp30bWwSsZxiO XPW3fZhs6wGceZDejmPFqUdiroG5jJYpDtimsMmEoqfRhMfdKWtKobdADZ6AVZ8QKj9pOUSF2us+ uUaZtyNdcBYjhosjBJJFfh0KfVHyA8dI63kuT6MZjwHwbzVW4llIoEbqlNAAOWdAvz1YT2Uz3Lc4 wY66ryXM1HA9yR0HKa2Bj2ujtpgOFouaUtrgUeCktOHHUj66qpAW4w5EyfpPw2TGWGG9Uyz1Ot8L EJz56JUpqzWFT3vqq3YK4J8Qdo4cDOFpcvR3sHiTrJUnDm0qlIsMYLqeUQujcwpfHnW9kBFnPNhu O21yfNqTDE23jMjOIDcIT2rO9ZZ7c5HbH6EA70cCa9ggKPq+u+Jb1B1FVn0JaGnsl83FxfMI41ck xVqp2kq976/zTyxLh4Xj2+ZLhDJ1hgSYzF0+adyspB1ASqoEVn/93WLOPZptSDPag6wxx/l5g8l7 1up+u6Dy+pOYlPXVfmw2rPFwhAWoSt4C/vVk9+/8/frJPlwEZPjjj8TmN9+Ul5wa5LfuJjtFj0bn B6HzRP2Ywj+McNOeoODsGav17LXZO8KVwcZbDP7Wbg1IVX4trd4j151pjT6fJbbl1lfHRiCqrEs5 KmvgOWbZKTOpZCAQ24d0TvHgu57gL3PPyhDh4JTF4UQwWFgUY7BAfvfvV02mBReQfc9/3Esnh0Fm RM//tL3+K9MfymydXLV5nIxAcNzQQv8u8Sdx8sleAExmtIdFOKpfHazGZ8QcXdNb6fOiL36FHXKM fThrEvKrpWI3PJvxpvnv9Ikll3PLm7EfIU9lBwFFztnqB7Ctx7sFiyexKuM5Z46CmbrGiz3ehu/T Tt6K9oue5NHtxvPbM48US11eSdlWg/pOUiStYuCBU/RKkh+AcsglmcZSIVGbNU/almFjj7AAGvSs 5lrqW1hRX4tVEPfF66HLz45o9Kuhp63D4U92hewS8VUFolCKCx5zq1JkYEvMelLoecQ/MY8RuH8y +x7j3WmeEoz/tTx0rWd+pm3PRdJ+Dg1hkEdfiOeXk8pJ2QHT0Kh04QI5hyEcBSOvwOgBeA07w5Oj DYSz88l+1jTyuDPmCwMTp8shjvasD1u3Czst0N3GTFVhG3xBI68mve0+BVAl26bykkDDnAbF5Ljr 4aBkLajFid4GOTZ0gUxWGmBcT9mZqIHEP7+CKkM2IYvk5+ZW8f084b7ow9LfiEKhatMavxCXdPvV bXHHPp25xsvA427SuD/q5std81m/hgNehdBOnGP7BafwNdgJlyWx/ls+5k13fmYfpypRVFOkvNwM 8xoO/nP6nMLcjZAqXRg5oqweDYiXVbsRUJpOgTjMRmJJs9BW1GlPH/iAVUxZp8j4p8SMsNd6ABUF gbTVZiOnBC38lnF0sZf9aYU7f2rYypUoQad57sQdH2WJNXAfIN/8rM6GBgDxNz/OiYbTQQUp9b9M 3j81WOVxApHjUbiIzkcxsyLz1eAIsBqql7Jpwy4rBupanuet+1uyNXmYV5rTZz7pN4ikWxpy2gdX jF8UWFGFSbl6YbzCRo5P+FejOGd3EVL27rO+FtG+urfif9k1ZoBg8TwHaMEJrwd6K0Mm3Cs25ewA 0xp2/9uSfcWP89eY1va/Zg+Is2C21iZZ8SV1DscqUvaXlqJq/yI3obF41ClOhk29AqNr0CmIEyUe ijFy9voGhVOsYta1nKxMV8dB4qqWulz0kiCNNEYACObLKtGC9Rx/PCG/yYWbrpnkpDTBZROx2GMN ker3Tg7f+AIgFPxydrftRgeZeUnHnl4gVaziZE0A0y17/RjQRFVHaTkt7DIbQ8iU7N74ZOL0Nhx3 I2YVRD8Z2sIVoe2sqFj104TKSIPqqtOHs24bUkpVSe7cwCRe9PhMamh63fUMS5Z8qUUjh+W/Bh5s B6rFnrxUmuu0vmh0AECWVgpd0FqdbuwQk/UrdldgfcX+cJ4bjQYFaqj9BlQVSClCPtO1JCQjjcxg ZgyBLsRH/+Zny7+5z9hVbW5GWE4PYCMe/CJ2tafC6plLZro3l04BSWztrObiMjWifsXUdvr6+voS BmrU/nwyJuRqZmtOcEUtjvag5WkQJldeffLZtfpHcPmNtGIGjsWU+eUdq4crQudD1ue631Tblr3j p9RRnrOe2bcuLnN2UCF/w2B1wenslzp6NoeVcrzokAk/a6uqWZPeu21VdCJQlxhRX6VYb0SpvdKl llnQZauwpar09PBtoSLE4gJjfvpDLQdW2sxj7Jn5bREYDHqHggL9KfgUZS2TR82lZqxzI9Ouxp3G x6MZBgRKI79B6cBT0anvkzIO4nZlB4vSeyXpRVB54Zz17bgKIyJ3WGfyOewllul3zPgQe9mTdT9B hCUORMZpfK3eP8XR/xcwrEoT8/XtzdrJmOkY4u1rM9AIqOCMiIzmtj9BJYO/0nONiHr6inCKaD9R PsCFyd2Us5Jww1wBJtCQs7lyxEM2O+8rIVj7rtCMBN522JRJCwSWbot5Zy34cAwa+yHB00n80w5x sgzLHa/Qa2DJcK3M4EYXxTJfPNh0cSPvYpvjsU8hYw1gV2ByInjBcCn7LU46ev35PGN5NdyLtP4z /nyefxNDh27dfsrhoj6b27Np2LdS9Pmk69uKae9HWMUYSWAzDTAfmTjBjayDVtBspkwwvNxdpSwi s2JWBuxFlEgcOz6hPp+ehZVjOOtnQ9SD5qXOLEd7NUg4vR1EGOlt5XWYA16pZYGhbTo1xjzNjRlZ ITCehvIxC9oQhSlZKCZMLTT6QltrTC8EeUR+PomRch2EsxmNmd4qfQ1ZazV+a8OxzyksBE8HiWX8 tTcNMTpIAUH/S+86Y56k+v1HcfUc4X8/rIaio4YbfD+LRe2l8M/7oSUWtowbIsOyf/Ua4tAsZMMG IT7BWg6dRlWoSGURcayduJ8u+7w32//DK0vayh5CcReeciE+07x+vhPxBBscA9OuT56uMHqvbrw6 jbBBo9Wg6KsRTznkp8XuhZRuKEWd5S0dTgTVlBb/EtQHT1ZovUUqQ7LY/9j9KuRu2LprlSX1KDjD EXV9RrYf5LY+kGN5/K+MT4wZqABRZAmKxKiBZB9BF3EyfD5aY/fIIvjqmCrKTVcgheExb83UfCed /C0R+opWWZLO7rLw9gTlSw3YxJcwcdN6kZSbVTuXYTXFWTKRscftIB0JTYVvM+UdHCKRDoGBEwbQ IMftD+urXgBHSwOMS7qrIapRkXvxrfskCcaAwsJUWMXm6KuyM5G5AfQNWfN6T8+eSa9ZVgkp/Wad wYe75BLhrTUgwYutnoYGcAEOLE5YRYF0sHFOc+gv4Ar/joM1EuL8WBBZc42W+SCKUi3vfiXI3V2W r1wdsyNDc4MRF6h7RQBAFqnxO0cvPnc9HrB9w6O6TwJktGAWRe9NAdOmunRXrBJ0VCjL2DaFM4UY teX7FTQ1zqQqKzqG1jBZ1PJlDYXqzKmBcgDO4NkimlZlC2Z3xqRUpHTOnXS0dWNw2NIB5lTlhMC6 wZAZP0zBUS22vCrkVaE7ulUtz5Kp4C8wbemw7S8Sguuprb3XpTNjX5wYIpmfH1GDp6WFiWLA+M1+ 57Lc0hRq9lnSmLMCg3cTRgKfgd2QvtjA1YwcYSqD6rEUI69dmv+h3BpimBpcdHQGTzgI2VZLn0xt ZbukuEtO1mX9Xd+fcoP8vHr17JO6cFJuiETL34IXCWMmmikHCmd+L+NNY8EPOyvDkxhrPd6xw3r9 FiCOZ/VZgYlPK8tUFJyKsnHQBbrmcrDPCUMdbJsLA4fyL9rh6kH2mvAJQ0XhnQdbk/r1xKXDEXm+ iOc4/jdGjaFZO6sPWnLLGc0I18sCIz1Ff60CL8j9WGTtQLsRjHKFtWQNGXdVKS5L9zOpiszzLC6V sG62WqIYi/fcWDji540WPX+El33fyU4HF9mT/nV5xKM2z1bdC71WxuYKfTeWhmIx1swNQ8zvyQsP Qmcum0i1RGVepwxnsZjbNtOC4UnPc7r4mQr6Zlb3tkRnFmPqlzNUc0ETF5BOEj1bQBMFmUCF0dZO IYThQU2BCj5e5XbCXLF8ffnFSmcds1jB/7sNJIQsYbWWgSUhsy54mUHUlM9l5NyVsywQAYRZOhhv cW03sABL6/L0BCUtXiSJBQRmTt7utQQIdXOUlWWK2/8+7cryoFfd5mhfzF3GFT6X7CzpH1r3ZnD3 7ObJ6vEJ0wEvz9lBIlC52don0rSfuJyZe+rzPT3OkZYucg2jczAjPSWb5I0m0bTNkeqzhFfjYnxT HfrJ6+T1AimX2rqEgbdM8yvAbWpCsjtTqAe4w3cjTZFvERs/j2XYeWlhuBT6A4XiTH2HZkshmAZX LEt5B1hoTw7ZQflvhkg0UoxdViA5IJTeI7TO+ti8iBhIJIkE9g9LkImK5anrpNeDY4/PfEn4EIOP L0uR96SRuuEyRI2XFp0ID/VFNICovYDv7kKBi8Z10F/kG43Z0YeO3w/N+/DHJ03cgYJWsCdbZsma vT6Txcd+bXD2YiQ7M+Uu2dTXfs+BAijBYYt/cQVXnU0mRfE5SQ/KQ19LUAtPVf74pN2wMUvvwLlf X96oVPRv/MsV2EVVhwOxAatdtmlBJx7Q4QwHRRftCiBUr1cB/8tdtxfdIwqulI16KeFS/B8C0BUZ kwc3S7aZhTrIEXcZ4guXPbr8X6ocd0ugqwJV/2I9i3FcOzFnpXN2t4I2VvnUNZ0hxTZb7ABo5Gu0 uIiRhiWtAQib5d6/UbVpnKDkOiutFBDsKFMf9p6+rjRv2ta8wkGrc5FU4tsKDbwwgViz4PMYtQl8 qO4y/slb3WcpzcEC5STJZ9HHWcTMh042qeQBMsi3DEXWlKknxyjfBTZBp1qJQQz/iO5+IwPktqE0 IySNLTPe9A9ocTwKeSK1r8gaROJRuGMM4zOuQe0KWhqpm22d8eYbd1psl3erElyYfEgsiuMfepDf /MxIAGYu9feVBI3bLcAcmVp/1Bd4obV/kCF1QBJ7fJttnWcw+bFfn7X/tL4u00HkZCT03eWR4q2k C4J3AkJOfN2qgXJZXosBZDNw5ys5hTm1mwCg9FIzKRSN/nvxrjkXw+0eLfmrtj/Zwug/rf0/y94N qD29C58t0txmArzQxySD5fSA0WtoaAu9LiovhdU5R2OMtqLV1mrJtVTGfu3LhU/b9urtvULmpf5f hTDjlXzxFp1e6nUzO1IOz+kEjbsawyDpWPwtYJCRzBT/bdzJ1ILsPbvlLHGAnUUdXCs/MMyCqH0H s11OoEbJZe/uPw2ilvqB9BF08v35eU2nayEpa0Pjg1XRIF4E+q0wAzpQPOE1GmJySVDyAbhFwZKM 6zZh4cMTC8SbnkcnvAeM5ql3RswTUUmXKkPrBuQqEIzZ99Gs1a4gWhMQY2QbiiV6aR0sK0LBKz3X Y9VF7Ll6YQySz9I+04TXiKK0TaFmyr1z5vLZG9jl/B9kik6fOpUgyUtNjFZzSXT8+NWl3ohAfTtj HbRf4T9mODSNXiB4JJrPQyjUoSL7umSZxXrcYdrhdQYQm2w0sHQ5QfBPfM6qVHXvX41TNzzV3Uyg Jv+cFp+dH6ajo3JvEutkxd369jviO2ZDb1jeGTKEV7ClNUG35Lniz9+M6w+5tdkXlup1nZCVQfuN YhNeKDz+5CVJWyp8yzQRFkOw77xwbQscrJyrX8Bc4GlqJkNbfvirrxDCLQ2E06yJzwtoNprFNTat KQ/+UcK6Fex1wytOgaSp81lS3RBL7f964BNhEz1OotaIfX8BWez+obkT3HtxjAWQ4wGLE8Sf/4mX DPmEdY/rAiPyTWtaiFGDHMxOCbf+PR8JVvQcnV2HtRROGHjAPcAtjDnk+jTHfMZq0Ni8U9C0AYMg vS9e2lhZdcoV4g2mH8pgZFV6OEKxVj/J5wLPJiglxVbIJm0CXiRCTw8gsuirvedl/8sSTfXnFHTy D5ELGFrg7rmzVSRlfymnWTzSBw6GDhwwBRtsN31diuP7f4Wz0UoOwkVWzZUY7oiwREPXM9nNSc2c DLxbMknKVDqRouAKmXCVDwpBGWdwFxAs+ePCJxcBY5rZjOCiJlEZF5Dg0Lirw8r/QIlb4LgBtYZl 0VFGN4ED4zeRf0FD0tQ3+tnYuwe5lgPEXOXyB6SUcNvgpXrbH07UrzRUiEq44NPfJFO95637D/gG nfQ3bRSEXdD9QJRer/Z//NYsOfKuxmbicwoJwcW5gp6x5PN589AfVIM/hW/YMu+dFigglqINAjt8 KoIaof90D+NDx/WjMahz0Cm8O/Zi6LZ9G8WTAwlFgvA6IMZ/GonJOp7DLnzVxt88pJpX5/7lGoPH JwR+VL6bDpOv9JliyjNu9cKgRV8dLV9XwG/8SqBEONnUjn0C4HV/DzUxKzc/0FCD/W+Vofdf9IXn sVU5qAfZm2v+3lbsv66LvkCBVJQWAJhvyFOUTtNcAQDVsQtMFkPiC5glaE6mknFy4NUM3+LxAGoC b1+SE4zkfPIRer/HJjwltaT324rzEB+NEmaPukk+IqRR4Xmv3xSRYq+goKvQz4x4dGTdN5L5XNYh 1GUmwmc4Be7clTwd78Js7Ab08HFz6/aEX4E/zyDGQhCxaepze+90VBRNXXNkZS5MC8GklXnKQ/v/ dontDdKMtE4F+fZ8Qse9z+QK2Rc3HU9uws/ocn9pYxsn8WgJWb/o9hm71vdsr2iqGmnOV+8OgiaD 8IEauq0ERAOBasWj6SCGxrCdwVoR7AJ6D/Mys6gr5rcqPfgBhDjG4fwCgBgEheyg6xy966zuuijh AOvAK+p3orW+oYdRHHHd+oWmWOGEwZJNPpU4rqczGMzix87hYRaIK8Mqej9BXLh4J9yHpLhTk5W2 hOsMcG6tGhlLcMFKYaun0c5PbtTLUn9GYrRiqfNzrCeS/Q13s0NomqwaQvw9qwlMFwX6nbwYGuE4 Z2y+VDeSwpHNFTgjykUIqp+N8BfqX8NPuTWxZ2GpAPjp+8MRqd5dbDCHe7fPOz+07USka1zIfspJ GBLNqRdMjKQ0eWsGFEsxO5CKoz8QJ59USse6Ji2GtreHfc8fQiYF9pk74KvTkwf1DPo0NjKcBezS Ge7MvGH1k6B4pHXVugdN4kDNROEQVDtDqGoyQv2cmDmBPn4OWGAlvlzVNz6nxIftqTeSyk1B8PZB sHskUhYPV/BQP+ILZ8rXJsTShJPr0BD1rAsPyOVxj69DTqqqyNmvZG8+WPG3xOXWwQ3AIrZg2KMG xOiUzL+Tx05+P+lC48h1zPuY+Z00frfixlthcZ8mPFv4Z5AS7i47Pu0rltT8774m42g4g2dHWcaJ 5lxmYFoJXrfPaFED5Q7qAgMwq63fONBFxgfWn0iNsOCIT0DliMKC4gcXcdt0laKW+wYZPmNEv7YB nOIMD2JxjBRsORdI0Ut74M9RZFJf5oIvVggksOepLFnHbw4gnq7q3JRpzh3yofL2OyjmMpeekeDP S4d7dG7zWBE+yJDlwMIzjF2nxUicR5dDSHv1A+otNirZc32qgV5NXK6KjjQrnxcYAvKACmkbPWwC dVrB+hDdqhvdWDCMBjqdVu5T2rVJLw0AMpTFiWObWvEP6LfS0Vm+88Y3QSzqc/0CucvLf16PW63m +OWEcELBmp8980Ot9+3QzEkbxvHhdsEPr5vB5JzUpzkC2OncgJSBg1DzDSo7DDgPaCoELRFgipGi uH/tsRMyxlGQhav8NFJDBMvhCdtHtAQ13syqctr7Yh5SoysAYUvhV1io477ujgQxH++c6S2coup7 Sh4FLHK1UpwTrqZOQqWKWa/T7V711E382m2r/dR3/tpVwls0ZHdcWcsHrwYjjGnkdEHNAckvRdvR aEdNh6kP4ws0XLwsHJPWUsJQGu4nHtNEiZ4tTFO+EoOAN7uL/+ncGg8LTfWIFoq3MS7oSv9l2BeL IwGXlu6yy8GPc0tx3T8UVVMqS60w+A4UHSj8oCpTcoqOKQizrxXaZtgkMN4s5IZBBIluB93Hj7+R YKSl+rl5Nf5a4ASmTWqYjYyqLSnVyQqgpwJSpf/+JIVAi/CELv7YmvSEox4B+WF8b6dPYKxm4ulm tKgs0YptCkAUqSVTDxkIvBEaJDzQH+aflycRJi8pi3uvf3A5l8/z2e3RalW7oPI9P8Tx2pzg5pe+ 4aQJD8jCn4rolSNPSERfgWbQ/BSjcYQPEZOQNCw7ygEkYCDjplxwqqTN5yiK9PN8r3nwlzWYXaJ9 wyDE3Dw85VRFqU1JVHxL3FJ6aUCZ/uRAs/4qXgv+ImNeTjMVSrte6/uA6eMfjP/YHU0MgAhL+SvA REI81VWDB++tYkcjT4s346tH2RX8M1AzyKKBnTR3sx14ZjuxnJB0QD50G424areitFjZ7bJ4vrko fa61PDiVNC4e8I5HZD962EORY+szcv5GLnA+uuVPknEKRheB5/eIjZzQYW0qY21BO9k87OFtQfhX UEVx7dCU6FVwvj/dr2pObIlw/N4gJaPsFtmTGq6Zeo8v2R2WGjMU2epetI/rX6P/Crm+R0dLBysp phPURYJlRoG94WZP9JGEvSNsCQOWFb/qPzpFjjxWp6PP4znj0SqQSGEShKGVZeTeKOugXV89lfk7 +8+YPoRh4wTOyFU2xWJbSIbvuMCKm25z4fEuw1cCAj5rscmeXbEbi2ulEZXZIAK2R3+9mEXfuhnL bR6uBFcRfd+E+rz5yWDZlYuc/impoDysHyPUOX//qCgNF3XpuT2dFZ2+qVv38WBuyhhCoZsLpXjR K7GqAeLeeWbh3Dpv5XxG2x4P8JgAqxeU6LS0p46bOjjxDD9IQLokUkUfRct1RIHZ40kSW6iOaQTm x+vCd+1rPXjgcN6oRnDnve+LlkGYGQhVFEgnTQqQJL9qNhgpr43qKwgF6ziYuGdl7vfbl4/xijh7 EeG2rZznnENjTxktDb2owqHZoTVgKi+fsgoU3aTY5MHK2ZveDpkz3EQowg7jeEIyRcmYlCCxgddr EN95fplu4kYjfLfY9KTXUNq83C+7qC9lF9V0f/GDdWISIhifUurGUHIMnbArNETbhH8tUJWGBgDh 8umT2T6jGlNkO1V8KvbL9soyduK4wmZ0UKJ2YkUe+WK2c0HCCOA4WxQgbP1P7iUn5jk5OGvMOEmc 9/pjYVXswey72VIxQd1JjsDmiTA9+Hz5AAlLlWdGlfxUm8MKO7Z3cujCspI8T+jVjbN/F74usGol Lthao/bHtACBJoudQ69WJIjvc9EuXhaZzFglEyJ2aofKGtm4kl2G3cKPqJVzoG/aanqZ1JwAshPJ NupYk6Z30HGvyqho3vz6mFc3CJ2K3AluUMUrT+4XrVvayaabCpmgiP4KAZzFQ28kR4JpROhTQ5Sp a5B2gXBKe4wjxzed5G0xfvGZP1PEuoz0HN/xp0W33kqsSzK2LvtXuAfqrwyucta3hQceWfdvb01h acEYS9WLlAY9M0OQFQy8spWiwx8cnUI/448VJSEjciTiHcdKC4qsPgX+QWdin0F6T/ffYK7NhdV1 Lga9JbOoo3jcsZWw+/WECB6VxffGeUaw7jMBO3oDj76yMBzAi6LwHF68fBcvCvPMqw6nRccMhhqB 1U5T1l//PqBQkGs3qkHqaeXSBJeBSf6rKmUw/hVZ4okUi8WXQ70MUXYirCcplbtcl9slSrA2ryar UBoYkmi164v1Ayx6xDI7Ze2dOi+smeWBENuuqmbulGdng5k00H/MUo2DrLS3H+GhiyM6d8pRlfXS 3MhlHJLkH11UJLN2a7rpWQxs/yyRytw1OGuZp3hqGEmq/BDlSLGLtrSCljSU+VV9pJCXPZ+oKNAw qUPghRQ0DdWvrkNopskj5W+lRKC6cTpSRQ2uDCJwK4s3udL9ga8GepQcvAc4WTB3E3Fq4ww0RNw1 IyyB2Kr7dDW1W63Mj9wBoKEkcFd17WF9jokbusFyXb8Vf5pMLjUFLvVWMiMITOcblnWCwGaqG3Ou OiKPjEU3YudmoUqxXfJpLXHozcm5vXBSjH6nODvgE1mVww1GFdeBMtgUWOPSce94NOYXHTkrymNH /+NaIpjzrivPC9gm33Fy0YEz3MztPmeLM9HR5oo6AAImwGvBIn+dt5PysqSn1O6L68TmgvfHQBjN 45pBDaugFWYyenZcm5Ip1cFteDUSjOrxB63m2Tz0GSFhTpGp1AjtzJTutMJySJlczxfsIjSk7rJY UMIFdNlLzoQ1IB7fLjjo27sepJZrHwQ5Z1T6oqM+7LaXfOQ85O8pBTvll2BD0+SZo4slLcprRYrl mwfVXOxpXTRz0jveXJBRP+FrhOZhSp/ufzJJpkO0pcptmPbSa8Ih6SubtSey+02xHWRCV8RZLzts T/AjzAk6ERhYb654bQu71UQcCorGRiX6wkVR6eU2KjrrBDx4bQ230gWAHt7rFeG+74UW0iNj3ESP gq4mK9J71766aB/STB9xQvnnJ9O5bmBCqPjhEvpfbXB1SqZrCmDAcgbEZVRLV9UCSaG2TcvQmg9U WOB9eT6v0D8TZpN4IgFhBFiw5ZZKBd461S4dFAwKWL5yCEKN/Ug3bmgirVOSamxVT1/KRkOEktl8 K9c1+Tlm7nU+vYCOnxfuSHZlDFNJIu7X3JtisBqeE53phmu4jhfcrGsK1nlBWzGdoOpxNRUTejw3 6a1GOOS+UOL+WtuFaMg556s+Drs9wJPt18QeNxdq/MgadWheZZ0Qdthu/ouqUOWzgqaiw0t90f14 VTJ87rl3q1ZBpnMMkOdL1Z/NazY8kwl3G2I0I6VVpU2t1TQMDv6RPcONO3UDGx7pX/+ga/TPsX1o RBN8UaTCTH5vKHNmQjt7mubgNgGp9pcz4si74nlLkbhhAOpD7reMzfNCEQxWkp3rOmzUwPUIhe8r l2hV+4jhIe2HUFj0mYvRos2l5LOg7ggZY9sCjs0qMbz2vwdZfAZ65wcbit7FKCBLblVBJwaI7a6G cB57Y0GJxElUoKsOu/4ix03hNCW6KCsFPvtJCqVzWJurAk96ecP2B0dFGl2ECoI5DrVVUcTWH9Bs jTrfnYd3e9NXgCz49qxvV4/vw8pK1xAn9JcByfvlSqigHmcd5oUPOYnrKeR84C/yf+UXwIRsm1kv nHSEWdo/EYufhoRUYJFrBdp6sYcaJ2Qoes4D9SLRLBdWXxva9vgUEQ+frVJzfifWQyp8Somu/H4v Q9fWg13Qmw0jaYAoSAUIIjVshQqHeqjGi56KlXHKwxU+RwXFf1X9tpy79IYcQhlShtXE4PGNIfRP IGUEkXlFSCJH7MeqpoCFywwuj17+6NgTcg5GohQ4IKHZgUpeOjerlNEnaLPPFbCc5RgjskC5qwlp SEaINtiNbM2SurbtZdvIZ6wZRqaAzf2OJApxS53FyHx0YisMzVUH5IqzBNE6lmKTu57zIx2tmBPu C+G/rSW4julTR+RN/QsRR928VXvw3AduMtpjVmGg5uf3TESreUya85GoJdZWh6PxWBw3g4vWc2Iz +Zxf6+bvXyizllHJXUlYZ8Cq9DgEo3CnFXZCO6FPh/f+I6D+MNjRLj5GtkzpJieA9OxRgbJ1lvIO f6pqNtrFx7DoENA9OVK/NaEiaC0sUOgsZ02pTGhvAxvItxW5F4pewZ0EglOPuheML4VCScXxm7Ix k/bFzT3JId4nyfkQzMCZ+4AOTdmfOkKRhir89hAJ9oPrq1mu/m6yoIwc9HiUhZjpYnMCYtqvBoim 1JiRpAvRjHAWcAuzoqCTibJaaMOyJGiQe0uoPxZn11DVftS8eRyWRTQxKPpXWyXp54SwKbX/klvf YYGMlUXjT8Xw3O6EBrhB3xatNiuHzYxohhAnGbQnWa41IMqLXAgLUp4e0XctjZk6ueAcUNWIVFXQ OGsOpNFS/k9xTKwQW4t1KQci0vujFjX3iZbQ9i1x/cF6O0b7xFf/UWtGDIHVR2Xo6R+YucRAV7Oi 0Q2164b4cb86St4lUSIBJIjK3u9tvP4xDXTJawDbg1pdovWt6jclUuUBlUDKp9+8IP/oebawnHVa LUsmQJHGgO0jbofkxT2yFNBTTeyeKzSLb1lRuxjGo+Jd9Uki0bONKqgFLr1x//BIc+9W5yPeq7Mf 8NyzAQfCkhJBO3bTCah++J9rrPV4WuCcJZfVKCP30jbKMb/KjHa/aHcothk9oicESC/rdqTrH894 UEQVCsHthaifavTb72hlNNWZ4WrWxwEeF8/3PdYUBtwuttTxBOWiJyu6T24O8H59PLvzkDJDEpdk flmu+SOZOVBTN2GZ2xSQ+i5ZGHo4e/NUQ8xNJgOL4cDhjjiBntuwd348xSuJUD6VMpCVnjc+XzYc BtUd0YviJ6uuEoidrQZwz9YtNoKkyvOmoAlmAZkEnXRav9p4VpX4VeFCMdQjZnfJmUNoMQ6bQuyz qewLk573g8z95XoEM/qyXOfWkc3rKGqfUAHZaMnLsIZOVHldehHOZKpeXlBZ1JXgDDx6SNlLVyDv JYNahVj+J1/sdxipoDBU8fpAoMBYWF5F/vJFjh31YtK8rUAADXD21CgaRP7nwIun5eTIGYR+uCQl cJ95SprDQGb2VB8lQDN4frZhIuAR6nZhuTvjY7J7E4aXyoOPRe++7v+YatwzV6mlwrQiJW2v0Vbb v1ksaNvj5zPI6VnIKwXWwhi6tw053zZ+b/m4qGPqaPpF4oeRnKv0PYADAfA9R6/f1wlfE2XmCQL4 AuaZRTMn7BaQLOdM6Ttjoha6jUw6E0oT5LiFyeKmVYSMU/ONdat8q65Nf/1q8JHnmaZY5UGTqL6E JGg/hkEV1NTnQjgV4wkpYbkyUunCoQKivzZKc/Fmkz1dORZvk7LJbk61yAfUhRmt8TB6z8BJ/jx9 Z9HHOEC45qGHjiewBFqhxEAUoHPCixV91fwefi4fXzQ2glC/H6fi+9h8qhlqwzMRCOSvG+XUE+hE iE+NRtp7SoiqVrGmhrlBpRMEi4PS9aLaAbpRmyxBY12WwrqkvCJHQxffOEUVpNqcskYlZb+Wm6Ul NjlOC54OouTj3cXSpnRbHQww1t5GgA1x+OLpzkDsaLU/+63pcfhp+euCnFT2+Esllp61eTXROjQH vwYksh+sFC6E6xcwNhlX5uHlXqFQ4WEn+z1FB2eXcSNAvKgJcDc/11kSLtvXCgpMoIsnG2bHb0Jj AbpZlv7NNWjGoSD7wv//U2xMGJ282z6T0at1TNLhvWVcH8r0RFmxgVzZvrUe8loD//BI7dUydX81 Vkm5P7CL93PrUiA8CiwRpY5bARtG3ZXx58agUHnWDE+5GXpdrNG/SQR5HceorErpzmgrZzpjJDHT s21I2YPcRmx4QiEhYryEHb4YzS/GIKjBHe/ERJdQuYwNKX8Upq7s//dCIRE11Tw58vbHZB25IEM0 Myh4G4+XXaKklWrXVmICURj5yXHEGUl6hy1RQJkXRirmD0DiZnl+RRnv0PmeIqxNuPAQ8J7QhW48 mkluSRIl4UcDqtFtVn5Mw8NM00nWA1Q+7TZGwuh/InBr976efUS/nJIztHuzwtvYfMN5RxCnDU6t cgBQLw+NQFuof9LpYDED3fZHntCtcG63racBeylFYmf2jHmKGhhRhs9wRnqsN3F41o4xG7Uu0hGK mph4FnuX8uve5ewdAErdjO8clNCHm3Aeh4UGaW2MJAxMPRqlGoWo1naePK8+ctgWcCJx9ESCVVmI AizE3NUW9liNhplGKJ+cyJAq/hKTHvg2ZIUSElxM1IOu7NY3i95d4O5o+Wjgw06fghAT82vJ70pe TW20Xn5uJPoA+SjHRI3Kqg1PL+iR1NCnne7sn++2OUL92f3ywMCvnpE8zLDtMPuPcsRmvKc0V9rW a/7YIW3Wbod9XhgZikKUbSF669ieu4OmYC7s/wSna33FtzUqxsZusxXkkfypPQBj5vRkRpwCPxAn InV/zJgeXJkYa57KNN0bWnxTI7MtBVRdauVndb9EGaPVakgow57XhTMG3a/WJX1Kj/2bV9kT4DA9 XicsvtYlDZSOzWXt6z6if3gAXlVkIce0piUh/dMMYPGfMG4Vt0BKVspUC2lCYUYc0r8c2icke8Mb At1QGZ8JYaa0MBZOERqsN61eZbpwYPiIhQrDOXfDMSZ+2udlE1jiAcDF8pDCO6etN6+Fvz8NzkGY iS6rg4XLhkdiGJzSej1WQLTY+1O0EDWdgBCBTRQOF69SXg7NPDXJ4fbnHsPBCjBHJOx+kI84JUvd Jle9xUwlBMSwplhpdf2DWMpK/ZpzLJRUI6FPYmVcFAAyqk6MO6dSRIPwrQj4yL2dkUokhXceKTW/ OzXOtdNxO5DmjS7NvSdm8YsXdWDlB13QsF7B4eh3UOuC3xwBs5Esc8shyRudDS7oEvT0dlEmvfO6 vFm7E8f27ca1fOu5ZW0fs7KRXHQgvjgyJY3cLp6+7NW502DeQplXJlv3gl3asgVlg2g9iUAZ7fUY yARXgjU4hz4vRRu9Q1mhGIgLgvwyYmaKCOLsMZLNJMBwzgZizC62DWgI/nyO5uwJ8w4bHpR7FpDs JtJmzO75Wp+oSaumCoDQceVF1tY+i20sUN8H3DN2oCaQrXTg4CwqXZNec8trpAzp4W//Dq5bVUFm y0h3PCzLCcQNTf2C8VK5riWI62tS0/SyrOfChAXYz/svd6nfr5O4RH7uAKEkZ1IkzFnVsbVDQGUr ahLHhC45bB7HO+QWTrdDdQxz90dnwRsNNHuvQ3I0YfwO4CMn/gY3kiiBdbuzJd0vtcK6aUf8hJnI BgSpvu5WQgvIRWevUcn7DiLamKgh1xcNYloPdcDMdxHDkZ6I1BK492z7GRvDe/GGBCxukmXhMPBn H9BObZPc76wG0nk2WZYccknPyabHd4K3nJrA+Xwwpe4mwfFXaxYxgw8X9p/clYJXn077Ej6edUC+ VQ04vEUZue8VVCRQ4rblH65jrnVg6LHlKAAqcmcHEEa0BUsV6BSs9zzmcPu4/g9AExMTjUSilOYk RZphnrQ4e7B51Y0hkQtW2H/uK40NRM5pmAgb3l9idQcjt5uF21SLpYCkAZ82Fu1eZ7yxqGOyyijc NY/N+ZLT+hmOcy4LX9Wu+cqhk7fZkp1ZQzFF+tDJ2onDsJFlyo4qZoaDmOjokdBwPAO4roI4SIyi LEEGCI0YolrZ5Tuln2NK5KcQHJsolvvQh3VbzcV9ynNEDHmvTR7kUorxJ6MWvGMCWabm7Y9I0PRE ff3EU3OUYR5Y1nPXH4E0gPVMOkzMVEJhvWXpc1NMP1lOLwdVxXFmyAnnB3ML57IcXY3pXEHexp/u JLdo1mButHjUk0QS5p/Ixg9OBWk3Vj2fHJk1QvVVDhotI28NRrlJf2EaCzenRWXwjF/ROdkSHAw8 GwEm4WtBItZ9Dtx6r7h3oROdnBWjqvW4T9SQrrClGlZqskyEFnwgdu+qDdKSxB5QJQ5gO5Z6ouu7 cbn32j/+5QaRvsOWxZKmDFL/lBFbJ6hKeUBd/ONRCRkGyQFapom1hs3eq1Oj1S6UDkV4HDq8LPtZ 6/eP/0dluPC+oiiof88XeDnsOwx9i1F2vwXahaA+UbZozuNHuQ8wFOGLjfseIFD9v0w1NQBZ2h8K 5Z4OjpkWpsi4DD5Ng4VM1EOgDcuFhFMGAr+0Ko50MKz7rsmETCZIReA08nRXgdvNFyOvrtZHfJrY q0fgEY7k8Vt7qWvQ5RKwwa12u/xmzHzqeEn7XIy8BuiPRO7NQjIJTSDuGLP53W9cyn93bPEenO1K 5+o9syOP4KUHanQExYJxa5FpH/sxGWtaA/Zb5oMjtVXcrHOp5/m+5Hqb2rWRg0aT9YaSrR2RNv5E 1P1or4kf19ZHwPGWI51XzNGj/JYYrRG+KZDIIfHcViE9Wd8Gp3X4OIWwxSXfMU2W4ibGb6GD8lG5 0hOd8+jAxWh12rAjw4PAW96nR+WUTEgdSDvfg4THDv2LxsWjbTlJWc73sj00zqvOObJZ0Dm044Q7 lFQ6FNzZcvA2tyMYwresip3MeVMj1zBmpsHj8PXbR712CKILeT97EZsbYK0OaLKJxaOFZnec8Zcq Jqbme1OOv5nQ7fchQ+J2XrF2PY2XFdIp1zLmu2iAYeiXQ9OinSjgfwl4NCe58jw/Jlj/Tb9TGg9z sDEmfvDtg5Ci4sOcBzSzz5lkWCi/d46MWjGyUD/sU39rz/X3ZXVs00yigmMvXYqTCIn78IPN8BBa bd5t9i+Zv9rAvpjRzi+5wIruz5NxG+ZP3csmQCqTqL6/Y84MWxPAnCm5nPaHxBCSqT013weY40NX /prcE3Z9qBht3hb6BiEU2qYjhzZ5MVmSJnFvxdeOjm37hVkr7YKHqvTqbZeQ0CeD4xrdVwo8PBLE qjK7H1FuriNeyklUOmGz2iSX/EMOAKDZDXVzH4x7uk11/8hpevZd2p06o+wz5HxNy8+jNJY+HliC 0ZntlKk7DwPXOyM/23Ip03fkXFD9GvLBpvwH1SMopjssQAU4UbksDrL5Xju7HEdMeZNuI5F3GCY/ 0T/a+NUD3EyhsL+QQIUbz76ZW1LB6+rbuXIDaoFj2FEIzrk0CNzBjKPzQLdh9MMveGM0Dp4lT49i u9HeUS+YLDL5MALdzgTjLvTPZQ1Eh3viuKxgNIZZEyUZ8M5S+TfWrVWsYVibyjSffkyaGMvxQtzA 05WGftHWYI7KiW6m7SzEQLR2wbtKtAzTPMrZr1YPUc+HO/Mo08F3rxgcD31wNC1WcuadIFOPayyz EQVV3ejeLNRnF0qWRXIU9BPvdm1yUEgjhBOtOuywPap5L94SxMHbslbXIbnuLA5tiNV1+ZaeXtbz eGceP0ybzBvWmTuMxF+tmJzzQXfHnRi/lUjUerELUWDfpB5PThVW/oyRhnByNEojJlKnN9+P8/PO qV8boJgx+Q0RWXLJlt1rEUXRzrNeonG0PX/seeOrlhdkIatlOrWF73hK46fFaQmNfuaTAHowD6lr eS4MVD5uk5EckrHjU35yKN5VuM0mmM6Iee/zZ3lDodJlyg1ZVauuZVXpcSzsD0ldCSr6YdQJ2/ZF YLIRH9K/fjB+DmUljxa8yYLl1c/e19Wrofp6cqyJOVmtdU/h6epoZzzVDkRUd6MjGx1GdzKHJ/Ys /3GUx9wXU3bajF2W4OnxSQv4aOOQRdvnS8AvslTWNX4NnaAD85VY2pkj4s1Yrl6sCHok0spI5PHO yq4gzeFePgP/H8z89XsXSq3jLGLyuqkDzgGTDETlOqavLAQThs1Z7lp6OYhEPHHzMC0azbpFZLvb Xl6ZgFN+mQzeX457m3DPjCg2nyJjh47ber5DHn1bPDXyEwgkgYYjVsarGNUFwpK9WKpUSCpiDJws /csPeIEt37Ru29x4gzVIgGEbTqPhv3jpXof8BExpqC+Wq65Aws7Kojq19CyY7W5LCIBssQmPk3jm KyutS8np/Nc0fFdCACBs3NfZ/2tF0m1Kpa2tftdEvJRMD63B3qy9+d7JimF6PhaxyI6OAI+kk5/F aju/zzG4OGOnZcn5gPSKX83LRwcl5Lb0JgNT0LO2Q4lQ9gpGEsFR/RzM9xw8w16G+vFCTB1pN/mw 1ThCxuE22axabYS3UglziAQW9if3CWSMOwqSIt5x145xLVAgsaMtTCmHfQXSyQKsM//0j3ZmPUdQ reW2bL/y18f31FRnJ4D3efyZ/CvmOAZZlwlnzKpb772xm/KZubT4se4D4LTXKVrETaO5SVoWLbpM raSGwczBpCtE9GzmQTgo4Q6Lt7Z6G8b5EH4MdTVwcYR3zkzd3jVCfRDh3YfzxSoC4Wqzo126CS5g J/De9eZeSbt6tnLnAPB2++9thYcAp3Y0rJElAzzFeelohDdMB+7J3/wvCVlvW2aspEHO2WxWSWBY PVtYIHrQDuilZ1Qcsq9ScxF021HEdmUn1jlQ7xWUxS60mn+NEuob+lQ8ttvQYhJEyuDAz6S60Izt e+H0LS9PjhXEX+o0KsbUglF45NYtBGDDQZ385k7S1qyTc/wjZbA11YIUOmoe2r+Bw0c/kYgv9GYq llDk+PpWabu/WNY8TW5k0kL3j+MHz1c2ISl2Z+KzGBVSfniPBNag6S1JD4VN6s/1qOkE2qkEbIAu tGRqe9pAsLqiPHkDoJggForfkQ4hJlnad6ZJgb/Ee5nd3EeKN7aNOHMIJMugx5bOlI6LSd1JS/BP it0s85zzNC1/ng2nHkDF0i571pGsQ6VGUulHIaoxUTTbZAhrrGhBLoLbTg/F1+MflWYm6tN0WHDm bLq8xYNmnfMjsXG8b0LuzFNOqHx2yPsrkW+JLntELGdVKKoZkobuHZF8/sGbdPErNj1mjFNMpn89 4HmcBtbyhTjEAzzFl5hGiPKh4w4VdsIlE59VmN8IBLRofTarbp8wkyzSP9ojlq+qAX76O1N9Ugol LaHGLtYG943mV/f3U9nTEWdP3obk6MqBG/0XSvyWSL+mYe6cZ3lxo9P0RTGGVc03vlJPJLJj1JGN wLh3DfnsM5TXRWSaNu0wr32CAgeGoVhuTFV55kMpPkaYT6BEJCyH9gqyPm8tmNqPzHTTmjliYzzy QtO6m26ZNxDxIKrUzYgdqc4gFoyx8D5tQJKkZyxDb8MPbQSkeUN943hKk/n1NSj0WtJJVRK3Cxus yZGGePy+RdoU4n8zYbRZigfjeFWvyFdkLEHodzkKfT36U+jJPxkq+OhX0g+r6zkgv+wyl5t2ARM7 0F1CKAONqzc7F9GGU2ytZkFZLYD8jh1bLsuhEAi3HytmQzqHKYd75fOUQHO+Fw3mmKm/j2wuC+8J NjFvBCUzwa0WLmxLYW7GA/8tsqsfMiIAgpLLtyMMx9nmDAbQCXd70YK9fcI39zhfjMjV3mZVpPuq fsmahiGXp+8wVpN6FleGXHrllxx8Wv1av9qD9uumRP6XGk0kamZMXinj6pHVXesKqOElZzSj1PcY Pcjd9+MgBQXALgthcMP60KZ4z5gjz5VIKp5EHGX5Iv7fsITTQHjCsRBM+8iM9deW4O5SIWyww348 w2PXJzUWiGFRD+Q9HnxwGkU9XqZiRwm2crig1MueawIYsly+JvXWkO3nqO1QlV/wIQje8Aw7Pw/C 0XfJ9CJpZr9d4JMEFSUVV8mUjsBuECR+/c9w1M/dq8N+/HyVGby5Y/q/IZCHs10bR6ZXh1BO3Vx4 SSU+fZaeFW9YmT84H85jLUQ+EgOIa5X4/lP3tGLmamiTQJjHlfpZd+p8XUR8q8IH4ZkQtLaOUwol FSka+9DY4QYQTi3fyFTUqCK/Nh6ENbE8x6vsUYGU+ceN6CDu35bJJvrCz/q6DKAAY44oCjTOnG9u WMc6t65HDKYTeVBi6XIvzaXhfCroYpV8tseKVidQz+69WOtXLDArMERjGnB4/QOpLfWDPfQFHqV7 r+cS4rphxVA9oUBP6GCTemIat7SqOwWXZvoLrJSPqRNFUyALoYj7AdzuLUiB7MWKXPT0Nif4rhqu 0yr7ypXujqE96hlLesvnHZWIhuNM7Hi1aKfX97F1IqMR02q8D7wO+0cqPY4xoJQI0dFM+dBUbYIl wc87wMEKFRwrXzNZwH3GrL15miSMsvkBBIOJiy+0mZm0mGuaMfYwUR6zIJsygav/bwfTTzVhVBvf hJ30aau4wSTO5/gu2q6Ig9Fmdj6Q/PDtZ2E2QUjSPAvWOXqcBM2CwsACW9L9ysBjp5weroOx/2+x JWzMej0JfqqcLdGfI1bGEWgMz8cpJigMxrxprke+rW4THISPckFwJyG6yySLFWJuz4PMmh6/ccrJ aOkS9NRFwjc+9f97GAdUV9+Ian2kS60bo814jF2vVOYjdEcXt8ZDBWoGyr3J1xj8OnF3GFpqcJBJ gnHMhf5Jb7trqC3DWciG4B5DawIcI/VgaeH/wH+0JjOXJ6m6DzalwVXFMFcMIQOl89scL8Ne1UIq 04nFX+JE1Zu7x+P6PRbUWsnp8gro4tj2CYyjcbqEgc+fqXIr+5mz4A8pUZvr8OBzKGc+8M06UVEw JnzVTVosSiN41PZu7U+sLb5gTqprdrt5BPFao7e1uBs2gI+A92u89oPJQxay0f3L/op3ZhafeZWK vs9axDytoT8Dm3SMQoIqD873qlaBKeXzbaUOHaUbpSpAJseufmEkskNSEsQozc83029Al5bW4fi5 JQqSYDo5BQ/2pzfui9AoQKVzbMw2glgfpR+iemMuhlXPJynL5PzqROxrH5rS6P4RffuuUvrr4SnV GI9/3yxlVzOyCcsEHHE7FRVkBvIpwMt+gj0gzfFPdXa8ShdZG0fsXcQwb0a+dg40sg2O/9F842Hd elqKLjdPzGIL3N4fQqEzinTlI9hIPk0AQPI4ThtqIl/WjvKpO7onPY2tev+ewHNTxNG+P1uDD3Jh MksEqDjQ7gJBQCPEtuJTcYku9gesZICwVb0mbaue385yc/RwUYkRuN6DV0UjwjTpAG/82MKAXZd4 eTQFkZ1mB1xMHvycWSrHpfe5FCwiuByDOcrR6+Tcac0FXlAAsVF+s8j8pde9upkECVcKMCkMSy/x ApWW/aRxXJkBD+FBBl6giE1i7peopxdYfELYXH83PDol0D0KScofXyuO5kgfvBOHv50YP9JjQuH+ Fr46aAurozF6fkHF/i2hy7TTWJYKN6WSDsIYhc/zFDueBTwS2Pxz8owDKfDzJkEs4Wzizu0AU01N ohMUCsf8JXBvmxKqIYkEUMzm1MA0RxNdS+VYEzAJEjsNAZX1wK8UGlr4GQVUt+B20cd2DWUlCt10 +BuwsF2TFqZBt/uB8Auw+yftQLdnhqK1dutW0WkpTX+tKqed5BjjAUs8JtYVWEAkCt6JJYv9Nrwc QHlomECcwidaLzIgmsw/UsFClyKKL1l9BZo85n1ttTIAf8cDbislc6ZVngdWSJHlCEFoRZufp2nP AAqj9gc/RMFW4AbZ9L4H2+POGhQd+JioMcKXPom5Azu0/d4LEi43EFN+WwZ/rFCUfLli7irtdCNQ wzOzqRyOAgxhWoh2uPXVqoHZ7LRLGh07CqwfiK1NgYbgesMk/eWjnNKrzn93G1+F925Emd0jWNXe CHDdD1XMcJ330O+RsqlVaxWKVhqZlx3Fom6g7f1jC+GJ1hS43aayzAhSNWuEHTd6bhuUFPzn9KNO 1AgYhDXbskzBBaJqkMu1EdCX5ABBssCmT6EJjap9B6vpA1eXuPTXR7m8LRR1lc5Q0jdOmwbtM4ef AHLap9+MFs/Sa9LytugG9/c0U6d/jrWCvTI+tDgA6gH2eeth3LMT0IYUhe9/xgkV08GclMtu/Hle dX/xmE8Pme1VgS+cNT0NK/z/N3ly5Km125sBATEqDYK2zsmGD5Dk83RWZ8hMkxoLW/nHKXDPACTS MSztU2GHQNP0SmHm10HebEEtzmCTfqfviNgjjD88UCFrIQzRiorpkYru4RwHxoNltjMrbNMM6tqy FSmSbglh0ZpLhi8GQyoB9nuYtrxBZIwtW22SpABVvWkDSyONoB89sqkHoip0a41qJMmRXjUhp946 piYtz1sQccyj3zBDLBYQB0YHCQ49+agfclRYmb9H0+r8GNFqyPQcxV99r+ktIpjgyTQKXTJ/OwVm v8vloV6QsiSG23zzekugoEfk/UmXHwmy5mU8YGnuwHhMadlTtYShCgjJx3JoODrVd7O53+oGPamA 6+CoGCc2ZbNR7YKvjuP8ojnKSCsDMKkJe3hpcFo0dKwEgO9cj7LSvXtk4UFjZ2faSSYM2lpOinHC tNRxDj46dJUUsd6M3sbfo2LVbuR2A3QVgOUQD/ZvQiTvHyZdx//rEW/EiIlrkcOFrzrrTnI03cnt ddF43rsaWxxoOdoo2OJj7jL9koQt+AA8XHRsb+AJLz2tK+l/FU/zgaDdXOAc9424+ntHhdBpcCIO n/zdb7TyIzynWU/L18hr6946VsZeGa6tYvHbRzyexzJW8ZbWW7EGiWmIBk6OefsNviGwX2VL0P/k 8LcWkxE0ICaP7In5JazOrLPB7+AQ5QX6aA/4a2rH5Ct2GAF44lothzad4oRTuQmaoaFawJVgBbhb HKxKy301jb6koKQDfeRureH7sN8a9BrLHafhk0rNHN0YLfUQWDUbNwowjD4bBExHkkGYw35fWStB +M7xpycgehi6it3vhV/Dmkk7JdsXz2moX0AsUbgrZlI1rnAHAXA1wf0mSho3u/ajYEXo9eaTbV0u DgdyS/RrGqZEsruOyf5ukcoPinjcLh7stsGAkqV/6X+ZfPLcdwybq2l37xjChr6Y5NZv0zf0s+4d QiXB31f9LC2RCmpipvtHD4mRHcFRM8UZzN5LSafKhr+iT6f8EP/1i79dBswFjCTmTtf7qo9UxHR+ 19UqvB1BaT5e7dS0/12nspD9HkEUDx3UpJ49VqYTQWlBZIp4d0Q112oUMiC6sHfNGwWxXkMBHC8Z /3qyBE8KUhhzWdVSyA4eZVtvfp9L36/fr8OBqKyzYuTsUHv+qM5Xs1ZpdUr/ci3Pwp/lX0f3BTF+ m7c4dp61z1TC0ce7SjdFKS/Za8pslseeOtTyPiR9inPSJSEEX8zsbWUlyUxZnVXm4RTO7ukUNZgR Vt/L2X62SOg1NrU1KOYAO2ZvGaGOPfVq703PWk6d7M4Md2MuEpefTovGD8ImQU1bUBMSgOqvU7QO i90D6tUDotINiL/ZvBrmBAMDfknCdZdMhAnmzYDewz7iqeLgL1Sy+5yISNVWLYckSwHQwaGulSN8 udGPd1WwN5AxqWkaB2LAKdqSG3aX51An9WEU0F8G4WBBzHvFV304PLKOI/jpTa0CGuP3ckqACwg9 ZxyxBT4VlA2iWnCXyIfMaK9c7QqUCyAjqQsFnA0B9I6s5S9wXEFtThpxzRq17vvoUoJmSBFv18xM NgVQ+fpGplqg7MYFZUjgl5D2mTSfHBaQTgQ9LYLz94aol1pZyP5f/mSjCi90esR0Xc736Sz8UvbS yKjviXOj/SSReoZ5jfYqNc2wpDom5qacF8QlhIHpjHhEjp+IyRPTPxKULniFkMnhAQ+d5FdxUzYd S8VrjemYVuumHUuSKCuI7heZBRuniz25TfB9HmP1K3hA6H1oFsySj5G//Ll5jqkLfeSLOWTfGIdE 0/Y97lP4SEaHgmBqGaPlGWMNe6HtmEmjekjW4YjVN9IaOZOPGqTZOAQ4/9+w7FEEffBour6inYCk 7dsZaABt8iW4AHTN9QoJpznE1ZU288UpLPoODlnaQkczRbydhPZS+dP2W9IGBKwyGbK8ODk2aRaW hC8nTfqnSsf1mxY85wzFkYA/lcafvlfZWMTzUF0eHUdjCY46AVNKxCrMUIKPv07DmxtLjy39M/gb /5wdOzXxsyOJwYbeL196jVmO26NkJFDij0gU6JvyEvTdc99ZB5pC5IEFtDOgmkxk3KAqwMDb3LLF bdTRe47jGa68Kape/luqLq5iAyiA5qap8/aKdN9F9EK5EIlmlRmgfm34m4zkJpbGl6ffDDMR5VsS 94DKMc7BknCUPfHBcTuHKXRw5pYTYFMwe7uvwfYX4KZBpJxRb1h56xW5O3M+FmJGJlQjRRUG9d/g Z9BroJvQpLitOhZRzMsv9wjxvGXmVZQbMq43EZvhSP9g/EEqdWY1/hrQ/pvXEl/nLo2yQb/U5Kw9 Ki2LYn3xkMxUrLAlkiWmBTR6WlUZtWjgHEQ7psfIwOC3EO0XrV3SwaIsmEoqplpN7QnrvW/BgBjO qu1SOouIpGh8H20QJDqkp6xE8cijWafOffzk2qqL8gRd4X0uWZncvWExd2ONdWpZGrOjuTOoQcvR AOUBy4LryY64MOx1/rkGU+X3Hppkx43r1CAzBNgtp9n0FUhFUwxUzbVy+/I/WJUsgGYBSIgAqyxD Ktckj4x2+igaji8gdbZDe0dXPkzjYIIi1/ARaIEwOdg7HvQN963Ev6dYrK/QGTZNkiczGKCLxmce LYWTLlrSqUkPyz+Y+werf8ZXq4MU70tM/1jsOGJ9eT8fLkYaTRgVGXzPmztACh6qmkKMcsCjcMZa DY4FTG0pJ6vTTM8nZDKxACGx6SyhhWiNtbGRsZq9NySfnq/vi43aZg5j5VWsrz56pKXPmIuingzd aFo7fFRJIK0Oj5iQWnnGM3njwRPCsMLFW7PTdxawKPQg+EcD7YBh42wG9dtK4vPs7Aydi1o0N9Tm OXPWziZKhhITeqFsY95/PjDaiByUxnXbG5ZwHrANjRmInMR+SYtyZLirCsMv/NWwI2s2hH4yvWgV RLV8xYV71uCMggArCQ4SH5ABbdvZPjLMXKy04eU+c7cXyJlS5UroOct8MbJeUx0/6lFaGLcQ4VKF DBdJUm3+lnOMh/BHGp3jSz3YdPIsyfw/IzWpgKXiKlB3L6g9fwQiwF/1YrOyRgkgJhBM3c4tzBfh upHnQFf/GZbCYtcmWQSlVxgIXrfj76Ei2XoaVqhqpdeKkE68xH/odDm9J1N2qVfdtlnc7veBC62L OvxQUKtBLCfB+q4qrdiSTR5mX/8QFhHf8WV55w5h194Rd/cf1cGK5CRca2t5UV5aYKXBnaVsjdbQ LE1WkX76ejlpdhb3ZfpkljOHEStBBlE9gTsLVA7BNHQi5Hyk5FVOlqG+hn9wIhdNrrAz/iBVNdxW pwbkQiab6gltaqiMVLWcTqI/drTH3/FbHir/Ojzq3qSQuHoEthnHfXWB3A0FVwqvU3VEYwka5yNZ H62ytiEUEgZcQXG7ZwpDJ+VzQ5qaiEpHTqJjnfJdTgBBH99BfXcLgTtRWjbd6OVD5sHuKggVKlQF nKYWvO+Y9jRd2LljlpC+Y5CYheGuotC4e7trWXdZEkwttEqHbuZ+h2cZVyybRLfiVCG7UAyxZsng H9ZBCeHjud37PuYUy5X4eV7v8nKhakED6erjs2LxCUPWFuuIKH3YNB6cJwYhe+nsR1b/HIP15dIR 0SvVAR2QwsKGSlM2UIFy+sHYUMIWAJtx3B4zc0uu/fdDsSDTWbPwM1sFCNk/2sdS0ggibqNIFJFW tvS/aMmMQEeeJ7jo/OKj69e+y7QmTDxCr/VC3Y76Uec8cx8nbp9vs1XTSqIoiAFw8S39UGsslk/2 WgvpnS8YFVwu3xl7UgKVIW22C6nqC5rlfv/cJlRDFP3YjISMLHZmIGKxWbYkYE+42/6IRZvbx6fk rvHcBkJztB8VvkO1MWNLivPGAw+TydXAEez5vgM3tgBw6beiWW9bR4PoBolH7YSvDVBiMZubmQFS ZkmbVjOtnC20KWVueS8ltlnOmj6etHMO+nD69VNjE9djN8apun/oTkPx8sDQ5itam88CdbQWFSwI nA1EL3UojmzQSNgRCXYYrQsqpcrpzvvksvTCXSvgrKurWDcC2eNg5qrb0FOC5GFmomh5VeNl4lkZ 6UWsB3o1D+nNxtSCyVJqHhxCCrzQKgmRmyCHAkGJXgH/bqPrAwlRfA++TyqL0jkLzu7td/L3UVhK lGzizHCe9em8EL3ehuOYaC1wHH19pcnmILPMGnZ5I5dGkd1OQm/I+WktbDrkcWDcCcumMHQT38KG JoZO/kAfoLk/nELJ8sND4+tHUeccf+EOQ/MrvnpmP4ZYnGb2+InEMEyQ5R8fMQLTZEggoQPZ+oa2 dd1c2jfswagX2UXvVIX7d0KIbM3kVuXKkYZ/Fvz8X7NE1IfOFmDsmGOKo6wUsVrL5whoI62WP+up noyLi7K+kF9TbgLO8uqe40/3qLKSmp9QMGdK0T+Bepho6FnfuryV0kj+aCU0E4fHzd0XXvxmUX8l EvkxNFUTJruQCrWvwXpXRhGEjYFMRbzoCxhAceONT93S9gUcb84RDVslRLZkOvYeyfIlkItwb2Zw paNqdlzSxoMNc9PFm7YhGqjJTIc8POZh3McgtL5WBas+B4diP2kEnd9q4tF599OiILfCuF8Oy/RG OFKPmGazFViV+FZPYI4nHnZtVTmc0PzDHQKKUhtN29/xo5qgaZsueb+jj6h3TcqKhW7YnFShT/Bs TIjhycN56acW9vR+eBMCS5QPk9fvLg3GIWJglTZMp8WRZalhJzR9Tnu0YuVNSBTJkf80G/SMzfBC iLWVCYvei5b5pmD0wV75tHsOYaJdR3uiKyRH2ix+16nZuYWRmColD2U6YadaoUXeWqTydQbFykZG vr2GxSfUcRs3henSOdWIsmPrdK0HtLxjS/UFPjPPUhZe4nvaQ6umYdLzyk0YIqgkfZGvp3aVlPt0 PKHNl5Hbs0LGc4MjjxhwAgPth6oOjummHe+TZKk0GYyFBduAfSiI+LpE4Gi45IwQUyew/i9/fwA0 NtBNUycp8dBYVTfFN5YxCwTwl7xf7ragR3oQ45q92DC692Fl7Fj3spSnyHybQO7fUsWjNkfZzpHn 0akSja50G5VzAJLwM8KVTjwO2VuTKJOpUoHhc6u4QEKUxjtUjNT19B5rMox12KZFnBSHM7bipwZl l4TjP6zusYZajZWtYDicEn5EyGKMnsoN/A9TmWE3fH1/tbqCnxU4Q4GJ/QCRSGMvzmRKKH9duNdz ayxl0k4piItQh6Kke161DtkOQVpoFG3YxMdKt/iM9W7hon5v/rSrsLIhldFWB41wCkx6Gtl2kHMI NzsHkp60r1GTum9Vwzyhg0XFef2ZSmX4BsHCIqaCdlPgzickwHJf8mEd0M1IQBjxetOjKS8gLv1c 5JEndsSjGxjVEK1ggy/IWmUxr+ksD+O90bmHTMVKspoQngZYEqSRkqUvid6U6rvUaINJoyEHZ26S bCXAM2MOB5c4eACF9qIwCip86hFderrXlUorIVbfiL8FfueYcDq9KnHcqHnNXvFRlv9h8mZc7ReO 2X3ggum2pzH2Ca+DlKkJqJ9PTxN3A9OyZgXisiauUmT+jHgyOU3TYDORvnM008Wbw7kcKmTLNDP0 ULBZlNvVvvt2GXwtt5i0RErc8w+gd7zTqGR8m/PEm1509/M94eWCm6lYZo1AjE6neXAjl5WJrx+4 Et0lySGZcDAIonXSkF75f8A15Ss8SUkxL+E4N1YQe11Y0TviOHgWVmsKhyw4Tu/uxEGU3dmzk9uk lf0f8JkhjnTwF4Yq5u2J+KHzk1EyTwcpARam4Pu70HLmwVSL/B1tKyxFFm+O/2zkvYiTbWYyaD+U Ij1MwVbqheag/D8nH51ESsoucH+ikL9DJbSquH1Ehgdt018xuxlqPcTO+Mqd5gcKWg+7Czj9A6pk o78mJThH09SQUicl+7nXAAP6GfcaVL/gmQdffiF44EB3Jtrsolmkg17aYcP1/DD/ePoGD20l3/AM sluHUPrs+sBTT6jLFNxm3YspxwJHAp7sP4tZ9v2c6XqjI/R4uLEKO17o1Eydu9rHD/GiOD8QcSKR KwnAld+cFfvWfR57zpPABCGUDNUZGtN6fKLeTejT1wfFcVF6sHsXgqQuLuc+Csl8gtSu7R7pK33s Y0HWfLsBNYWy0kvfbkSYIb1d2sa6tOVpW4St3OaDiIhbBXbrWSTaRcnJOkYeAIAzBWn1tW+XSoOU Vau2OElbeLnm0H2Ni9dO1OKBt4ZzY9VzYqugndbxV+7EgIrs2DGqHzIZ0uFLecz7P0O0N6NDC9Wm zgBBTCrqRGVr6fAqRJ79phf1BxlC/Fbin+QkD++GC8k9Gs+9Fcx5jr7xxodMcl3bzg0sjttOVHHN JcYNIbVK/kURJaubLpvbiWWP/z5ZndpeHIyfY95brwWCzckN2aFmqXqiXWTADvpYE8ChxK7ZrNiJ EQo2Ipqi6Ko1L5b/t123x2URVgVSL1miLxCxAb8C6kXG7htb+juNpeQ4N0rJIZ9jJev5DKjCGaHv /vXj20XeSUObgbUHP9bCNu2sKFitX5FEvCi1/N53qS4zPc62O+FolLIoYAa4FQEyCqUlEurbXn/I X3UQNGlggz7Oe5I1jI4Gmeb+nIVbCvOKMGqGX2vvRxiGqXP2tcEPSvuUBQookFZdacxuT1IHLbNE 6wGtMHp7Pd1fvJsywEbpiWJSpXk22gqXjnC38Xdu2wiM3Ha5BkwEU8Kh2N9rIn/xF6Ev4ZM1c3tI qXBbvoNoAloxIq544dzuG/VR9PRHHDm8kKVMGX8JXHUr3weKjJpyffch5+NHINBpCv5ZCC7HfFAU z5ImB1b/oyRsM5jMqv59hegY/q/q7b3sOgshogDYpM2SAPlluoIcVn0ZrCDoTVl5WRuv7mS0Apyh 3Vqg8XawGZkiV8ipz0TYodq4Q/DcoQ4UMQKjYRvwkhqV+qWVuaNxfe087n9gYSBOYMdgw33CEO4e oJOk0Gb++NtNQX9eW469b3XcMxNzBSMSWgiBaI8kwlXhjLmqx4u91yWDUPDoKuWdM401wnPoPg99 5Hf2eTM9TmjHduWbAyHH1YBdIAOxiYqL5en5AeJBGtnLoxMvJ0s/ke6xWWDhI4VUvFUaCT21p/nR cWQlK40140vweXPmhoMk7lA+Jn92ppCi2pU1bG+7AzVYvyQv1zwsXDScZTUPL/s9UQh2ZYJNNPtf LvmpaBhk1vohOLzvsLpI2tzOUgHqyOAParmvu/MfoM3O0EpGvUKmFk5oheUcNYoPbVyWv1sbBR89 1DXmXH6l4RcA74rcu2Z+ta/nS4gIJK3GheS3J+Q2YBICdDRa6OT0DoeJbwpxUpJ35TWkq5n9kRbm O75QzgyqnNU6+jdV3lWEWeL8e4JAXgwUscJYJEvURVIlTNbe8/qVYJmmanhuGErd4kOGxOENBVB0 EVmaOh0BQgMWIhQmT8Ofyjr34tO3hS8hhbbxuAaiKf8CQ1aZw7Tin5Rb+AZmoa8aDl2MMZVxwxPD cIXwBGuIlqTpgHx+6MgPs7+us9ZRyCljA6Ga5T4XxmTESdONJ95LgbBBoZATudcpwpbCAyZA7Yba k/p7MSnN1ZVcADPm5dc/J/ChfJfNH8l6qymbv7Vlqc5lbrVzobAIz9WmteIgwmsbyhPWFawSIMsw Nj30wO8oTiJ3OaRV646zSP9qTrbigCMBT3lf0eb4S2BsNCHsFg3Glm4nBKTahfHWfWmjqls34jqo kaNzLEePzRcAiY4HZHpGxNE8mVwoTSLJ/iAyW9hMq48jknf6ofTZb3qZnkUA4LY1hPEJzBaGnCYS K60CTI2nxayYFvCX1hsoQuBuJi/kJ1c2nvlZqxWim0hg4zolkgyuM8RkTLQjY+1YHXaPwSb2s8OI 4Jbsq1/V+fWkmoD/EIztCRzLxRJ6qD8JBaWyvk7AvmpXXHg9oQUFnGQRoxiVQyHI95YuxmQDifEi qw+dL0kWqyFuvkeDsA1N1TLWq43W3cseRR5vVi63EBm6b0WoWp69o5p+m/5CMLSOsTOPfTWohlsU pKs8nOun2wQnvrqAkTDIbJXuEyR4VIKThAno99T0uRmZr1ifQ6DHgySXG3iNaksU47HwkyqKGnmL Of0wRzQs4XJ5y7Hw346kK70G1FlY4Ug4fasaZQoD3RRSBQ9wp3V7xa4jEogtDR4r+I8n17kDd97Z r844WN72Y/mXNQEHHUU9ob7BeFEQUGwHDU0aI/nNUhoiYriF53WH9nUo8+9YrFku422+jO3VrCJT aXgf2xeuExkVGbA99yMAbIDGNgDvVbma1/JtcVfLw/wcWfe9IeQw/RhJRu3SW83kM300FCZxE673 WxfCbvmFoXUZYwVKh3cxNR1tZ4Qkt+Udi5vTheu4ApGAjEc87Gr85hrii/r9p9ioClK5h/+BR+mK 85BefLXk+Zaqi6Dufe5KXi21D4gQ3XT3h1/HFSv8Up35ajM9DuoSrGg6VXYeYDVDWgSi6BfUtDKr yT0fc4O52q4b+rLoLtMjHRU+KP0YdeAj0GZpt8djuoXPz29mJqTb+5jDdgDn71+v/JHZK4rRF2QD 4MONfj0VbCRRhg4ahbfWcYM3HucL1NjRZ5qjfv33imQui1JNVyzuC5WpTMm/YRiowUL1qnf8DmQi YT1AeS5gR1JvDiVwf+oPIYPH/hbvDEPl32TzojWfNTofZn01agVKlT3u/lIlm7S4yPTSbMV0i32r QnKLHkfA8HGoMTSTCX1W+fjEo0/wuDNbwbCb7fRZeQlGw0EaMnzHb7rO3NzmGelX54gNyaLJ71gG sI+N2MQd7GiY65W+vwwOgZ244/GClpnlb4Ssb24sLZqCwYeya7xJ25nRgDTrYScTonU1/HZbGfax KDuGuPjKScmCfk98GoCUfmi/+XbhKw9C+/80DKKn6zg0skFKOjRvSuBqJNqcPucWQlny1bNxbSAe oJKEcBm7mKlf4XBGfxbxHnkYTHqyHg0sJfzg93F7HEeiyHcXylbi3BRHfwWg+VlQLmO9BDqEpBZh y8sX809qSwFFYBAdmh9yz6Bv+a65pR1pAfqHLv3WNqB/RDWP9QkG1hYm5b9FF2wTr5doD6LaVKY9 Yh8eKYgygzmsg8QPCj/c05jvX97c+anchBOJ1GdHRN2//vU3j24c+wGUMufa2/lZ23I5vqHp8qzK NUb5RjON8KFHiMh447jIRa2xbiz3ubGPeK17B9rbqTs0frm18ZxhU9t+lzZr/O0UnW0aOifbdF6N wAZpJbz7nC+Kmd30W3xwa2PpfOe29E+Qv5gxNuVzat7uvht/CSoIGkTFyxAe1ORJ/OXxcYKTpBw7 glV+/1VCh5D+HCjfxUoPdnAN1r90J0Yffam3c4NVGoj6dUxAfsydhCR9OEfgsKH0iR/Ldl8mKhb5 nrXH455gMVJTCdxiWQMy0TBai7VxnV24XJxn5amjEdE3Bb3xSOQLLRNggL/vSQZBU0Xll8D9t2OM 5hvhSWxKaS6VMh4Rvz+uje/Tb1uOVUsiE+Bc3tSJwJAJG6R3bx1pWX+L/gGgqhPNgvZhpCWIpxHn +ZHIRfzDMUd0wDpxm83erUt/1WiR04DUc/lbyUpMbGauxr0aVmmv9RCWw3HntKWd5QeuA6zd+NG4 Q6v0/ME7DEB8Np96JSZoQAa9FnsE5Wrohen0t7pVS1p16JwS28rcutrGS5oxC2PmGBXyaRA5a9QR 6S1Y9jRT1X5gedejeBtpQQswn8wy67XVtUfMxmOGa7eScoJtjjADNV89z4mVxvO+6tiA7QGQ7aLr rDD4LlYLS6QkH/o0i6ylNpd1IutMHL6QdreY9xMFP4EeEnbOmzGwJicQG8EcpJWavSVaNIlH6f9W wexw5ZSPzNtcB/FGKUx/F7Imznh2seWQEWwI2oQEY217IXYp4gMEwsQo3OpZf4hk6pdoIIR2OGV2 ThKfLoZYEIXx7O3hETjmRCGbC315DG9eGn0CDcegawUpevMy6cH8CeBKs1nIMOEql+dJX+6LGobM EXFTP2+e0ebhPCqH6O/1daL2qXON7Uy3V4E1FwNdHaDsXmop3AMin+fCr4zeZKdrdK3esNhhi60F PU1Dx/OFNCxN/nMnAcGotPRchksGqlFomS2kGh96+orFqTJxs5YlkAV++hCIFO0XxN5Rr0O6/i8v ke9uFzJaeUysEIVOy45H3ZuGNP9S8NNlx5XMdaGfBrX90TX0Q+erXCaOiHheY1hLnXtx2kMrjAyQ kG4x8D/EQmYo2ZaX6eFXci1Wd2HNEsXJTfjQjSZPt+jibAd9aNb5esmxRosW3XKwQyjTocLSuRPh O26iUJrloXuVs9AQcjBJzk0gbNXtW/Il5c/2aZoSSJ2O75vtwEqe6gqcSb9bWN8AQv6S4rHaHB9d SQ9iDdp3Ak5DWo2Oie3xVaL4hT/v/GlnArWEon7z3a0yjPiRFCSDVnmeoHARbSZqsfRTTqUQFWPB yAUXGWFMkFdGwZzpCwNBUPl0qKgPTEpOsi0m2OT8ou9nPoFLKU5IZO6tZnXKhQV3u2YS7ql0uhK3 +QxLFjOCnZTWap5/yUiI+AjTWBViWz5ulHs2OOBow9ZmdnWkQ106opdHdIpJNbW+t6wcklklZ+yh bgoaNYMLxOnvTkJyTy+A6d6e+/1n1uawlcc0UWU+OA5Zym3Kt0XFJXnceE+Xa5dHXNyYuF09UewC Gt9xHI0PNL4OivtyDhcXlPcI+YwShYQkWmuXxb+wKmKyhEoNAIGrLWdiwLZicNTDPdhw6odRIFOt Bkvb7vA/XhANWp0YUwgGDpKk+wxsog9IpANnUSKWCS4H81Nt26sMJfXXAjX8IgJJ/0UjCh6czs3G MJ2O94HZj4INuKYJY/wjfiBgyR1J1o07BELyhEvqBqNKEKpw4GaMLM1DVmAmMNPIQZ4aF7rZ+cs2 KgiVQN96A6MPn2lTJMlcNFi9Mr7ZqHsk0hzyKZt0SBJjB26xrVGJqVnD7szRzz4epHz/0Nr/fIhR qKYSaxwm/IhKiTUS69nG2twdYtGi9GYdhpx0LaCI6aO4waAce+Hz0RPMMOm8w6Mv2V+n2SpxM3BC 2LZ+ThoTjKEivyBnghxKn/AVmyxzIBGD0MS6kp2yyXQIPohvYZclvTw8/XckDrsAYS4ugH/wfEeE 4prEXNxNN7fe2PsTYf8wAkGUU5Wc0EmXK4wCb/kicZH4B3ybvzDg36X71B8r7UNqeLMOGZoAAPxv NtTDqWfR/zWO5zUf3enYJEOmRzj6PnhLknqsiyxCx1vjEMrj2IRs+dXpI0sZH9omQRnf9uLssiqc ZqEmrVhyiRvYJr2cmbC3jWo8+1rIhE1tDImLzz+lsAJR8uu9ahrCPjE1/BBsaSmrIyyvV3JOwbD8 gQTti0x1TmLX0Y/B3CwXBH2wHSWoDXSenBJnxOUKBey1PwNVv3kXmm3guq4YYtgNMT40z+wzPMXs 5/p14gPfBlL1dCfpTqGAopVdqOfrzXORKjNG4V2jfhtK+WVoLlzUC1pBgOlvQdpq1BjEca9YMLSc edlpCQNfTVE5l9R6xT0RGL8euGY4+AL5ZiecX2BoGGMgYZ1ZfzzTAngONrW1E8s2ERjnDbjPSg/M kcGepwHLN2WFdAa22ipX0VO7DLpJppqGCKfdJT2kkX5IIrYOHWOSnzOnO0M07HoSMv1uSmBSJe3K G+F5ljb0l+z96BJJ9hLinJswOVAZJPSwQGjO7Y/w4t/ccCAsoqaVejzGfoxv7RzSfUdhiV2qOo8y XzTjgQym6WBIMal9BbloRke4Oc6IZ6+7n4d3ywNyyucpibp/ALMHTb0GBnWJ1IaBJFXO6XofGOqE XGEA7NHig+LNdou6PljAQWxsbF94+5fe2Nq12f3uAFBqS9SIgnQiKSmFcStNLD+vtfugcn+fRjO4 rOKHFgR73d9owldOOTlxMKEhXQ+uxSLY0lOzc6SpAIMzPXdVGQXdf/kvaNC1gO7N9zoXGgkFMuz5 bvJ7WrcfhhLM5IFGfzyuSkmzWfWVjp0ImANwKAaq6o3hrcvu9/0fI91AB62AXGDMay7M+axBqFuA 3o2+ensEeubZxSkGKCgz6XkOCxm/4/ZFNRbC15tXjKVhSeyjHBsxasLEfy7y+Wm1UvwMS/ulqB8e 3uuQDcBA1EwxLks8m/A8ohkzH5nVTo97w7YIcfj6UXTk5xprDBrQr5kSGufPX8DvgRhRVYkqB9qj 2hqpVoVnrVNfamQ4QTIEniEBGQ9aH7fKtKvgzr+mgVsSLueENRu8w5uNtoJGdxmWP6tYy6aFvThR y0e6nbYlvu1DOCMc4uPrmslYSIM1ukEiFnCGgVX12uvZc+S9zzLvbdBefC7yzW93mSa5Qo4X+9EJ 8yPd05XYoS/j66SVZE2EsVymSWieivC8jZQDkjd5nIaH88runRcah1a2kbim/CeuQLtDNRDDlucm iNgWHi5pn+W5WCty46x2+4bOlEh0WLEworICl6On7R8gZaDzWPm6CThGE0abQgA7iD+MUttiKMRx mXi9D+yCGMs1vtVWThDQQwWMR9zQh/H5Eo95qaNCeatFfAmPg55xbloiNUKsaEe7qk5pkkla6F1O vHseUOYfvxhaWtOc0LfxSRZxvgsJvA1kV4qZU/xeWIDPhYv/DIwq1fVCvExjWvEiRDN3Z3NkNJgI 2lpWKF+QDzKKz+fv67If11ewHxZOwvI1rZQb5GWXNTfTjvkJdanX00eyLM+gcRr0oTXHN/k2+i4X skUC/IUUmGJ+K9RcE1kqr56g2fa2W5cZuXgtYH9Yd7iLPw8VCsNaXmAzTQKqg7X/xe0rw52DgDLH wuU4M3VMK9Mp4I50cPJqClTn+gulNbrB5GYKFHaTE2IRALbjxHUysHNOS5H27fJ7FL1AFDpf9rIA 3z9NgJ7wENesMi+CLEFuWTKf9EkwAND16rcGI8zUwPQsXP3wBGrS6IkyHHZA6i66QJt6fCb9kaPq LDmPhNPGe+qP8BSDd4whBeL4eSnA2rJZroV4ymdYZ/FNpImYrgW2ty1GrY7ZdQydRudc9nYHbh5S uDKqv1wQvSZYdZjVKic/dNQ43rUPPotgUMfVc4tAwN4lqVO8FXIkIn3FwsC7TWq2+eSS8lBcpkxv vazZS0kNu3szWY6ht+c0kAmHjRszEgjsS/zNIGQtP5HhbsPuS6XnfAsgXSsYGF/NouyQEGpQ25tH aLQspT6HTO8pm4ujgdgszUzRoIG5865QGNmc08hwWSHJNV0qYOdsDVaRLJnNqzSrMhba3Nw18Pgs +PJ1SKJuLIKbe1FWqci/YJITnyZ0f54G6bl1OvTxlWPd+4bktHYTTcHU345wtjlLaZy5d4NvWOqz Pyh5pPBclk2q46dkJMi5c/nTllM3YBYDc9R+qbpXr1r8SBrrovsFPjplmcI5AKt/j0bUCsFCPwhE 9fUvPimz3gipiJtjuJCOMwWQNUxFonovRTGS7anzlFLrR5B8mQDHcks2r8qxgtbzuH/TR8cYaeDC AmBjC7ry7aiTaH+AjCCaV9ZxXxOWThSKVQnxMnSEu7r2GYD7g/hwbRPDwn8OSza8t5F8510wGEuk 6FlEjxIhUoZTKTHxI2EEYsdLs8SinX24v1zBPyltXaHnWa6Be+duYzW585uV+sh5vrp+o8xsflgG bJ0B7pHvQnpy6PHkNbyuJjgYF9j/KCXSsPfizyWO223UICCn36MX38nTZs7FONRd/CU1nn2jS9Yz O+wo7yYQZaK31XmsvHD/xMxZBf4WaHMAqfL4PTzb/PjBjfnCNtprAGH+c0NrGDcEXIUqSFNRVPGx dIYS7bsA+Nxq+s05XmsbLRKoxQnkrHid5kQmLEWe7tYnjsCczktlgJ04XltP9lgf9bDOgqW7AXxq kPrL6mwLIHJVuR3wUUtQWQocTowyBfZ15ukzG/OJTesW1aRXK42qlCC/plFRAXG+CM+MghMuxwdG 8dIR5I9fTLNhwkZ2IBOirM8dcPTKhRvIoD8xpDSWHST1gGvjOtUTXpGihG6mNn2lUg7jnkPUgNG9 Gm3j1TAxLQuBisOS4ShynzV/FC9ukV0cxJRK8cPuT4ZNArcUi/B60i+ikDGc1Y9wpXrRP5yXUEga pMO1F3Lzn6Kp4w8+4qIY2Z29yWL3w+oJH//H4RwQg08VegTST86mPZIvJs9p0takb8HMzi7Om+cu 74UbPAuCkMILXXeidzEDDPF63l8hg9WwIs0sviTyvuOdCHmF9tQa3bjJMVuyBPg2XQV0fsb6sWe6 oor+WxUkKRu4rOzyzfZagt0Kj0JzdK+3EEcBNTDXGAaHUlIOkx6bMykyOCZ/XYycEzsOGt4Xxoh1 Px8T1959Am+R1Ib7LcP2kkLyIL9mdXgktnITz3eIiYtKELBQXHk57T0KiYFTcFmwGRmYBTNrPjkc sdqCRIDFip+g5c1SLIsLqIoKZqYgkEpOpVqLVOeB5oVxCDs+JbhDJ56JZOJNHdQsxXWU0skBnO6E oJy5yR2MFtLa2Vj5TyqSsiSB8L1g7e7deI3wwZTqJxTorAYM+2TjFnfsSSSzB8A+bMsd+LPRnL1N ZlKNbb1ZeLNp6T+tI2zWB/46RbPD2ie7IUMB7ORuwYdXfqzOsfRki5L9cIMdfOwGpb634TrBVD62 R2+a5X9KsTHOFeRWs/p+gL61Er3UWD7nRziP5B4O66qv3KKUrUXwUNW2B5eRiDuFYpCsjN/W98l/ xxJ9TJodnRgCY7QPzuxv2D/RXID3RhXEZwGtQvnwdEP+l+Mr0gOgRPfHWDlI5z9Qhfm6ygrCnaM6 afzE53EzeVCbjSqYUucaeLrJn7tb5o+5d/jiyo65JEUhts6G+djsuCRTwGCNZyRSnRwMDHDq+9kU 5cI0hFkrZs19QvOuTfGJ4WrW02VezUPMS2/CyRK+RcaqrPmoZWIT5AbSoWwUtjBxEZD5gXv1lyUp 5doXmi4lAhytpCBrqXU6quueMbIJEupbIVshm1AzgJPtE5CeoZXDJ+JTIcUHu0Vrr3eJ/kehO4en 2m3FHv8ZCF+eCx3W89OYLzFRcXMUM/1+ZwuXxX0Dck4E4idUAsdarqqZ1j1koTeERdVQ812iz+if zQ5n9ulNA0jDA37phXTU2qjidMH+YnY6jjHhGanRKa1k6oMHlaPMFkVFTY06r8rvQ16ReXVFG4he dXiJCZqws6iG2alezvkISx/t6cXgmI4hzVg82v7pbDbEO9T100uLB3PX0nxfzZ7KCnC1C3ZTIRqs 6I5qUeO/JFWjvGullpHGFQ8aKbbHegqcVXLYYwLWHMxRk4k+g25IICMewhaCITISbGevv07E6GIk d8lMNPdMTsS+Bb5k0Dfcjot0AW6aNsp/fvlboBn8Z1AdYfSp7mSzcN9iXFYGuz76q3Ib4gNj9aei 2TkPWjMS2Khb1+fHj3AYLTT95OquELzkyeRYyTeXGqvTxX50S+WdMNhAIvAW+4yJXmgtO3babPEK K3A6NI5Wk6cacvqzFAYsqgkcGvn6hbuk9DbOoVcAfViYwCkiyPGOtTZvUCH0OZdjTVnpoanGu7Yh S4hbU3Splr1hceunHltp1Zk16eLIIvKoX5n0hpyUzMa0d1hXQgTNF4cK5qc8EcHiFDZBP28nG0aj +8OzjhYSvrA5EWbHy1bFWKWvw59HvL+gUevCBTR1pYLjLGUQOrDxd+Q/nhrKwK2WWke/lM8bAFoQ 5EzF4ZshxFc6a5Dd3mxVM45gIoGpD+qkSnhLTW5LXgU7t3hqvBE/1wOQFSR800AhQ/UlEYohPzIH r4qnf58Q1loi3mNaZ+QKH6gCygr6dJ/fAmFWMqoLBRK2B1Cwjs0wFCoCCJzwvukSk9gudPHH0WCU 0Tm8cIKrpDTGGMeYtndxF6If02Ski0p/LFS1X7Aa5TQ2A27W59bqp05BTcSVBsYdSL6N+wsI0DR0 pAoBTilTisT/5YLl7ohPks3G/2ufjv08qY+fEOQE/eZ27SIYKRLQbcmjEJrmkF45jDE4mWgRKiNk raAB+JHa9xGJQlNPIs6R0j3qk+cyVIWfUckVzpXFkopK/RQeohmtDk6JgDCFaaSaUyr5hbuD/kxr mjYuO2+krkGymOh6qO/dZyFrdGzw11eXPG6luWu9w4sB8uRp/tulXxfAd+/POxW2njWL5axBDh1B 5AwHBzZuus+7LViYdaZXTiBuECW9aLBU4/NGIFU3GTzJ3uTV+HCWqVOfbbAXMiNx9ZIRVwOCEXdz //pGxrttmLwJwCfYcWe6GHq+5wdqTI/wC7Cxsx9kIondpXC+wrN5tz3GAPtE8Ji91LwIABp41dyu 6wrfE5Mft1vrCSEFhlOuG3pZmbu3iX6eH9kf10rN6iQwvcWa/oxBhDU6wHvhiPI+Aoi0srfpuapq 1B8CXp19upZDkIsdYXMC44Uey/SlubdFroY20vY7YMGMiUT+1dTlaOP2/ZWPaSSUfHtADrMToB6D lHAJBrqV9N/6vETHEIWomOvqEZnWyOr9CTE20q36RcREIcl4ZI+B+YK66VNQ7gvchyGeZBilEqPt Bj5xaCNZ1mpGWWc2FTlDirQrKt7DRqszh57Yq8sx1nIm+coZpSw4bUZzGzXgPJv6+aSYcpNuSesH YRiw2Rnfu5UlzeZJg1Gvg8sbSgUOGckQsniknkt8GbzjZjnqGaEG018CVO4b+e5yZZlw8pYTyK0n qc29IaWThdmZ6uNhwXTPG0pv7gQnV3yg3ZCee8r5cJbpO3e6NGfNUPb7CzqFiDsWTNK5xVTWVLVi 9nIhS6Pw1H3mGGas0Ih6rV08XnMsh5z+NP9RG8Y1DE5GQ0JtkZCqCmVF8JF//wcyY2Pi4J0ZQnOh t/G+4N1TL1WwUV86peWHrVVm8nek+HeJx5lWwhBbH2qOBCSojSvKsT/+1vAL00ZjIOkW3N7rMXJh 6zQi52lHHffICMU8TzUP97q1sHT8b/GTRN44jcoscTSPkkTtdiO7uykoi0cKh+pDz+0BFOHzEWpk 5hO1L2iZjM+tWY3/o2MxkORdQhrSPNbeoDNpCcvJMxDZieI4MaYMCiwU54MONP0TXNZ1SAbcYMJl 31MHr2LV6y23Bbr1c5gbqQ5lM+ialrJK751rG6i01DKUuKJ4fl8mTmcF4B9+siDS36tuLJN0d1tR NqhPQ7DxrDVzDzwMKe/Uk7xZBEBxoK/jZLimYg4t8f2stf8rVaH8Qt41n8LMS170vne2cRiGgnBG XH75SUBaQ9VITS8CpR0Wy8Ep5rUA0ocAs6xxxv7TzmhGtAF0+eFc2utnt3cDQjl+J9pYXXLVT1iy /lfqAxrvlFb1MYquzxFiA5shdsMhl9dULCDONh9IYyBkGVfqn+hacgeCFN2EkU2lqe+90pbeCHKP QCkXmfxKKu4UKMblfXFY+xlwx6uIjiYmZfozwqqHkqFaA8IQu+bcAoPdSyngt95cIfZXUmpIzJut MEDxrVBTOtGDjJ4onRknSy3y16FvDeZ2v/IPO2QgIhHP9N2V+baz9ckoiKMruFDEAE2iODSaLP1P XK8A0ZBuc4AkcVgKBqNN/1yxBJDI2mXHeyy8GGBELKt5aXEllCzbAK/hbMROGdnKLRrsoaFe43Hy 5pCnSYDQl8IFpKNu/aJAH23lYcp/X/buVD71j89Zp8eoo85hqxdxiC/bjPrc9mcLv0sY8nz/hHRT iIgEAveNMi9hmBSaVbRNvOHoE0TzUmKQsopzlyu2OuvuQ9W1C7wV9YQ8CwxdZ/SAT58Q3TpDuuJK WB+2nqCvFINetOREZO7R4F02Wn7iJPR2UmUVkjmdrHt5eK+uWoWQngI0jk+r8mDLAw+erW8PQdOB 4r6PvAadN7IljRObP3asw+55ia+Qg2kWxOvnM1HYnG9PzhhEO3Kz3n7/Br5YbbJaP6S7ugRDIc5U y0ydoGlvmuSx8q2Ad4hoJjNhT0ROHA44N2jr8mJtiKkfbL0dXwOr1hZNeu8UnV8Z9DM/nUqEf+Cf ULS754v58wASwVrAP3btyyw4f9hS8rizK38rsmKndAqwOGdvZH+BLqUvkylljtZsb3iUyhcznmpE cjH9OLbrfWNCSvW7F5V8a2N7O6FHfw9X/lLsVQpiQHC9NxSaoQmTMsLBh7oSWcsGluzlOlMxPbOf v/pFgptadBjq3DphghmvpGCPhloMykzXJKiVbRyT2yKGGr81ugIFdBtyC6mKs4UyY+7TUyVIS6sR 4po+nKY004cjYRgpR6a3CHRhOEp4a3hWQMLd/W8MNkvyS7/3JHH7iAxgCV1F78YwKAGvJevmAa92 nzFuBmlwqWgGkK17ukrDIH71T+IN9tiYMFIHvp9+GuoVqEfp/B/7KyuVDZlPdYbPjJyXIi6inqcW /rY0PqVz8C4CjZYf/WTa33969F1zq0Z0+qiSs/2OIpBgwp357nWetXZIpauJLSb6AYLGJUMNffDB +SdjAxPEseD3Hv/ti/cf8YQ2YUwt50Q8AjhXmat0B6fu6nGOeNbppEzv+xq6RO7f0cQ5nZW561JH G4gMSGR699ROzQjKOcwM5jkkPcQehG8hJKpKPDEgAhyUx62Z9LEE1yrLnRlMp4PBhCm8WIDuItLh gL3KpjxlzV+i6Tdo/S9NUnSMEOkDZgwzbT5iFOEr2v/4rm4glGztmeCc6PlkKG0IfADXGeRVrP/J MbHsTz56v8i2Lr0pV19e3GwBUL0A8hNmA0x40MSimC+ifJ8qNq3cgQcK/yFCYOewn3RgeoPM1qAr caWyHmnhy8Ofvdsu3Y/trukirY/ILiA+MgHsN8e140au+/w3eFx4jVxs5lJ8BNyDDmFIi8Eb7gsd amWGLfythIAuaQRqNWNoKFrXoKjX70Nmz9tsI+F+r2EVCIYYvKfr1WLzobIV3lnQBFK4plqZIOpz v4o5mYv8WUHg91OmBPCzyRsCxsD/Myeyrf4UQaiD4mOFL5V08b/wsGgCnvE45YYImiLpmHo1apmX DcKIMkb0cSguxWEJPHaYmfIbvTHnhX9zMSWLEUL+d3V+Z+ImQ3FtAekQSIqsGwJhEcjD+if6oEST XGastsnz5va9WBiDsV4yjKcsWxKfm09HDe8vYeZk+ER1Y0qL20WlhMF1TWkFXoMqDyp+1kEVaUx3 b4KV4p53uGKIFIEWC1pzwF0IR5nCNCGYcKEODatze1OSKcY/nFkjDQlZUX7lXYxiekm5eWwal1Zb c1t7jsOTPfcs5Ak2J0S1jXcmbM/PHATiCjOcLC4fTij3RKngz93lUi3tbF96yYhewIXlligWAcda T2eHiTnELYA7j9G7a1CpUs7uW7LUNpIHORn4Qydy0nG/cG7B8kzZM7xOUVqlTYz9IiaUIMTuuh3D kAwoQhShevSwf2T9KSdpW4SrTnfr3h3To7BaQ59ru94QT1VLugi0rE31fPpD5Av71RSlDiIfmReN 5t1dZxzL2nCms9PLx6bH4o6FHntSsHdJuGLMU2eTIJWEV4+sJCwWBJ2Z0fNjFr4ZJtr0NR/SftxD jO5dC/cgtR6GzCDzBcfO5yAaXewcpKDh7Pv70H5KnyyOSQNYg0zfXg1LM+g9na3cdTTIQe43yvKa u7R78zvKbEMbMedx4jAfx64LJl14jn7d7X+b9Lb1advTYT6srjptm0YRJ/nNcBMvOg7qXdr6XUkS s7MzPGsjxrSMThsfktGp+77Dy8w33ylutfp+JNN0SOPC/oOstpN2GdLgrtXW/ZUnPP8Ox0AJzZHE Fip7J3A3RfSOxxOB8smGnsYK6eFakzZvmgEdCvXP6AHd+uhWB8TS8rOgCZVlZaxX85wUj+wL/Joj Mg29u4D2+pZKf6fRLpT7xLxIdreDQ/meedKFICmgZMihMaDZ5TBCFSG7OX/usoQKRA1/hOSUxAlW HmDmFj+OFZMf6TWCfYTqgawGiqgqDy9cYIkz67dvAs9MM+h8ClxiEFoNGRWHoIjB5G0CiD5Pycys pBI5GDZcCF2DZ+QB3K+M+DMHtlm/kD/C64/n2EssF4WxoKzT11a81loeQp1yv0ALVS6+k3mFtEk8 gtmIyR1Fy1Y+ll7cYe0y/KAsdXv8BoIhdcYKhYSOXPlIGvZwVqtPZ3NGNCNFBfqLAAwYRsUMLtfZ Imimm5Nhc29xBqejTgxDH3niLPY0DPnF1MPoi6l/1xRb6bt6I8UbbwvVN1u3MlnolYB7yufoqN64 /OP4m3sA5qt9z8fHx7EJmu0PjgpcOR2lWfcxj6FiWRyDfaI2cJu1BgXIs6uSKn9B+2vpKSd7cATP k6HvV31CBVGO+wLd0mE75fcLZ1h/FVdW63usL8uqAeI5+MJDBzCNttj07Fvymt//y29f/W+u9w0q freOj/eNK2g68UOFu8lK83E/3ttFN21qMA36WM2PY3N5L+3XWfAef+TwthTzb0ExZwc05/qdX6yq 0CkBy7IEHfyC5JcpEMyYKjdEZZOg7fP/Z+vhiTG08EzDLOAo7dxB+Wkp8HwHzLPFAUtKGx/yd4kg GhOGZK2xXX9Pc1BIyCzitRPhllvp2tvS7LxTtbGN29KIrmjYo1SXScqiInGZGCLpmASOH84dQg83 FfbuSMGZRVNURChP4spJ4kbLK4g1wuvdZHoLRNX46HdSML8QH+kpRWgExL094vLzSwIKX7RNMUxk qdc6IknsiGnb8H7xtrTMCQyFlmkYaOIU7i8YxgMIpv3HAhzOvb5lTGHJgI6PeyqLKRUfjeo9ojgf CsdWP2BiIy9ZZ4MfH7GtkflGKEdkScKjmHpG6X+iLLhFeFLyNRlJY+9lkwPXeWEV6vMruvK5JmrP nV6al5xnH8dcslBf5RlFxcEjRvRi9knQMJflfEz414VuN2ZeqPJ3Dj5HAtYLn+CvSZmLCcW9vvXB 3n3QweaDJR2caC1KfkNNQq9W4BfSKK4aiJayB2YaZIWcF5q1CkH5TrTsZkIVZUSm/hcCB4u3qJXp qecQ5JHgTaD92MR8BvV+BPPIY0+ICQOHSLRJZkpZYi+OZv3IVTHv8kJ2EC35OL6OqA1pzFJiGIIZ /3H5NwUgIf8QzuJHaiUZekYZJ6hpIz9al23YRwcL3Kb69s7No5NfSS6MmoIBhvgG96Kmf5XbDgTZ B9fYHDqMmyQ7jr+yGHhvrBme2CqMkkxQQNBOM7dxjkzAkFiY0OHN0dPZ9cyPZSrCAjfcAF0zkO7z /n351mvPTBR9ZQz/wqoD2x3JfDUItANLVQLg8QM2HCqpj5pbvrHcxNdpSb/C1KlWPP5Hlf6+8A03 bXtfS8MerJNQTzKrD8r5iSVaOsYNvV/GclJzuEq49VwlN0nhlTc99i9LjLpqqbk+n19ddkrFjral bcITaSq22PE650W69+BxLx2hgZB0Rf4qDBAkdidK6olMhCvChGnvMljsdlVrntbQ9JKHJ64N5RkT KpyjA9PXRpbTjQvgJTpjNNl2RAVp/tlUonmVNhKJnNLCDv0LgvJI0z8XjwdafGVFcFSxeGxtWBlo USgzKa44Vd/oBCzBIuRO/hWBolJsOFF+RwUHtPtPr33oIL1AFGoAeliuaZC7n/dLK3WIb8Ggulk+ 9N8K7hthPfrvWn/H2+OmIby6ZlR3azKy5xmFeULCEwl7GGPQ2xeHWyIvrAYCULJefv8HUkj2qp6Q 429aMCveZih+G7Q0c8Oug/eQjserbXsID1x1K3tFqulmpTZsyTcdQ2elfKCvAgGnFCm5k5FFkwHe UxpaDfswY0CbBT8AxWgYRrzMQv24PaRezrwLaZlaZgtNyVPalcb+x8RS1rQxeqRyeh+s42SLoXOP Zw/qecfzyxwC/3FKU4LjIbmXXDEGd4I/jTVK65s5Ewx/cqSnNAmnPXYIi0FY0RiUFYrnV6kl9+NN GSDZ4X5S8/Qv6Q3mj0gZhtyNNbZVVIjnshuhoHwd6TzoYymXzvKyU2zb5/jM3aSYKrmkUaWnG/0H 3Zv8pRcHlIPYmLLo8bJpd2fvfykVEkPA0rCDVr/4yyx3iNmykQ1TsLl0yzvtgBSEXtqAfehBClev i5ouKz5D+qlVOtB02HZ82ycWGTpyNqJ8TwaWhRtllR2HW2AGRnf/swYhnFnZE4oZq7konp78UGFB csClNAy4s2i0eQD/l6D0JDkGVxQR1XYRtlEfdfX6BXtXw4kIboTrKp8uHBSnPyaWLY9w6uhgGuFo LCnkDlObfeBsES+3tWhia39/VDALpIbEdfSlAiJum9cHlxHbuwrItEpCq2vt6g7FEG0rg/nfZPQv AzpVjYP9UbIaUIDnFeWvxhWhhYSY0kG3EtbeqsT5Ro/gExo5WAZ8WaMrJq8HNX7sCk3OnfH8mZ3c U7LKLTBGhhBB5UFRSU+8in4zRsUUAxVk4PE6VEjxbptwQ+wbpEg6STKz1sjHBUvHvk29b6Ducqop FaeMFN390jBgMdcE34YaDKA4qpQka7atTc7YHxY5ZphyuvPjtALl184+OIoRssl0cay03PkkFywt yupZ65/tG9emj3iFr+3THrqw5gyQW3R9ASF4cE1HOgjVeUrIRc697jJgIV2M3x5YiRn0GbTDnAxE 07gn4vGoUZtd22lEm1DYpAPP0Ju4yxeFHS6hRErWscfXa2EGWa7JjdvXHHmaOcplTDYd9LxfietE mZY9S9ldfsA06Bfszm/YwronI7QmKuLJQplyKfIn3VsEXCxqay5AgJcgMxQOlASTFJNiybwNVDrY yx8zcX/vO4SnaMPHTLBy95Io6q27f3sByYq2Wf3RrJbtQl94yQtgdFrUhNXXpV+edIgGZIbFTEBK flvJBaBqYdoz8ebBiKf2UJ3gY0oiTyTDvXfXOjM1p4oimt3WR5UuH4R6hodn13aeefrI1urO+an3 twCqAHBFlIHVI/dVUNpn5mwfcDFadDqKaxuERlv02MlEwTTkhISTuxKcD8htRpVrgIFtcPDQR6RJ 7n8wOQ9R/w9/Faagn/L5P0oDcAtS9XLaLMUNV824fbKr8gaa9cDvpI6FqMza0TEQWiEmb4pgNNE/ wXrMplAI6P9CKCzRm8TnZ3YVHeKCykYejXdn7/Nvb1WylHGGBhxbID9JDrLhoCFA2NxppGeipcrw mROvRQnE+FLSPI4wpvWdbnos2sCEOQdmeQDrQBMB8Z755vVI2iPb0E5TELzj4Di9NmaW0T7nCrE/ RjsFUzY/RtgVpMYkkZIYSAP6vzsycV8qiwiiVz7YJg3vjcJl1F0NybU0x+qErconEBGsqnSJSsUk SMRZzOnWltoaKCixgDWN31J/jVW854OZJmVUcGaYXVcTU7Z2eVW+n9rBUpZKVO2D4v9X76pRKFLc lSyq6KZoC/vbkdW871976ukt79t9LOA7oIGxJxox5HeaNzu1D7HlLkY3HFrAEoMOZ+nqAMKt7fPT iowQejQ3imcJUbwNZKjiptpsIYzAmQOOThHbFqdGfJNIT+bMePnjYI/hZmYO0BYvbhAlmiovtRVP vNLA1vftzwrLtNLJOrdWSCUqwgb+JoSUoSj5lZNXlgLJBa4XmpOAezcov0wJzqlpJve1Vcoyn0ed 3x6tv8tt7mT8yrEg/IoT7dRCl5h0c/2tG4em7G99UMCx8A3BG7nZVn8+R1TCi4SCFLBS0Jtsl62y +igEggv36GhCSiESnKOrBHQI4TmXtn7wPmp7xbRmDHEAOi9Ui4xHMyd8B1a78k8yu3kIdD0wARTC nD0kiNVgdRiUJWbblcPZmD4shi0ftKCHl233PDQzHjMx7dT3sPen0fCAXAxmcWFDTM9dUhyV5396 NBoUvhFsN7s6xObkXgXtrw9fL5CpdU0kOirAiy+hwbWNyq9/hzjvZS+zLzruBOrftQp7syJnMoqY bevfxt7DOYvPDTphIsIpoF+2T0h00C6kkhqqfNbJt1yD/GZ3dcyY8xmgUajm2gjxjmWWuP7b5wbT BsbjI3zNgD+F+lvJn8M3ZjXWh1/7S6ARgdW7+2fFoXDBsQOIbrjJbF6rcUQXOE34CvVV+xfbmH8l x1hY/ssIDkA14E8Ldo6NwGXHNm8XYX9uPKDrcDrbjXlBYfkFhjwIU/QkRH8kuQqQjPBGHqqi/IqN tLUYMnwezxn2Hv2UfDcCA7wUVPTAKbraIdVaC7r/c/snPCeaqjlrVAvSUcNtm/3stvNzXNod3kyr NBXtqi79cRz6k4gie0HKtWpO+GiPGk6QqMbcwEGirpzgx9BGSlfDaRXFcrza1AWB6qwjGX6ZZOrJ X5hU1fHYyuFGTwoXXKQ/ZAUmJu/thvyci9gPUoQPOU3QeiXaLnNRW/GPOWiWvmHXeKXXrv+cNcPo oSVvGVzKwN90Hbw+i0I6QjnKckua+g84pF4ETaZiAtEONZ2/LjbdeswhcrSl/wS8M1PDWgxjRodm Q9WRTczto1zywbtGccdnYRZiHgpw+dKAJlSePU7UPfejFmT5dZDSjwmMLiMuwKMcBrWWkCibn6SB YIV1ZM/U2yzgTcBrBF1re4fO4nOhwhAAqXiGOpZ8jqk5yQRcM6bopgZfPHKlH8y2UGJUSEEQIxYv bg8OXlLMCgObg4jVZKhNaY+f/+HgmtnQ3QloWvzm8GbjkEi5vveWP6w8Gfh//5uEPJEtzNGQr3cI bFhLcHJm0diDGUo+TdqY2z1Qk5LOLatQ7DySM6jb6Id8yLuy01qvzOvSVLP9Lb84yW258i/v+2uI XgVlMgrfGdU7x3sxDprEFuaCCv3tY3sTmxxUGsayQz9BVdtjpWCtNeA+ruQAKGUioq+VcJrJ6rpd TIJEImeMFPAau4K/yBw3qrh6b5eeHGLrfs8qrj/k/BO55y/mn76eq6/jTc7lLNkASdxi3mpSk7uB 4BxpzchK1ykFb79UIs1qL1rmwE9osraNi71emr5pZ1kr3xlwyJaOt1VArkn4plbN0oIvzzvjimHG A1YHbLD5qZm3eo/qbHnA2H14GJIublxMNKu8TO+/FBmxid++3LXhF88eFQ6wgkvWCYj8JgmKVOXd uiE6ecETztLf0nZ8+cd1tm8uYTa4PMr91o83hG4MvBhB6XhMkNZE1omWTlfuGRXgt9P8mWR40ENh lAgm1BWsn/gFU3u5PTHnlqxRzHOfa+dlOMZRG51IPkP0dhUX9uGzG9iaIhPYx+sXb26jJUxSHXdu /IoxfrA219uH4xbYP2CBt4h1gY7vABZkt+Cf86uK4gJ5FZHqCpr55UuZ0oVRpgaQNU52b9JdC2Pf kp0kwTdxUtOGx80CUxfsZTqQvN9kg/dEwfYFwmbZg+QyRdHjTWZj1ouwXd2Dzls51citftBfY531 0mM5BicbSgrr5Euah/oLcCDZK/YxuqGQAq8SnStpHWoEoUvHhcg4nHsnbj17FwFaqWiVuGVn4nrr 6eApY+/LQNcKU2m/ov5rb6AGEXHhbBoqOelwMIyp8xkvoxK0WvWMXEaNVy0UhdBsT2EhsBXgyhHY uGEs9qBBHLHc+aYb/OwMFLVM+k4WMKjBqEa7ThP8UeUd1aJeyFJfFTSGnFHx1rMO8nwALBguUrUa 5sJacSXnWNN1EOsLo/C/Gh42S+kWuS5J2m5tQzZLbO9/8TTwoo2jM+N/PA5kRuYW3mez/WILBfoa qfUV1Zov9r+sdWb8zItxHbgfAN2uEN2h/fs070b5iDOIsdz8/WdCBh+HVf1rOuDHuajV7d5F3XRs J/K955z20IFG82gRDr5zj1lJ5o+ub8Ak0g2TyN3SFxVvyoMphYW+FFJAW31/fyrKoonc/h3OgNA4 72qPHF/cakvCUH2mZmVVOVwxVv6/iWLD7Teiijnmu463PNo/Eo1GdVPAIVYCF7DnvYvEBEtqazeV OuM0QGXs2R0X08b9YkMptmDsKMuY2UtEGpOBYk9pLcbZ1fDGCzm1ZNt8NY1d98yBdDQ8wDeaRh/i V1mwP3dpqjag3inHiAK3YksdHvW/iuZ7+gRg64dP0TRoyyXXAPAWsmaQ3/boJSi4OmxWGSyXPe24 vicBZzdFKARmsNRFYOFRumyk2lDYtp5Ql/klHNrPZDeLT2tyHCiRRgrRSU7dhxcp6nZ4nwCkgisY xFDgs0x1XYb+zQSokawWFcbKr3qsRi03TDJxx7v0DcSORTGl3i3Lku2uJorKQyBU/b9MU4Na6Jf3 QCq9+glYtGnoIauGfJzZnFCsMfaRfje5P/lrKjbIkxe73IG8qq8iZhooZcSr+cTpCp20oj5FlOSz AvcVvALwAJ+CrXEbvQzxIt9y5HMqhgGjwaIhQo413MkJfyYqlPOhvvYAJL0U9JkucU6dIZnROP/1 L+PZTwMF36FLx1iOoj3OHs+jSeYC+pdFayYbn56EFgk9gw/Y0mQGvHeSZtuwZlPTBnlAwAf7R6X+ Z8fAImZX9MTaO/4cRQIYH2046b49XbvqKRHhGn1h6Qib4Hd6eqKP4VCXQ/K2TUVh918dSStHsKCM U8b+V9pG7Uj1o0u7i7KyMzwJwNDo3qcZ59WX9Hb9O7oRhYFl4VSVGYeNGlks7s7O3BaOUnnEHHHY p/bgR6irmLC+S/tsM3JPPi4JsB5KwQqmvQ5bpNMYKwrne0mbLHCnPl9iMuswxqP+M65JBADYiKj3 d/hknoJT29OSUmU+ehjrfnU/N+miOiw6RzqvLybWdhxdelykCdvGI5nTsqYrONOEBDAQ0vz1k509 26Q6/KYMG7jqCoOmqtqsnVa335CKrj3Tk+C3HmamKRer4tNl2BMA8u1NuTRalHa/4U9KQOblbABb XoSOWgy1eikL8tARrf3Ex6NiMqiVOzTwFZKeYilzcTXFRaPVBELR3Jj5ipLnb0KGHNwOanJ3SnZe fr6ju+xpp5wJKCL4iDn9iY+IjhW5YgziGnEwVvpe0Sp23aJ5BInwGixyLt5D5ZMdmJTW8WoJuIiv Jlfu+e33e+c9nI7/+05yJjq+YFYjW3HQ1lnNXg7vpwIrIXotc2akWDoSi3cOb+8gCPOu+e5vEi1L vln1bGvikZp3gQYCkS6lJw8bavEoThZuwmLoHqRJaSfuwzP6fjzW1kvyENl4JagVxDKR2kzhdomZ vB9oBp18/aq3hElD1RnapnkvyFApIGwTEk+1eiOj3YwhQa5z6PKyYeO/0mY6g+GjFFAJ9QfGZJhj SF6yDPbbI4vs32x4LuzqZAsb1Yuwxkf2usaTwzJcHStCI9yfGHc7WpoDp60F7eDug3XbidU59IhU fpGohSDxkijtH7597W9f6/wZBdxRQiZMfNeBMvVaWlBUC9+D2ONmJDam/4an2lZhSoGVMG/wx9jk WIifRqAnw+jZp/yPC+IuGOhB8Uiciv6cCfos6FX4LMh6l2Ebrc9jGZR7uPL+Rfx/qTKrUuPjsZCN wmF4dciWLgnRulwQX2BYXsCWKQrlcw4DG3W8/+8+9x7QZTAXdFHQk3zRGShhtmo2r7hUvc5fsNqn xgRdnrIWHKvhiB6K0hZWNZEdxM0X9qDJHZegmxJj+E7QO+L5cVxapmFgTWwl7zfZTHExwKhc1uy9 0GBVQkZFXYK5PYOfP6ZVanW7cj8HV7UBrxZDLRGlBhXdriNXiKrUw7UJiW7ghEgQu9RHRyo7NZjO y1zxVpqTVrgc+1BbQI/JsHIpKGRI1T0Tp0OuHSDwG0gYCnl4yDActTXsUIsc5P7zHNYs30G9twIB cSW86SZ2lyciHSnBb+bV0pvXI+unHXZWUg+8kD3vdEqBKyx7Y0FSWhvu7Mwhdc3a+lQCb+gZE6AR ERpSIzmR6sF/KESsw4sZmy85N1y38vb06Esf0dU5a5NNzujJJLLTMQlNRyBth1X8PpCmTOM5FnI/ U1hi9aTq/0DPCtGdVT6Pzs66rv62kj/WeqWjJ3r20Q1aPD7je7PL5YdsjxPKhXa1X7F57xNgaGJI WGBzL1BylGlB4f4a0Aro+ets3D17o+UHhEqrbUUWAB2ZH+UUaS/gKfu0OD1dbFXT85gIRVnn10xV NniJpieUNZARHpotwgXzwekgYZ/qx96iFYCB/nregnZzjJlQV4tN1L6ugde38d8GvlUb+7KhTqWy 2sjL+Qa/+I2OAcj/UPBMjUaMWBgkmRQ5Qa02551rHSRFTcS+VH/x4sWIgojSfgeTa8buT4tozCp5 Yka2DsXb0PK9UQ3L8KTzNPp8kNzU9xxAm9XxE9U16qh7hjbIM3BFzDjdOzcL7gcqu3oJKFvsC49a MyeplAtPkPVi+AMBYOBjOdF2D8RBS9wjfm9rtoM6oFRqMpZ0Bc4rWDI3E5CvdlomRSG+CTULXK2h vIs5d90gqs/brQHnN4WxsF8YiImjwxXhELGCziNE0Vbb4LostMHfSuV107S2OwD4holA8x5sDBN5 C633Xj1QAH2uye7Pr+xe2ijsWCycdkF6zgtmcwVtwCiRHLSyVSMwXEuL+GEziWpwXO09FWiktasv C3Wu+e4d6/rvdFBcb4VO/iU9M6NgxOCuS1WuRIsWoxSUUhJ82oL6JRVgTjJY4ufiKXobHGH6DFB2 dxFqdRrq+kn7MArIRx0kX/g1OWEKNzXjbp3f4jP0Pc9onzn0xc1dY8jpQ1diTqlzg/BHH2mActpP RpWvi8dBUF7PcPIhjxJZTRiIdEOkU3DONqTG4H7BJNawuBDh563/xI3BFlzECj2NgIb2lCLneDLh yTz+g7r9lGmkiHcixULHofeOtueWpZxqqYzLpQXKRiOKsW0wUTTNQWQZruDENxhp68vqrNFbWcSP 0ta9lHbQUyzDQTP8f/yJDjHq8HAipG3JSLMYHGgoVtr/wSwqdFfUiGlmcKTQbyZJz5ZnJK56ZVhn gB58BN0T+Rb5XxrnspmzW6uSertwyLinGzcJkg7qWhoG1QqWlv/c92yThf5zg0wF/GPyILXfLI/e yJ5H4P+6BesAXKrkcxCPjlPJP9ebh9RMIfuNsqIId3p0GR9fXsS2AuE5z38wGg3wQi6quZNP3lSi YbZdg8I4844fWkse6y5uYCTC5JAvyqCAcDh24e+hDmLNu//VmuyFyT53pq7+i1Y3vR+v5U8YB6Nb RgrpR0RJdyzOyZ5lfvm1YcpMKmJR2vkiF8wGLx0wPG/gbjdlXGYu2yku8uP7us60W6OPirDeFlJW jL60DEMAgdZUTygrjsmZ1pQqe1jX/ewUvvceeN5SuUNNBhBVhkEeXyAaoNoxamtxGLmVK7huZL0r QOhlH5axDYJ7KAQpYKiw6sJtX+nVUQCDRlxtv/aZrEmWjNAWMlYUEYDn+2JR1xNAKb/fw7a4xsij KDz2pzJosx0jZqdFPytnrA1ccl3DkChLQyfSAHSN2kKndw4I7ZZQyTIHLdk8EcvOIZCKZWgfV764 ZA1vMl91EEFZ7Jot/LDw1vgCDIj/IL6NWdmqJsyD8wwKOQj8TGJJAl5LLztZzyCtWNMxucTZH4Z9 hea6/nbj8MkMEHj8h7rcHPt5pfDfn1BSdWQcxmiMuLAlgrBQkj68/I33WI6KVtZoJUcHbgAl4JtT TosZeC6FZYZKJy+hQW2Jz3uxLqicns6ecPeUNFLYjDbqzE16RLEX3J7GWm8NwoPZJ/R52zGeIBJp s4TwZQon+SagtxBGr1wrz7diwyVHcdqGOitC1oUkUk7FwRUQu7h9DTZNnAJglnDfOkKFEbzfP4L3 SB1kxTWPhf/AJCZD5ATR0wSZLNSFBUJQfuqTCSb4Db/Up3D4Nv5HzKlAgNS/yiAH2W7eE8qPCOj0 sM3VZNt0EC2p9dkRoiYhGdGmcXT1bFuDxSf9OE0FMTB3EcoiONq4peaUFhoqTznxgsBFvg+KTjnW mBLneONcNIo5x/0Uieb3mLSdlnY2a5kjRHXXxqrTyfHF1oE9x460uNO+CYQjnBJasUr37uhHUkh8 hLz9jW7SUNOa2ZIMV6IEkDqrWwn18aMGI3hHJKowEn942o/ZHsyiYdrl1qrmWZHX2VsVMyKddS/D e+TpQp+xCKqru6nwjbA/WlueBge+euzq7+XrQaoqKOu4GynIZp6t82hHiQylFe8i3LsHxzB/4UIn 3dve+okmm5crMifJqTDPGtLboMTNYwpLdC+VtYcI/TSMudOg/cRCxtTRldtPG/sfep+8mlet+Bbq tjn74qRxv0qqYj1L58JG4N8V0x3cMQ1vPNM3as5i1nUL4gpZk8YbBkT6m3Kma7HEd8741SDToYD0 mtGkzflotOeIeLIWZRrWragS3GJKsTyca0M3ToZegceQrJSqerKMLl2z1AaeCa9h0FJNzn40MBok 4fYJe6wT6tzaYnfrl2qYtLSjzDApFABwAo1MFMNEaajm+ZxMVzN0InGbUV5OVnsoRlv/M6UKLlXX HswJPicOp5nwcYcGHJFVxC8NWmKmLGsw3ZtSbrkk6Cjv2hNS8IMXfRbEZUCOZjPZrkX0rebRRiY4 w84EnzMmikz2czDr9KF3/KV0Xj3lR0NF34Sw4ngbUt9sUMERj/y7fXaScZ8sPFKiKSgXXRhrePYI ZhJWHfNAlPl92jB6xEs1Sz2XawLYSEVoBtL6esIr9ZElL7+forvGlVDZYMSrl42zG7LH1GuE0YDl r0oW18lkZSrCNr/AXm1G5dwSeMq+W3ubIWeGrp3wsyeq/m1glKIsT651Mz4mLHcO0d3pXpE91sCt VVj+fnNJ67YTX9t/lnd1ImsQZkCqLgaB4IquKerdbdNrWw9oennDONRXEEelxxgJ5Se/AWL75ar7 94dbiz3mZKFQt4uMkaOjChLKrnDhBMbD6Sj7+GPB9RtMWI8mzvF48qSLxM3Z5A56f6sbyPANCJwj CXHxnUJL0jL9SbdcWhi/bA5ZH7x8ycP1qMIG/1kSoUDJn+n76Ldq3A9DSzBL/EtadxrlGw52TEb4 NG4dN+N6ufx1qgIDLy6LP/WR4CL02m0sht8x05QyprNyXij2WHtb5XMAUFsM8ALVMUJOKewIsIDi 1NuzpEAxRtlXkPDr+v+VE3fyi3R6PJ2zOCY1t6hPUIquWPYCOduSfPSVnWo0bO5GUW/Hx7fp9w8B C2AkEyc8LxdW4eUbIvKLmMVmggYrlMopcUqeovqsNFfBYPm6nXSpOz6AeJvHQCCNAhCUWxZ3NRSS u7AGLLQbIn3m7ZbtT4T+8Dkf+wVvrWAJEIdL2ka/qqE4jX6Y4yIOlk4xTzh273EDUP5aYdxDSLGp NG3/3GteK/YjjNRz+a9Q2Z+Z29Q33ahKWgwRUGcbAlU8tyHbd0Hg1yAXfTBU75R51Yff0s4oqKwj egsfeAtP6SCnIU3PZV/D+1FwI6vvGRReW/1GoWZ5xYxgtHb7d+ZnuOjTPU2/MQvZP+lE8Z6/ZDG6 2IrLlEwhmE4dc224UEaRicgdzost0PDHudpdV0MFtwND51Ge/fuCT0oRv9977+Lnays0T5g9yPpP Q0citvGVGx+0+E5YZbDTVdC1Q+rW2+FOhffnCt1Q7f6y4E267u1IH/CtFqeo6Egel3Eu0uNiTL9O hwzOqTEf5OH9OOxsefebWw1E/OOxMPf0FDToW7fFP1DrdazJXsmj7Mie4zdy1oeUGtFposkz6ftL 2/zDIjIKI7BcFHjxUMd5D0KFwk04tkWntSAVp4V4DHn2HiZ7zFUn8ab+utJ/HpzuHhw6C6Iv2pDZ JDZLX0sVJd161I+8mJV0GY33IUuOhCvGThqLuiX7TKc/AzN8NpvGoxb80yy1ISqyQHRDbxcmCCf2 Yq/4v9HcMky1mCxER0ciG2V70NjC7HRuU4oh/YdxeQ8/JK8V4DTqNhg0fuXyERNaASxP42VX0wme J9FmHRVDPz2lPwg1sGrkxsj0qCFtXNcpNwInogKaFG8LODYqQKii9XAWz/SEW2jQfpBsBQKQ9ndK 7ejK6yrp02wktHFBInPTyDiTsbnSzwNpaXNJt2pWIdsWHqNFMln3meKvEp3osyHZGi2cFo9bNCL5 mLGob6Ud+uFgl6e/bFyWYvVlwgT9ibfBCC9Q0ajIifweHLh4YBxcjgd5HES8BLqmJ8VuKwntwqOT fSY82b8CgayCJ67Sxaao/smN+ZxEtXsACks7ZpTVCxlRFVR5B9rJwLC5doMiOEFPFf2foV8kQ9wR eSKrjtwEvNkWOYPOrVRLZWXPin/bFPC6E8YDqt6tn5fK2JkKdFllrPNwyXitZ5mF7OjgEAnSibE6 poXlF62NNXj7v+TAFpRMNwgAIleknt2DiYxHs0hvEP45NQEclmAhZh9rXICSNxjgf72UwGb/4eaD tXCTxCsJuPAfSuDOHd+hDaBldhtv7Hhu08/BL3Zx3YFHjy3uKm9XgUiTE7x6G/LFqBL9FhIeVyC9 zn7nKskM7ZoSFZjQw+p944z86DGMeI72KhXRtiWRLsULCEcc/hXyLBDQ7rx8m8qNlQHRvfklv6Il KcTBLz65t4xmJ0cFky+G4+HVB8XjZxiagwyHbPBjJc/FFauLHKziPB64TCzldsqu9TomuyiziFS+ 1E9AM3au+Ef3ZgaNBXKM/gZpong9YijBNPeE+DPuUQU2/HLWU3fzHEYbKqxY5a/NvtLQqK8MeSlY IOdGfocwdSuQjtWpuvZAYoZvatv+KaLDJxY2IT9tCUF4xn3Rsnnveq1ENLXbm4YQzT9khilmRb5o KywiXX4iCRl2kKEiEHTpGyJukDtwg3CXdaXBLRwPB9XcKr27A1UpomUDC9oxEnV+2fG9VNyvqke9 6NWklxak0i5kx8jI6sJDMgqzfPBhLjuCE8SH5cMSdLmeLWaZ9SzlI8fgAc6bKMReeevqbPn5zk20 AYIeEp9Ic1ABNDubsiZQhXYk3H9ThCohWjHS868xanLHToLTfjuxV3pLk4x8jbWtqXzFi7HvrZhE T2HCJfQhyUmj1HYQ3bNC8XOhJUr0zZZINKg8brguAl9LppxkPxVrjhID+TAVzpF2V1m4JEYMNy/Q BwgMGjHf9iVKEPWWY5rVDoAMEFzFDIybPU2bwBUFNAGNFqhQ4ZiXzoOT5xZXvAb15/7hFXPggjj1 Ik1rBqXi/KLPHl7nlF/hKZHkzgDEz8nypKbdsOXoTyrB6WGPlnpnMnJG1YKgjFyOja+Tx6q7rRs6 TWt0iwj27MhDrORCPvUAKEX3dcpMwVKRII9krwJ1gXy5VVr8oUghLr9DE3rIdu+oSwOgOuOTIPdI Zrgsdga1tqoD8SEG/f/6Y0s5Ah8vZeBo6iE2X0Q2ncs+uK7bOFPsOB6PAdnMoxe6vAYPONUL/0Bg rchqwTD4UYDIbKOI5yDJrkpPdm1GvTBJbfHCONMa5wRh1ZsmL2Zx0kOzGmp1A+jzdGNp2sJURbSg fz7t1CgmCOx4bxrr6buOXkOra4lB0pwzP91WgD9rWPrMZ5ZQN2LPDRC//4VyTduTsNSvZhGKxPe6 KakgiugDPRbhC2UWHb/cIJ/aKgwfWWYth7+Ip38pqZNcRTDc89HgV1QcXJVccjDer1/mEnH7bNEh Nw/x+gig6jLra0iRPPvMe3JcwKPGw3ym3Ux7HdKIIm2n2zOWTJvL/W8Y3MWLTgCuVtukSbG/FxRZ zCVhUGvO0Yx85pGDzQDtoiM5Th6Y+8zz+s7BhPl1i07yq+dic7g1A2zia4mX7YVaMpTsbDg+b6Pe kNNKsmlJ/PY/HGHXAcyZeXgJ/ZxrEFHMP8gqK9/GxKmSGn+G0JTW1QOxbyI7DUP707Fcp3RFUCPL Ywn48bzHNrNa7jw2HJRQcGUX/G1a+/PRwkJYvwXEJIFdEZ03oVbHak2BAjVdqYP/u48OxCfjRyTw sula1XwgxYU7LSbJQp7wMBVsKyqIEGThM8jNaLB2fe865ch1xFIk0s1pgAuU50qZ4IQR/p9p1erB bWQ1GH5N46sSCMUd52utpTSTEa0QzObfoVwy26lP03Sg7Y9DcCGVEFYeG2FHhJkwV+wJPSxdELHd lgtIIBv8RA/FUa5Bf0fGqBBWbwl5k92jrNg5Qfo/SvCUZxKzeMtwSzFLP74ioubrHohYJxTm9DtO /VNAZKs+hwghYZniglDZRCOsTOECEvWIMSAa40ch53B8m6OTV2tVz6mg/e2sIw1+QMoMvvV70IpE GBidi1uq51TYl3F3ZYv9TxQaWhJJmahISZKAvbVL9gT8z+adCYy7Av8BT1SO4X9l4Z7Zu+OoA7fN uFT3maXCHxuS8zYRrsd1izv8duwz31lBR/C8aHNf3V/IHXo8hptKFmG3zRiuCrunayuuEk/M9WFo iHaNYeVmLCwShhhQKUTl362Idjr8CtNXCE2XTOVEUxX5MNTeM/TMpXmhbInsNDZ1XwOj6Ob5i2Qj c7Fc9a23+kfs1zJhIjRiFycpz+vhnk5DU8lRMCQjCzbRaMIJBawS4G6wGpv5eQR9Ym55UJe2akbN deRoJDt3GBbPXDSoGYP6Sx28nAyMC7STW9cnjehVPNGDcGYIviIYI+bNURSWaiEaAbisQSG/uLWs NmQ+M4N4bTVvZEMV4ssjVcm45H1pBI+/du79eRs+OygJ3v1AY6k+gKNGoMBH07vw0YcT/DvXU6Ox zOkWbF0AzZeqyXSBczfok8S2BrRgOmECTvrL7S3cnJSw0ZCyNA6yWH0LonGvthauFqMLQ5gpUBYK ylDrYGc+42Gux5kFg45D94F2OOZ5o7RlZKt9UFGHw1FTQXbBpa3uS55dLK/UvCEG4vLPVvfavuze 43lmb8Ulry0sY+Vd/82XEhvJdzszlMP9A6aTYmCz89eEhnOk+oc3O8NTsaGFU1Ch5WQeGX9fsWW5 4IuGghVW1s9grptAuMSMQ/3R1cGGViJWrRFMMvxkEUGANPGaAzKKdEoZ5h3ErIfugslOEhsQCDfn yp95EP5Q2UC7TKAlfeID60gCd5eUc1tySH7bvOOjkYUptMc0kdj6SVO6WDsUXm58DPk2S0CucSbr MHDdEFcFKLFxsAPPzO2FXVGliyxPG3jiEr9xmU5Sd+Y8U5c2kD3f5wnKi1iWOIkawiKMA2J+jbf1 Enggjka4kiR3Z3/j2N0qFpiBk8VK+N6cSSdN7BL121dZbmoZJ6mMVxD9k3wHM4ImWJaFquOUXRbG Pp3pUchtvB4MrO/lpkcweWLeiHi6hoEH5edzbt9wS6aUwsvcFbdWZ9tfUyn4CbBOZPblLxK4Vhw3 AvUtFxKp/XnOYIl/ooWSat7Y+ErX5u2NjLEjSge54R3U9O8Ilx5xtPmI1S4O5HZiH16ccXv5Tddn T6Dk6lwEYams/cNtm0vHs47H4OLLdwhrPlDjxJ2QJyPTBnzh5tSUJthIm/adp/InfEk8yLVOHIXF /GmgLjfzHl+rEdDKdBE8siYFE6DJoVIPnSYvlGTtc/Kn0tRzjA5kjGBnadKOEOh9u9xiLCA7aU+J F7oVF4mKWPYGioz0yn1AVYQzIPIjh1Wzg9TXl4SXO24FVOwnfz5yvJIvAURsIDbkozr3phq46Hoz dtOUrFCchJ8lbDgD2kIm0Kp9h8rN1P5npwyx5g3/tV6e+XM3ETZST6dDpRQvZXOpB/ndwugxHPtS iWkb7ZATxbs7fba3U4yAmryC83FSAY0UY1t/qRT+POgAuRG6JtN8rHNLwIWv3BernfdTA2sADIUJ 2klqKPjAIPRcGjgNmtTwU6DNnO0Gs3aWPzO8X3GI5tVnh3AQqRD7Koxf3bxjrYAhqlEEXR352zTz hvYxwtZkOefg5OIZWEz/Ti3/lBNnEp/V6YjZncv6tyn3pCaouV4khI5vaOjaVr/MnvOqKdBdKZ9Y ylvduqYirVxFagsbViZobsLT9PmVL3SJJbtSyoJVCBPmF8MNN8R79GiWxlCOL2rofhkHBvJamUoL a77kf0mYNH4DFyL62cgwkHZoTR7yuYjJU1QVJ5+j0+REyl/DUdb94lELzCNrXdHLTzXs1+lIZ4Dx ntRHFb94NrQ220HpTn2Z86pL2wx1cDi+2CUFgpzKWIPIIISrI+gHJpTerPQJf3dz0IOhlY3Ov9D/ DNPtIauHCnotTV/kNBu2WqdEA/V/J6xgZePaFxPM9sMyQ8zDbpUwhKZnPJh8WAVcfk8WkogVUlF7 BeYspMTy7R3dpFrgWd3CpsTvK7BLmBO4vxTsSwkjzYiWs0RuNUEMpEstxu54ugRPPONtmVJW5b7G Nfk+keZMTHt3Hj9w+AEl2DqaN5AwmQp0UMm1Nma6ypsUaBL2FmRz4MHq/wAVVGMyNCpwHf3OEpz8 vdBoi3a7Y1ftOGRkEOVADYpUA9bIGspn7GnteoBuOWBb4AwE2dat50YEtIYS+8UcUQveJKlW6pNA uMWu4SHtMN8rcCU8u5XvqCM4jz9BMOnFkAfT0tr+0N4VpfJAhc3uU562Ze4UfkDQmM7m+H5lCmbP FUumZKMkgwzQfGOwKpQdoI/QWhWQ/Rs/aZpbrett12w7Dr1PLaeft4omc5FkKifxyw6rH8C6UksT yYieGwRNfGcH8J5PwHcqtXrF6LO6AzrF5Djq5kVA8Dwd9R5bqYZ1Xr+W7+uN1ERY/QIUt4mGyepb wwk67i7Nxk8ag7CUC/PSR/MoWKxLzF3iuQnCR0Et6GisoQ59ovClahQgalbRWXHakQgFAidMyuxm wrbBUThS1b53Kl1GBy+T7AGuc44bu3YVB8jRPEeVP+1ftbucjxWnVz03KMfpxBoLaYTR6OSNXpK9 W5Re8sPrCPt55gN9P0vtWfEHATwqSekXd8S7F4CoJmKSGsconmxwZAc1w/hvg9hxeVdqD3JH4u2i jjP36CreMeHnP2P1g3xuJ3iIzZqXxDtxRTOFU5a7OAgYbaUZ6kQfrUjIjk2tA4odgxpOp/wGj3Zc d4ZxffDXyn9Ns0bMekfzMHdbfTFX2g81WqQUk10AFVxKbp7OuzCUnUNyDerUbWXGnD23Ex815JLW bcOz3456SfQf5prGCddq8DqY3AAIvoiWUUsFjwqBVm1iLU36x4aYtHoqtXQ96SjQwcfKBOcG0LVn oHZA3eTXLuPGaX5cv6OYrI5WYUUD2w/r+DmeW9EcC2fdFKJRM9JlFz0U9IonGoXF9hM4EHS64Ede rdhYfN8rJVt1QrHr+NciGXdW9f0VQqZzLvZWVIzLpoA/NGc9KdU2XybM1KSrMaHsawN+/L/f85PH I2QhR1UqVir2DRV6QDYFHanWrm+v5KiJ9VIn/pnEJjm1Mdby0QVSj1QU9whQ51TtARdwFV22WXqB twj2jTJUCEHIi0sI5xK17uohDwmzDgPU4XYzChgoFarFEFwFbOOw7N/nvQaTh3UNUBl4QCQWqEA3 1XYgW4QAxYMMZ6OOXIz1qe5Wol802XKhE4ZAPfAjm8tXvh9is4UMMiGDMIzJ1Rh4XhU5Ll8W6Yzp 5RJ+Rq1XUaJ4TRtBHuZOzgPQjSMe3/rTCANoXxPQUDVOai0iTSljzhOFJ0i7xQoRaGQoZ8VVc46I ehtbDBGk+3AgJZNeGQC0B1Qx6qD73nxiV1ONK33JsZ9DEdrVF8arTJn5Z8XGzE2i0otAigDjQqU8 020yJWuvNFanV2SbipgP73hJ1yKQC/5X6rk0YkXnVJKAVfor6egaT0MrVsuwaaiLWmY/DITs2prk tk/egWIu9iYirK087EY2GI2OwZMAOcW2BZsca7RO08XDE8zTHhI4mAbnA6b8HwAnBV1BQle0Pyaa 55W+5KX/CUkrJD1E/7vKtlFXmbvkf4MKQJKBaixp9yLvLGGs6mOcN7R8uu0f7+CTDcx72/+RaSjg kzcNd+RfRMAdeOm1gBmehnAQTRh6tAR/lvPM8iPQG+ELNq35JkS6rE/x06DuXhqXv/hCctf3u2n9 A68LQnFTKwlzvEp+0wRnPxWQSttRqFqXECkTlPLqbFTqY8IqdrnxQ6DHpUewOZSP1kBEiWvzi2x7 YF31tbqupdYlykCoFDQ1z1Ut98b5b5ETEpdkx9HwxeNxmYVGLGkjlN2V31JQfofezp3mLlj2Mz6e uTAjCjipaM7CB0ZnD0E0zNLlOgkqjtBI6WjZzSZwoyOwmSjdpnG9dqHpDcZxLZpDchbq0sx/dY2R hXlu+/LUdrG22Qet0+tkSR37jqqR02vv06Ara8mqfqUYAO+Cg6dPBhuMYcbs0f/YjxCU4VuPXH1S Gjqba9yMFlNUpQzxld6zLoMPKdvm6oBA4gxFbkYFptrs31NVBXQVnntS8LmkdaVWS84XvtZyXGgQ AgbI1IzNAua+8PQWQS/IIPHiEEE/2nG2SPwXpVHu7yFg+kKGsR4/rrDL+S4E0p1DhqxblyACoehs IDQVPRBlTkYRoEmKxhS9A3qSypL9lIadFHkciLpxFNDgcjKH7FfLP52xBpaHjlE11b43zf7Ga7lE xKV1+/ii4wUCIvr4m4RqdiaGGgSwcaqskQGLrE7NnQHmBoT0lFFpFo0NwUo2ATAoUu23vMC7SHvl h/YDib6qcUlNbtzHPyCDs2BsHbvhckLDrFYmcetqHZSFmRHUzmlO2J4SlaVYcIQ5sng4CVlhkart XsUKGy+kMcX8vDBzJdod0eg9AtzJCT2K34MHgiIDyMZyMY1f7I2D4vdWFdorKkokHb9MB+AZ6130 Q5FfSFlSd8aNSmvUrvPSFCUQg+cnAxdAoh2aB7b6cJRVqeBqOuPoM18p34vU+dRdDlsmfr4ga0XI n7KzoalQYwUJ6BP+Z4BpwYfGp00RGTP7q9LX1igCPB2ZyTSplR2JyL+8vdSPq+WZ7P2UzXBYOc0D e6gCfynpTkUZcAnlGdoUmgPzFPtJrbMOUCzWKZwG0yOHD9joepmtsg9oA0xTJjhvSorST7PV3GeW HXi9oWZHJgHi+ZwnghkJAzWHPXxv4h433raWSlOCAEu9R2GblpbwH99ItRzimPP25QVqA9xBJZ6Y hbOwaegZIYKdJ5t67DzbEB1dzohGGkPhNm14hZ+ZOPML2ygFLpSiKgbjDZ0TE0C7b9XMKPyABcr9 vtEsyMFjjVv+NKxkW53cFdChYdi5v9FJAaJIffcK505Q57S2uLhW+CF0dkgsHsWgllRAh/nJpJju Pri/LLUhFeUA6gXNzGjYknM8Tzo8Zw5JDpU4vaIXNArHhV7pKXmhQ4VI9Ra5NFbIS+D/T5CJ20fT ya2jP90/II0NBq6xIGiVH4rIFrD6oFYdCMWXkm/3/KbKXUy2DXiAMeTKZ3B4UKgKBCUbPqxIu3y8 bBHwv5Y/bgcW8/Bo2ikyAPFnAvTGkO+ZjYRAF173VT87NCKWfWmFkCl8R6TpJJIH0RJpCVoLf5lY 7NBqpE7JP0840kg9s4XAhTOf+8AcJOD7jBMV5ZVEbIZf4/OdDf3Ip6TO56ZcOBQNKirJ3gIcEDTX 8qUmuPHpykVAsteXVnZoEN84SYMGPjbByTXCyrT3LQ1P815xZxDUjuwmSXmOqbLgOuVO3PzokylT 8umIxI7kvohnBd0kWCfswMLlmWzKR496B3W3lcyN7fON3Kk4Cy96W7m0vo3ucJJcTHthIlWWAgTC aALY5zaJn0fzScLbgaeO3DlxAA3+lpjgbknf63wDFIWCZWzti397zS6VQyfR+EfM5YAbnN2q7Xu2 F8MJF1a4Dt6fWtnahtnINOBMHTCihRVTPtBhEmKDXf91qw1JD0uXCsmYb6RQpI2+oH+H/Y/6cSM+ IStG4xJl25jseETDhDWqLMZKdSoq7H0SFpter9/pkjWFCQYCc/59W62M3dAQts9Vyj2vFBJAeAf2 YP+I0mnxat53wPh8lX+XhynyG5/XMIF4jBwoBhA/RndoKjHNeDJpSuqMB12Nn2NHRoyp4OJTryaJ Dh2TwtrOGgpmOH2g7isnMFyDyHKuEZCH/j57O9/RTfEbXDtc4kUovtrqBPPC+Z/MAmjOa4UQpUaU ygxm2XAwoZnffURPJBRBLqkpY1W22Sm7nL2DXxGMyW+Cn++uWMhhgkuLvQsIHjTv1CvW6rVv2tta xEfWGjhuZPzUA5VjcEo9IVoHTJKSkdY/phmTOgy536BVlJy+gpZRKvhD1KbVALMylYJPWOnSVvFA e9diDBqNSFxiEjW6njErqTFvmPGrJDbXJ7goaBL0cHSEXjKOwc3qLJxdkA+F5fu1Zdy0KUT99OeK rvliqZRkLYAgChEX5Np7hMBiSKPkPb/YeGPic5Pu8MOYduqrq8hXXkd4gZk0/03/qvqCxj2VqB3d GQCuoeodyqfX+GZI4g8TtBnPJ8MbyAHZXAVRPW8yLSf+CMDGzjT31kjN/KSXrKN8EoHk8u+PBdTd ymy8+nX5ZvJBG+ohV4xs3BlXxCiFNGN7ATuTnhxGP9QlAu/lSdXE8G1baJuSZPFfWVU76K8XoH2K QEf5fZvhc6LkTVfirzaYVbHRlmEhEjbulO2+WM0rL7r4znfAio7BoATk9/g9EmA/jygewOnU5nXS yY6IKRDZQbVtXdQ4f6i2XGlk/oNUPIY3YCse1GBeyPvUGNi0VHBDD+gzLsZJN8k58yyht/NxoZV3 LZDyCpob85ZZc2Wr0xgJeD1ewg2A5PWOQg9KbXV0U/ZXxbWwDcUTdJ9MWMsbxhHNdbasGb3rJL7/ wjdFly4PxIMBbb97ZxzU+Uh5nAhTzAGsGYYba5aC86UrzxIaCGwS8RKdFeQC8xeZsXSV6hxoMCec oWIZL5ENbScTkzQY/5R5vFiQycekj1COPw8CAigNEoP8MaPQz5TS7nrSpib2AS100xVZB8lUYVHI z3CUn8HqDwzYJGYlURmvDVXgWgh1707DZUVby0nzHyz6h4hkqMXkeWHymjtiWZB2TVIJDcxrBiaX VkHl29f1CUZrzc1xCNWIUq1DtPVdxoZOknua2Dp6Y/TOXOdRIR4TP8jGdj1ujbww6RtikB45DiRx IT0meY4VNu+IesB1dPVkMHzzlGEKkyw72RY7BWnZ48evkuscRITBTiEf2arG8mbfxTp4k1Rj4nwB GIcuQQC6UrmRk1mSFfBaQbjwb2XFgxMp9AgyKo/9DCx5TzSSeDx2G/vfeldEPI6JDYuIz6GoQwJH /KlXdj/kKNNlYPsg/UElebv8w+8Dgpq817yGGXB76m+4H959NyGu50RGz99N2M31/8b349NTUBLF PY8TJHXJ6x5dYBvMFMGluliBdaXbQBjEmdsixV2e72vkImlUzBsT2SDz0TtcmmH19kMCNCwyii+y wE2WU+AxCIV/aGbfwB5rw8vPmxJ39rIdM/wlja5SeIFuJ/SaiNVhgl/0GMivx9Dx6g2At1UtDtxk WMHsnEKETC51rD3BbKhxAyrRi/E+DXTTB4q9znx80jeSrFWcHPtBvnplVg3QZweQQUjxMY8jy+5Z Gd0AKMWDyja06Pqvn09eTORGKk8VWwShyFCMlA9fIrZOSx/i9Ezg4sKniGW05xvLVI/MA0SwrhXD DdvAicUSzsX3eQtYKRXFmtFSPWaI5tX7g102onZcqqooNZAN+1l4JTAo5db2sC4svGnB/6Lmu66c TGsomIDwxSuQcLLm0cp0H4qKx+EcVNfXhHD3A1gr6n9KBcT9vcFIgQtrHPoSAtKU92mD+1a19M45 uzK4v8kIZU6aZBBBwRn4jfP+leFOW/dTx6QoiYsEFPcUukthO7sQJ+lxD2CkrJ5fyEkbeNUgiRCr s7PTaarMiU+xZG0rUJS4k6LizSjuHjdbMuu2j4LhaCZO+PgQp7gWP/2HGycc7pLS/aAr7k2dUlvD AzhXozuIu6AOZWjs/RhqoKB16u9Uh5Jx7dj9+Y7VNsq+mnECJBV+oDBRPfhjuVWpvDmM6vkcTENY /uL4OvJKwag4mNts1GHxCGvvCBG8BuR5MjEH65lx7x8FkgX/Lk52+5GXN9kNRPT2p1HTwfGPxGm5 +gZxVwMiPUu+is1ULytIZWOSd/EcQEMnLgQHrmaWdfE5LNekco1OkjgpggMR6wHtZDIEzqrI73vt eUd4/7VBJBLBAQ7GWBhipmF8Cxqa0q1gVwikmlIlLfl3bi5w2SBEgxxAYg9V2ycw/k6cAub37Yto U7N6KmBi6EndwEqDw+6xXnRrTUsq74vHFKCCmiGBDbpUJCw69Z+Ky1RI1/NAs9dFj1hgVXfceIM3 UbLuswqGa1Xu52dQqbNNnNh2EaY4O1bCvvUA11N1QZmyWJOj5Zz2nqMZxjaati0XOFSLPSWRmKJx Rjekx1kmn4Ak3Loj2jUOF8OqjnHncrrSSY2R+KB4Iejspm6tP7phuTqzdGvgm+tDs8Q6+Zu2DPvh AkKheCm8iDT3kQZpF9odVNahiHv88kCn/yqKKH4HVy1tSy0nqDk+DEHRSG4oiCNmrw/rbJyvqDcf p9D09VLyFvW0iJN4iHqwzE25V3vbIK22J8xlmxBinlm9qb5uv8rhtJGlwdYtY4WUoAo4KhiCKDk7 kHfUqF8Bfy1YXAgwq/8inNzVZnn8ntAh4TPj50ZR7TJDid4pAENrghhyHt2HztfouP8iGFX3gt9q 1123FnPQW6TB4kk9w9qyfLzeBXtrNST5ocEArR9Jomw2DhTkPAPWQXYXunpeivurCZ0Y+v6/JUoc mPf71qbIt2XjQsw+jrvmDlNIRHqYoy6lq+ltBmDIbKUWK73CFeAGN+oQDQi2SEb3cWweYs7Iwhod BHrR2Te29g5hfix187/mC12l+DQjPVXI20X45R/doZGBGlH0C7a/imD+Q2BaeV4yVvMZANWhtNFt 28N6AhIqjIMEOoZwk9Nakn2q4gf3CxT4w0t76uEhIphcP1aerGrP35OqB2wSPe9z1A6HaVUS7SgR fc5hzjj+aAEe3ThHV3cv4H6GUfjasBtlmTYFvHoziT0tRAfIk4IkGXcCUpn+lQ2RbCOeU1PCbf1s jL64E/ecl9mymEpEomgQ4QGi+O+cD5iFEMqbv2APOBAdqw4suom7BzgGPCA0AN+Tn6vbBlLAsHpn +LqSEkwx+Jzcw62Tn/3J+H7SSghacN3NzVYv7hKUOi0TIHlUH8ymuZNheQ6JIhTWhdzCVRHopdm0 e1flOAK9teGgcTXr5/CIOR3UQ0Ijxf8b5k+owjGrlNvY9eEQvpQG7YhSggDeJJE+RiQCIHeW0C0h mEoFiyaHcOgmUx28QpGESjjs1w9oPnmoCe1uN73uHuX+1qEEYapI8ze1kMPneBd5fhzHxCkrQQf2 09bO+CpF+Gczi1AgrcmP8yGxyffKOvNuMnaqEsejTuw9Mna2DmLKElNmuGtqP2xSRXalSFSdgdU3 V/BS8lXvglivxtS1NG5by6vjthZqZypADXUBjwLZOn8+xE0WK8HCMpQdG7rXojmCUnc1duWHHA8k bGzsfJuoHHMSVsYHutrE8K7Bhd1/kK0diUJ9OphbdHIp7kthoacN7zxESGn8w2MTSq27ZAP8T+0a wfsWMurEjeISysrqNSaGysVv3H/Fl3dz+5qV87PjtLYqTkmwatmDFTdUdqYcYVTF/4vQyUHNJFm1 qAkwd5E+QKuJH5MSdLbEXjrVl7vr/Hapg7c9gyHbmuXVYAHjRlktl/ZtnMI+rXdW1/k90UsSgvj8 f2n7MEY7FXtdhcdyu8w3XEcY1FwV4Vcy+l7DwWbCqOj1ipc7b76+4yn6czeUjl6Gsi19zWBjhgTC HcXptcR5axJvl+uzmdIv2oYqog1qJ7jDcZVZTh4YfWxeeATIp90kx9kUOOZY9zZsdRMhRXDYaLT7 cb4nvMft2NfsYNTaXYlzywOxwhqlf9MS2SZvM44qHzn94kX5aLj2VGS/AoOrkeQHmX9ONZROfa/N RU6Cx/K6H/UYmkXF3FToFlqAZ+P0LkhamNagYPOe3IT2dVIHgc+theKJVBhfnzut1gKBuNuTldqj 0CFI4Pi4sMz1vTNYSMZYWgmc97sYpkaYnNGDkUtDIA4Y7g/RCEv5yODGN22NwEQ4BEXQA6rKB41X QfmqXVfxJwU4eX8z+TYIKy4w+L+Dl/9UOJe/U1Ojya2b4m3lTTktHyySLkzWlVs66Uvei89N131Q ZUHHdgNDiQsUAs+OR1iNr/1LSJqI1LOCWPAR8S/DEyT9gfm+y7d3RwmTAEnIm/zFu8zMbIVKBd5I AUjNcX/3AGY8X64W65erokCA0MYI3W5jV8rtijJHKSevkOH9vauJ/hMNj4dvOCq0lL2EnCw9iRjO OcMsptf8UKOu5BL4ZzwzMXKGukyHodmi69emLEkLVDbT9z2KpbxeNpn6vsG2y/zdJe2kkBJrWNzw 6Q4IIOtAkrci9l+2kE/N+J3Tc4vx7MZRnn32StFhBlqxm/PumsX16ISUHF8wtdbM6U5b7iEq6BVJ CW5AZ9JezXaIzX2IONxcBnOywdLNTNuJVXjEvUzntZc55Qnx6PB1/Pmj5JFvHPrzLkFo+P5+Gh7b gvxErXutPPTYDksFGbBtQSjEV0WTH/06Mv3hlc9S8SFnuJ5itBQb9nvsbq0en5ooxBZpSmQ+7e7J fA+fh4ChqNWlL/+4CQtyK0lWbMCTYPvE6jw3zR0+Dsp9wfUSRp1nuiSCWwCgiBLQO45iT1Bn0O4U 3VUdEhnpWDs+iCmH7/uWcZFQkaxEE/u8kHvg2rXczxdOX6+Z0nFtMefpCNTchOefI60iMzhiEcrK yZV5JLpXPN6BgVLbLk0W96fnHDVhM58nckJSzQd3RUNImOBQ2TwfjDBH/0FKPawhL/4Yqyf0VJoS u+k7QRmvq75Ej+bGbTL8usH2FuXZf7i5E5XqMMTBn8RbjHZ5D4ZnWwwVKBYTPhQNYkAo2l5Y23M5 Hoffn0ZgH97zxUGlujFZXKKTiE0mZ4S6NpgEAc5Mqg4B17GPCEPvWQ5kbu36H4ukHttfL0U9O6bP EOSHLfSsZprudphf90l2ZXuTWnG+oAyN6JojwLdvzMUasmBS1SXoKxprzLIp+RmDjkUGMbNqC7jX cJjlmotH2LQKoau+agBnTrHF6So707Hrz8zfMu46fHzTg0uaboo2gPYmSYpevWgo/tT0x5FTVUuF D5WpTuUa9tjDyb0Yj5PeOjYnJqfSJPUFM6sv46umUiH0CeM1vziC9uTdlcPdXSJKLhToeqpGBI76 glzMeunkQjt2ow9elUirDk+z2MIQJrpxKACtVIYssAQpABjfuBYTfDbkKIkZ/yVJiscH9HV/iYfc nMiqpnVx+s+WeZySk9L9AVWCEa/88IgTrpsADFLkXXTNgBuwSf6hcHUYExC0y8yTh25EM5ZNoYka c8bS9zFIQcpLoSmCF2McZISZJvugHVjO5Re1FXphVMPiAjVeHJwRugRLXJtw0GgGm52wCNU8cIhu FTls+PTETkrdpKKTojbkgsYXAGGzgmnIJzLYq2koJODreI3UvzhY4q+UCIyBmtobzs9KaG78uQYn a8551BNqkT8LQiNa/mUTBjBa1OCezsGAHljil57hn/DPYONd7eLRp+Saqwrm8eVKskphCJH8BODF TRItxmGpUrO6ky+Q6+gPF/j74qkKs+dxUk+EEafCd6CzFhcIhUtqhFA9VHi8o5IB7EQAVXOfXrkR Y6rmxnECnWk4gF9RNwSG/vEytHbzrSgWYJTkVEFu8rYM60jQi2IwFkOAOh8v1F4gccmfc3jToJ+E CRLRJM2/kYg+dWG97sqYh2WYKOPTlLu7kem+ZfkJcJftUyY6vcdX8u25II32justcj2aAgjmFAGV NfQS/HJiqcw8qxGbja2rF4WJhTb8loxr8vWQ/mjGgs/SaBNY8tJOAhjjhY8JjOKuvb8WC3Jy5HFF wh7L4hAWH3EpbTwXjMJ/mOZ+1VbE7gbk30KbrMygdJomIJilxxnBaKOdAf/k/JoXK4we/ybZryqh 5VYlRjrcBxwwczn48GDuHm7lz3+ZWMRKA4NL9HA4+PyBtGp25IPz/SXFAlhNrDNhWa1lkBLzn8i9 uL1k7ui9OY+VAwUGlFhlEnevyqbLzY8c28R0EU4SG2TxHiGt4cac8ij2f0TrQLnhzsVHBFrX5GdQ NoDS8c4wVSVoT5CmAVsW7GujCkfWcaC7q0WixQpkCwZYpxctss/CbKl6kn1YJ5l550xAuyX1wKhM h+e9nt6qWHoIcaCqZCx+4xsg9tkPlJpQBzQj93qH8ZmuW7PDV/KnUp6EZjx3jb77+6Z6x8P5WiMG pY+ZWGN/vaxvkLeWhvbopP6Hnh5GN5mVbzA8rKOIo50t8gyChkWkspQBJbTynqbbYi1c8U/IWlLx rZng3sk9LpApmfn+++8cbtI2Cj75uYHsAcva5byUzgBoeYxLfVU3N9f2m5Sf/+8CvFBZVH0HEGqb c8kC/NFqJPx33AoD4uJqIfd+6bxOGar4T2QjjTGr2hCiVPSO0EJfT9MByEFIhBHrqF2qVdBiMJb9 n/yCiNIk7HFHH9HSfPhey1bZdYG8U5SL4ujzEzGG5pnyin2rrz2f/XkrEz45VOfeIoNhnrwpsiK9 +VOz5lmpFSGF4H4iBdzPViKESsMEznDpuT8BoRbaeJqxwHGi7ok3qhJ2CfjvXMy5FONkA6EQqlwx A2duL3p4dhlpkdDJt1FFaF62lAmtTGLnvd4mfiRiAVW2mw74fedqHkTXxDclixvPc9lrVeUNfZAD geR6FDIG4ytnqVF4KYdzH0DK81fBtHEjaYxdWkviD39wlmzDmxej7qJGN3WQIRBVCNhpZP5hNNdL YVtcwRTrhttEVm77qFnLCwBL9zg6gwsjNXOc0JIE+7OYBChorZi55Ij2MDTGnEun6jVi9+qn5/Dz hKem30eY7peUJLgSJutPeR+LN/p5sC9Yfy4PnCLGHHocpJhnqEx5+rKLfKf8KsvM11KYGSFejo4Q ngIcJ3rXL/PabMDbrXHzWyF2d6bdR/iTWuj+OpDXxhW2RyWQRsrzYjIm1EPQeX1NP+wAQbhVSxxH w5SqZ8+scjNcTikT6xhrWLXj6zs0uWNEwn/IYt1lmVceVPDfkld21JyPXRqyZzLlaQciWVzYDaxd HGz5YswfdYrwRC6p8XFwr6wrKIgA6VEtXlo2HMwaR0Z9up8TQTYx2sHeKYaZfcylSZnJZ7shZIYo QFJWSPcBJKnwu5zyKYZD/Rt2bq40vABnf0whdXr1HS0Go/vPWjipOiwKub9tSUYigX3cb9j1WXJp M0AB7pynJ6T7wwUHYlTrl1AAQ45pB8YBdWppqyButtfnWm6SwyIW4BtgY/BpoJaLzg1Nt/aajw5P GEVhVcBw5wOUc0mL58MzRaVrj/UCijv8DTJw2ncb4afp92lgW16C/tRTGXAx7CEx51IOutTud2zp gSxfGc9pKH/WtUHz/Rk3P833fY3ihxQNVqu7hAmGQu8Q5AxPlZRgsiY5KRGO6CamdDHnDpuTIyIf V6aiZiF1NhzuVOgcnRwJPaQe/ofMzsp/Mc8MX5S3Cm55J1JIUSXtRrRKCHn72xSzl6bbj0Po9XBb Lp6WmwmaRpGd1OnTTnJUtG5Q9V08Cgx4TJa+HrBTgafC1mla6Fkr1ZK+cT0g2jl2bJKvyY4rIq7Y /cDyEhJAQ5Sw7q5tZa65qhOk367JaOo4OEsDNLDR7vgBYncxceXr5U8HY8paLEsmj6qU6kZ1DfIY wl19FppUhI57YVFAXjt0Bv8GNlPF7FYnvmMcjoM5Z05OTiOC/WZYOggo+FiiYYMUUzEmzeHJ/pth vn5/uOqlEqRPIN/62SL+uTBDNSqbfHKTm8gh+GDjaVXoRA1rXtC2FoiNSpR495E4JaWZNZ7GXcSH wLIMZW0kyBGOnBtAlOB5iWK5W+/gfa2mf+R4UL0E2StujUoKgdquefoznaHgDkrWLfNyAGH2qcUo AG7QY3hOQVNeMAynyTfUQKNGVn9kCf6g1QtK1QBJjExo0N70fyoC/s5o3nSvKREt05o8vYIJOzrm YX2lQ7sirjOE5XlV4+xq53WDhxkiWjJAymiatAzN4XVjq6UiVnxrEl7AbRcaMBcSbw5aP4lNxiSN /4n9PVh5ngJTXEp0g0dXqWdhwDbVGaeSI6ztn0ThfYCy8JOMj3GDhIgO7aL8QGf097cd2bLJcvGu E+f4Sd9bxpxuBMPkATJiXoF4orjJkj3LPlnrZTCKmwv1R2z8FcP/S7EIbg22v7N/XD7U/zk+glcm lXoJ4f+BYcdKmzUuHAuevYlalGn8cO5id/027tl58EayaxFGUzz1car4kqu2aHys/pFe6cN6RaIo wnaX1OVgjOVMc/LmRLuKH08ONjeMaJiIo6ih1WnLpTs3/R0BoQqVb72qXAw6RNvfiCl8zGoRhRoW f6TrstExjAyEA9nR3c7CTitkBTUqHtOOQrXW5VNxcKJ2YqLsSme2gzQmug0+Qyg0bAK6Yj1+LPux a8iJ7b2NxXsGwTVoXposxKZ7yBPL9+QlwRADoLD0bQfydoYDYKWETvhN9ENWpHdBc4Lv5+pqkWWk YvmRDXtyfJ0OPsckYydlG+k8rkx2j6fCx4hPDQENg1mKg1dwlzzimrFIWoA6yT4lbCCOcvnNhQKi nvf9oQ6M+hQMwDts0bfejKWm5ty7EXO2VQsEySWrdLaAbgwWoa6wPuKVCVkuFNf8WQ9B/8MqAQLh 1htN1eeeSAlOxnALL98aNfbBXpkuT/EvNJX8ZPpzhWDSowTeFN1SkdFatHIswPijLP2Z/FOkgeOR WbgFe9Otppm1itKXfOxDsmNO4UC8Z26SfgVX3+oq5lJzp+uKp+rX4I0Dvja8dnhJk/Ia0vlIqM8Y alSea1bRYE2ATo6rp5FhKSzT0qDxEPi05qWAnDrm3HoVpAFAYA9YP7Hkt13U6NoPK+ZRNJnXkCjZ gRS8q5y42pr4ziftHlG0KYgTQpjFUmv0o7zcfuOhBvbeBYPcQne/7GSp0id47h75CYekHZKDkmhf CigHPLvrP63/v0/+CYvLmuvYgVdhf/ZH31mT4XatauYtXrf1dbFZ6TZ2UCqg5837a7L2vAUHrSG4 cwo8Ce7jiCnEbNSAiHCZvlfiWcYHi/AHfDhLwayRzlHb0QRtkRnnEknkvpFQ6A3nKDbfUN9Ebr0V VxNH2LljkTZTI1tUtuKT20ye237w2iNComEN5EfGiG+bgyqklMfbdvMo/tqboB/6J7Jl6ozIZHAE 2+ZCa9GJo7sgJ6C07RB6eeGNgXO1X6hD/F/Iw0k1dnrTF7H6yNXSNFB/8B3QdPTOwt0eAzTewhIA 7B/WYCduDHrX0IsgM7n5kYo+oxRTeOJKm6SeBRzwPDDtSKA8uI8ge3/A/jv5Mk0gLL8hvxFL63eY Z5jjQnbPrPN/80WIttsjeoKYTJgcYXdcg0LeQk3djrEQOIvB9iJpcRxS6DD+BEWO6UijSHYQH1zx 3NcbWy7yfdxk4yLYdu4jHjD28iCclXjABTULiItHPsF26JjXXfGHbwByGB9e564UqeyRYI90mBBf 0X8n8AcOO8EfxSQSoZwrhlp5pl2WThCIH7E1NQUFMiDBU8VTRu53NFuFxD5rymfxOEsaYovMM7mj ToYS4T4QZjcAscUBoAt7TFafwdQergGYztYphZGVrxTJQU4xbG/bw8GgciuP4bFtdxYR46tJO11Y LNive6hkRSdA3LG1dGl/JckAOlvVLHEz9KlTNHxtE+Jv3J2a463H4hOn7ER+1nK856j5qrcUoeQb O9EP03doXl/Q/UiAhzHO9RYGI1PtsVtws3/ikGl6nFMeAecSOkc3GpRukpfwGmdK3lQx8PE5YR6O oROYD/ohokgb32yCi+9UvSwj+xvu3XpPyCmzFHpInAPD4fYvcR/E68n8gWZFqKp6al2um7LVFme1 ysbXCNmWi9EQXzkHdSKFkOt3P1wcPEYxWLzpVvfKokDbWhFqpU9b+nEMrEQTP4yi1Fl2vWvWavZK 5gbhJzzBFCL69NsVLGKLOvqJMeIVNcDsU7tM5Wk7OdpQDZ6aAzc5ArVTBH7jPPxfM3wc01IJhvpI NIgToITXCvVEabm95797rtUe9foLZXenYJCLyDxAXkb1jNeRe/pdjsdJCfXTuiNFS5A/EG4X1XYY gGzFfSfI7SiGxDaKHOYK1d3yjH6wfZQbThmOQk4QYaUO4w19BGqjpmTUPwW1vqIN1TArDIk4lwhJ Hh1chnd2x9vys5nuyG3gUvVXC2uABrsfU7LmehocYUzKxcE+n0smMUGU/5IHF+x2dPtR87NhLZxn QFbtwPPYHGGT2bFcZ/0hncNFaK7s+dS+cwXTqiXCDIb9wPOv6TR8cjBbx8L1aG5DCaIrOGQ/gS+C PidCPUPZojCSK45+I007Lz4A1aflEcfqpgcv8XckHwcPdOxRf3iroJaBb+5be+D/tstfR+T3DU1W p1PZQyA+cQRnc4sw7bG21rS7QKTOxiZqzQWwYHFlefOvMPWDooQ2uYk6KkaIFK1z4b8reVeswQUo TsaNCflLWRiTOv43TPRwEhGp/6YvfNJCjE9PQKslq+RKW7ws5+BikVhSx43iGLY//LI5IRkh3xzH 756hYNwDEFD6B3S+XcQ86hfqQYwfmPNPZQaCDo2pIERaf3MHxOzTC8fWJIm7wsWcNzhPs8g3mqjv tL9Y6GE4SmKPAuPteZkeHoqAXTPQAD+M0PJwHVJL9XbkotwvJhJNi+kzOWhVwVh5ImWaRkTQvcQZ 8da0CWxYx3d2qjkODmtXpp7wQt9mcgTE4ADEVD9tgH/TBxHnTsCnPFUj75M+kKriesA8s/Z+wyjy Y1EEVxCav5eL4kwT9jbkbUOxgX2cWX2xnVyaImq6oTHZaOWu9zWW3bAMg5lxE/drodkX1gKhlUo/ sG8WUjRDsLoyO54pBFji5OfEIGsQQYAWDZHvTp03qhg8aLHKxd2CpPLolaXrUiWCaL6UmBgHNuOL q3nJhnQ6DMjeLRJzY8lg/qiBxS9geokJ2sI7+ecaZgFBSi9QSvqv5ag37bTyMOMCsE2NtnO4sEoB 3QFJXXWEs4OW6P1oWHoZxZvfCS/OCzxMZbahAr2GCmXTvvJWJ1kao1lNub2R5gR3rOk9scM41M4+ 0CxPp3q5odSy4fTpfeam0xPasX9HtJzd8FAQOPQKhVae99j/VCD8qeiDYXjxnyAV8xZVRf5gmpEy UlR99/xsw0XanUbiYwa9WDBFSDSReqArOKDYbq+hgjKkdx9HymOCbgw9/bLdiHE+WZqkbDWmf2U/ /y4/28SgzubpS/sr7a1XsJBFzAer/ffmSLIWEnAsIxnBRTpsORVf9s4Z2+nG0rRNWnYoO0chf5qB QiqmLQrSyTE/91ygcr0zD+Kli0OOZYbF7c7wX6jt6c2GMl0lPAeb0h5/WSGfXiKUoYPmJ9GeSlcT bXLgXu9329sTNxI59Gpts3ECTpkddgA4XBvoLqskfRebz6urJCnmiLYbqbfBFJv4Q+O8EEL4F9ps kTKcYNQV9A0cadmTO2KSYV5lIGGWPwf0w9ubC1cMkVKtA1BR8Zj+v22at93FjRA5+oJx+aIWgwkM 3L6F8My+wsJrxneFrv9QlncxL4DyAxp3I0T4kwyDGQ99G7WIGx2A8hrAWSCO3iLI1DWOvx7UbeGb Pgp7vnw9N6GFjPkX7Chuus4R2ggwvnNuBNR/W79UQfLlJTqaWuj3b5TUcmktyPqoKBElG8t/kJ1T vOiXNqhB3nFpBl0P5VBb2fBk6ahC2ovBUkKRDEiD61BOAnF9tsF3LboO2MEQV/A1/6IxZ9s424Qp 5oKkDa/0tBdbcElbxJkIkFCZqjUBKF7/M3eldHyR9nJw60aT3izkwrsUh66RbLbtmd0EPCuSEK0U VUiHn9v0x81F/nCVnGSfV+7Px1Vf8lkaLBKDfAEH2AqCOpz1kvcLkuIkJdJX3jTBVox1GyCJjVeL qB4BqHfK/nJHlWRA8MU3bEOKdwW3yZWr0h0Sk7mqxZ/m37UBMpj2vP7ShrBO39tWXKm5jcM0Qpav lx/DK9T/lOD/lVvbXZgD4G9cxwqa62cgvy+EbcLa8VYzoyOeY7mFvo7mqTMF+iIiKDnVh8tVKw96 i4nq3Gn7QtRxNGD+e+ViCB0U87PsRuiOUllkyDCgSaKrxwFad3iwnVJCrvT9L00giFFQvikg09Pc lvOL28OabVK5Rqb5BzlBCQC6RVYxRSwlxntBjEa6Bwwd3D6afrOoDI1R9yfh8EqVw7TPzceAfGsv z0Wu83dq0E37HChtqAMOQqa3wnYDDpxlPnO4qQgmPLMm3d55LUKs3uku6SNj5JZIOq8RzuVP6kfW VAYdnKhzkMmcPs3TTv+eYLE8/ivFcqTnxe1pZc0HbMM/XX5LoX76JPwELTIc2tSgpGl4eaoqfwaa qNxoXhwLUd+xJGOnhTBzOvQodjizt04m5vQfwbZde2QuixS7P3kP/hIXtcwVpmlk6fhLeQRHWbj1 Kwl51UI1/aISFQwKHBL+R0kw7m756eEte41wqjTIQb8H0OoxHj0bU3g+7p8Y/upBf50PSqYdv4XB aTLouC0PIakyfjsFGkmiOkgDEwnQu+gmYceHGBS2y17amxzNtP2RzQg0m0p5Ubw//Qva+IonB5FX Qrc1GVbhlcTF/zZRel+hCdoa1z5WYllBdXQOw+2UByE6EFMCQ0Llqtd1Ff8CIv61FsFOnazJuYG8 2fSwJrXIEMeKSQqJKPm7VaDvnuwgUiHTMyshSejLNfFYl3Vn7c4kIUcFN3KZY+UqZ7k0ld1zieFV ypdTOyCicDabda2cS9N3IN47d6AHpC7OExyfzot3WuHVN8Ge91FGlaHhQqGtoRgcnwWoZ8MHqi68 H0UeHHpr6hhPKER9kRi2J8ICYHh2kg6AIjo0d56sX/z7ikaKmQDmWihqRWkF3PPqZGRffms9C5h7 fddwYOjXT0q5To7elSWtthu07szsm0nJJ/czMz7PKrV6RiI7KEdH9bZS3cubl4tj39lRM/rbpfjk IswnFi4H5Lw2d+QU0nqIHXI34GZ0gbgBLbOicZDhWIbO1omWVltIain+RV55PQ3rxJ/fMtCX9bQd khBJC95PD5jOvsajnTss4EaBg9qcGPkTi5nftUkyRAyr0mZ6UdZ1ztvUq2O5+2Zly/2iSsNiF5EO 1LtFnXq/UPYVJ2esDL9pMx934mRrcaOZAcA317ErKOof5y0Vq9Q09xAQwrEUS7QZCgylXBI4LdkC 2DbZ4er5r1cub5jneJ3t04ubv7s5mDibGt6dhisu3azevEfo/4PbY6FbWp/Uh2FnxQSG9E2iEOrO n+0gc2JAQ8mHl31iz52S2XcyQJ9y06xoweIEBLzXkUnDly8XQhueMFxY5pq1YelMoEApdCY8uZ9J XH9Jsb05Xt6LZX6h2YjNXQcW/Ajj2NWkadStrurFH5ukaXRvWTFhPC8SWGZZ9zFh54FTDbstE1dJ 7lWQ6Es87JRtyFfPCcZ7fG8vmWDjCB4Vwvz6qtlX8S5gkZo7FgZM0JMsocBYVyQ8qa2CY8C5FQ5K IGVGB+08niA6A1sxNS4wlsO+VnOzOSLz65HcPoVVc79Yx1QjGlEcrUjFL9s8UuSnrMqzHD/T1ipr J5A+fKNt+U6P9L05/6tAPkTRZrPaGa+vogl1txkYLe7vpIoIduyZKsmUNDCNHVaGL9SufbIIUU7K txeyQlGUay1r9aKA8rmHc+HdIetapdttfwEsYcomxTJGrjYs8S5esm3M90Sqdz7pz4Z8pNXeM8WE qTz2tX/rHzsJz+yI+dxBEwWWwp3UpvlSYvGarBa8YaOI4TXWvme+bEL8bfBeAipFnQ+VxkbfRLyv hZEFBZdG9ydxT1/uOQSMp8x0/EkIntvSaEgDDnfSgCw8jtfcRmklRaeQWKNOrHc51yyUQO2H6Ana WUaSsnv1vbnio9Fat2Sbm9k12t+L1SbYm0sezKLnHoW3HN+mDIPJmc69inP5KFye1kiOA4J8pZle MkXMjij+JNKgkFgbzaCI+h/mQIL7CBTq7M1W/ndApJkQ+6tLREmTorWxsX63zxBeNo6k+RnzGT6Y WVZagb6qD205OJSlNs+bQdjFrf5tg31miUjHIy8b+nKBekGBNE0UTmu0Irg9+XykglZnLjbiksbY NfJr44/Hl8nHCA88Xq/oKXPdKlm3gmSY3crmPa+DDLspHZ3PbYPoW3BrfMnrVT13yFkakc+D/Qe+ 5QIGSq2vUXNhkIiyDy61Hku766AOCg0ePBciC4JW5vyFsBMgSWMcb4/j+vmxwjg5Mn1SibHtNMmA kWFgT4eN3fRHFUXbxTSMGQ0uUndYS5h9XvGzUPQtYRVcyFj+uxP+DN+768b32lHG5QD3fsEjMqqv S8VYMpgV8CsqJeHTuSzjq80LtxOcO4B1GRWGVlhdueIJ8GEmTNCFXCsKoLU0Rfl8BMaefM8/DBlg 04aCB4w6YXne4z+dYJtM7JTIjPyEn2VmEq+ID744LKEzXLGMzC1pHUM23UQaWIeExF+9OWz9+eWX q+IoQapgir3SH+gcQ7L6L6vSuvbYlJAyojniPdLhTiJn+PI/SXTny6iZjiORXC0kisn0ZXSMdyfB awMx2sGlHmtdgLi3biv+PSqss3bwW+Om53IVnGTcI8j0PqpygN+U2OcwMZ+FwWp5BdgscuQknqQJ AbmrAAVD/oTME9K1ovXf2hdQAN2YUfvzydb1U3BSIDJ9/NL57LLdOOTS1Lc9pLvjbUsUY4mlTrpi rpSfjplUInPLwmkZ5EiVzvY2NDddwMdZqjq2AP71cCwf1Y9RVIWSzhdBAmbZrMSHPe/p9WrV05wy sRsLDBQhw3J/w284D3h0DxEnf8hXKQEGGoF8sBXGe5hBglNhxqaHNxGHLvYfNVQT2jHtYECAG28Q P2cljXbibkkiYdbXSpiv2R80E2KFJP/VwNnlnVFVo184q0r5LtPtXhORXFHhpHqiovmXr89MDuSq Q0UXBxOhuj3eM9n+0UokHhPeJK9UN+BsAKhfEeG1ZMfp4tAPIIjJPdldq6NfZ4Q4ZhMSWU4zfn8H MXhD+ZOLEhqV3t/uzVK/vePjcKchloxoqekm7PUMBd/dUhRXjSSOl7WvvrHjaQ4tv86EmgwVF7vd nyktUilDHEwzlt9vOJ21FQSdL7N6+U+PZcASu74bcd9kfxnFOOvEm4Fmg5LMPEoVbYDw9Ax0byzj sA5RDVxnm02L9eq+7uhDL9HbWG08k4P7NppM9ivnUQAW14/tpFXQKAqgGuUdVGT6wafIF6LazOXQ yE6mhEBro2DJ+m5PsNIuqe0rZ35WPlEopDbWrbHLKD3FVu9yzbT1Fdtb4AbkE8xYSZzhoMpQB2Im pg/A2CNmhvywO0WYqh+4dQbaSj/P/PEgsXa4ZfaCWPUZKvgfEBG86DzZ76iBjJnixVtgY+cMmo9z DojBj9ujmhdcUg4MwtvzMBiNqDi5EavlDEUU40yueB059ybIRwWp7ox0/7axU4RRXL+BX5rpMfdg v7ufNLkBdThuF58AT2j/JLNjKjVkQkzYmT9pf0wMZsVqn+4TpZyu61gmRc578v59mIrZdA0Urs1x 3xQ6v2vEFBD3rviz0pAk82GcWDRM/RtWkb2uXnccGVHDqD/fBggRZw32RfmlILhzkkRiWe6rgvuJ VODIkPmPOb+9TVGDjGuIHJeasPN78y7Uk6ZyBD6r+FyORbD2vq+DZPUZjUYyZ3foDONXiV1KyJgL 4wy9vWrJSChcxg4VoDj3I0CAGa2ZX9eR4xVVuBjOsNJ61ApEA1exzbAr//Zdq3Om4mo4pUiAn9VV Tq2Hf+7GJIlVumLA54eH4tgTS0opXFM67OGaGkyW2s45KvftH8/HNQ04mB90eDZqG7KQNuoyM6og bqvUY8eDKcCNKkTHei49AEyuB3kVfw204RAL5h2KQsTiXWdQx9F8SidHS6Y+lgUnSLa4nGJriQZc K4KJa82sjZx052pOUVPmTqH95FiznlHc42JabZ/ZNS7S5Bt3m3MCwsf2FBkTNq+MOO4KvmL1/Aod Dpyei2m1N64EXDzCVXMmSiR/DdManGpbUmAzdECOcrfTjRo2aPsrDwhWhzXin5gae1FI2LjCT6hY NWY1UJqV6YnnB0ZAvZVpnL15sB7pkMv52K+RmR4SqjkBI1Ht2mWi89WdXocFyYn0+/miiW49M36w KodgPdDm6iRYvutQoQOn1yt6Qm8tgdJidUiF/rgh/QDd23N/ZzMqVB+4RjoUIe3v3njJaGYdH2xs X2NiJhHL8z+egs238GTztz1+A6rdAHzhPy3pQMyIh1Xj4UdLBJNniZ/oqZQFgJ/bOs+bjFyupwJK d2Ah6sIZeTwmyk5IssO0Zma4/6jPoV2nngxdSaNaNJyyRWL0SudeQzvCdDBKqm5G9aW8vObs9DES HCPAs5LSHe+ooIX1xowWpoW9MAjypft/RKqxnS+aYlpag41WtnoXZQFKLCPkOixySRfeuAbG1ewL xdkif/2hnsOIvnV2nE8IEJIPN8IZIgGekUF+Wnq77Sn25joM3QqOL9wXbnf4AXmzKAkYEFfBkqne yJO/TcW30MNjF9/BLuOOdPOFLl4TM3JEG2eovX3zBVwwZvccGRVxSAacQGni78Xc79isKIqPryuf AKXhB3tiOYqfnPiiDxnff2aGLF4VpG4jLnBGzPt6pkfWhI7p2+2tyF/+zY+usVuJCwU49tTG13iL uFfmaZ9xCqDGvUbjjtJ9dG7ydV4hc0D3g6+1W3Ydbq3kE/EwstQgOksGsGXFTbaVsFLxwJ1Mnj4e X57zEgUUej38zFNaMonKXQFWIERhatzaYkyF/cKuFKt/ww2mzoH1l1G5VThlHsWCyG8k/VcmVJwL BI1A1TSZ+6rkcXn/JUbhKsjvGdYasMi4ffT66od+7vKiYHXN02Vpayb/OQDxN98ALWnqwvXR7uh4 LFocFtRF1VvvMaP3o8jTAAGKGfQ7Qd+uR1pC2k2TIVHlUo+FsWxD1g/gVvlszumSGsZBApLItJBY Fby0mkeGEU66iko9Rri0S16zXjq0NUc0PqSr4TIt5reFHCOkUYWz4zpPqKEYQPuP6OxFJwPL+QnR x4/GefC70geuM3diTsjTPmRubpYeGdWSvHMhTKBRWhhllCeFl3THr9fEVhr7tkH9Q4zyp9z3Qwps BUpFZ+QUCs9ZO3PHIDPKYU8CL9sQWcMvFZQqUfe98VJWaq+ZWOqQOUT1YNZ969KjkQq/pjVSEj3w Bo/GwhBYtloHhDi+zwHcSNPCV0Ty4rdUHhek+L4pSyZdVMFTMgZPyCsCTey4pDr2DaYV5TQ9dpvg SuEq2mctMvPNxwue/SeOqzRSCxaHZdPKrwFanYeQJFQ+rOZZ5pGysjUTsGzUZQGhzaqfo6EU52Zl WUnaUx349XHrwfC7U39mGstRps71jMnr+63dokUgQ6/tvuqoiV/d0K5X2SHD5goh1eBxOgAtLG8Z 8yH55e9IO0FrUTzjVTGyZ4rgHgrfgp+C1pzVdCf54j9YJWlsFu7QY6wez+AJPt3KQKTA5OxfG4Vw 9HE1fGNtN9Q/o4hakM/sZGk+CKKc6tub/2Lks0Eb0ms6ipf+bwhUz6S0vuLeBU3iHJybho2YgOCC 2ChgZJEzaldijxUEc8DvQ8eKQfib5DZJgb3mlaUksrlps/uOFhfptxmPUQCNCG/9liHNjD7SOGG0 E2Y6Q13hN9pWiq/Frv7PASK3GTwY34f+pGBFNKZc4uyiyNi4spsaXoq2V6pHJMrfON01deoA0xOc nSy0Zk/aSEf8Kv5P45IYXHMT0TXl5Y+YxTU7J5aDUWQPPXk8+wuHNjQe+jye2xy18oa8BLhxWtHN /DDxfaV37k8I9oWjoNRNLJ5TwENMLYXUq1FrxSTfIhHzEmdQNvgi4nsQYnMK/Ke+fZ1S25+Loda+ yBWX8vKuQkQrNCwvtFRzW1Zou0k5f2SIOvHIZxO1O+bM6Fp8DoRt//7pMvQbwh1AXX4qMSrNECse nkLLDe6iTGw/VhcEDna6amyjFIWabZzDt5MH7/gizhTtSiePc3DtQhhbsYiKhsDstyeKVPvOwZVj ZA8Y6G2beAqrSwi+0p6NIUh/RTP+/O2vmuN9QoItAfH+JyfGWFnn7ImSHHgMGXfwevveiT3OAY+i Q0KsLfurpZpt3dwzQ/sXQeH3udMgurhMcHHtOoqvp2dkBksJ1UFoiltkq04ytSezeajXy42yDxke jYNz9elgdh8cPPqaR0mmglyTeGQgHOTapA4qgZgcdFWr7mqbV6tG/FwqpIeEGEW9iobdID12p/bD N5wM0JNyXQ4/tnQQUKyyR3rZey77z30JwWdtu9lWaRfA80lFLw8CUjwcxi/I3K9nrj/8xTasCmX+ tgqRg0/wUUdun3R888G2xz2KfPzqw+twdwacZWuLJakFWorRCQKWEw947t/8Sblc71SuzzJbkvRw uCZzF9JyTdVuxV1IGfYStVJ7FiVdWL2698uvgikFQ7iQOMz72TpGiJirvZ7Ln6ltFHGWGL7P/oja J17NjTrnVBxNYBNokP96GO877BsadJPuVWcCrsglS7PEzmNk81ltSVxELI3rAjpB0OUF9I5bHRrt bPCOHnf5U2CANGfih1c9yhpRuSWeM+C/pjYtHLKtGZqRAGXFyHRO6pAzzjaea/N1Xk6fDUTT1sAZ E/02O0zv4lCYNC9FihJH2Dgu8ObdXZ08NMKx6hJ8PzAHdiY2lg67kYBZ5+fpKOMfHLJmb+hAbVsQ 3Ld838+zlR+BBh8pBD5HSJWc58QalEYOVkGqUT/pQh7Mq3WgTEmikLquclet/7uyxJRx/KVQalKb DsJ98UXiEnFPu7lrxUor4O3kvk5fseQ38Xo7xS7/mPVhkva63hQk5AZcdikYKPEHw4GfcGL8ttTM pPJmKP+RQ1DsWVx7VcIMUF7Tp6z3Kfpij5zVOc6QYqR4gDvYz70VC53IlY+vY1iHN50HQzTsMB77 UKfEDrZgxtqkek7qkaS2NrrOMRWIEf+dcFwuQyGofSLvMmXJ2AQQKC/Z8y3pmrGKYEw4NvIxluxu LnqXrHNDRJH/wzDkTAZsL249CCi1ILGDLN5eCnhPxwykyyYNQkcqECybCLL9AA+F/u0Ih+yK9YNI xSyZqoHwvBVFWYp2ffE8B3wRpW1GrQxFwsc0zFbdYvM/SvlfSP//3zuFVigXs+a3o9gyDqn4JwNT lctMXCAhX20qgQLBfgjJEzgDWhxkbBUfYGjD1S19qmH++WlZ+Erv+sCBRKD6UuIfdQJpR5pZoD+d ZlYXCzVsmpv6VRZuDGD1d38IaiXq7IxzOZGZd9eM1H+dycBwp9NyhfRKb5LVjmzjdltGteWnA2Yj Y2+sQSpavdK3L0sCwfgV73IrcUdU6DpQlkNIJSmCSVRaJvAPTdPZCmnaFT6EE9BDvGByXnpZxuzU gkmlv5GfXNa1revfxX8rNNJPf9hIWGeUkLr4+mJ4jp4sYIWwEEZtNxhf/Oii4aD7HvEgX7C2Qs61 I6G1MzaXhJRkgdNHvXodsyv+xVxztSBgcGyoofQSwajgSN9/rIRxV+MoXbYuOHcDLkuV2XRArxga WNZcFCUmJQ3eArN4hQ5WPfs7H3kVxfYolqbvM+qplzNbdRTLwKPkUupbt8Mssi0Obn6XgdAMlcoN +lAlObWNgbRvuq51tMkYPXD1jptW9O+SxHVsJqabw+ejB7rHgVgLZso95AvaQPizA1UKEbA5+X0Y ePyk9/8Hgk51zwhjbqEM37K1NSyPy/DTM8AtM/tqcv1iGbTILZYDYzr2jlVvUDX3a7nq4Olhv7QF DIzlud5EqM4Fcly/jGV72ue29s6rcddE4/cDfzsEdzlOopnPKcGz7obLsnQH4nzqDmeUmRkFJdVN 3GBFGGk/lC0DG+FN6bqVb+x2S/wt5qy6DF6bn1hmjHLqx7az6cyWknqv2saJigeGB6ulmyr0zvkG k6yJgDmuHhj4nxFj2se9sorFYR/CuapTR+9ZAp2euc/GjByNxcgSu+WR58bjzQ2uXL6Xjv5j1dQX fcYGoRL5JS5JMoBkf8u/eQ9pRVlMkJP0R95Oz+/RNfe6uxbp89Wi7TJwvnEmDVPG6C0JAXCrpnOU boMMalb+EZHikh5LUf7WU5HZ/zXt+O0aJHiAMrFbyZtf5wbrQSxIBYst8ALYqhMhR5YdiFNSVtQ7 vS1O1WrCco8w8p3c7BSJKDGinluEBz7/qH0VS/AK2TjIEOyIXHrciKRg1Cxs8l7WcaLQQL+R1kNX QIk6ez/487q2FYUc3gxDSnGH+OMHo4yqAJETIBskwf2N602Bp9fBxh650XcDB2Dkuw6bcJuYgRvj cyWNFLAM8DHqNmcpFG/6WtbIgl0d8OPhIh7FURgE2OWQcrxlb3aniPUBNnL2n5yOwB5I+oyFljFO GQJp6x5t6WoUZr6f3aRjAAR22/fDehbSA0G6+cfBJCqbT0Udire2xVT2JT0nm2vqiDtWxj6HZBsc NhWTCn/oEH9PaEpkNQxa0LVcRUEUOBB8UW+wooHdBZEg9cUg/KkPDI/H6bkqJBhe+rdgG5j/I5t6 8mbR9PxpO8NGAgRfcrO3/ohddAHwIBuM9vr/Fy5V+90LrV2z41KsJ0yiDLEbeMHbxaTaI0fcCgFT BEZ52uPLjBGX/7YYfMeEtOaA1i2kwIDtD5Xb7hqNAzD5RkWlDrL5oA9CH6y5/jj7ryZRkr3QGCuL OMaMejQX31oqosxTQl70rdahdKJ7rUnmKE6xJtfJVUf4t+xUBmC1tIJr3dC+IVuppBtaaIJExcyH 8hDLQnUzExw/GLAAMa58eOPym5R0rn9d3/bMbxJwn7WxZ9ixyvKx5VjjZLjL6hld2W5Kltqx6I+q orvdr3UXzZ8smqxuCxC29dft8VBTkK99r/2I8Nnsi0jJS1+tL/q/uy+HrJLFYF9nW/ZhUwtuWTNT JUTK4hK+1ujDoL+j8kPRIchds71WI2dBmJvmt1GHaEuMR8pQOuVKxSRKN+qUcmHVmFC+oDstHW0G EelMHgBvgt9Ht7hvoJum/+fQL7W+Q73vw1c9XmylVjznaSoBzSvnRv8dyBtPv4iCqqC0JKvrCHte t3FsvLofcB2PLidW9ZV3qQPLxm4rn1W1RZtXifvz357mmWr8GvcLp/Lgh88boogSWQIkxdfW5tWV /rVIPeR9M6lMPs1a8exezJY70iUTZSDbHgT+MQwg9B4O05BiaOtXLz8XKQyXl2ORTsIL3TO/nv57 CGKwKfGJIYobhDjeH9uLQAvONM/yrfop38oJhld7cy9Ly94YgHQrv0r8mOCtJOdJYt6gLwSP6+rH SCoS6zpVhUkqSKemncFYvnZ+Wcu5Gu1vxRhdXmoFUDmrOB65qQd74kY07lSzhttAjcx77DSeFRyq UKUaK08S8BXFWHy+n/T8eV6P+NiqrVSP7+CmiDqp98AufcfLYO8hgvmNEmero7sBHKcwkdrl2mJl l5yBu6H9BxywXFOTtlCh0DuS/a7rKEj+FKVkPe8dIssu/T11BnN4cp2q6uY6cpAEWir6VIFc5L7p t925Xmg/vAWxMvobTGt3BLKlJmLuTSWk+xV7+5vBSNE/ehcmvtASX+DM0sUaal7F0jotHbxKfOcE 2lmzzXs1zTIMg3wDMczFxrZriwi2d42qLAtA6YCFfyKcgb7t89cfRqBheD9Cc/Mo9cLvRBl6deHa f5rKKd+ctizTaciWCqF4u3y7g8HR6clX7z7NRvTM8w827Rr/2TQzaFKPkjqMboNcPk6Q2EDfrd0P nc1BEnD5leiwuQYCWGRw3ZbmkqusRbGJnwMkY30uxWZ5peJnjsqIZOJgp1xIQovTcjxeYT21J6RO 5BaB04RRj0/xYeC+wpDjEvkYANp7TiLNZMqdN+sxE/v3zcES9HzKTnh0jylec6yJfDjXFUAbhshL AGb97ps/AgyNcK5HBXk+Hp7iwQ63KTNmCftrfkSHteDUKMwCj9dIF9zWOg9Xv1Wq2slIL3ifVj9D 5lRJiO1G1MlET408D8QvreKcB8kDxtAZPP7Wtvqe9Uvq8vK4whnt70gIpZisTEYhog5pFz5joLxI Dn/meSULNCHHnxSwjyWwDjglMSlP39kSclWDAvab9hLlfDcw2c+m58lOTLs+f6EqHGWxqR1eQ7oG TsjT3YFrLGLfUNk3/qe1Vo48Rg7I7FEQzNc5kycwcbDG/YdeXOdvr6RI2aS5fsu3w5npHBOM9ahF USjm69jXcE40Hp2B1ZJET1kmNwg9mTL5+vkVBilKeGNbGO8R2EqsiRqiJ10oGBKPE9F7+gmfb64F JMNEmhtBwPP2FoeWFDg0otZI16PX2cGHR6JCXQGT5npvJ3WVcmZJBeLzG103axocrAelYUP/qnPy jkQEbf7odO79peFq6Sqx/I//3q/B1kNeWarpARtaH5BPXnub9ACTf9343RLCVlGfrsd4i3WyxNBx 3G3CVeJsSQm/uWDdxHrRxlLyrM+BtFNdqZ1kHOJaS0ylCB72ev9UFXge0dCZGlF9eC3K0bsuX7ot gdt+aYzjqqEBuCE8etwZyTfiwwd9IOs6LXl+EpeBcvScefSBEnZm4BPOdGGfm40xr7n3lGwOeKD5 k0QqRg8e1h+hdQ+PV/rSDPbW8lQoZwmSmeoL9y5llMaDmcMCFxr2coLidYnB9Ao9NH0roIdfqgHf MsbVugtFzGR1+JJL+FHpUAP9zIYKbwp0qRzTLvS3A6z7mjPS3C0OCXBevtkf96D32q+AzCrwUBFs ioEzPb46PUAggPkG93PoFEH3lNO3+HrUoDT3rmoRGwUuvol34/3YJk859KfSGofyPKPo/ifwkcSD shyqWcrm0oVlzY5vakJPet51lGN0223sG1k6hguvN+ivVLTiF63BaXbTlxfDaJUMMEkgVnNNkbwj 2/KO8AyrAoJpMmGwakeslNkIuOJ7BG5j7TnI15ElfjBcZNCy254JJAnhzzoE5z04QDWHdnpvAhFX 1Xl89geFFzx7qrtdraxvEc9/YMYMVMP0kWa2xz0Ifdgx3y9I3tTJMtbV2Ru9aIztCfHjbb9KQwun FrQZLaT4Zh6vZZitVQe8SDM1DUOzp7drhp1aaBpUQC0nTYP5oPpdPjfbXFV7U9rU4jRMF/w8uQc9 aIiS7mTTy0xTbfTON0TUxIwHYs0R8lEmsMztYWyvVOCjIw7QpBlrCRjLD2a8H+Q3FruN/Wvur+Xd GrFmfzsQzVSdyTmVcB5/eQ/MUaDIHLLm5rcbkQw73YOSvtDzS8WTwAEWE4o8/mDkId+gOpCGaUjI LGP9UIxnS1eB8F6NOVcIbPZlLnU3m+7+63WsaEVsCJ5PY9TDSdIZHztuZhcpb7L9HZSVG4gF1d5p LyI0ApcnWGS5QcVoOpE1rMUQhz558VT5isVe/1BIWhUp9rtcPA5YoBpGVdYyhkxjcG7vC6dl5xVy /hu5XypNh4wF3xZ027FrlSIhZuqnCOrs103jqcyIdb+SKAiIg5XUoVfSigB3BRdOhsea6YvOZMq1 qbZxpYYZhBJRW+FMFWAxIUPEF4aO5P3O5JbM+EjYFaskl67cjpoGS29rYZqqvZCM4dViDmTME5bR 5T4eQbB72UgncQ6P0j7ddgS6rv73e9/RvMb2gdcNA4nwy51YR2dtKOl0yiHIcDvfrbD/GQeglZNN u36vdXHOtEa481zCwf0S0roOlsWgTynG7pq+Im00OgZ/FKqd/hCmLzOM9dn9pu1EcSXLRzBOJ6Fm Tkkf5SOLh/VOjm5jJR8YwG90vjyXqNeG0rTs/vzMvqMAU3yjL2/7F5PSG3xOIq1ekAz99OJMToIR 0mi895r8ightIwJebon0Z4+OsHUlxliDIvWGWpzNi4+XlN10AXCVGVnWIopVsHtjl7PU913tloc3 vq3SjEc8fN37b0dpT89am5VZL0zXKwgoG8Yhv0luI/XCfJQ35U+xhXjqPX7aqPXD7nRsRmE6G+AE AlTnWP+9p99JBzRzBzhyWM8qrQMbTzoz1eU21yjsEvrDrEd+LOO8Vd0GNxi/HW+mkiNW7B/pmObo Wl3YHRISDKWnDSyZTOfQJZBqbsKpAj1jUBLHOaiU5R+zD24X5/nN3vLRNLa0olKr6YIRsyITa7KT nNu7mD2wIVHWH16JD73dX9NitehIvjCqHOuCcijFKDh+Fnf4BJFl7RGzi4pj5mAXdM3LTTl4rrSm e+dGeaoSUgJubyMZILO6SPFAJeN++1WxKsTnF/LOu7SDfp2PnggfbxckBrf3yXULIxPpQQjoc34o EDJY071C7Cyn4ZpdzwOqyM+fJ0eUcHtPWrWOV7GaeJmYxvTs+9X0gfypdgL8adAgjgC987yEVAJS GZ0S7+YsiPc1jaFz6phf5PkqVI+aeauvcHfESYg90vQeW0RP2g0MDQEFiSv789PWTJfzFCdF+Jvg mHcD+1WI1gFBEhnJDfX1pgfGSvIvoNDK5nn2O5MJi5s0UZpRoTv3kNhPoUJSt7sTl4fwscnalMpO XF/1OLcfEhPSuB1tUpvu2yINLIIeFeDOCLoSYFWORrGXlUWVgUZsZ00oe6BT11KdxZQZ6keKSbv2 8TgzgmkcddFAnvX7ujVr9LSGawUGFFlxbs2Dp9511hZQ3bxSM8ZTNkwSFJ9k6LQ42f51ZWqGsXyp 3hYL+juA9IynRAeUER3N3jl9MhqnBj1AA+Cv7uBrT885ArD4IQaI66I1kj/VIzHdy4vkx0sSVjRz 5Nz0gsuGxhBEEKl60kAV740hi6TrWN1RWn4nd2RNgWLYct5HMGIAJMsYUMquV2xJQ2lE42uUvMLG cqMoYlLAscbSpgh3Yk6Dgrp2qPpMY8hzeL71wVSBJ7TCvpkWqMguGVVD7f7VdFqstvK60g2bPuuw SiNQwr8pP2eqPafNEDvF8tu9CNCpBDjQ+MYus86xZd9FnTb9cisdIV+QVtXdWplntEC02rO/vPvY Tv/7MDVYoFtOUE+IzgAW8hL4MSPknqNhwiPYaWz6WW5d7TxHdUEgUx4/uf99MDRayyehEMYA0Nn0 geoGoCdJJv9uGqFjmvGqY5WoCwldZt9R4h6gnfBcxLvg3ce3SIw9pjUpBpaXEhECdxAPiuzj7/xE TxGPt0KWmy4zjKzn2s7W7KnV4RQSXBD2tOl+kqD+59EMVWBO+oFMQVW+E/wnRUBU0gz82psOIQNA l5SlF3YLhHtWDyLBCCvT/KzxrALeSPcrb0KGgg0bwGBrbv39MIatN//QyCaxR8F763iqGl6ibbtP pL0TLho5zrWMj7bOvaK0EZFo9j9292oufYJ7mEtPTrqjHlXCSmD2rePhr9KaYziWlWHq/nXSx3OB jw+3jq1ClI5MOwMP8RBc78zGZsGmQg1kLhFfbQgCF9WBe/gpY/UnIzt4QMMFSh8rglc3QEYU7J3Q /fFXmcHHD8PsdopRlICH4NyX+vowNw3upFoCnveziDOXl8+iQk8ODHizckRroFloffuFWfOwhJCD qJdRdhONLs7ZFrX9+stsjLI/wjsFDh7fUvcUZ0HkaUF9ipbdlBWdaIWNNW0CiXW8XJiKX5dnuzFs EeGOb0qnMzMUTro/2dsOQp+yDT8FL8vQ7gUAAFVuxWs8RViTBXz3ZlbHoBIbPKe/mHIXPeGZBxjX ev/SkRkZbhwUjImBL5ycLNl6SqaLNlcSI82JatMYVWdTdy9SXrrkyHKv9uJ6y+oBr2Qx3nEnS2Dm XFLZZ7sQfu4XuuMIb2BgPtuIMJ2ymurZHJC2M1pfX9QXOU3Pp8IkhcAkpA6ykn4GjplHOzIp+4Hd p4b6KPYH0XN+aAwOA6zq0/oImZ3ox8w0unhIoeak5zIcN278SVDEnSGr1rIaviWoSKo5xlRNlKeB mJHkBTuG8QBklZSrRLmPktd6Rx8BaHVx/j83qeyB8pnT9iZgzqwJELJ8/ZSaUH9Jr8bqxbPMX/Cv xm/Sn01hVeJ85/Nlo8tT9funubrHnqniOI75QT9HOP587jCCfmoh2tH6x/FFV53hw+KcLIeWsGzz kTUu3ssU1K+Sj5fE5dxkAcfoco3nQ9+UPflZLr8nY5T3ddqcCbf97xd6RvjcjJi3/09kUyrwKa0m EiwtEb6IfGLekXaUfc3i0yXAjjypUr3pLe7JExHJcae0D9l6xRDjQI6l7Vs4xy0wC7922rkM+MmB Imc1sd8iPvWHiHyG32U1Cy1NL3J/wE/srxDHbygFLqrBc10cXobsCKQT3fUwPTWzeHd06LAlWgH3 JQQENaqBlfBmxv1TwW6kQ85KWcxO6n9MEowHIG/ukXEsSVrMXRpbi+PEKkcY79HUR5Jzk+ctoSp5 7OsR8mR4XLSybNWq1AqcOLZHzmEdC0OR5EowjNCs+XcUaXOY5c4/J5yw9khgtQzaPh+W4CIg4v6q rYBA/de7In9C+rLnPPuMuibcrNeGLNdfvMwbt2KvQOGRg0I0JcSIUWkpoYhHnZHEj5X4YSoGvD3u 400CD+2hyOgVItxmO51XuVpHpdbgUdcJIj/wzWEaX3n2lHv9i2eF11d2Zma6LCZMKR6dV5+1+WME 5YIxtel/H1jYlJ+7qcYKDD/Qf+txbbbV6ujndbxtNnKmt6c6phx3NDShPj5K4rK7ancbojnBMbca w98LT7gqDPfFJSbLv08i0xw12zE5gvd4vPM2EWlOodd9lv7l1RYdlFLIm6iE3YLZJhiXFi5AjBeX W1OsYlod/A+kD+QK19lLU0hxpiMnhkpLgdYTmt8G6EvyfjZRnIyUl1iYTnMT2l/FDlIq5pASL3nx rIB2/H5SFaKj33RMyE9o3LY2SHcIN+9cTxTdkgnlkXlhms9G4io26rshgSttYKteKYSTYlwHRlRc q1fo1ojEhSusDN6/vcW6s7wasUTapVed6ULxQGIhpyyhIxWptjbpGH1XW3EngmIB0jXEVAM4HPRB tpvxAdZ5PAHPkcbdavMtcuZ7QcfXjvr3DnEdb2vG5E1wmbBUKt1MmxSp1T6YRZT1Tm4/jomW2XQ5 fgAlrW6nTa3s7g2q3ZuqCywmXJ/TSTr3tP5RzifVZMsF5i1hYx3nAiP0AqpfYuQ3r4BYaqp+OnKC foo8guOBsznSy9YbZT9TOSkkdKuhr69jF8hIy8tUV/M/2xgJfgAEm4K4pNMdfdKGZi5ztdVbxQo3 6cRJH2/7Hij+jNnaoEwe3p8zrrQwWwlRL4gCausHodQLKtGjJA19WQztxyzD/VQTkg0s62S0kylY NsamExQJEhjx/M7jIjmoxbUDr8pmgz9zbw7UiNQMheQHhjy0cCG4kEeUOpXWuJK/SWIu0/gbPoso skK3plM1V/79wHtIHWjRvs0itNae+DG4EYgHH8vSaxWIfzNAWVskUTtjM6MsNPHV4JMWofJV+587 uni/LMyk3C+2MDzrJ7Bu8Z8CATGtWBZ0kTK0yzFBFeBrKsYd2ViA/A+FzLkQZRmr8cK5RFdnejUA UDohan7PSrCFGFXhx5ugis05JVqRv0p8+EINm/DfN480nRsyX4eUW5/dwrK8ndu0kWMJTvImJ0Jd 5C7QXBQVbnpS0BzUXh91hHYjR/G1Al+nBar3DK5KeXUUHbKkTcWgDRiRZIje+GdDmbzgXc6LYYFM BzxJNBF9rMzUadQkp4UFp76Rwif2qBCjOURgQXaPcRManfgC4N5Ie0dn6vuS0cSbNVqezVWdgleS 7/M7jJEmqA27MMvtF5Obg31BFzWCvvA9n+d//BNWXFOfNvK0ogFFsTzIaamkCwelBsLg8gK2DnXC ksG5Xl+O4YkBFaSvlwPtMTMwz3q4HiPpsI0jHa21qxZOT6/IJGSav1Byuy6BplqohlolYMy/j7tl 652XDiBRk9LC0p8b5x6ihWlhCYeElJUFaR67pxSnVlH//ijbnFCTLaPMO+cmTufHZas5f+rKnS+i C6kgfa/x6VqlLM78/2+k06VzfrRbu1sbp4/v8lwGsSwR51Ef59nscUaswZ1mLbGIRHkHjNQ7FTwf hRl9zakRac1JVAv6x2GHztijug76YRZanXFf0fxwcVCg4Hf+t0NyrjD2UJM6DHoiCPGoWl4bM/Py oBi5ruHmM9aO0dcJ7Ascx6WHx71bsyQQWFoAtqpQtUUKrWqolGA05g1JXjE903tgSx5DyaFZc3jx +itzYvTPx5ZAD5eRjrwLX/zyPsBRZsbpoZ/foMN+UpsBj0czWlIw8+xAKxqaOwIsNG8zjzPTkTo8 DhesA1wKt5lIn/4FVWoZY3X/hr4OHivr9v5j2jm2ZtvfPc0dntK3joOgJH+a57OQX1dN5y0P2IXf vNt2x8ARgF94uhB8aESNKp7cudKg5X2jzZlBQSX7MULHB7SVo5fRCupgl6aFALqmREDnmigXUYHU HEgs9QxwFeHzz0nFBS+3eQzQRtuZ//mKOIN+hNDZvSO//GhHnVd0/6gZbqJEJcWGbUWw323txzLb v1p+HpjMRgYq4W/a5/3nY8DV84BFGePBHyAuHr9Cr4tshdNVryl0/IArh81MWNpUeK0DaeiunKB+ ZCdMiu735CqdTyrqwuBfEGiOvNOMXYsM5dfd3aY3SGA8a6DWqb5ZL0bg/rnzRhv8bsX2FFx9J9Y2 5bepl1IzjWdeMbZ77gE6K53BTOpHweN6D3N5EAYSTjo8on+mXrMlHDsosvCWKcQTYvBgiVl3S7A1 YkyaYL1qqR14HscrEa26ZXfyBfhf3XDCOs20kL3DgYdsG8aANBlI3tjWl0ci74rs2hHcNtnl0Ym6 E9sYqAJmoir7WbvoJIsI0OE6nCUvT/bF+I3cQP3IzoENX99JCi7QCHztwlLfWOD1ToQHDcQtdX35 7UiLEqoglCARtXpwl7InIs7AEXZN3/MmyRbdBCM85u8DJVeHElwz1tOzSXXkMf6eCh1Uw1ROepkU lPDDwFpxYGDI1rF7F9xAqu2d9wOc3huM3SeMkdNbPy5oHuS/lfjxjuqWmxs5wOS045MV/ul3FFAR PFGDJFF4VW0mUs+vnpuWLi97W5BrFz8qN9nT3oZlt1vX3cCGhWo0L5epjzwePzquPI5XtWHEoWM7 YIldWzO1OtscySwH5E6iG1rtvp6OeRqCWA1CM5llDih9BOdDgc7sBYaGka+tTxzCHUo9y2V0fUWG n3vMu0JYYyURpGgK5g/sc2av3Gc9JfkaSOGiyT0oXZWOynYAH1wsMAipiGfHSUPw6ebuLv9kZT7s MzwqwYMgbo9W45s1zQGbBPiwAG08BSaaAs6R6XOcWOXI3pz57g1RjsRCSiweSVWtWjkvOVqdGbSl T7RtJhCs+GeHEX9ZwEaunaeoJaLjhOUY1RKtvY96QwTPp+OaLBtSrPH6s2QC/icwCV2SL15lvBZw IhVZ0XoXg0+g2Nnlt3epnvFKR19C/9lG0gF+/iXnRZd2G22evqh1GysBOUDpfUIiaL06iCTICnvy aFF3N/dBVwrVgi6F8jgp+qcVEiG+K3shIFBfinuSWtsnBZeCMqGDYGGEiUQM2AGXWYBouCU1f+XF u55mjcUYhKJmWvCZMDLn1m4zO3+letFtqPX9gp5A6Z0eqMKfS4DnhHi/b3947/zldmpi58bgGE4E N0gTqLF6/IOEliSmlk2HBSJ8gzwRAn4UPEosmiXnTzHPTgh+HIWp6znOlY1jNbfa0RGzYq8G17HN eECI7r/ncRDHVTCIS58vkIwWy2cSJ+1BR5rz9CzMt2p+iLhiKVmZCcVZUtz8ZH5t6bu2WG00XVzp lqL39KHi7NVln6d6FaVnIJms+anhPFdk6MVLeC3g2JVYCVxBgJ/cw0alf6ZFBIWo4o9v2drMmhVR S8O+aXKmI+ce2XwouOeSKZ8V5XgTFN4GAzAdvgy1+IxcVaVMKg5E6fobOta8ohCVCl5ZFoxFpHca MrygPol86xGMhZ93lLH+f/2xfkECal7UXdQAqCS2qmmJnTG9P4i4pDIv9hu4VILU44uLH/9gbFHy jsCgCI9Yk8YmhxHcHpBo68SbfYmf0Vm6IKtxH31MzofSQBoi4u63HwxY+fCZGg3vfSRWsXUo17+s LpGxPhCqaGwZ+BbwD8X7SQbeJ2K6JLhksHyeKb/X2iLmYdyTexgsLV3CmNQ+DRWVeNylVs5Togfz lG2AldbEeR63V9IRhq2XzTSRoxNVfH12KdN9m2mMPJOvUcx3K/OIGDSf2z4VCfAsAm6gC+YlrKmh kMAsRj/sMXBCLCeXd5NRrwOh4ko6vaQclhwTmSs3O49qwIhZcCyCiPv6eHxEJb8PmNEDgOs85ZVG wUuf69GOvtRBLWtBk4DuJFwinv3LGPTC99pMXO8H31kOdbIUH/XKs2jNTCHGQiCOaNrUKOHKMpWJ P1hZ42xmFIG0FV11UcxP85delrN+xkJnATz2oZMZpmK3HI4ddRy/osu5YN6qQYOpBSSRqRLBCbnG z2S/tb7EGbWEMvRc2gmF2ihVS6ypL98tqnK1t+FF03+02nFSLbXEJwhqz91kYayzJd+RhEPaswUu tMU+u+n04QpaEbM6Rm3bezWjHyQL3QjxfmvrjZTYAJS9nd0FF/lRR3NjtLwP8N589est0plDX7rm F6i2rMKy6MUbvTup71VQcU4QjmVaNk1T/0qou30tvE4wjQE+WQgH8qLvJzQaUQd5Fs5GR+KTOMX6 QFcT9+gwF5J0HwBahpB/gLDluT7lQK6J/bK5FIJr3/CD44b6q2+LN2FrufEPEiSvYY5h1bPnczRX WRm5tWpTGgJaGn9VfLhSg0vXXp8adCQ4aGMk0GcwWJ+sbCfmG7QY4iPtu2ab38pUA+WWLNNWcPak EcEvLrgm/jEbpBjSTohIOqBFTBvP4CthElP5rCRDOZz6732y7N3b7IeIb1QBboenFSLQ4XeA2Z9b pd9+WXJhMvtXsL0Q7DJaASOQTPwL6HodhzwWx0hXthDDB6mOmHCf8RMa8tLiPxTTmdW7lyP6ZEqh 0d//dnyaI3ThMncPiLqQzQfyt8gJxtiWx92EsvDOQneSZ0YXtLne8IKasNZcrLIg7hUbi1Wl6qSy dTHr4hSP1EQpofyAZ2+OLwVH8xyaY8MTBg10xRKo/AUoVQYNOZLyFWwUi5+X4j9LkWwM9fz8pvn7 fkFVYEYJVu58g/rkrNN/vxQxya6/duM++e4zAGUzLwM7vyTToLQfr6MWqxojue0UC0fUQe0DTXMk cIPESVgD/jh+rJkHlxP+wpIXHCklCz8GX1VsSd3ofnjGf5EBGIEzxf1auvvI1nLB/2Fw7qoFApJY 8QOXNUQ622dD/1IOeYYfvbfY1Qekl6/JJNQfvjU3NDE4woQfwQPlw6wP/CrjtziGexljG5UsWMWM p8sB6Jovm7tb0GMO55T0qOgy0yYUK3gXmcuC+W/gJtx5lTgzKAH0tQI5mlLF9hR6BmAxoDIPVwrr AEFfq1IRNxTiRtMi5uBG1QsMoSuxsTpO/p2lnfLq/NDE4fYiXZAhWivUDYTcMBoP4BQZnrAO2AGX IXdaHt23v4ISzgS9vY/eA7vMAcQ2mdGEA/Hsy9bcVAZT8V7eDaS4IGqhxnLgo0H7eUPJnGfmPUF/ rYFG+26LzC9xkQLDb3VX1rXbC9gPObodi0crNMNEcP3PEy0oAXJLfBzCWsIFVVzukFE+2/2/e2td yMF07rLrW/one+9bzDCQNutvtPWMzF44r62uF3i8dgNSwNp7l8a/QB6s3FDoLdqHhr7EW9ELEO7b g6QjRnSWIO+7VxfXPYrPZ1MdKLKNtRbOueIggMgPLVhLGutcJPfnwtNdAWgurdcccFW2GQs85x/5 D+XEN/EToUdl4aYNbFxYbTYbxmaXKhkSUZky3LPnzR/cG9FmvGXzlcXy/ErkT0mRDaOHXUcMTkWu oIfZcDaxMvIdK3/Q8trUmtL6VNojjd3sdRPI/jxbp17KlxeyOobHBr3y6h8VQiqGe34IYUwkwbhF f0U8ejxbzVWHm4dYMVH/LRTWPqy0CWYUTTdTmXcqxCyXFn/AWetxwguVgBoop73GULseBWmnQjXS FGoFFfm0k82KJl8/MZ984qLhL49Dnyvb0ccBQO4Ez+80+mr28Suv4RsxjJzreEoiPMURs2M3ywCU 8Ot0HZUbXVOUXA81el1awpX3ic2oNfd0CArKo1s/fR9/592o18dwC/UqGcbE4zD+US0smRWfdTZy gf8oKxkMXcVbWmx58M+oYAZL+GSssP81zV3Ey2EJWc5UbMamTrSyGTVkjms3pAzFFqR4qC6/sksm +ArT7wFE1mOBIyV96lbhRLOIQE4NMcrw6Q8bFI9xbq11r7KRhFr+IBl4f9uBPahDdZ0lKSqXUa7X oknzn5B3AfHhS7bcxijASZ4p7qTOdCf26Yvpc7UhSI8qUm0znnl4w6zmcQr+FY33BHrwP8khbVjh KaV3nvtNkgc2Uawj88/yHUKLS0RTmUdE3eSYoGJnxRMXPxQqpfFnxRveDbqVlVrQ53IdudlmJZ/j RHIiOyKjCAnF/HSht48gZpvedOFFVzmqYF+6hAt40X7Hgdsz0c1imAIYl97B7tL/fLyoCv63Onfc mmDc/mgLhHnzzjRi/z6BMAzpFOxTvjyvWj6B2YoqncTC4lD+Ik5B0SQwbW3xLEnXwabcclPxIUp3 ShkAkBKhMKkcZkQmyYoiL6qZFC4ADXI5SfLEJuy3C7jHE4JI+1nSMMUcuSw44hsmPFsblevkgWAL u7K+U8ff2mSLUhfkJHeGtf2EZoPDZPMlaLAnlBoJwOFjCbowATruk86w4+jnnIxmDLGUwDBvnfK4 C0x9ml6D3uAhF4f4EoYIKx6pyBy+gqzKUp/JaYcuyJ4ouhZJ3ylNxFlr4i4tdSX/HAX9SRg1+WJC skDVQuZ//3AEw5a5rRapGYhVIC5a9Wx9XdSFJwWMSwczJtHP+Iq50HfOnLGosOr5GnPOAyUmjtuT iAp7WZB6Dxjl4OC2LrM697+Ciw/7klhG8B5S+E+o58bO4qeYdWs8tcpOBOYWbQcrOfLw0oJR44wI FwRQA6Sik9+kcerVMtXSWFmg0VzhLbAx5BiQpuEpN9d3fE60yAtjmXLx1UIYyMgYUxa4W6YYARvu nqrXMvGdMSulg8OimntcmlUQ712+FLbMAKGP1nX28cWerlW5vQnX5TkgKGrz0cfYeji2GW1HI2sj 6eaF+s2Bk3MqP4X4MBYHGdlteZyxMOpiU41VVL2prMFpRr1OomhdMQNrIrDyqwynawKp/6Z+hxZT VL6j/EyysSV6m0P+m7UDnSjxgHFSewWMFYNuiA/bJ6ikZHcvwQWvDHltB+JrNwrxz47M53FVkrKq +QA6z6OnXK4E8sNf+OR4T8/GzBsedXUXot3VloTaTlQ2MsvVi9dsyc/Pp1Dt+pe2SpPzmk1F7vYH 6+shkyfzHv97HuxZiiz6lmdRcgUdk4esYOqL4ddBIFSj0d08Qa4AagnThi5r1Fjen/P+9Wwk3J6I 4kONocSQFmcbd5LeS6Lc7YJgz0HIOucP+g42IXBKsHdxCHdnD87PQWUrmutXJsueDfZQDfOSkdLh E0SOvofbVg4iXRyNcBASC2uXLHu6wz0IXA3yF7pxQBjA5882Dd0Jz6WJQEoeYzpYufBx6woHwvlP MZHnMk1480a05J7Zys54u5p4AzWnKJrb4IDWq0LdMS0DY4KyPadXwBWWLlZqRX0BOEbLlFPy4xB6 CfYlw0c/6f3LxKOyC8q9TKOf6CsiyIenGoVF0B+R5iSOxgsV0X4RN1BTsk5RCw1xGkjAToCKQSkY MSKMbP1elaUFv53I+k9tEFCJ4ZLThp7zKmgu0CyQrYoML8GV0B2Fur3sPivUkE09/vPZacETLVOf 6MmWGZR8gK8WVGv+RqwRBSywswZI/kG48FqYmS5ZWbHCitVXVusLQF32T3CsSmZ7OvIGhc2piYPQ BDSAAuPNjHyi1+mj0oQbQG+UT7TTP/3RQvZ5jJp4LOlxZLoigkDA+hU/uubh7Zc+7uetrytEV7C2 vBXKbJ62LqSuKtC2Y+Mo/o3H73ZB0ADSOSrQSZMGh7fkzsEkMkyyeoqOuUeOnp1eoLRHUENMf6he 9LkYadOtRo5dAOsum+kV/HkEWRCODB9Vwqrh8C7/ud5gtIUXkVgcQP5gTqHxYgUFhnBSV7no5fte jFF7LWD/IseteXnhQo3T1vwFB6dlGm75ym20sbMlJQdFrSKOP/p30s/S83oBzP6k4nbDGbo2W9PO BnfXDlMQ2AqpE24d5E5WWvciNoTm7/4LYr+eCxsc2IWFgbtMaR41DPxD5BCAFJV2q/DSNit2+Vte Iwgh7QpQ4nzDcX50QxRSNyMfSxqTgIeuwYIggepA69MoRSvJAPlffyxuzM16gLJoAwcUe2V3t/T8 t+rp6zZGboy5Glkic6zJLZfNn3mio0DlUJzfUBJ/+213Ae/VPvcZLrRkjhreX5Kp4tcPBOErxKqJ t8uGMEorTJM/qXErH0+STlDLaPC+w9XPdcN3o8tbIdcVrt4G/xocEk1AIdhvEipXh+lWtMwSy14+ Sr4ywXuGuWHEL9EbZywZYXZM87IAI09edLciEUH5FGzKLghwoFeYGBlupC6iPtOqa7i/N75UZ7AE TKcCpmFwteboJ5ajqwJMlvqeV+gAHveTytpotR9lF6y+WXZnLSpuUHUMEqVXCGpvoHWQCCtnOTIN /KvKh3b4BmmxNEc5Zzb4r+cJp5ePDS3S6O9y3eXEIb4Efjefxeyy8goQwxYSuNxbPP3FLhglujfK ertFxZQDPnOi8Zr3yDni6pjQLXiLxtBzZx+Sg2AARTxEMfz1wPjSQL5cvcx3Q8iARPedXIxm/b+v TlufTr0hh3K0S4/1JH+Uu/2bFaZqSf/D+SDrvw2tlbcdkd4FG+LyZJ9yr7BVQpqoQPKnIaNz3E+w MB3mcXPnoHsWqwwbF1ulmHyGWG6cIEvMCAEciNbnfmidBz5Sak4srjcnAOHu2DD6167a7f03SlA4 jgPChulCEC056lTQdmb1BCgiBwiOn9EtA2eZATtsLF5mhPU04oujSMEZ2GBEfJjAhK9qYOHJgnrc 6JfBSPbAkWDFAntOdh7CYVFQ4Bz/7dq63Tkny7XoNDaELWWld7k/f3x52th+OiqczUbxrNVc+qDA wqJAQ6n5ogdEXbtAF5UyvYQkWftzV5lWWrBaYcftsZJsgIktA0XfJrssaNaTycJXhonb/KiYFZjh KsXVU32VsL/ChfLRNoXuf07Mk6Sd6yrozuZ8NkP0UbRtqHTAm2OVk+1SLYr3GrgbGIEUZaJn4d4f Ly5G6VBPRocmcyW1/5iXHEmK9nhPQR/4vTOStwVKiXBYhUb7glkmuOm1VCLJPJKeeSLGYNRapdAe kiedtYL948Pj+vuKe1PXxfGI/ZV2AbR8rsos2m6I95KPuwblewx4DBvMYTMIwkWIcnffwShTHSLt FU+JELmx9eQiAdNJAVaZFtWJCqQE9IlcErjnIXxovgs4/h7k+pkW9IHUFChB7UQoeyNeWveYUrzt sO8aYfFTuQvlmmABXKCX/I8uPLQU+CGFEuHt4RriY8bzIL3Vy8MYGhhyv2DPgLWKf6WQFclDHVtX 4YoDtNdQWkqm2ofDROLs1StNlhpJTDiyIOhZXexNe15df26xJT41Tvld4vLggWPV7pJoQYIaq8D2 Sy8cnSM2pK1Ih2bhztEJVOkYY5fWOiCHVbNj0cyun3xOjlmZAUY0cG2udO0rt3mY0tnEgVzwHG0h 2NgSQNhJKGYWjHxeA/pxMeCPD1zm5fXDEkwdkxENecg8rX51eUdga/s/1ui2J0cbGu44nhw8TUCU O+CvawPLRcnuz1J/c/6DlBXXMA0JyWUJWLYx+2XKEmMkSy/JLkS7ZUkmFRVEQwd/ygZEs9u6PyPf 2vuifoxktj2Uda/ETVZZtvLItyzolIRgAKAUHhnreH6bq/8GGxRCrM/xQLYZM5LLQGorj3bW7fHs ur8wEyhIUCmGE4uR4hjwjdd82ifDDViBdr5VFkGw908M1kruoH2IPGO+C3JmVWWUZFj6VTc5A7x6 8IrDbuUGfjWLlcjzIlEaqiW9gKjS02SevDR+V+YLSnUe63CQgiwQjjdMclppg9Z4oSopsN3Z0Tgx jbG16CvPce76xRygK/PIYfTVe4pdwdjonU4hS3c2nMOmSctKS2GQKnHC1iv7Cnlbm/lwIWepGexp hJTuLEbF2UymXDJlKvEkbVM5cj7PFcpGqbUjeR7oSbpWr58cTSd0qQuuNfzBid/G7Q3azpw4L50O SI1MEuqtu5xnHqeNFzkc4NKzmrpPIYsDq0q4hBvFkgnUHNB1UuVB92ZsGVGGpa1Lmq7ekj4tyNqQ ytZsCJuOezO/D+lXpr7pmRLYl/f4FtCLtdqDwAO4zwStgouZZSlnDNmX/Nv41Iwmn8Lrt9l8ziIQ wC1IJbwvaenSiU0MpyGbEZOBEQmGU6nO5cjvjoNTbMFalZL3SW0wID+ppQKsFFQdfPBtGXZ/fvsq YrI+mhnWhZXotwZaFYRsaUkQazRO5MPTCAqWk8lMtXtDS8c87fICrCi+aCAbGtqP5Pm/Z/JqLGeU NGa5AtCbf0yTAkQioav0MDzOcvne84ZMJaTNXCiQp2Dro9iMW6m2F62w7Hlbj7c/Gy70erikVz6Z BfcCx95Turumaq942FKHSsbgZvKBkR+y0L4fhpxMKDuSg8xa8GuXUpCCZHFUFhzbXzgz5Wd2/SCU 92zQJnkrLiyopgwIC8NTIRoLo9KG2BjSVInuw2DPSEOC72kMcJDrYBYkHx1eht+Frv7VMR3CMa3h KVVliyrVlxyoPscRYo4rXsMMESK3en4k9wbYqyp++NqsYhRikpvhD+l2BEpgU+GesihWjqO8fYbx NhaWYdbHrhqflOfkcT3dlyKgz6TpFrli8rWi00ivK0jhbhEO+ffsHinX1D1fZNBAO+iJcTtVksBc PPlIQqoRaounMlE4HTHjlaWv/Ev1x1f7n7iBiD61D40M85dRFl2Dhb7KIgxhIsWxBeLgf5wJgEwZ +Di0K58+DbsDydPv6rNNHzGBZOLmzocts2OIFshliIv7Un2laqEB48dnxhJKomGQhi+90G3qJrM1 5uSO2fmwNfTkngqDohtDTM2BzLniewgHjJuAeoMqyzWEZGIJt/+FqhZZRyLPytn4x9B3fPT1hRmx njO+f0W2L0OvjsGrIQnKlKZri9nxScKpO704hHOYhHg2i0GOPsVonGObqx6JUG4KxTyO8HzJXmZW 2vR1ZARkK0CBmwMS34OpeIEzopt6fmPIohPM5XgxfruFpJpYMIyACdblCxbR8K8Q+E835Wh+4U0N 9JGdbsMZ2ivRPxICBgjDiDvjdMfAFwVSC2DClGh+vWTu7Axqzg14PRXqHalpTu8UXmhC06h1ccND S+pdiLuGRuwu/DESOV0+2MPPkWYViJYPKrKImpqPm1Ov/XeB9GI63MFLBUijM+IQZAktaczf/CiR TczPcYiDB74+BTYlLL4Lu0nS9hllpD43wDRgL3yy7OvpWfR+MTUV/Skfk4QZAOzrELXX3ZGpBYog Y8WubrZPVOwpPBmsWBW0npDbDXfnEbvn4fQJhfP492v4TT3RiLg1giskbPVcyVQB+GO0NqNik08t RmDCoLtdXU8RSEorSkI4fYIlZy+AVsiMJfbxyynqVK3AJ1zdCU/6YZlZtMHiTFLIoFFRNv1tcZ/H il9S4UDLSo3EkLJnCdRsbywFa7Zq/Q5DB9QTC42rvXMWvlJXcxqw/nGJI0kX//5KqijrDgy1bETf 1NsuEvRnPjKif3fCTKnfBscqn1H9UqfF0Y/qk6+mp+qEwUJB9GD/VbtfxxNjLcqvizeU4UY3y1pc /8leK8yWBmYfV6RH5BKxKQzjm/O8sGbWdxgZpCLPJB8VavZmVdlp9/M1R9SEkm5gwTe2U/zrnZkZ vPS4Vteu9rnLsiKLQSRV8BtR2KXA3YwH5XxhOT9Aggk9hvYYjEED+giZZhaZ+2fTiIRMjZEdfIJj o0alp73lsXhW4chsX9rpZcw3NEJpxFNRCUTHKgqjqzsrZ7QEWxyYacPzR6wsobRb5J+9Y7+hU/c/ EyCyAbFZVjgLF3hrnSr/fuj0CLOwvdrOkQefXZ+ebwQPICYWKjjslXAGm9YYaxBqJrbXQdgf20Da UXL3Rha4YqO8IaNoGgwkCppRYHuifM+lU2bAv5RCsHbtmEGr3sPnTShKcxNJtCU+WqZSjAL+JvHp vYu/XJZ7dyr45dqd0WnUWM4VFCwDT/2c9ZIdK79UEfhrhbH10lxLdmY8mmEfVzVGv+x9XI4D/xBw s6tCkWDV0semcBJii4T4omp0yjMk3dSuNabG55xPF3kqk7qOul8WSjcJBcycShp9CIqjmIYvJeC2 BCYRBhC67KUeJB+yO/DwI575XfTYEDkki9ZCUPg2MNWVWuh2+xTN3FMTF82DCby2JUXHHNHWXLkX p6gFDlcAIYJj9xW/rC9lBqb6Y5CO/K/c3O4hD3hshAC9V4vXAkMpVCS7fnHJqe6PQ/Yx/w8VPU3N YM+mZ0fRaUcNNrcD7xu16yMfx9F/NxBJeweasUnLo4TlqnyVvTzp8K4qWJJFOO1CdN3dFKoRF5Di pFZRzCay951cTuo6EUZNX056EbEnAZtUFMBHY8Ontha6nLGVt7i6/AoQqrssUhS/yoNkzUO+TweP P64Yeq/UVrcnt7x0hswaZ0HlC9BK2JNbObKianKMDvOrzT3VqjgkwxD1EjNKeTEHgJUBYPkxskRL d+3MhYtFSAmQF1mTb3VjRWCe5JK4x6bY5qf1aL8rEm8VuHTbgw4+hClWC+W6P7BE05hWbZJvyqli abPb5iBESW2j8vrA38V1M2Ho+mD69tf2Ys4Q5LBzrLAsrTgYaTXT16wR1c9kbXud6GDkgmaPDN8p eCETHu/XbjVWOWyRDwGULLY1OXbqLpVlu5On1zYqbCBCqJGyFm+ZPZGbOw81rOI2rYa7llzbFUjQ leZJCHErteuBOn97FNG/5xfuAcGqSSP1qqJg0eLNQANuMjRhILoREcl4a8PLYlFubuAcsljdX/m7 ci5uWC7t7c39D3TeuU5DoMOSNFJdQa74XCr6ADAzApJaqo2pdUJecYz70HDQnvEy7w3ULYItLxGu D/TI85W1a4NuEkyFSuIFMQra4J5WnaqZcslRkoHJVx0oSjb0uMvwbty7Zkv1F97XvoI6v6nWwRxb VB6Bw07UQNpMdaOzX4K6f4wYYOwMYbrz/zMz0hUZphVFU5mSYs4R3FZKkjXaZABvP/J8qdU+03ni T3GHvddtMDZF5qDdLlRR+DbBabp+8a0wlXoRQ5XrxQCCUsGeZLwOBx84BdpXGJt2Q6g7wq50ZKzX fJsWOCBl1yQkdmChoVkf9FIG2YMB3O1KtcBMfZIUiIgGmHMDua8BFCND9GFMQ5W3T2T7Pf31TLcN zC5GAbBnDDLrmg3ObYtR7Z2+duiqnEzrd/I7Ag0KvJq4LOipuiEwROWOvxw5RUblSnqT1y0gAOnN /wmc41390j7Qzb9G1sNJ0hU8cp67ZTN62K3eBXbY9IJvobh8RZdI/3fQXWl7G6ZMZ53yfmCCh+jC +i9jSDpk6wKsIzX920/KpJlM7LkXmqNaQ2MITNovxEvy9uLZ61lA1/5evPn1DE0IYf2SRw6nFSA8 YF9QfJ7MkShKqX/vXG1VU2buGKwIIyFHnmLt49+B/ZOlpEENHF7wdxu0c1Te9mBY9zTQZNzJTcoV F4bC2J8K0Emw4WlUhinQ2l4764sKOQiKafkknoWVfQ6gfwnAaUk9q2wbcZ3Hmw5zUaNiNMJ58SNw zCfsVzzhteDa52mtZsUjJV/kgxz4rze5AVjMYJFFFfm3mFXCVoGL9+JtheGD4XY87krjEgZWq5gT jjiMuCaV3NhLHZito/vTDX3IBwGKgU9uZFQtAZDaPbTvZP29A43nS6QHoaCnXsgI/bn01LML67sK HDQDhjklWtrCdwoktUyMeFDZNkoFZ/5B7XqX131K/JzZ2G2mUuSYAxsuMfQs0WdMqwPgMsh+kJso RBPAc9IkKAi+Wgl+uxYwzIiiAt3NRHGlXZ6fzDz1ceLG2mxxDDPRjbwefUxuAiuBl0sQdo5HLpXh 2kpWkQp9H6FZFXjI//cKbaYJN0T/E7QLV2l7W43Mn51jzZzFqMLiwZXEZpo7GEx7RFOatWHSqMrf Rs6kIhTqdMOsySsfnkqfR/tkeODmoBKbkzo16r1nxZjLE4efD9cZ680nNn+NFyUKV2H8BMGgklwc YTWOeK5It6w0ZN6quIIBMinbf1N2PvnV4FN2Evw2U7/cmr73QQBf6F+rDP5Rv4YuGpmL+0bkkRp7 leEjIcHc5/gy95dpuF1DvgR394BvhFbwgbL5vziGKz1X4lCjeOvSAUXSElTX532e7jUpcjIa99sD DxlMnGNMY3l76aaBewwlPTD0MlXh4zSC+/Jczcfk5K9+8sZD7e4kvB18cYQ/g9/mI11eBU5OBLYZ Xf6SKBzbHJLhYbVhroufbVHLArDZq1RfQ/x0xnXpkcGkwJJC2LQlPr9emyMLmHj8RACLoFP9BHAW aeMdJlMYHauwYhNx2Jq89vJHU7l4jypYziQDp3tS0nyyHAtuSbXIZBcqKyTpGl+VKsMk/QKL5aqd fNQ1BSQSNzSQ7ln9QCvdzu3sLRRRmgV6rN948i7xo0sPGWBgOk6+C7j05bAXZUWZidgrp5lDnMRU JilQr8QLIFloHAy74P8tDbbqscCpEWj+Bggxxg6YZquBQCKekUfPGQ2Ebr/2wkqzA96Fs0bGC+8X yLJlq6/MFm7fx6873Jd5iuRnQ9h1J8gmC20X0ZtJpZhvtkjS9OhHbx/npn7OdK+g1G/2d48B1y7F CoCNsuE3ZuttOvVDCN8lHqEPkJKLNPqR++jcTK5O5DvfVyq0C5W4hOh0V/+VOo5z2nDW/ViZqFZa tSW4U0Fi0uSrphqaQ4RSCL4aUFdrSn4dEjD+u3pwLarrmTKgJmMOozdqhf0K2CCTRGjh9j4Gc5hI a35AHyJuQ+vAtA6TDqDdUL8WNURdO6Sd8N0XnCQx6SHH+sfHkT3u5IKK/V3bs2XZP+BTkuvcKBUo WGGXAEbFz1RAGKXeIy7G/3TeoJCSXlcCGge1TAO5FW96CElTABBAGz7dgDJgzxpIobAvjalTK1wk 3/rvC0qunt1gmubvEJpA/QProcSSbbv26pa82BiadvYLzis3KUCm5bqMmr6oL5rqrqopzspbsbQq ZYDd7AkuhSsdcBP0+I9j71LsAE2Tu3ZG4Sp1oYqyVADbwilDd826A4LADnkykoEywbg9Nl5Lt+ar xow0lGYTKbGNd4usw1s3FHeIUelWaQEI0xo9XWb+Ra+U9iDtsQotzRl9c6BzcV8HwJelEMxGeO0W KDNHYNdf0o7UBz/YGVqqi01zZ6idDfYqO7CxM2nDyt5akDQpsm6Gr0RlPG8cMe8pf+oStbey29iJ WZtFA6ourcZwjyn6DSyTuC7xlO5PVVt81Dt6Y6D8QbjxVmQMIPjsdF3Msj+fgrSKR+ejJaHXwVw+ zpDh1r1MoooAHdyCJEjhMOU6Aiuntdv1nxZzrSZ9PA9tv1OvFVm6VneCuC75rt10/y+jfWOHeanG 9R5JMJJvo9TRCz8ZT2/rLaVci8ZSzQ7eMEI43euh2AW8cwjaTzq4driTpptMfHFoyOy1zHuxU1HU Cwciuu46aGLXYkIREEBzoNtmcvYkXpVRnjalFSbNFXgVpRZ9OvRYg2k42uXwWb0XSeTd/H3kR/Ea QX6983ejLv+eH658D3H2Uy6/Y1eA/O0OhOjHHKJf4TwZQwjw3iVrQiIZDYKfyiAnpTvoHEdtBewm TnILAGdz369ikrqLmue9DqTeIYomWi+6uCSip+vk3cjOjaXYO3eM4/uIIS8w6LVJNMeRSjkT9ChP /n5wEiwShqBR5Utk9RB4dt3/Cvke0hq+RQrXcQAZFdL9MbGFOQU/Q67PowFsJT4gDVM+EPCeYBKL nY+U28zQrSNo6vZ4Ou7n9VCNK/EYOW7SjVbkgUhCyv58Yg+QgVLozfq/itMURrX+9N7JTqfIz427 Hjw/+u+/buCjUIATOm5dSMazeOJguRYduEo6Hnm+P/GmdnSIf5sLXDqKkeiH+gCeBWHLq6CJF8Wv 4WoUFq8BCUgo24/F6w0h8Uzgw3++On/+OMVuTr+ZiVOD0vOoS3fkrp0/Jvm8tjSDI/buat/gi/Vv C1p7Zr9wIMl1bvyP2EywBbSndAahfF3FazQBE5VFhcvxEeD7z0ZtpCMZLQhBtisIp4LBgRPiRwae AVCduBDUPoeMZ1TlzgaVfMp9E8B7O1OoV70aEBnF9LVKMY/vFGldUllQiI/9TdlJ8oh/66V+GEAi yHwCJqVcSDTJGvlzcdu9rbr5lfiay0ABHzPyJusjnPtesJ5kd/aAZOp3KIqc9JU5rIxEpssfkvZZ JpD6ddNAxrZRBalENQFnYatYg+rRw5oFwABA1OijigzpLJzD96WqkgHyM16VBQU8iT7R+/1ns6np DD5GPpfsiVDApduPliGiTBp5wyuyJHsm3uFCduwj/CEuKY6RXj97di03Uq3J6KpzOJTAjpcTyrsw AqBbwJF80Ph3afHkxpCkabttlzcQzZO9wcIqmBemjj1/yzJ0w3IwGWDVnnx/wUnhglNqkPdYms7H 4vYiQDCAJHTrrhQ8fi8E0TwdM3XVMmA6j1Wgwy21WH+t6XuAzfmY8pT01B8M3aeQn0ueYoojx+Y1 4T+WZQ+3n6RpmAkxvyyeBf7QEm5yDJTspxDcCGndUyvByUw5HXOGCwa+exyrXnwejILTLYb0ufkt YBAykTsNvzcOpq76m+ipn4SN506pV72XS513EHdSuRIhIlDapD008uodYTzHBpklEWpiE9NkK/tI wmVYG31FOkZvem1J/gdRE0k7jednAwNcnb/iUEw9FumDs72+RK08KMwVeXuTe0G7AguhnKseVNbi D7OvXPYTsYHK8q3qScFO26IrZjWM5M4fsBo/aTROEYA0eYANz7Yw0G37FSH41OoPFeuu+2eYFItZ Omb4irh+Cl5+4rs6Gyv6joVYbLhjuylk440N2V7FTJExUt0eRq+OuH5UhwhHy+K+fRhCTg1F93J9 1Z7HvK9lwcx8M0zNqhWLNTVxaCPvroGYCdj5uc/+WJNnnaEF50tdwessLNTgy/jY/U1RFTMYqf5Q bXGnlYp5UFACQpm93LSO7MeoZxPrOu8+gk/jQbJY1dBmz7APkLFBodTOaN13W4OQmZ+8Qf0XRMGX vgTSfst/S177LQEcytO87H44q3Tk28T6KEYUx5b2Fr5ZOSDEtiTUcNMwBHwCsCBn1anUVZo/Vlrj 7Sq9lM3KY0VSEb8/DI5H1BtjduJTn3SQNMDVj/lJgxb8+qF6bqJyJCO9R18kA3IL0gOJxHVLlmJR Uvn3ZO95ftAkbE5wxeWUKDDO4ogPl+5TnOHqiCYa2DD1wv6y4F8V6dyA3yVMuFtCFardTmi+Yqao FVGEFqIwuANNnB+0z2Y9n1BJofsWTpXJSt3X6nTA38shSbl2gdH3q0fV1U0iuOirX6ZAkdVtK+/C 0MO2mwCRzvMOeh8lG7iK92t9tuQLwK/rCdcuN5lUyxbJvsgscpu/jdVdBVy25yww+Yru4vKegc/n Ef1SxRWfnvqMK8fUsQ1fuYr9e0ZLUWskeT6sN86qe2F0hlGaiWRcOW9WslHPAM1+kOt3Tdmt/nom NTe+INiOp7K4lH2uwHTjbbOWnZK9qs3D+c4hQ3Yj6yhWNd2Wlgrf8yWXIyRIjcH3NhpL2w6xrucX UucoPfeSkh5xnD3YgwufPMPjGIKG6F5OUWvpldDZ4wDSGebDrDG29U6KSQQZySK4f3qA+XAuVqwy a99C63X3tphvpMHUF18uc4OBey9yNOiiHK7nLwb0HinsjoKJgt8CR8eCPQ+sSCTKQwDVQbGW6Al6 wr4JoAgXhKTkD6gzc8Jyfjwni1mCQgLJiHx6r1N60mBr7f2M7i1OYYvAfuua/i5lLITJIQVme3ZK UHUORT74L9yBDvKV1I1aLkDL+IKcY/BrWAt34ckmX2bSkeGnVL/uoNLuV+CISLTykzag5iR084P/ lWLpJEjyeP1JYtPHL/jD4+wwYvrTfaa+NGmRPWu/ot5gCMxzvnBk/ZTvydM9Fko9Y3O0LCel70s7 LZZ4Wg86Zb4YJ/E011x7xXY/xgCyf2gpboLXuzXC2cWKQKtAAZjI2xSprKKGeWjrRLG0mh2D+tvi x2cH92ZOG9JfxFo+zeoF66RsULmgMU0d8LcKrKsUdS9oORg7cBfzp9JA+Ph2OoPbhJiGjiZEmsuL haJXP01qzXZWHyZ/hx1YaLusB4sczz+xUUebzl4/2m8ViY1kZ9JxxCw56w0xu4L76l5OdmOyLHFG UP2YZ+dqqiE3Ywy55YYm4hdzv7vnzQHsTxuyhQrwLJo+MajcpSxcL30LrHlY6Nx3FkLqlV7iQsMH oBxfCTgnyze+DTw+1wBTQonj1qb5l7NH6RcQAeAlHMlLO0/D1Ja2bgdDnl7ATCbHzonwZ+scfVnr Gnc4/bhlYZbUHIJt+JCBlRIrBRXXn97MWWAT2RkYHIHMbtQ9UsgnI4wr6eSVILZ6+YjZ+IwVobhp quKI688Xjd7ZOS2pkKBbQNJxdTE2QeaDpfCyZv+BZ+BdFRN2gwdEXLMGqBAo8aIjXQWdzGtUE5nz ZMxo2j+2EtDWToWdF8uhR4IHP1HlZfyXh39X+i5kzLPDeiwjBT5Di22A9Onxa2hgkFX3npcO1bHi KI2g27JMtLMs1UgVWuaURCw7dWQxnXdWP4I4J/gC+8e8Hvu/5c4aQmGy53Olw1eop4T/KFLramV4 vSOvW8+amUJrhqubNjyddY01SxfREeAcBNtSyWNb4e7gv6FVaB1mRvRTZ5WP6rE+ZrlLOU42sDfO QLzWATKZWDobeP9RLcdiEnP7KhiGJ5rWEqV9n9aHw17VObKU9o+1LkkSGWQ3pZTaEczCgCBf1UFF 97uDRf4WE8YucR6AchMEQCHWS1CpMA+J9RfBkS1BT/SONReOPcGAwwJNL0ZAsZX0Tz1gkCdaOAsq mrd1SDIylBVKD58BC6Xf85E/k+EiBlWpzDfydWOEw7s7bVTwjiHjM7NY5GPvl7AaM6KH9sMwBitH kRxL87ucv5IFht9VzjnZQ2YLHUeFMPThAjdyggghWqcxi2+5VkUyGoHvii4d9P47GT46RZ9loQCn UQWmS9yZOb+y+RDUSb+YqyLNxxiayUeuHASFFIZirzt/1jvTw0SFltHfYsQ7Z+/L0Wqq6o/aH5k0 bt9ZApmsq1yz0F9BfSJlPOlse147gfzxWKRaWnjm/SSQSpXxdaSqd/ct9DhvCp4spwrHW+S9Aubb qMM5VkjyF7dwuhhSaPHlbDbw3RxkcfH/+l46L+A72PsKZfxUZoG+hBF2uM8e3tjkRwvijkcZ04RZ sMA8OQmeso57HClAaLo+Sw9KVuziEzc+MBRxBh7463lAknIc8izx9qYf8AVq52JRA/wKOMqOc/ux GfKK2LPWw8PwrzY0cLPI5G6on4mGvJzQ2kNKqvpF/DCOfyqsB1sv49pwCuMdhPyDolKRyokCMebp iniGDfHrtTgAHJ9RN/ZLQlqyj/hbDqqm23uhBP0MddTwlxxmOzFGDMovhNs+efry4u7401+dtgwV xD0AnXInQlM1wEnJCn1oMPnrOwB0mnEKnYj9COwYGAPQdqXu3zjSI4MKa9UrvzKns3yOu7PhiyVJ 61HTcUFPZYCexdzacRBSE5bB9Sb+DZ9AtzfjrpLzD59+z3+MTpWbrPd19LruBh3nKl3zy728K5nI 2Dl8RuMHV2vzQjgPWOBQOLDLkqmWkgV9FhqejzY2nq5mPcTceZ2mJUK8AYY7D5m6wlWxYB48wJBQ QlLWsPxIZOsrfgsSgGky9pjwPVW+cbq5VuEbuxU+e+hmL47GKxIznMF5oGVv67k4Mp46Wdil7Khz y8270RpHYVJjOHLbaWxhQ0ASdKgYOetvwmfVKeehVB2iLslFQD2tYjaNUHJJfuvaBIWwTlC2ZRWT oSk38cJGGRYs97dcmzcayjYlVsAdLcPmQD/MWQqIjc/QUcXjlBJF31P9cxAyHmaDzfIcu6HFeUn5 q9vkqendZqnnoypRtSdYzyYLVGFHZU/9Eyc+y1sANDhu/aI+//8XDVqb1rheYFd9I+948WGia02O totWLRW6Flv9VeCd1usONDcT3BhOKmYL+nWw2BxaPVD5gYBvPmWi0s1R4VuBd83tkID99VDNxU6G HPPmsO3dnX9u0QX9m1+NcM/xByKTQ9AS61mc9fsRVdFkQD0XETOQJIlvXe89LySwXWUzytMy4Lwz Jj2VZb5rMflaIV5RBW7ZxEyFeeaGVt3uRlLOkZuNBraOt9bM6P22+g65+HABylc1wmoqlybI1Yp+ hD+OkowIjLeriGfLIknE0GnLk2Q1NPB655ueFQFJHFrXZFhPEBlzYPiMAcLqOX+6vX4FX767K4q6 ERfN16+R1VRpPh30lO1ClyxaSPYhxqu64ucGJ3zmcniiHvYycktxd8M7UUzxivqAaZp/8KQ+uPnD wTDuiZNoDczfLUrCCWC5cDxe6/la8tnSzi43D6mUMxScHtDC+2yWNkc6MXADIOMSVJtKuiG5Oxbj uBFEJC6whbosW2BqDicyS8cdFW0VxVRL2XBbf2yVLpYe6sbGhY1Qx//TazRYufxpnaYL5L/eiHx9 Tm76mfQ0i2MkOtbZRmhrMDl1UenXuuJ1L9h0mX6O2clFnSlfXJBtVvvSKYX9IWMKw4oeptzMYBvK uFY/CaqyOw0/JMjoQbtmYgPv/hQhf3TDUdqppOU9fqwQ0aJS+FoLai0G4/OB5HCvTYUr4ToYO7ER Slna2MeD+MJhphu3aFYfCReTrQsWNWY37kEDzjl0wUz2ZrxynaiyxY31g9oqpKOzz6a6okQWMzpJ yzkdruWWryoA+xnF1FVvdkKwaNtfSCqywtCVwmGmaqL2P1VKCmunMA0W91jpdScRPndrqOTpUaSI PcBQ9u7FVgv+jNB4KYfLY273yAzf1dlEj2kqiBLGt2iIvJzznjHaT7vAbBZHCDK+FLyuUrQnbGSX ptN9aiTA7O4KXdhyzSgb/KaUv3l0Cn4YmOiftSV4xSwl63Y/x98eycs71O+14YYByKLWOf6qmKHh YE3UKXlCalsfMhOGEohQsPFkazGtEoVzCSDzHGUumpsLcFQlNJ6sb8WLgeCjOckEnIQWIL+f2L09 2UHYNahL2ePcgm+nEc6mDg4WVmhPBjaIhfrxZehmz5nvcIblWOPbL6tKypMjr7U9zhVZ116O3ICM sLuNBlMKowNXTh4uIKo07hO7ioP8orRG/CJRQRN1NKjQKfSoDP/OBRKgnYV7fGlXZd7cvDTqppNG epyWwZoE3HVlsw6j6yZv/GqPnUYIcxZS8BDzfI0u2ie7Wl4JG4Ub0Ukn/7u9aH+Y+NQu4XI5AHYL A8niVZG+Bjxs9SAsJV+3zUZkex8DsZjHN6rt17y99OkqY8uGAINXVvrDfD5qARww2fB6tLHw4+Kf D0BMzACH4vsMmUX8YQpny+f+c0bJO5x1LN+o07N5q6WO8APnfbkociy8yFmXHoxPHbrx7e8koVVr vLYDDP3qkdLlaT1l06sBP6whSVOpsacDgvv0ZC15e+YULWAs18TNkMuTvHnj9UFck1Dg4venzQM3 W2TsMSyKl51q/6IYpa6MPmE/cALJlriboht9xzALr7+3jLKjW7i6nlrcPFAJBBaCvLGIzFhk3aad 7UHPyT+rYZzB2YdxEvnmX6/4erSLkq9bbfCo6C7MuiDEYQTKvG0dWWWdUfjFJZn5oxlwESaTPzC2 LIwLa4KafCGhuXA8cVQ1Y8rq2td4NxEI3UGZK7/Hc6C4L/KNERu+Uz+2QwiaG6Z4Rx3NfGBFesGh 67wsqu6KyNsSnHUu2NXovqW8Pgc7F/0yi5Kguz7Kuug/8/OW6i8mGpWBfig+0MdNyyzX5jEnIYPT LLZtHJzNGR20cltXFOXL8JMPxlgInqQQjJNJxOFMuhhjyGkGcaOrZX8CJhzr/Ehklpc+ZygHSkEz kPmABzV4aV2ARG6Uj5LeInQr8ciOmd5mzM0os+iTBSBSJPtl5FvzM3+pR75G+cXuh9NZB1uOveNI pL7HC17S1l/Vb1Vxki1eGwfBXCmT5rUKXM3N255rOdjbfZsyFPcNOFeZ+JGwl0tgbRP1hy03sPAQ 8ZWDTdInTDhwxPiJjDWlPkp7flxhibKlqW0NR27G689gkJ6XJR5QygPHnvQiHRzDQYVnjEYTqssa PHqk7ZKvYJ+fHOVDhA09nT7YqAs4jaxDI6A+HAT3hor1WYtg5pX8mNCRWVzS4/15NKqEcvBFsuRJ x9ZVbehQupCYx39F9ZiGfLeiDa9MTKumOGrNQ0bdqq6VIOS51euhaYbfCvFm4Js2DyC8oc3Kz+cc OY9485xbX0DgjNWNymdAhvmWbUc8SM11Xj4YUDFBevCWJuttPFn+29AL1RWcIqVBCS7ndUepp7RL Wb34mdO4kFYe5YoUE9q9FqL9IMBhrl1q6LBQDkD+x/sqHopyTT6773o/0VgVRwqwB9CLzr2AK+Np PHe6KMFZ4VjqQEJLlsO2WgTmQGBmC5wbxTH3SXlDt495+WXQQBET5YG3siPUn2iR3g8bqIHYY9YQ T5R4A9KwElyCrRDiqBYfMpduucx3wkY8dzPRA6EkG0LBydG5wqmUrN3cxBTNPBL4aMp3pFGrWupl FdUExajaYuImYIXt8irHbRbBAvg/aYdJTANrifVF4RQiC8xE72qD2v3gGorn6GkWdU7TlolwXICk h5xpng/l51PBwosw846X2TduWUtTaKhs1s/cJqduNCzSr7FUzbHUc4HtrjF9aq5L+WbIKo4o8/nz cZmGA8E8gvZy18i8cQcy1BziINGVrnUY5aj5EDTZP52K4wvH2pIdpvET/+gEvUG2ZrBJ6eF5w37s k0xSs2Mp1kl056zIb1ZT2lmQwH4lJgRP4WIXmnEv3kUw6XgdcpJRA32BCk7MCNL49cWLvHQWkUjr 9OAoCNaqEsROdINvtjb8X7g6WCEvrleBki8t6j5AYX4EXb9wTMeYVPTdOhfVNoV109cYjjpSX8UI QaJSgLf4aMubVi1lO2hJZmBtUSFmLvYBvkYVfbg4I9JVEN0Oo1LSDA1oVkz2iaJMxc/dGQU3VyR2 Kwxy5eO89FWdKej6dfjDDunnQIqUdYp0wPyREiJS8WgrEI2cMVMskgphC/nuSwiedQQXaAW9pCYV b/4BoWdvhcAFKirxou5lp0/FrjqAPrcFxlvLzSQtfkXDP9296sCP7KVtslEmtnJgX3v724blTiHs t/ozPQuQmccMB4b9D2Y5lBG4eqT5rndp8FbY+glgcbF++HYalZhyiKM1FRZno3hWPzOk5gndgWZI bMr8wYhisYjQ5jhBJHVhR5gcGS0VcRYsjYQCZ2iOujDliQ/LG+b8KsW50m2r48QaW6ZoNBJL7P6J mYNeDgMpm4zixYIVIv/uxQgjNECyQmZ5ac5fEQ8ljcYFG5EsXfifU0/DMUzqjAx4dnfjVC3kVRks TcMh4s/akfkZ9RJj5GZJB86/at0NRelhqgd8uUyN2WeGMZwdnQWhU8irNrkJ9zzq7KjoHdfGlt/e VYhifMos0R0XPWjMi4kleea22DczA51tfYxIPre8kL5CeXZAQjI04n9u7xo8kAYSbQCjppUr9/C7 EHqE4vSqJRzXwoyeW5Mf5ta0JBD9wB9+279S4Mawq0sdrsfOcBfiXtthtWvGgjLjqBG16gI83YdZ wfcDPPKPeoI5/FqaI3zECHrM1Y3SYJsO2aFyhWGMbEGdQCLnvWtdAl85RGV+AW5wq+rpTZZcYnvb dphSxL/xWim+fYsFPKLN0csrfUJK4neKkPQjj3lQEdctTLtF89dT0LU4jTVrPMn1VHUW/sctz5Gf Cf1tqTO4NMvJJ/yiml842ggkH8mS/7hCZ3zM0AmggTD3vh52dDMhiABx7/cbjn3y91LJWN12qbk+ MybQteAiP6crLxSuT0cXPKUc75m0sjtgOjVCKym6+gl3PSJvI0xvfVkxKxQqacU+8k6ICGPBNHki tU0fAmTOn5vjx2oJ9bYuBeLMY/kCZ2Qd1iUmwZbPWFGzjtiV1nrVeE+LZ0PoznFWD4OWv2UwXJ2U v9dy4dtmQ98i/tRSRZ6fR+NT/OGE43PRwY0l/KTlRzJbYVIg61YbnyimEY8i5DkpRJYvoNIijNfc tTvebdKSh5XqgPqMmmGmozrMSnW4NgBnUQ00+gjDDw+IqWTGGMh89IcBaCzJOPbC3vasnQnHZgI/ hu1idJJYmn7lnnl2t+jaLGdPEZtR5+x4NqMkcWftwbqBrtXQuvX7fkJTlq4hPVAuQLsvJi2Qhpwz QF1vfLMiH8FZxE6Qd2+KDg7v291Kj9VFJWs/plGDGQWR90cdtDaKDew7uG2rfB5alcv6wDewj3ui 4+TvLlNwB5qqS8XDAhRbLy5JaC7F+Lfia2ACRj9p+yKfYR+mPlYuRtNJh8Zejr6J4uSKKA5ViMeK Tdlp9ZBwANAAfeouV8qIsEcr2UEhPUqiA6nfyO/WuPoo3QnFKsSpdJfNqjtegJCB+kLZz2lPhcHl nzk9VFUUQjqakOriO+/QRcGUjkNMlnrLeyFkPEcoPGR2JTt66Z/Ov/Lx7DKY59Pu+byUQORZbJan U9SOUvIRKzG6eD9Hl6reNXnqrDv9HffoktjeuisV/12o9IHT046tNZoXcJRbiGSySrsnP7Tgj8kM VxVtpLlJ6yMvIdsoYZak9TLTp9RgIWLuZryUZ9/77ApTQkAdKh1Td5JV1FbGA9yaJ7+33x6dNQR0 wDlCZnwqqkSR8Sz/QKK3uyN+XsRuiq8Xv2FcN7aEWXXS1TNX+TeGTcuDMuSSZMrolJK236N9wNJN 246PzUEIZKMK9yxCivkB8WcaR87GnqaZnfUWk9/yGf/ZgPSRLwIHxDkj8JGH40z5rEdsx6x6EUhh BF6yIq4r0Ug6q0fVjVBrV0pgkcoH/mRwQcnyy0hbaPsPdtw0wR0Hpl/4Ux6+6FZqAJiBOLkRHqOS gqgQbHCByO9BphamtfhzcHFXsG1iaZOr3CMRskTGBM2sXdX0cEuMIdA5WIc1fxzJN4iq+1u2hXaS UJzNTPpulccvbCJ7cJQ28hlc7gNZO5mEkISSPy5Tlj9iShj1oPjHti52VglD3jjsOmmryuSsC3br JggcpKaQV5epGJS8Rx7S1UBUwqOn/9ErBcl1k8rZUVG9A8kPUN5xVq8xk3N63GyhHzlc6PAtdc1n g/S4bx9Vf9McGCYLecc8xHwx9q1tVZHqyznp3ZKaZfbOafxgVOR7F7mx/0TRmNVASPCU0L8HIMCk NB0O6wCDgQtKsZLeLZIV6mz5tQ7bmoZ9f8U+GJ8yP6hISLrp5Ql57vkdHKjfGzo8AA+FIYU/y4+3 M1vbOQMYgtCxZeXbQUMkin0g/BHOkJb5W4WOM7pR4Xud7rP5m5oJn5IAe+Ccr+MDBpkYsmk4rB5V DMxkm/BpWzM9uV+01dyRZhbstT5E4JdVLIWd1jDcXgWU9PghabztBXF2gO9nH7zPNceT0cICvpig UTluAsoIVSVu5bDpoNRkn4xZgW7ks97DeV454J/80YAZ7F6rE7TaWEltoOD5JdGZbQ4kpRzmhCSW piKgGvCstKDg/fHBrHsMgRzhUPFlp2fB6ksOF3AZMehbKbhM8BqHG/o0e/9hPq/0nJs8NQkP7XPE uXpYg6g2aVVAKvQPdOrX+kBFaIGeTz6WYrFXKsEEEkr8HNJbmXJEiGhNgEA6hWaLB4zKda3LNF0i 0EHzNl9MlLxzysISz5jRDPCxAb+1FrXD66Im6uTAGhkwVYfzonamiGEK+gbaciYgX4mxx8t1MhGp jmZKR4PZljo5oAvcr+7Okwu4LJRL1DebiHYrxeP3xwykTHF9CpiaGC4MH65CO9dl1clWA/pAwQ82 XPJ8skwCDJkyb4lAHt97RZBEH6ypDf4hjbO2fwa9GHs6+aCWgSUdpqcEcghFZkHuLoCRUT421QZe mKwMnREg7m4AOveORs//FVXNoFL5X/cQmpYmFBigbsEBtcuDrSVguEiTjOfHFBydSelz9UBid/Gf 7dODrSZ3N2TNtC3lWjGpSvw0WcIHaOfILtSCP6/uWiApuVzpdBBFMWB4Bh8pd9o/anIGmyd/3F6w GYK+Sn5m4D0UdCZmMCvLrkwx8L+gxa/VbK4cqD6oQq86PPfYShaU9z7xnJGWpIwr9IBeOZG9B68W c0TjWr+k/Re/19YNo7HVJDy9bhhoV31FxCjVgaatcqgNDXwO35gamXoLNvIFBVAbUR/HmBYzlMCD wyf/KSXeU3YWvLs81u0Jvjn0leHrW4Elj/28M1raHL4gZhFepahJAfsyJJ/DB5Wg5tHTxHRlWIzX G7Y70G/iPV+V6xVsvnMH9IBkqICnO1BDv2LNGVZxU6i6FUtovHa89ugFBBvxUTul4H9xlnS2D1SA mDzhHmOayV0mxZRHzIqFykmQUW8P6ZjB7kFAxbP3vCsfxbw2u2OXlv2KipjuddncRtai7SR8+k93 3m+kDzYdw98WL0S7pRt23Re1a6Ve8Hw8dIKLq1mtc3fSNJsDdBod2j+7VE5gnGSojIF9wC10vDPY 7KqdAMy/y+oxRp8eav0BKm1+M5f1ZMV/sXJ0mu7NmMKrm0ACm+1tgQb6HVI0cYfsLEOVAQQtUHq4 5g8s0ksUdOeMEXVI81CaYIPm2YIdxWn190/eGHSCRmyQKop9Gv8G2+iqHJeloFMicPPnxxZOxCDO CAyoVPfrkIoBJR0gNNgHILojUCyWSIoB0ZLDPyVyv0jHCRxyFYLTbQO9FIggheCmC6qHoOKIgMFN hLNkTrOtQ5iK/hhd+oXQrrcAM1aQP5qigiAaEMf8VsocRufalL4GJg1OYgE73mNMqmYhVTJ57S8/ exLTSNeQFAq9K1Av8/UnqhCao0ZHZ9xv+vRgnuGU6ZRp54n23ArkQGekMlkNV2uHnPyDbx0dpzO2 5gc/fBptiADkyVxbwmNS334GKSk1iOm0dqEGI74QsaudM7WUxe5sGP+fGCNhEgENDzZKOO8k767Q ju198TOBR/mX1i3LrkmH+rwJ43JpWWNn2NZBwYlTr0MWeo0rtu4qA/4/WraO8MFnvj26RNcmxqX6 9dDAiSpOumZI6gM7DWWIbXm2mV1arb6gxKI0xW7hk//F+KAVFk46ZXCOrxPPC4uoM6bn02EsgSis iBhCzG9NQj3oOvD6DHs6Z+ZtH/1HUjrzSsHFGRAX5wFR/eQsT8z5cYIS9GfhbFJzei/Ir8jBkYex U5C/DSwxbsNmDH1GAOXsNFcbw4tehIGZT2L3muMXuxenprKQus3qJwAsaEyU2Rxaxg3xvqq2ha99 CbCyt0t38TPSyj9AhOZtu+GZT9EaNHoIjkzPm6PbP9w+hHhvvWDTr/ElBdoG9b47GPrL+0LTqMND bQWHSBDbnZtsizwKfZ67lkbYaaRjsiCj/BP8h0dtOywNU5jnlit/VucNrlp2L2aqBQSuGQHXHnE/ zS/+3OcUEdA2UcoRT2tpc+9G3Adk+xvjdQTUA3gLWqrHPgdXjc+gqRt3TzE8bS7+QFFejUNkMc2T tPPkz9dK3Li/4UvjApaGlovM/sz8lKfwUgcLWg4q3yUdUBGARl7T//W2yFlWVc+xllHvN+8dYGAw fnYOHnOTWCozA3zupqkksDdonX9t/KLQv69WY9wm1PTkRfc2DvSqyuQvXaN7NU4Ow0UdsDAu/TxH O6O0EKeiFqF3blpiVWGVlS+BgSajTPwdRa43AUN5eiDmdB4i78jeHGrzQ7IjkH1FUtruRaIH8hN3 QkKXrsB4izcTd33BoAN3HpbOVwM0T8ibttf8uP65z6AvY6OvvIKL1L8JNXWIVSdnGGMuRoq+AwRg DN9xf4LxxaXoj1Qpc8KAJ4ipqGNTUOKj1DVYbTTsn2YJ6MZUGktIhWYuwffiO8T6q7lYP4tpa74m pgL3+N+Vfq45XIL/oXpkkrsPT1UFIgRaNwoQR7JrXPzw9PCVZyqb3WkKWXhpEZINYKYoFVh9OUL+ upNgcIP4C0Yu111t3ESwWcuvaO5VtHol3NxkWhqGemiQxa52EXLOn1E8tmg79Pb/0xYEs6l/SPuO T5nYtvj85375vkRKDJJ38aXo0H6CWKK0kfPjoXBGYZu4gZ7UpSVfiZGHfPvxmEcq7eUMr0inehNu IvsBmCHNWN2hcDUedoRwWv8zMjhbjcmDuRsOtecvt+wCgSp53cZgB4Dhf24WSB9IqhPWPcjQ6djq LVbw3p11M0gPuPAxA/YUwpEbFrJaD5H87pryI4aILBbBm4yDdodtWFzX3S83WGCH9h1PxP+QlZ25 Fs3zfYDOJ/u1hH3c4m/wT3WhZYir6lzC16UIfQEB0mLg/PO7Mz1C6f/jcjAHOUpJF5j/DZ6cPZHe yqn3H2hvlgdU2rOLt2rzA7fEtgUReT4BzcNiNHW9gzw9KJ18mzy2aUPQqQQnd3CWmtbizSAeYhIP 8IoH2CAxS61tOUP0Iuk8OLuySRW8wLnDuk/na+i9JDKnUzU0haFTn+dQ6sSphGNBLKsDINzK5zSV UDk/l+cJZ9tqFbC1TI6vBKaksQ7+zfqZBnjQr24vXoyPFwX4D4SIXKfW3j83dffp9AuuozZGycHF 5VMFoIdvnFgi4cg63kWBJtwhuMWmFSr/h1aWMyKsNpd6v7Sm8yzdjNkeO/lNhQ4LGaSlBdc2UG6I XJCiyGhF1MnBUW3DAWM0sSjLM1XoVW4NOjdizG+jCT27eSc2jQSfUVpA8LF1A3IQAgHmYqUPv41m LmqKU3m2NUBV+HYNYHidMWWMQ8JNFgVGMuwD79HBUYZ4HsPKZRyBr2/51ZVHCdUPx8TUqijQ8Phw +a74yt9ujRS9vGBMLjGoJ0B/s569F6c06xCiRFwFizFkUkrmwRJqlUl/QCSXE/A/KFM2PLwuXjIX xHGVD6BBW3SNRL+zxJB7CzM2KR8XjRt3NvGyTuFoFtoWztzmiH1rWD8xS+KoGUdmDB1oqiV3cJav f6FUoofpXS3Yhz9G4zlRldUA8jFJaUpTJW3hmyoTOnZIMmahHEyvlsgdhJ5Cz25g41Bv120wvg1c APpfVPJ60h8IbaGs0HdwIoCeXME10leg1sGVFMtHlTA6IrU0gFbw5Fvt9Vo7AW4IYSEuvdlWZzwM ZzUjMAzuMBjI8huZTgzjsdd+6naPAeGsP+nsCXeIGjPUFlkN7osT0YYFfK3O/Qy+H/3iATmwms/T jgD1flC8278YT+8lv+lX4tP4lFqqoBEE55qFelxaw9t+Se/NXI0j9OWbGa8yo3EfD6/YqnF45IXa tZGxT2MVVKb3fu274UzgPZzMwSJup48noaHK1DfKiS0fJJnAKogPKY+YRS5AvGg5mgqObgTVCPlP VjoRZ0k1aII8yvmKobKPzWb7ix3+Zm2am6wM2qGZkACg40ZdyMTk2JgHRYhZis2NxAtYtVHfmDf1 8tQ5WWZzL1QIoPuTI0M0UzFWLuiKvfD0lrJR+AmfJbTTDpXQBGgfVEWDhHVjYRNL4i9xpfW9zJln /BURfwF8xhpCU4kN1grZp7f9t8LV86bJImmGfDhRWcEoVawQopmU7ixESBAo/HZwCt9VbwBTSMJV 9Bo9TubHAbYSgAzcYi2ZlhFxL6akZAgCIINADsXmSg95xDP9CyEv6A/gjmN5aPmqtOSV9cEVjgJm vV+TWH22JBR5oGxIRdaAtfbN9f8FSUyGbpGbPB9F85xyr7ykthfC/nG4q8sdkHYRqHWpr/dtLwBO JfbJ9SZbOBu8hjjwc3BZWu2qJRPK8lajmlkhkRmzJxDmVoDoc016BKGhPA6yEuvKKbaHVGNPgxL9 GZFO4dyzJ9jn6QHWPba+G/j8hP7FRQKGtFVQ83W1Re0XMtnoUuIVWrUvW6HsQsIf3r6TnT65BhhB p1M2m6OdX0V9j0WfVe0W7hc7JEqphkevde9MN02nLZJACjoZXSjJikOxOpuZPPQgAGZ5zBLL2Znf 7d/6SE31T3JT1wcxfs6G5OSkoSsQM+A406EyPhl43TamQdDrYv1HOYT93L8DQwLPuTonrCo9AltN H6io19HkHLA+fpgHJj/TW9xPcDTofJOHKXE0iS8lSmLn49eH6otilWNWsgsRXsVo/t42sPZREMGw PpJulhlJJ44wIL363aseoHEkwkzfYk1ePsg2ENMncp6P6x3XKJh6O1uLHZmNyaQAn+kY+GT1AyIX IkEK8+lCr7qBapLb4lyuJ4eCf15XFbzCl6w4X4f/ZXIPMvLLw84W3l1o79QVFS2fwODVjvqzb0xp AS8W3OsCbuUT7OdOKT3oWAXNOOPDaO3ZACrAanb64WFU5R014gvPYsU8GdXMczH/m97Ovsgrfjn8 3G72iKYeQ3uf7Srykb8ziCi0jjagQfT8IiBQ69HoPyPU1+G3rI6q+irWmM1JSY6BvfwLFQir3/lJ B+/lwAM4ky7oenohV3rbSxjwhcqzXNY4S7J2QBhH0U6NdG8xeCL/vQOAPKQ6Brj/Qai0IFRBN+tR z75FyGqMHClOz5NXBPURq25TZB2WsnJ3JmZ0AGSiMtfutBz9CyQbPWcIvRQdsXIhi9jvdQyILam4 Aqo176t4iRQK1+UXlLRkrNhYGd7gpmTf7RwDM6eX04aw0WqBhwr8g6ne7trr7FA/3e3bdp6hwbRF HTbk1oL1yGeUDogCL937v9ru/SFFl7LLUzeTK1nxXwO3xOokmIkbghrTHkqu0/+TcA1VipNM0PEZ fVgHh9YU8Qfi3wMm5rLKiic+REkO1gEH+YBMpFswRMflFGa0RknRVjGrzLksof31ErhHNAe/FYQR eOlnj4/0vHnOoJX8Zx1hfKUStgKUJRhswgiazjEiGPgDtx2nX/FYQds4D2+UIdfy9yCHuKSpp71w V8497FEEdtJxQ4FR1+CEXoehkx5qEsrsLFyV3ZCij7jcnPP1s0Tq6IQ6fsVzywLN5iFaztW+hDWq oGM3Cd2E1GrO7CwyzC0vCVMh5pY0+HcK2iDUlEq1QDg+gb1H3ooxuYgnme32lwZcb4qo1oiWJqSL 9jL181B2cTHaooid6Zxhy0r37E2lFNNGFif+Ep/T/NL7rGdyN6Y71ZLsyWI0Mq84KINxvws0hiMn W17DHGQVDbxpVVW1Ay/0DaxJnMbCfMuZDfw8Df7m2vH8aks9Nc3ECX+dq2OQ05WTJ9bZ+mcFYDuZ WJMmO0j7g30VuEz7a13mKVAgl33/+pafcoqxhVpfwoGTay8xDg602gfm3DdnLtVJeXhxYs+v2H/D H++7o6gmf0siJyNeewRvPL4gMJvl98soz5qSI6JtwJwmDb4doD+E+x8+Tul2myo/sBSCsXL0rrn8 +puuq3bcK2zRXJz1PfDEAEXnoHwRzujGzRoq7mjANrWsIAn0LNeNnVmsP2LRZkBUOiCN/N+lFTyV tucjkImoOjOAmiO0xk7qEdS4p6Qt7eBbRKTRR3fE8ZmW2pgdbbwa+MyaMdeQcZD8aAbrUZ86D2+1 M4cuC0IqJGYEUxHnLDS1eVWL56zr6Ix9L1zKmPRxiaCbF5I1pz5MhgsI8N8MLplU19exl89lpEQl xn4KR/VCLvDB9SeHulwaOV0/BWf8r3COpV3UavIy88CUMv0hQ7mpLaykF/tWdtcZcfLT0GF6+VVW 27c4mKym9DWFhNYGeSu+hGBMuRdKIu6LsVSSzgTP/Ue0UDRvz5dHVHJXVX+rH5Ie0zE+ys/pF4vA Xo8HlEjdv7U8brAvB8Pac1VV3LzIqJkWWaBfKclzBWux5n8Gy+w/cPK2tZPeqJ/F3PNMjjX9Kj9f XFSyapx+LDnPamltUV8/lEqIZHuoAi6dBXX5jxu81Nyr5AdDMv555DDExStcT/UKcJdBLnjZC/SF RiG2TJIKRhupbV45ugPtWsnvcKUVfgPnKk+LtdUfHjoDbLJpKSOe0rkoUF1BcsaB3RPwDyT+ozj5 T9fhmiUZ+Khm+jB6SpeWbWMQve8CZGxN4bnc9JBSkv6Js+Pb89XZZwj1rRYxerzvpI/neu0IDsZU /AqeAW+TjgUJkHkEu6GjtLlH12ziPmmN//yeZ1Fb9t0u0dAEo5l4qyhIC6eQWQQAf/2jIofkch0m 7c78BR6VrzmsXHP2IF29EcUkY6Xh0uBoHEIDWcqjcWIDm8bzNLG5+vQSj8g0IBJYjamFg0qzibmp CPpdq0sF6tvyqP3r+6Z9oVkBRyeQ3pj5mmyUGnUTDvXVB3hm7CcR+JtvQFNdj3LEOJmiF1G34l12 PF12+1b3GlcqP/h6baOedTZ/Jf/GoX9Ph9y4pPHS0KwaFaorcsXMA+2YsrLEizarexavNiihAeZQ EBHVBWCZYNAs7BkwNPXh/6YtDA2dFIi5VkXYEzu9bvFnPuzmVdoWoSl1/nGn0xdQd79pN/J3VGen 5A6BRBaS/Ud6J8zwkJHKhb8BErFhmBkamLAFrQwG+u5cAiSVaz9IoHNJv13tO0kH+LY5QCrJE4Z9 1XCnIHAR7JBNwjG2nJr47Uj8aWBCam8NxdJ9h0p2BfR98moO971lbUztJA2WN+hLsL8m7cquzmue ex68yKMzGWboCBY5sVdk158DhKeEkRCRVPau8/xOtDJPOUEqECWBM5OpIbx5Exjtjg+a5QWGWiuX OqB1NMxLT/Q6+e71R7NIEjxk09TNYKXAM5eM7PU5g1DeT0yMk7PBX1963axB0333L/XaMPEhWJ9H p5NFPfYKB0TLcsBHDqaF6W/SZrr2pMeiBmpL6W/uqQ/hV06wUjSYrGuDF1ZfCGclo/H3edjVHcIl H1joH49n5xhzGsg+0N3D/kXVRzd8fcmRGAw/FPLJJd0xzgDWzAj6aR0XXLm/m0o5qcpYkGunWHYJ rjjYT7iP9tt93Jm8G04j4a5XOUUPsjUmpnIhZYhdMjtzBIXfLfNJytm0/+t/FGaPBXfFmLwokRcl kYQF8m12e8SUIf1LlKTHy7kjSrhwIbezTMinKI6YslOjUaD9UOBde4/zrXTVIseDtyQnZAUYcBeq L8nsgzNqR2GZsW6e/hOAEcoLu6e1LWZB4DIZPu1dTy2nh/V5Wj8gCEu3zqKm7NhdsbJ1UuyqF6n7 J3AJcyGbRW1ErxY+prUCg7RjlCe2Mg3dCyE8aRes275qY3RmnY2YmfQBDwAy2fbrBc7ZT/XjDvkF iDmYIzKwwVAtSqnUJSvvm7qtpnhyGmehLiabYvMd/KRXSAdZGO0vUamAE66v0gxuDE/jW0WQLIm7 d9yhWFHRmXUgsjeDEBJM3utnQTsXwoqbTm1Ef6QWHftZXwdvA8aQgAi7nYtcyytAhC2FtPzit693 WmJXDNDvjU+s4Mecd5lygISjAPQGdiS0yAXQu29EyNWvfTKxsCTdOHnS0Nus1EdJp3UiLalCLKua 3LpKO5KN715K7ncQ+vKe7kIiFp7MHlpmnZ2qIWRyPgNQwOJoRD7zkgyT1h++6gxCiitf7ujn7+Jt gRZpplwMBBGJFTfIsphl1+Pmq1Oi94RLfpQeQ0cqVmepA6rUVPQjmD5RraNHTegRMVwgWIDVQbEL It7bhrQ5oi4M3zAnXPiciyeq1Djy2yNeB1GIzM1PlGm1oqyDQce7vOxsgJsREZbVcX4uJFHm7W/Q B5dHwU3WzJZNeUCDOtmxXnpYZ4/Hs2eJPy+pl5b7NJM9qWGNjWJLr70LTDXD6OVlmbx3nNcwKYEC LrGBZ31szFWnV7NPYZHRkv27QIVgRenOC6xxHBCkuuaEjpArzENNJLzNLeBnpCpENldHYsJ6SFxH DTk676c1mPrWLWa1MfKCtUjfgJgiwHkbJ9+RM92xEturuNoypAsdq+K0+uxxmXPnRrcvtIEL+PY3 EjqxqE97ZEMjNE4yz2zdyd+HiF88N46p3vCHaifumZPrlnoBM4uAD/0wXqviv3yVFFU/PHcyk+Za AcAJjLZ4cCLRkTZQLUz1jUfZ2pGb4+HszArzfVxLdngd8ym4KXZFza7S7snIe1rj8Aj3L5iR94lK bfAZI14tMlPYvJn+GaZ5krH21nvQNfil4+Fchdye6YlwhTPPg57c1OAHdNDqFRkUqdwmrge8Z3on rHY8pzZHKVmUeSRas/bOoPTN+4kHgHECmayfFHALSq7BQOIoKqGm6krvXgIm9d+QUTcwXwFYWMha jP6zgIZgajCklMqCcQ9Wlz2rKvZMisNRFuJsdYOG6fZ/bo8zZYCaQV/7/fCaCHCInA9PN+UOqLBa /nsN5V5n+YhQmV+O7UiGZaM4pzd7c8G+UCjypEyh2rdnZpU7OaxnLBm8yM6ISNx1HJOeZVpucjUJ ThMmm+uZfFy9vetFQob34NFotqsU124Y70HLRF8eka2Ex/d+m4jgtSIQCOCXkez3EPtTTmQCQwiP yQnQ6dlfP5Ltm1JAfaIVXCDizK+gfkKLnpHSD9PwWFbO7QO+lgYOH663vmRZ/rVf3H+BggWhUIoz Ej0j28GssO5inZlPe9Nyz3Hr/K3qTg1tZjHMTPXT09SU8r7UyNm0lrsAV7Jk7xxzRsm9SuMGB5in MBZsWzpmbtz7fPMTC3mJ/+br2PfuqTg01Hycpu3TGBbqoVKNldem/EJI7/rJqbuBHr0ab61r9YKE BSrxsD5mnmAJqNzC0BfZxSFE7hNxxyjmXfNOZ0ajItptqWOIqMEe+L+u7kXTWZM6EL7AjBzmYLk8 +lqwygcZd/3E4pZ0ji2RRDNApUifSFxn0RTvzXGW9SOYDUl+jGWKNeRMeRPuTOl+Tm/mybS4YfDP +7Qt9Vx0JCsFwlMIBt/HrRZv7VUmbvD5UTBL5yGZ5Yqfe5wkatHNG5Yie4Dk0BZ7sF6GqfflgzOO nvxNJ6zTNrjaPTShYwHWfdaUf5qnlqIdZAoOCcRQbkXrQQH0Q1RvLVFFKie5y1w/wNxtbN9WpdAe Hjy96BvGIzvW7NZmd0KoMlmezaZI1EnvIPAtykPFnJkwX5+R+LEpUidDHTi3/vUILO2d2wGgCoGw K0US1Yc4XEHFikhpzV2wjE5GCZV9mxGHANDCcyA7yeSdoFPXhw8dv36/7+7OwVVOruC0ZDmVT0NN NuccAwenn7L194oYyeYAzRPMN3gD+TevMAFVjBC5xf7PkmU6zZdmG9UQQoOekF8BP9ijOPMTBN3I cJmFqEDoyM/M24Me5Z42X0Ldmkr/Gz2IRz5iqJKpnrHqFHOUPcboOqQiAR99tbaz9mcUICrkk1kL OIJCNhUDSuF25eC5Q3QNDt/RTJyrJ69IDXc7y88XJC3+65UD2HXXemkAMJ/N+VCq4RqjCIdkMicC nFn3YBVJwOd4tGBavWn4ELirg0DACMV+nbIWE7fqATGAtG07ypeHiIwO0Fx9Rz9jPuIqpmZAeqwb reoF3uGZzLYibJZUHmbJdWfMoRy12CWvJSe/gpEXw7kwG/FM6uq+3mP48Xp1mfY5mSJmeNvKmI8X eJ/JSNcuh3RDReIhvCG1tVLxkyHC4OvRfFwKyg3cq3muhwBKZIlFaw9SabPZPsTYb2TPPCrORZ0s tFIbJTpVmHmfo9I4qEOnEn+JKrijLebRmdYZC7u9MODUrzrvDgu+dhGxg2YjmgDa/f3L8fdlaOCU P/pL6g2Q2fFGvrdKEFaje+o9gqjaHRdIeGuCCLgTammVpCbitxb18PPamaDxq6wXfZE4XytKNU0p /nrMmScoWGfdL6/kl8vwvEPSZYcU/z8PDzSgbpCKD5IbRsgokfgQXcndUiqFmHikzuBoj4DigFXg v9zwa8nLLCbewFgDI7HaoI4wI1SJ8MhPpiAhT7PBDvPZVhZf6cXfdq+idS5kWxnffRsE4F9smwOm ELm8fiFmLYgD8cfWg/rF2nNeJBXW1kwzddm/ymJ2uspAD5qyGjEHu0Blr63F1azalkQxzH+f/qIj j2CEEX3jLb72rtLZAoVeVuO1Y2O1NkgyRGYjejU5qoAs06sOiiW5MNhbB0xkXdUbCopjPLgMWphw GuMroiM2LmnDExjkLkEjKyuCsZX+JMJv0pDGedwmdo21Jwt+bG8NOitUrEdsY3Lww45ab2EXb79+ TnUfBKVdxWzgmpYl5ZSIHpHoc5ol5BTzz6wPzDRGNPW2Vei5428Bawhn4gYDDLo5WXp4Ss4VMcIV 7SWR9CTe/qYpxR/3ll1XhdOTXvE1sZl1nTLv4L38Ye+ggHyX7eUwQYU8DOgBE/w4W6MPqs+GdIJA OKix1jObUU18LY+lZwF2NGFZmJtrJpj4nN311G7yCVxWz61QcLRU89Of9nbOeNgsClQUrMjBug03 im/jIMkhswzHLivS0vl8ACy0Cb19ttKMc9x7dIII/Da2LOIi0FRttwPlS4f74v+nhv27bQWC1LCX J8gTWGuOPnETwOLUEOJ19l7zY9b700mKpLuHYzRrrv4Y8KMW+bHJdzUcJRA5+mrM0rwuuF+POdwT CZHmiKDigUF4+1bDWN3nIn5XwTc4/Bw1CoeRsy3r9nuoqy9Gs4i4NvZ81VktCzvcVVzdQSbDd7Mb c3L7B0LLmPehPQ0P2kNokfZ8WCGjFBqYSov83srz9o/l7UQgKqDWCkHaa+PmzcvMCs4gMhn8NNwV fT0fyfKkUXerO0hyAjvToa34XOqrwSyCpqBzVu78s3VDX4sBbN/+GRK0VLL4Qx9lB/tDFUB/+yDO tNQU+h5NsyFlXFittRdONeQZFEofZCO6LR4nYYRwghrfkjUgTpBG2eCJDwMzhKcJX/jtuXLcywgP K6/mP0OVVYeiXaxmWoPgQquAjWqSu1fQWDqx7hRfRZuYx7kI+L5iuMAcj1gCct+nLySG94TDHQoS EVCaWu03VOfLHCR5pWHQfhOYPjEnlMxBK9wu32VnLPczuk4/ggD+SWhUl/UDkdLrFcEAWhup0b9T ANv5/EMRZP79a5cdLs7nM+9Icb0D47dG6M9TW7Fcu1/A5Jy6kVsftwTcknvOtCBLxgMULKd1Gw0/ KFSe8o9p62GbhD7UG5W3ANsbD+IJBnUSFo1TrWVZACXZc5qaxP3WEjzsfx/sCxFUOgTsGf8Lcwit WAKKGvKXrXmJSBT0KxHRBVIJOoUhi6+JJzIc5iRjCGU47MShpU8YqR9H+YjQrE47Ux7vePZNGz7Q kKiqRDGKk40WGvUHeq8GE3dyuSZXnucIHtdcX3JNtSUtPWBN0QWu4pPS7ydxfEHREm/Ax/zSE+XF rgPsyVE3bPoioc005Ygqm1+XhDWmFDmihtGH9SFzWODhTYLmSQrLl2aRFDjXd5Uqqgwe2eD7y++g dfrXGNYKjtOlbTNQ6gQwK4aaDozu7K+Z+D8sY4l+5WLFTQECvOwTrVJZ5LzWzLcCWJNNdMHd3ccP DbkfKFGpu11DPWJ2X9KX2SENEJvs8bHQ/78rLTANxunRhfHkGTVj3zGXLOwXbSY1wy1X54XM0ZL7 ZwEL32ttpdNj2tGfkdDXI+96RSJ+9wGuZS5mIT92NgcLmzBj2VfXc2UWZrrJMO9HFldhoLt5z658 LTKlAI5p5LiqNeVSdGH97lqKgUEuP3og2lQRKjgE4zSuQtWsVSM/+NkS1CHN8UBeW4pq+tEKiA4t 6APj0xC3/X+SGaY/Wqa3U1cr3OAgxoAxIT++vgOAI2w1vKCk39kEgr3uijKLA6NVCZdt3FtKLEYo 48dtF4qDNntX0fBeQFvEnhF7D/WOTsop1C26k/PwLTcCR/HNSMXqB6Jl8tTnhsziFR81rY4YBf0c O/z8IugmlT8m4mE0mK/gu8VhaOFx07HuHwoAZrHzbzE2GMGzw0WqxuvOQzT+zDVQXo3EX9kblelz ReEiK68IDBSiz8bD1i8Qfg2CDqyzqDFZNu61p4Ajwy5+rs3vyuI5esxTDL9aQ1b/4rKqVQw8HwdB gD3fDNfrTdmbikexrAg3LSlELbaTyDu+XtwjjbbGmy6KABXg5kZMU+pNEKCYqToiRmsN+IJBJcPL 44r/1YspdAPcR34OTKLhyq0bFFUO2YE3f0QnUjgGLc7Fv3526y8z5+K8vSo89s6gN9+rdn8cTuFM 3hT1WZyvSD3EjwJ/UsArMhYgRld0jJHKRKuq6KstdS2KhCVlqUC/EfOA+kY1gUrnSc1MFzzPQ7KG uf10WTssUs8ytImHVk5E1AdG+aWaImfPSOr+6tuQxHqCM0rWyRIIjFprLRIIO+fOtBDjAmT1YQON oclH/urdyMSwsvwU6b/kS4iSG+S9r9srZLughvbUZq8YPV2OpLxIB+Nth2kxuXIQp/C3k9AWM7aw OJ1+4udBjxhJ/hK6DmBRepBYHE8cyl21suf6nDTTkfGvvJ1dHJ4/1Tjn++dbnEGT/gPdy5ZHcz6N aUwRZwO4zxw/TSmfNemsRakO6X5pPvRt6OPAh2DH+cy+Gn9eZnP1BGMbsnZcPU2WYGxmSpqCoLgh mLYzF6QfetSeoHOhIWI8t+DS3qP/YoC6dWcKa796Hvrk5Exg5MMaDabnxdKfcecU96mXYwryy+9Y lRNioKN+P7ykBV7sODGpnzW7qtHbk1QbguLBC1R/W0kzh+gZZkW1Of8F3NZPw6/+apaDu/rWxcLH ztOtCE7iHkpy3lobsvjjb6wFVM4OIq90RXKC2PKH/yrZyXgnyv/CQoLLt1Bj8X3vRJS+6zm/JNZa 7kLwP7g7A6h0T9DlAg19+Bwft/NkDGBoCIg7m5o2c3iL1Rdkp2hknMrRVZvIDPfTWaOJVi1G2uJB r88o3J5eOXBNNxpqemFQID8u+2neRHQ/V8qsdGX3zcmhTODXi2eHUiFjGKqEhZYR7U/xGzE3ko48 dyfKHtFwGIf4AOenhqRu1QUi7wnJavR4ONahI0NiGcnqD7czWczMMhAuzySl8SSo34QhM4FT1fFg 27Y0x6seloPVk3FuSqQEwGfzbC5bPzcafK1lluXsUsdC32SKqy4nHqFjmCIfdZxCykUBTNG3pgkT 4Lh/XZo3/e/f0rBnrUCV9TvnxGr8n6lU/B0jPWlLWjTRsTuHZH+g0q0MFV7XRHXc6IoRUQsJNjLr l6Aw1WapPkIngRNQ6JpHvBeN8M4XKEPOtq0j5BUn/bIniXyFhdkMPZQgutyy08WE51SHuvT+euGd YpzIKoUixsAMHmdNXkvAPAKztEsv8KLgcx3Qqe/js3ZR19W4HM6zx970FAZzBsc63nusqt2u0Kew OV7tb4SJsR8xyjzieZOUcSO52dLemhf4BiiWXm/2z37/mAq8MWQrWncIaHfoCoE64/Mk0WqkJZAp ieqABgg01eSUGIbyg5R03IKmw0ykl4bHopItIqY6jwXuqT2eKpzHmA7ciSW2eZYqWmzfT4Oa5/61 xBwkgur/vUG6ujUOJ/0FHH+Nxri9gNLpl6VIh7xcaGMRpAzlVGVizX8bCEKkIwpBY6MlAAADZ3gn JywX8go5P/smpOAhnKcOqaEKf3bWYHl67WjSqoOCFfas8SqtOJtQdOK8fApJdvvYJVzN5JqRrfC9 SFZuvLokXuvQw60tLO/QoeKG+QY9kOnlvbI0hmY6C3gBz35EIp8zxcCfalrcqtEvGQWEyq7kypeO YAsdR0nStMPT4tbBY5lWA9v1frbPXSAhCSXUT4svWCKTcUt+P6qZJfYZ4xMpxSoHPbQuj0VX+gOj af2k0B8pVIXBVRTohSPzO6EI0EVP8n6p+x1DUU2akwQ+NTH4sH0GYW1U9AU4DbVmhWu1vFR2EDTL CXg+6p/JnyGRNaR7Sp+kqMPg7QRXnryHm7omRp26tLqJyenzO9csLmrrfMQmhSJtZE0xtJuNAopG 0p/pGk8eq3DFvLQAdLRlt0Yn//GfS8N64rfu3SljFA0b2lYT/NZha0e80PgSROipYPFi3s5F3Lhy pNhPijpOjWGElCybpxatti1R0xBVLlL0Gk7fXayNq4Icst8B9/S/5aIJXRXJKueGYqwlpAfrImoA /EQ4xSsvC6V84jJYUuf+uwBjCVG09T2feNva8iD7lu9Wisz+lpVRORekN79xYtCB+slgTZHHQx/v pKqV6TxZFD57g6o68bRWA9xdXjTPG+3xjsz9wO32lAp+ILINO43umMEc9FmLNNzZRRL4//Dg4o+g Po1KA08IeXX6Grrmu/183HWBPsTp8/vdcvzF75tISh3NXwjVBpjjECjlMgVeZbau4fpuHKTlyQVX dMBQSbyCqU5Dnx8b50TV7d1ms2bKX9iz67W0nCKW+LMFkvrgtsTybO9PivdEx/w5EVy9cTEcjw3z +wgfMUcDdu91ZTGX2U66//A93gFyCY/S0lqw65TQmjQPWlrcaN/cLCvSMTRTWusvDlNtB8rcsVon aTFxlVVT8h72MMB4PGGvdPzGJ9t9QBE+Mwpjn53kohwpRmyBkH6lGD/59zovwqwafEXFMA/BbADL Z1QxhGjIutoHZ+9VrWprCAjguDNFY3+0mGo0KzspA4KSkta9ZyIhn+QHVVRchldTCM2qe1hgbLdB 04GcHIw+gWoewtek47ES60/QhNc/MjyCqFyNbGaL9Xp17KUAz+whN9T2QiOK+k0Z/ZRbHv0gYdTd uH61zzQNMVo2zXFD+9CP0SnIuGHplwn1w9qfUMB1CB/o7UiUS2W8TS57fjAUJbx6ql6VZAi/ieNL 6Tai6dfG28M09aTAHvtwrPDXKaotRMxvhr16yXBU1Rx3ZThfLRyrapAeFMPLhXPhyW45XRloUXFm a3gWKMG4ckdvvRd+g/3udYW5etQDTFH0vTNR0LaP0hKWDwpzQbFIvLrh1OajZFrtxfUjSD3tjyhF I/bk8l0DqOBBitUOac/DU+tF+PnZjYC6JVAPp8aB9mwhGzZmnq5KcI01Mt7PodZLTy4TdDvyvlu9 Qfe/tGaSeUQA80zCR9BcHJY56Sk2RcPGU82xuVLhDRfnOcnPPQydehbCG3viYLFrwIJnY6M3AniJ j9zvLSARjW4Lzrww6KJAuvxF5wL8v1PKS5gnTO4LjuV6bHu0Zgml6sBbZmJMYAo0v7C1H46YjkqU nDX9PWQ5/+oImYvThHdtFeoJqhDUkHUvhN4VdlUr4bZQVDCrodPeF36RjD2MQf0y9z2XkPs0ZVbl Makp//g8PAQjjurE4wpYijYQJS8BsXDPz5FF2WnCG7sts4GwI55WvgAM2eHp9RsKFUobhUtbeBa0 sR1H+/qTGgkZ1b4UGR3j/J+Bg8ogX071Np+yDgjK05ZBEPqJC6BDPGZf7eEHlBG0g5KswbR4JArp +2q3VCeaJDOV4Y8jEKm/SIwOJJYnWlyzuYhvQ6qH3325TO4m2TK5SBVRwjETlsn0KZKA/kXsXWrV qfrO2x63BSjr4R2hHvZeHVlzLMdiUtOqcdaaqH+XKvdxXzdVDn3TBQLpe6vDXKLdjx10sLnxyfX6 /cdbyjsyCnKjuPgVWpLsSIIt2F5CejTn9ARFahSPmle6toEikcnpe8ifXHWWEbhxUex8yaQoca1Y f0bTPVyEWPhYPdywPSsY1SHog7eNIQco6xr+qBjRrp9qrW/UEpffEiwb81C8ZgN2MPwAiMqx0auC yVGbWMcOlLVM9xnL+jtj0gCDjxuwRzflviWJvfBFg/JNa8kgvQuo07h1urj61COLLlK7D9ZmAgBI 61LzD5kcLmFo+jOvjNmSrd1tjcmUZ/NfEQtRHFDWTGR7GYmaEzehnI8L0fLRhLOk8UhWqdw9GQjD KQ1s3JThHLuvRaQ65MkGeeXKpGvKOXhc7ZqA8TT41fjvlh4K08cGjGMIt2TRV5mviyfmfHhy5J1r gkm95fmdIWpJz7yTrOGh7oUpbV6kY9dqn6a3JNpXSYhTVMzw4D038Tpq47HT871qMDK/2mH6mbIA ow/tEQ4GuBwoDIGNcr34xwWDU631GAHAKItzHIbSRsB/uQGDQTcNtdg/4dnLcHvMKS7rn3K5s1ew D9IPB6Rd/DGquIi6BZpx3/fH7lUo5468K9aPqiY6XTrJbeHRMADGF4KQhJDp0FtPtQXiB4OsoO+m Da1cBR97DV+H/YxXkuyIutzPdavU8UaFFsIk+H6VhyGbZ1Y7goWgQXiqWiVDfliPXkoIgh1gxlRa jiZIxvO1kvS0fg3iV8TOvNOSW52VdNtjrFraZ0r8Xbt46KNUyxHs+TaK22NqzsqyCEQG1PENdBt3 TemdPVOHO2z7mUmOuF77cKbmnytB5pxAeyLkeDuSqqKaU0R8FYKJED64nOeaujjCxjBx/Eeg8RjB bSy4MiIlj3ti48jzL/2aubXfue+MHXZ51mcISKXka1dNPgtuhBaAelqZRnH7GGcWqjmi9Xgfya+C OieSXUGycWcq/pEHGiYZMcXoM/eXyJ5ADcLx1uzdBml2AJAavlgnVTTyHGvLMLOA4xXoOBRZWccL jVyf//LkR4GeMz3EKBpyByp6lebL03lkwcRO68FrzNJ8aZ/rTOYn3W2/bvDYsXjOsKPAKbG0u9Ht qu8XS+7QOgEuUIXHxtrfwE1Z8pkPoQIgu2RkgPA1iZ2lhiIl0iQABG6WQYg9XgB1tYBmOvYoi2yy T/5owukmg8lQxS8y0ddnRL8xHsaHxyUf1P+9RgZV6WzsmLyH84FJBLbyG1b/KMDPJKzL7uYJHLq5 NYH4qebAUaVaW/95VFOPKyUyZp9VGaZQzb/xKGQyCoiRVfm78y2+03ECdqX+SaG5jcdKu2BxLiM1 lyfAptR/Lo1V+LA2nQUhvBnHIY2SXQROj1yixXKa/9fZCk2ltYBT4Pq/wjXQuVBaIqvKStz1CH2r 2DjxFWIoV6N8CF5tZCdo8Eumlaw+VQrE5AS8N3XGvumKB2ePBTLQjDao9vbz5ZraZWTjxSZ3A7cR 3jBV4lWe32I6JDFb31crm7yCsNn//lA/NK7esuUIIBFTWxaf2xuWQdsaw1/3ji0XfqPlC4DFvh1P I/ulbyQJ2ydaxECXcHJvWJfkXM96aJEwBs/5o17/nBrzZVVKJuG0kA8WBgczaMo9GjJklZ5RTuW9 ba5I6q4LKh5bILq7nMWzDZJC5SoNdN/uEcJnS81pSmrd8q91Z3C6lfLp9XSRgw1ELE/gTFzWMpjg bv3MuB2z9XSwdjaPqdXSxep4gXJzJh0IhPEbMmanSr7g6vJ9cO0bYINOM86qrJQC9MHjNPQfMIca QUsCvUUo3MvTqK/WRsZY4UF4LrEd7exqyfqH5MnpPpuKTnGNJTrl1atobaqv2fpX59NNxxhgKsTI A8Qwy+mtrwtv2oINho6sNXhdl+ASc91+jPmejLlDRCP95B8vtKGyrqWBClyNTPE7DVY+jBodhNA4 szg3yE1lO8ttgUXsxtwWDdTdVvzBiaBPGxtylcJ3HQOp1LXghiJ6TvnHO7ejDpO3FJK33PbwSJhu gVpKoOkFbMFf0BezGNQtTtgVBcXfM39rcp5evqOjcF//RZhnE25q29E8HKP0PwGguJ2c35ECJG5a 6Zp6jlFhHLPTC2Ueqz1u405wgckVejJtG8GzgYeLx2wGfBzGCMPVglI7APZJ3k+DkxTW7ZqWTLfo 8QTLr7CTDA3+KGHrHHn9iomovcGbkQHkb8pF+R4n7RrIkPBV4gi7nQa/czKSPjgljSUsAd+hiJJy KhQd9Zm0nQJ3nqhn8WZaoxYV7SU9Y4HwEe5wcI49F6YBo0vwl3i6B6OJBOqkP51b5vctw9H74PDy g2Epb3gZMft3gJu7eJaobWgL7xjcZ0BWMum7Xwc5Fgf0bg9ARLRoQd8b2rRKWdprHZp7nOVPcH/N XWWOVmx1U+GUiyYczfKUYQpUHKlIdpSrJYtpMZnwnIck/gqg0UCivVmt2DrfmVQT4deYnvmXIuSO DCowxhaXxfctp1NlvoHu6R+SavdsGcezFySxczCcfQyIL+KfTIywQdK2MyGvb4ZyUntj4ReTPt6m d7cj9DXCklQqp5YCuAQsqFWR9sNjUfmzLPtfwJgVNCe818fzXSh6I+/JJqcFhsR7lN6D8rhv6uNP NHbdlqlDjV0tu+TguWoUNY3FAytUB47yfixexCN9OkeKpaOXuXQoT1iEPgZTdNd0w3wdIp53LbXk yTM597gFDXymJ+SEgb+s49+JW/z94q6seUX5aIiEUtHanQBJJakks8tzLuc1fGnqOoGuhOrDu76Z OhyaalvMOGLcARi8Rve60CPPYzAxg5Yg43728cztnctRRHD1hkuWTrVkQmXKY3lhTI8KKVZ0RwGN wdLS/rY01fgyWpMIliWAGE/R5xSiPKElHORQdnTjd08C/w5Rm4DczeAPTDFjVLQ+EgWKvz8jW9oz OsiEgcqZqIwi+KMwt/WBsjnCrFPfKlgD5ItTkZJXLqDTNpZSCQ7dA96HLSglK4hrCHK2ldr7Npzu M/mMfv2+7sz50mqsnYiMCZJjlcZ+ImW1UPkgnOjw08TN+v5e0gMrma/vc3CR3VF+WADs8D4VjaT9 ucWLYGE6yvhTPw4N/PVYesYuVzNMakBTXde4mGJzjgAUlIkcQVRT9ds4ahcqmSz40adaV/m6NNmK jZ7Qi1ARiQE46ua9FvJy9JX5A1zK7cbyneBI42cLU/DnZ+xHJgdqd+53LfRyd0hM/r6e2CeA9CX7 RGK++xp58qMZDyvuDh1SPY7cBicKRZSe2apNduKCVrhgEKn7uGMwAwQ6b53Q139hmOwTnUVeh6gs EY39hK7ooew/IXgDp/KJ8BBQsAizUou3X9MWWq2doTHydnjg8NJI9vcI/5Oz2kj8tC96WBlMrPVh fKLBwC+Gsx7GXGSqbsL+4tmYtoUxZ8/pEGncRglRLH/tNpGHzOlE7xZR4PWchZlV+UW5gOYZj676 KK3mDzo0y9F4EQAHp/xrIJMDirGOaFdEEB8ousIuTY46f0M+cboYdQq/SJFnfP8FriXUcJp3EWIE YdErw0qsRufj3a22nH/NpZam5C4VxaQnX1yrECGmyXbXMMdfnGiX9kHwvqEP3Kvakn3EuQ1WMOpG toKK78bJmc/UOXX+U94zYiPf56LMXc2W+gbWHbioQP2IVNglsKvZqZusapNaZRvpUr520yHsi/u9 jPZsuNpqwqZ5l+4ZGmk3JBW0taivVULHquXHKhVhZXqgk/3lhLw0wz1AiXgthMl2oB4KjOc+ue0h uvn8PxXvnjoE3MSAkPyZfZPKrxrtHQNyZMMf+UxF4ds/obdppsvJTcY8QivbCxJmBpRAg83d3fiR YFemWf6DySHBXAZXvfrmlENhTjoRock44OcwFjiMg8DJGSmYAxCnTjlNEuzZ0wQBaxDiHSA29+dD ryM83CIn4cb4grUg9Y7T7HvRFj2ioFC0pGnfqlP12iPkXQZXgENTHk4nWYzTDgVAZUbYDEonkB6b XZFoyfdNC7ohz/j4K63zjSMfo+fR/BAnaMAJ3OUxlR9fa4ziSZiS2tAxcM1DcCL6WooiWldOc0W0 5rbmxR8U4JH9g1RmssEiQubkVnzvY+xHOyTEb07a1muwq2/vi6g6J+A/DEAVICKhoZpcArHNvbF3 3tcPmL57hacc2WfX9v7h+3Q8mrGCDIWGkOnoqTwGg0SjkQj3h8W5LfnneUqYWDLchh8OCK93B237 kXqaBmaHhV4wfv5TGb1ScEGWPGmuIVQdh+DDkQ0uVaQsrb/dC7Qw+cLaamcHJvCVPOYJqPuF6s99 Ri30jbOy7TwEgwPkyDg3Fz4vjTS3lnuhQQBDLpEnY1QLeklJL+lpjB+GxvtJzdhbacd7AjpipAu7 3rvTD6Y0xZsBXZ3GeBqkqdVD2gXRnhn/LbmSJZ6mgvCqa4rzG7yFYqriMYB3enNu+ydF+xZQ3iw8 nNVuMlUwkOq2dM/BUwapoHmyTDa5U/s7lZTK6RSbMPOHUvtTvNuBKkA9bpRUAWa1M3aC8OBtTtTS g64UeoeENO4wOuL9atflRIe5E27gLdKQVA0HjN/SBGluXP+zp6O7d9bAJokA55NvMZwoCAw1XOJu ZMBTCsDqk7uxvnYnlmVZRaeVWn/ZMDV0ASAzaZoZw0+2wsuszFUwwyoWyxuJK8HpHyyjAs9lbKvk dUlvE13//UsLgTAuU8tz36b8BWQpJSs5MTpm3VV4akC8wo4npuR7LkoEpjeNuGnf0wb97EncjLyE igx2nd5TczgqyQkEKp0cmf8iMqANDjF6MWjjS382NIQmKM/hi47qxlC6WYkXZl5M0qSkyo3uZRBQ sjy3Uy2Rk/od1vT0M7sDbFk5Tlq8ausYM1Ou4G0YK7GD1FFSLGPk8qMmL0jn0TuWTnAP2yEb1T1z gSo0LR2IkMQRAkzrBromsgZPek+9bOfRRORZFMc9IIwIsGmegvx4Hveoqx1+iZWZ/3lmdLjY534q mSkjxNOsyWkzPS38LBxFz2mWCEeg/UHiLjVUKETRyihJMSCFnBBb1fYbrK3XihO4o0nCYdvtTJ4y Wb23OjsTmEjJ6vSD+eg6BkFsC3uI4084ma9sD43x4Hi/S0SbZKNqv7Ga6kZEnAHdbvZTZylzd0ao yQniTwcXMsrjCk/GVedcnogp5eRmDzSbEHH/WfjTHmOWq+u/Es8Oe9CWpJ7AuS6/gRGhRA2gSYmZ N5NmkBb72RYoKE0sO2MUkFCUlWuTlEGiJxhSoi1qgbtJ10J56iqBvk64l37tmEbPmQDvMyuFEKA/ Sw2iqJ87vMuL4HIjfzYju3m3NPdgUMIF2ItT+E7jiSjqGR+T6/g2A6UBA4XwGwlDHueIQ7TC46lt 2GR7t8cKowZFGfGl/78oz3Vy+tEr6oQePiJlTNLUP1eD8vX5fehNrNIoUPaAunTs72UCAUupS0hl AIeHbrcFwFLt99ja5I57Yj+7vWC2nVGeVFKvGayPa3r5vxqMqCRfjj0LiHLGq/k5clHRsp6fUqk1 N4o122dBjvKzRQK7CDogmNwjL/px/mXAVA+O3N/b/FbPAA/jnRQ8PTw5BHu7QpP6fIJCL1QbiLrJ eEVrOPP9mG8d9LBDTYdWadpRVZdpVNMMT8llhmCaJzoNoSk+oGod7GediR4jjCNsRjbzx8sc7Lsg 3xSAFOCU8cqQuTTUnNpnMacT4Q6CXMcZcnbUKnHpajsNTgqTqjNIU2pn39KSs1+nmxMfd7jfnTVC Rr3HmcnwH/AVUur8+fZ1dt4VYzeKnWEf0UCnGGCnONtjabURAgKEAh5lmichJYtzesrva7P09+DY pCcjUd2rw3IDcwvpZ2IYPa0LMQIPfwTP+nVnMwK5L1bXrRKMlxPR0rzpia3hl9HtjA89auvzo8GN GLN+ahC0Cj+OxQjpSD54nL+qiXVWjYSeFoWex/l9quLMbA2Z1yFJJdDUPRaMgU7KtKMZkMteAwb2 y8Kz5ySr1Tu4ISS4GKTEXaY4KAaLcOzHA9qxGZ1vMZPnZ6etyv4Nef5vmAbvgs5oW3UPFTZY6frV dF9MyK6kCPNOxvbHRoVXlRhEY+7tWdSp4flXsbCrk78qTOjFN/YDYCYirMyolddMZeDQcu4RtMSW Aa9x/GJLgAiL49uQxK9SjTv2yZrCNQOzilClQAMQrS65rzjlw7/L80jjf50GZOiAJsgyeO3lx+lV ViW2au1vyl689cuyN5IIvHVctQhb4qA1p4lML7DOOj4KgpTgj1T85Zm/YhpT3YJ8i9sh9BZe+FKy GGBNATl2848GE9gsHSR0VdFCRjuZuVPvTBAOSYfcgfLpJM9HCZKGiePQJjvPfwmD6VJK9I4BBXzO C3HmDvNlAkSLUMXOt1DtpZlyHiCKyxVhcWgxndsH5Njou0KiKiBVq4mMjGgjviF9pyDqF858Uku4 s+JNGh9SS8Q43rgSQdDWX+h/jjrhOqyTi81FH+LZ03EbRULzSyBSUFQr6qngwJe7aD6xBoR2hG2w ChFURl+Sc1tudeMdaD/6QeEHEjof/kwYvNYTsTj7q4FJvn88zjX12tDCKgZbPpNRLuAqBo3ZzZHz 9ADOlINCtjx7QS6maJrssgINDiWQMB1DfWxCEKIpkzUG7gq5KU+oVYHphgzkXEKIACAA2Z77Fk07 KXsRqms7W/abNug+ZN8MfAfcq72JFlNSIRagbDoUxG8wgkwS5R0lJZEKcR0nl40wqD0MMimg6I0+ sd5iBXHp7N67xefijBjgv618HYBnA9pwhVokllDmFv2N9iD874PMyveEuA5a5DHlgZU0UPmalAdM x2LzZ/8YtYRmoyiWwb/VIastFZ87otWTnLw0uDCSkKHnkbicHt8NU6wUcni17tIam+ngs46B54EJ ezAF1bx9dKQ19zkxGvqpIuc4ZKVvAsoJ7IIPbvjthG8odqxcPqpcoPuQJbazGI4CzwDj9UQYfm7g BVDZT81TxwqPxPm3QL27pU0FC/3JojROe68/Kc2CLBk+4ZKaZ+onWbMuCfJBn13MfNNE76ITQ5rU oS4T8lpL+1rUFWsy3tz22GPTgKeR4A9OKWRNtBtKu9BV2FKeNb1Or7y8REOXCZ4d9ZsJyP+iqcwY lrCr5dan3KgfL9NUuLB0vSns7RRnM8vPn3BvYAUR8qaohEGHDTypsGKeek1ylyXnUlm3B6TRDs5x YmEltNwKH4mOS0mmuEbLaYQRnYqgMwI8Gg6ROyzabYHFf2Q2uTWLQIU73ctpC1Q0yDQ4sM6YjbXc 42WrBk/YxSSgvDEikOPRcE4ZfqPxaT3KckUFiG450jZlmZEL7JQ5E+GRmU6hnPzzzFPyIHE3cGrD KGt1d+++fGIvdMKKopVoTZcLaPrZGh4asJ7hOop9LpTibpx4wiA6UYZ6sWrZSQUftF0uPJR9f7gq k0lv+Q+eh+buGjxRtQs5F7l1HXVKTaoKfUZJ1kXQWz+2D57JwtVVrr4AIYbfcem12CufaCuO3Dyv zJai1fTZz9akoHlpXTMLDQBzA+oLDLdMXfAG44E5qvNjIb59z94SIwo1BQM2/UCcxSkQbrQ9m2B3 Z4kKU+gkhX1zvtPjaQ29ephWWfVPolWO9J234GDLIg68b9OpUouPtFRjdYeNc29gEB+uq4v+6hHa jWHol3XqRydX9ZNQJvm9qKB3D4oes7+pDLc/e/BSYTMcbu9T3/0IZ/qDrXC0Uix6PcR9+XLAIhFQ Lni1vbjvsRH9NI6ZVp1eiSrdFRNfqlGXNkDlgZYJzvUcXr9V/3YXxYXMRMMWjZZFGriKBE5RhMtM u3a2lQ3hLJP2jPVTrGiAF9gbsqh4bD1dncKPO9Plv5ukKqrxQGT5tP/mWEXomndun3yc2GdVLzNa FkHRbkNnoNKEEfl6Io5jb8H1g4v09nQ1o38yePfW8viU5cVhDKr7QnWu7vod6tPbHsnib1/TvJbc X7cyV1TPup84XR+gvkDYDmhdZNvOTDAv1LtwZif/S1h7OC0jpMuqJD0ynXDy1P+FvQKgtRe5Yuj/ +6tm6SBJV1HeYakcr8JYQ8H+FEe9I/vLFIEOn/eCi6o+BN+Kz4ykt0wFtUiSRmyAQVhatQfwkMpW f7BRAyzrbtUIfWtKxUoP41N8Ouae+6BHKwzMlO4a5hHyKXkY+63vVPQKrnnlXE/2ZOdLv4T2gc2Z AJvw9WOYuDyjndQZCsZNj0ZRm4vYMhrX+UWvlPYJ1FgXMFeuoerpLjyk1DtlodOG3foWhC4zm8wN 0C4f9nxvGPXVwI3CTEB/85ituDvmNK6I4BOjyQZXgN91HqNxWQPeIr/y9Wa6MbRi0gohJ7VJiwt7 dW4OfraLZjCqeiOuP8JsTh9UYuX/LGs7MxbHcT53z/mZB3vEhZHe2ES9H5+kB4c3HzUz2DPE5HIa 7Ylqqdbsm05kKU+CgCsU9D6yY1XLeemNgDaanU+U4Re4hfZsFgoL3nmdr3oaKfBMia0P/mA7dF3X f5TQCuFaszFFRAA7lOU+brUCT1ePspbXqzxCoU6FCyR2C7Xq2pv/6sXtZOOnuqEg/cp9he31J/zY h0Vuhoexg0ifhGmsvurXuY3B9Bb4x5gZmi/FO/xF/XpFOe43UMrqTCO/8Os3c+jlv8535w5XXXrE 63Ekj5hde5mlt1pgk1GifScg5FdYEgGfyzEUOS3r6tZ7Y08jNhobSZDHsarMCljmk0Szbv56MlkK 9P3JS+82bxy5Mm44gSua6hvz7JTdbCTdMLNyXsTSYsVpG+J4N8aSw6jK2ExFbZWMR2dICzfDyQw5 89Cw8t218JabfNO/InI412+tqztrr9Fs+PBKTLQed3bYPH9ktQ+3kM7ir78GX+dgD0+TOFLF7ctv Cx5QMOIJCAXbb4aKyYWw3f0UANuXPzyNSo3ruZKTtCaR3er1c7GqVgFah9vxtWrGm2w4CnQqvu7g G+oktOCx28zjylmS2qiO93CrzUkVkmIW4KM33uGArAz43ehqOt10CkcILLAWWu8N9h/wzZHV7mn4 erprRVYrMstCNie4dMHl1BhfO7VO+DrD+T5FgtME48hGe/gjlYYWCfpN1ZpSHbvS0N2Mr8njZzsS TSb9DjOJVSEkoeayxlX7Glv5xSJsVgxBexGaFZeKWZPu5Pul0HIoK7QtiXhgI/DdeqmNhSCDW8Z5 /yY4ef1tTnLd/hRkjAnKTxlrcwc33MMH3WbGtTBSFIe0IuCD5u7OFgEIyF3dmUNs6sB715qQ+UpF vxZGtkWpiPOrf11fFcjx3RY4ljP0j8H8/ZE8KZn7D3+4huNv+eeQbeqz/N9yyHD0zqWEEJWztyZY Fd8Tj0t8ssG4PcbLInwZuNHfHngYhEmFmUrgL/JQ/SlnMTD8XYq2DSJG1+hCstNqvV2YhRPDLnsD Lnz6eJlkrAlor2/wy5hH7HOaBe6CnLY+0tpeezvxzUPnucpgBbIbyFDs85/vfDBlM9hNiJ0MAifW ZHc0TziTyhVwgFFFZRVZNJUU9neGBzh+erTIuXjSVkfdx8gWflmAVFHDsMLMuUfe13M0uyrADanl FkG4ulLFLct0VyEyq0Yvhy7UB4SQYHNQfB5ISoxUAwBDlXqoY7iVVJRgNUdv88cvYS/ybwnUKnag e28xMECAoFrFkxj6LAQf4H3PUqUP/yZ8qzek1jD1I9nSqDRP12R+CMUAC1E7xugBDBlk6oVq9/XZ AseELsYVrj7jEDHQrGQ6neEUuT5968jCZ52V4UBBTBsYmw0H/durGtS+ESPFwe5dKKVcPk33YTUo HXybNgkNl1rdObHaUgrgBeLKjQ4Tss/nABBicEllIXuYVAe64liMeOsqcjh0wWahUTEly906AQeV PJT/dTWOUvhZNg3d3H4rBrOe3bCwPOrrWc7Qd5Y3KEFyW3C9FFS9fgmhTCW3GWyGuDbFRJvM3sTq OX/+Iw7EVc328ZJ5NMZ2drVS/lH4DF/uGYbWTe+Gdi9i4b6j+XWtKFdpdLLOkqtOkN2ce3YNnO1T CdhtXC94td2+EYMn0yETsm40Oely48IhSBs9ZO6b6e30FNraCpkY68VtcJHU2IbvHLsLbzBsln2P pQ/VYBpkudHnDvLYZMW/Xh3PJo+tAPCwgdJakavkaz0luo1UYSChOcOjiU5xHosF0M0dRo1pFlvU Ei19uFRzz1M9JJT13mZ9gQaBwM5PalCJUbWqh0k4vhRsyMFNeCvjzn/Gm/UiPLNXjUeRjj2vPWN3 1YcQhjG3D7QHOQswvqzx13CLM5udc5Iv6byBlIP9mFbqCyaz5FZjywB4PVOaH4oVEQOjS/v57IK7 9Vf/8SQFK+FfAB0sc/v4dpfmkprKrPLFszgJ20LRlR70TB+rlnV+uE/v1bkI1OzTKAFCPIzePoG5 CLdhnZo3MHyr0RP/uIjnog+pN6mJM9sl7yoTq9CamSmZ6Hkreni+lCEVgEpXzoeIZPeiReCIRsJ2 2mhhRgPAURcnnOGTlL4hy7meiUrlSC+DFAsvNe0QtjGmQAXgRKM3BwZ5UPrsL15FkJUuWx6OuVAw ITbPKn871e8RKmhA6WGOBIxMstoLtnaeNNNuvsySsNcGIQf31T++QASRrrIpoQptNDPuVEpWj4oi kPBFJk/34apgBIUkqIrVRR4HGqqSzB+RDMzrcU9ts2iUq0t+hgj6TO8ClBviHRs5GMAPklaEzx0a KoNXdf7aNHAjXTEi53TD4JAdR1G9doRTKD5kybVH4eo2bjSKBFiAfTT12w27Lyw4bkCAEXZqNTne VXsTSgnvvF7ARzEqeI0mVFzK+ncmIsqeDmqexSFVW0eC+brDlPnPc+KDIWzl2ecbu0djAEvMqsnI m/6QP+5parBCRMNM4fxia0N5u9pJPeigEnpdbOmrXWDGcj3BrU449l4oiE4v6t0lsHf0OYXTS2Fl EiPW2QoVnEf03Y26L6T2aceQoL0EoMPm2pjpxswbJCXp3w0ZP3NAV/kcHfjoDHK6zxuIeYG503YG BdgYzQ8TPu6LcGGhtQU56le6Ogvy5M4roGcVlRi8pkLuARsvuSe6KxEjY6YKedliNSMdIzS4jyUP s1yPtPYQ7YzVixAcojMxHcsS75LAECx+IzvyhqxS3T9zivVuvciGuH9YnnEX4hgyNWniWRAU+2cl SFgmPXFxWkVhWZD7a1cAR5Ze+i1Fae51H7azgasgvMYlSBE7fRj0Y0pMWpie+/wInhoqlq9rwqr6 ySvSxSB3H3uwH+iU8uq1P+ilvT9WPTFcyrEfoMTNJ0uWMsrjPIffibbJw2/3HvDN2l9BMKRRQlbV AEJyyFy9l+mhk/xjiXqFSWwBlByrfQ0WUC69bCJT03JDlzrgBv0jqCp6/gpyT42zJmQwLQ45CU0L sIBr6sWY90AM7GSH90Vkm9fvnufSPAruHbuCb/Bxnc9qtNiNSJaAAWjwS8nkkFBiCUegH3JBpTXJ adztgfsfhvhCOpvTXvJq+jsaEx4u6ZGhXpvOFWuFKg7gfGHnBUNCvdwum4+xL10c7E8UKLvj98Nk ev8HGJxzrJjUAopF3pz5ighL8nQ0ZDnL9tfJop1dnD0qzoSRoKXMV1rCS7JWHhYhWhBw5GN7sxU7 mb60QE5DHTT/vSFnStm9SgqXUfuup6ICp7+uzdTeNhD0R0ALRxeZ79gm2Hm+ma4tJFFGV2N39V8p 5IOj672e0K5as2bcPbTTjMW1jovn0KmP+a3R4d/ht9D0xs888XnXLT71sl+dviv/5MLnFOJ3KCJ4 eQoxPykqt2xVBb5/jv3AFtPdTmp/MmrSc8A5scIQS7dJCZA5AMXTwhi6MjiLl3q8vGEQijohMHUx iURigMuRUXENzM3Dl2KQQf+5LQVnU0Qvue9EOkykT/fPN6YJgvorgm8UcPDVjuxjWMp+wizh++DV rYOe9JEUvy1hD5r3DphLbmu75Xx9VU5EoObvQdEwCHMiAHq/cA6alhI0cKA3QF0LTYr5Ah9Bs8CH E8UePIZMyjV/1Vbnb6xiqpcapabFBnkkejOmv/WMwJNCQfoR7fEw2dXHs1D1bHkcCSEzPFKcsSfC KDOUx61DXEZ6hCHJPAp6KeaiD0yGku3C2RznX6f0odLA5HnThxuD0MbtoJ300H/8Dlox49NeO+Wz Y8I7wslqRQZbf+uhbD8Smlbj4aZJN/ItwCBF1vE9BUdwwfnDMToBuVYL2utKCaSyPBwQRRe7XuSd 2Qdr3qHFM8MTGCdGDzEXHhb6WjEkwzgmXgWmA1YYLpJtC+aMkF0F/PcCZItF2x1AI8/sGgtcmkCi qREpXUFGeuy1KIS+XS3zrw3KJ6dW2pTPKKFD7GD4L95Aak6Ws9VZFWWMzR3q4DSU97qXP2NLzNyq LDU2s109IfsBTmUKcdNvwKxJEX8vn0DpyKhkqMZEJtLREGoRH8ZQi+C+1eq13Ma6Kzelc6/YmBgB dIZ9CGoP9osCmxV7uLf8ngMH2ob0qwjJPJUaU+Qv4RZ6jPI5I0uOosd2GWDJA6dFA1OihA0r7AMN 6MC1mA07huALQBx6XGPI2ZMaa63HIXY1H2iVYDHUYWIyiaVUNbIao4lpQwfffJW0nYt0n+dYmClV D3Q+LCSXI0BeFsrwgqzZEvPq2uDnhtDeiPv8j1U5b0Y6/6YVCtzbc7g4VhnYcH8Utm2CbUbJSS5u pe6R8I91Va0ohP6R7fx3GKaa+ZpO4/mMJufqn/T6GoJq3AintiOPgJb5pIqlw6lUfEK4D5zrvbee DbjGfYgpFqUtEuBqjQVDw8mG6EfZJ48zoeccYhKYNy2qhnAve2CAtLH5+rmtZLIKcEzercJVjfLc nNNyw1RdbkULJfzsPOPUNv5Q+2pVhoIxgssMNefSmCTJT4cbFzzZUH/5UubDC+2Kyb8JIo6plUKq FHYuKR9/8BZXhwbPw8ZXYMvFXUdkEkGJAktVyK/OtqPdvvAhFNaju8MteRVqnfewM9r8XPDSSNR4 hIoSCcqXtFx4VizWqUVq4Qw9pkhqh9084pKnt9+AYI3IhlN2zLAUaY29kUREuB1j7ZEUFrotke+m kbC7wnFwdAjB/qDFvdoDiZGal9fD4fUIIHF+OSIE1ly9gnJkL4o9fG2bL2q86EDX8fOzOejXFD+w Bh4X/7Bdcp+pdwQhvDexgKRsqhqS/W3SsjKLqwZaPyMcu8wkXqxGy+7r6ZoK6dCnzivECrmtTBXu JFe5w86zEWN7bQoRyTDiPi/6dIC2qs9KlExzb5+xE8k2NFG0owHoJHaqd37xBXnyJP72TkSgm4d6 4JczSFt1SErOD37F5di/k+cfhymIE758Go25zv8VLxcX3WmCXN/I5JXqC1HqWf/gYmAi/BJqk1AX CPvowH7ujK4AVcfh9d6uAqsOu/rePc4qzUnBoTx0Bvkdhv0rWz7+H++st5xn/t7aT4P+xVxNId84 T9qhuQD60lKmKUaiIiLPW64+69AJy5wJq1jIZTKV8w41l+mLYRvoecxgSnU8x42n9Wr2qJPzondi sLkpXrl1oS9MjJCUu/eONg6n3Zn0eGrrAvJfm7eKaeIZsngPXYjGEOvMwdtUMY0iv1RLA2O5t3Q3 fkRav4Tq6xFNWUJ4NvJQPVJPYd6qrx4r3j1AwDBsB5E1xV/nCkZmsNn9W10ASQMpLdQc7uIii4TT RR0I8gNz1Rs2+yHEKjNyxCQ1aexxongW9LpX/1uAXk6F7FtwQtiNCxm2dzOVAVeeooyh73kc7ogc d85HtisKkWmnwkRdHwx1ETc2Emk6GXOUFbKIayT6+8Ds/aTk5g0SeR7LJAzPVDtvRcPgahD4z70n lyPE7vKAn+XwpppexQ9EapmvE/IILMKg5EHKEiSC53t5ibAkrGCy/JWDuiN8o+2ZjToGcjwpn0HP rZbV2zMlMq0Hw3Rmxgu+NaYhLG3D5r0Tv+t+DgRP+YIMkICJbgSkaVcWQwxROeJjmbjdEIovMIsZ isahHbY3PR87GtHenHvdJBFY3huc6kppIeooJwguPIqDNq2/eB//G5TxemmkpoWQUhYbu97iq6LR UHpYw1anoQ7GhdK2GiZGS1IE9yhs68/S5c1JS13Ub7/0MmW7gnRsTZJWHZpRhal4/A2IbSxPtS31 h3DUwC7wc4tgnVVKkvuLXe292asnRTwP7aEajkNJ8bi655zsNdq+tZPUp+83oee3aV/6hgtt0UZq SeCv8X27ByUZKyB2z3zdLowy5WvZLRRwt04g3nTxC7y+i90uzJ/97RvS51CDNbIUV97i68M8L+zP mA6zVXqtdxBSv4TA10KWD1XJi0rE78qaZ6Cr9I5jB7Tjs3r6xyZvxHZRVVL1t4WC5MzQwUn3P6tL UvJQD88P7AKDbkiBYk9p37XUyw4rXrXi1iRhoI2Mtps+g0+6sB6w41ltPfkqPxSoCcPif2r0Aveb X/C+XNI7D4aKXTAmoSgzdnjQasnmcyTpr1waJ5lUaLWoJYDDnINXW7Fcu0OdPQUEaOs/dI+V65F2 qhLqg+QcCmNHs944rdwh9VDoIT6GI+kCrWfXX18PYwUdCwJaJjDoaOFlIder2JkH/PHMhM7iOlbV QhZkdvxD1prVWbeANgSpHZ3ctZp80zuUFoDyfIQRE3tw5VVNHDHvfYg8qX2hxqrKD3eAhZ7wuLvy u0wr2By2Ygn3sC846HRCJf+ElYbmlksPZStJkyq/IBDnNcBmA3kLTtgu3SmcZmcY67osqRvWiM5v EN8Y1d0KXqKRfZzYA71fIjnV2u9vmK3oYM09Q+Vt1Fk2Ji1X+IGDpCRwXP/S1GMLdPr0JftIk8F8 u1jM+ScR3DViM4A1naQpd5idGBqpYXdD+qJFn9BctEUG/7s2uH/x4a1lrmXaUAuSTaR/JK3We5zS loMGpaPul7sQ9Op8vh+cddAEzTNI6YNgY6VbhIChDVbrl6KxL6Wky57wAcC6ROiBe0QscjxvI+AB PMFBc0vr7wMmZVXGKvdJzwY0VsfXt3cFGmJy3hZso09qjwuiWau8j5pUGccyXCkqS7rLjHIeQ2Vx TIY7o/yZP52P7yZ9Z+3Pc8OBWUVt2HLWaKUo5e71J1SnczDyruh/tkmvWp60ly6iOtNh/hhOIWeG zVMciFDEcZ4FmDqdvc1gkWMm3fCHxa4E1HR7KhxqbmZx8PcH26EiRwqBvuyTTtE3ycCzPuFCFQ4J 9YDERBJoI34qTTLzJTHoeTi1XN+TbNdgI5TLRkNhbqQ/dKkXc2G40W+BUdOxEb1tFY6/KXJXR+aj x/8PqojEM3aksWIRmRhyLngUFY+82J3NzF6zq2ARlPy5/72C6YEc2O0jNKbGQe7y8CNyJeBnJBW0 SvlRPsiPXvJPHhcPhEAYN7imUUFftV2yqEP8PBzpYIGOv/W4Sja2u8CspM5+jGi3Q7JnqMxuMkx+ OKpEN4xeBNS20fN0FmBRz8f4umkzfJ0FAeoYDvzF2qLpsc2w+z8CTuJwhgdYsKYUqmk4Uit758br Y85SuMBFJgvaUL3GgIWjhZByyYzzCg2/2GL8m0QRky1CzjlK1Q9cXGRgA7bdZtCC4ZvPvI9wtH50 glrsPQQw/fQibtUpVHxGkJeOjRS3EPEE13hhF1OkvJ7Jiy+q4mDeFldcpbJUDonJ8u2KYPTI49Ik ti9GqA1z338XY448AggfTHUyTyCxHt6dmQm2RO50IHQZcmMK7NQ7HON7CkktIHRIIV3EclWxpMPa iwyKPWoNEML9LmJ1mdi5ZCWKbIw/XAYK1YUEXFsSPkm+kCDBcUVddDR869gNb28fGsuxne8V9Wt0 DP4qfq9YxPkDEWSzKRyYCP3UKvA1OdxjcOinM0Rutq+UA3jSb375aWDtF6rP3k6CKZfkpMimBAza GFJSd0xQqFMpO1TSTaFWsKEKDFujRY6W4x3oxLglXwyCwBo09cZh6kbM6QhKkXlXI991MIwanWii xnC8jbA8u8Pc/nW0GenTLBO3DFUUitsG/kcOXqIxFL1z7zGSKEgxqxHlJwtiKl0lBaUgdX6xtiBs AYyUSdOv3ZcWEX0n3/wwrProRFtB6stczV0AsYYuAT9nq+SwBZ0y+LTw+f0DyyC0IW1sxkGLjjJl 2YcOpczzZBMmVjdxx79sKkZ1s8/qKQ2qjLqtsMIW50fwiw67jjx9ITvxMwez2/FBnz4KvLw0071X hn0JYo28F7gS5e1KjtRJPl3T0gOuszjthwjbI3GKg9tpKEVxZoyO1i3WmwbGDmluv+a2qQSKSKQX kRcSUaGYX9EvWrGMYaGibRdKG0sslFnJgfg+ezzAyRu7XCtA/bw5R8KihnHxaiFijb+oaRHs6M3m x5AgA0X6a5wO4fXPGW9FSlLmHEzvsarm+Ec1h1uHvfgtNuXpya+SsyNNmETiXxczmQxc5cgeVIUU FyEqZODx9V2ChmQB3WxK4HSqY/hq27bx1QQVXxvVMZCYfxR2586AavRp416Ain1jFwldV82AxsOz nkbCwBDAyieyvYOtItyDVD863y5/T4x7f94kNnqJj+0XLLYWmFh3+nRnFl4Z/aN5qgqH2EEGL7DC zWRK5rJR0Mt0BjESSvSfyp3BrxYc23Md43DXgH/fDUNlc/whnt9rxYbEjqobRvQEfZtWOOg/+5/3 KV3D6k/gd8lU+m7Kg0/tREY7qjfw3GFaFvrhPAqQd8eOqJMDrrT7JXgSJH+EfctFYMhQr44J2FjH wU3ZMuOzZvGpRwt0l6Iya/nq8jAW6u3hGJR+J3+Ryg6/3GKcYbmgAFeGe1BffSTsNo8eW02XJWBB Qm2LFpZLQETD//nGWmXz5BdX5jCVmUJiXyamR3ps9QCHDS1r0o0p5+mWEyoGIWYuhd7PdkYVy1gb PdXUADETcRZZxHcCCQFAucG5KA67qWQj+u09fLvNJPdxGGNtVEMOY/gJBTC9DgzRGK9K8kd+tKoK gUMsx0aQ8nON71v31gvfrNXie8rUAG58n26j79GLm1fJTYYYQHvQTW7HvLgDLwrs0yL798x8Rc54 TluFi1otJY8EVeooLdUEvXPugxqrrMj9K+wMhXM3c5aOyVRRb53gRdvlJUswBCkt8SGvXBT8USEW eEk3Wg+QyrbZoe/5Mm7gnWLS1AXXbBienzUKVncdkpk2bsP/ZMP/Avt9vkHaoQ9uYRJKnSCJLjjU 6Ar125KtCFnemoIbHTQWvI4m2kYfcUn5apt1QJ5S2ZLNlzYyXxRfrfcTb8rlNDE6ZoVuLkPaX4+d kY1FWF0Un8NpYSNFi6yr+61NxpywQodAiinfvAHJV/XpKzhMtVzGtK6f7mI5QWpp068AWzGPYw9p 290C8TPRRrTNhpwgEJ0Lu+vZGUX2grTsL9YtTu/B+CIdJV7lvDHFxoK7MY9spPcDturdTDRejKE9 honOAgAsKa1+5NZdAeB6obCKWVox9IlSEP9Wf01IPF/c3sfhmab1ikxofFIKCYGzl5+OuHnm8nf/ 5alnHWJxphPI7ks+DTjOkeb2bhwVAJJI2ZTAoKOvfG2w+Voadq29Vt4WuRFmunVLcHrLM4R/St7p A2D+RVfPlSuxhdlITIyO9r5uK7GlGbt1B8yzrKwI7xSVWOvJ5fswxe6wJJS2x2kvE23jM3YiVGx6 UCFTu6AlKLNS+baarVqQpjwtfMS7UpTayOwpxPMgPXMBMNA3B325x22rFZrFv4YB6AkypRSVyOgZ S3CAfbACQMnwFyOPu1e2U24C2Q3XQq/CmQVHxpyXeP4/RF2nlrRyCVVqJFh5+Z+ZW58Jwq9EbUAk u/1y0uwn/sRuOBcYTs201B9p0WMgC3DXntjsDmeg1kjm7kZXNDYz2zSy6doCBdW8w32iGUI1IegF HrLwAQm/Zr/zgff1+BWbtrilg9uJeaFS229KHXOybHv7knSJ9I56XYMmNtvr+gsslI3zoqat5MaC KGzLMFYtoUB7SZNkGjzbNq4d0fSbAxVwhcLWNFl99g1eWpYd1NP0KiT1vGgsGnO2yOFcBaMZO8Wl 3vHn1ZR1PVCXBzd1hDI3BO064MDjiOVJ2JUec0mVosftCjiQXfqOcxRk6Y0r0Hhfxh4JWjSPKa37 fG3BPiN915dM0rgmj53MaGIoHus599AdySxtSNrbZHFYXNRsRdx08r7cjbjNm1cttu7o0sFDA1PI 0b0qUyPvRJyeM7SRIQTmmsGLfTHvYfKqzZzH1vVRdeU+Yoj67LDOlHoqybhojKwSJ2hLq5DeJNG7 6haFqcezuzXzzwjqhYeen57UZAn0pLmWGSIW0zGMypRxN0i28HA7LZjsYDz2Xp2n7a43/NqJt4lW 9qgQrgEGKfceA/6kGUhHQzxZexcTj6B8kKIFNeVEm5xVSMs9spUkpziNZvn7BpDuXW0Qv11Vs9Cs C2CLf3qAv7nf0IL/xkiJ350xSAecdH9NG+TXBGES+2kbV9sTibrQ7zsEE4fLrnCsL8ylDRH8kHhg AcGgF8BjVkWPfrUwp54iCCit7HsCyjCoCgd+snBCFNWc7ol3svEVlSABZwtZTiSkH6aBSjXXy0Bi BubX6ccPkCVH2z/6SZviUsM7JeCpvOqybJ+QWMdCBYF3YqX1xGq7k5KOla9vBXAWu/Bcad+WwN1s 0dv2XWMb5GnPZmV7gNRisIj5bz0vkoDiHYyP8LJcFPC5IBbQN9uSikkiZFtJBuTszE7LeW/dokxT aUXKfPY1rw2+gZ33l6xMRnNFpauK/UgDfWJK7zftL+QdOnagfe/F5PSEF+9HeuGW3C7Ieh75f5r1 cI/3fWr3ZajW2TqCPd5C7NT2zTHDH8cxIeG+rgVPtcVbpZGNCqyp6Z0pMnW5omEp5INcaKdCfzHX t3IhMLgqCPqa2oqlUZx0NRcXpssAuaPrqzI776gntALmffguNqUAB789LOppmUTiUa/pOK8Tuce9 mhWBfDcvfnGBwKpX6Oak2+q8abEI1YVImT3Qnd1QvkrVjYgJ3KgCxHxrj5AVWllM3NMmMQpPEG/w Z/MoatOcvdQcuyjIgy2zbXTz1hnCLNd2IslThjOD2U97D9Ny7Lwo3vDcoETWwKh1GjmPo95iWj3v GRjxQFb5iPTVblApYO35jKWxMbf+tK/2dQjH7KufzWk6xcshMDsxOaUE0P7bbQU1T4NLSihyO/uu ygnCdNNPdPpiWQXx9jVtRIO3zWEcURnsF54p6OraI8Sh1u4w5T2q9oYE5w0JxVBs/XB08CQbc/M8 uOY2puKVWG+FVEEMH2Ce9bBVymelUu4kURhZeUueUx8yQKx8OhB6ECbSUDVTPIsGC/DQe8UWV24I 9LBdAX5hcxDnqOMka8vP+fBaq1u63xdY7NhojuxUcgo12Yig6mKBdMOf2C0AQM5bFBzGqKiL3lDA 33/idxK3JYLRLySNj0Ba5vMVyP3Zs9zeAPfPqS3PrrKr+0Xmcbl8R3NH5OP2mX/ue/gcnr6pb66+ ScVR1euMZ3ODpm/qqLtyx93pV3Y8Chuc0Gvbas5GGFsNgSIVDJVCGzR7g5YaAB9//uEDeyqIiKXv uu1PHX524hK7urHBftw7MG5nYycPi5xvM2NV3hSvrbjVYCNGZ+9nUs3WA7eg4nCdw7bRYSOZRN0T 0DlgJfd7FsdXiAhbCHw3y/zoGRgkdxM1tuKuYeNqIvjK5Z5HmqTXVY5zzmjR2QZZWsC6sOYqwrY8 V6/et6Ihdo0+lE+uEPHfKbue7+1JuLb95ZaYFe4Ho0JI/GurLPq0R/ihxOCNKhz3i5xGvMx2dI73 8C4fQU4qZKHYsvx4CGk4GMGfFtz8gCrA0DOfpSEABNJBW9KqnxDxpVLgkZLzBHd27cApmiy8vtlb dnYFgxIfExiNsOJZ0yF7RfRQnLbhca7hSMvE0g8OPLxHuIpDuiqcybCAv17FKKIciPg0KusBmu6G jIDrLD/9KwU19rdCfKIEHAY9iYGJKRAHO+hPunI0SwrvdUlotjhDPdKpoCgsDTRAGmlm1IGb7qNL 1Tz2r9NUDjMf9hnPpmRLbaU8rDiOiafg87q2+mzRkvRE8ClpHZWdqtmjCK+vYzfMcP8EYGfdQp9I nUbreNLgEkiPvBAnRuqbWJKQsBqMFqSLbMXt426eQoIsv9q3DOknswACEC1un56Qwn9MwdLUCUIz TXwjNPhIGLvihoC5p5TsysLsxrY265tqIszkvN9A1sB0/5hQqzB5dBALolqj/ikOx0X9v7aiCJZD dVCVdDt04G8qUULTHJRKgNoUzL5RlItr/gdnEEYhidMVigjqF/z49AqzilzBS6jVLbvVmGhTkgqS g5kL2VPsElLvkCJzMFdJ0Ob44yjUOu+nZCvU4JFmgAjiHkpF1S7UkT/ss1fUNCTW2sviz2FVr9uV VnpveCBRiMq3ttfqtuBC3qUzx870UTd0Wk/oSpf/pkJzZwKqyk1mKhHq3FPntTC5WKJv/AvBkF4e movG3TPbC2jJeHQEkXLi/COw7CJRxavvueixspagMurNd89fs8+MBB+U+gOyCavNeF34kiAtyIet Fjv9V7NUGZfctECgm6k8NHQv6cr7czL+AMuDkOcXScdkozJpBgP4JHhzexyZYO9wiPIqK2IngHwt KahXRyi+q8dIEi4jQOxXxFKLdkHvtm2UrdGJnYO1HGsroV1/Uey0kf1iZ0R6hzpW01kBnGpdVMEd qlCn0/c0XW4Fxsdd25HG+MtgEtL94oUY9WiqmP8z3ZH5nOJB9lHPskHxo1ntQxpUJkgNx52oOg9J NgRWfIW0bzSSzJpKu28akC69jzOJ5EpNZv3STW1UEai1tLkZO/2/RvbiOkxFwaXkGwPNb224GXIb h8ALCbpmERN6YuXZ4TjOxgEvd4tooGusJPB8ybWZvVehZCl2irzDQFnhyzaTGFtP07Rh8ibzKSz+ MrA/FbQG78v0OstpcuvQOv6nvZ8EecXtMcn6o2QK0EGMVNSaL00XX/MHIFUkuC98qIYWAgZGn/92 uXq5f+jB4yXyhf7nC2R4dbnWqwFAnWA6HPAaBESC9qpxYElmEHAQ4zvku5ck80/FbxbqFUMe9J+a MpZzl6+3qugbnAMC/fj+Hw2nDYHfhHRAiGQBDQp64Gp20Q2kDEjV2tnXKQMwT31qsnfdtKnz6+zv u7Ln1AAZXbhltOUeFIv36ICR+pkqyz/K8A5XawR1cB0HcMZi6iu/Mhsu2XPCh3070NGERmzqoXdn 9CzyOj49DCDJl5qSEjplA0F7l65PZ8pwhNGOB0YlZJ1zWdVJ05bL5gRUJUIpoN8jl6ZNVuuwNiV8 YrqQ3Lv69OpEsiQr4IBRkpmKVhO3p5mnjKwsY1RB6vvsincTILVudJ0YZhA2VunKv0b2wP7dE0zO zow4I2BkiKr8HFoR1flaDOnOq1yXyrIru/1iGnsahlwolnhTf0ns1kVfr2SoExo3chGvv80PnfBB GbGmPMqGyVpkPNbt812KwXy8Qa6nHdcz5JJDDNGqYxI2JMBhtyD+P/2ugpF2lAnk5JUDkDU+DKSO vyvGN13Q+/li44BeVMZ/t9qrPz1Fz5zRhACuDI7pGBLF3HpiU9VYjknvR76C3Kk/zMpcqfKUAOnD rtNfQz0Trl5fnIv9ctWbseeDC3U9nQI9soyvwNxqvjLESK+dzxk/oJ+5ono4Bld+V+VYMgceIdso 91GObTu3LUDLr4H5ApNOkoinzgvaIKuAbTUM3Il8W9cBidIhf8pWOhPXEMJ1OJ7zbWdwJDd7o4qR TOIWWqZTs7kbSqA6XdhLaTdcjRVK/oTAc1pOKqnaS+oNhwsZfIs6Ha5wAWp5XIbvqWiZIIwn9Zky OOWgAqwZtYICOOHraDW7NIs3lKRTbYW1TlZz21Wp1taKXap8V32x3bshJeKOCx0Tdx/cS3ARQKkB G01asQS1hOCRK4qlEIcUBnt6fPRspIE/Lp0Gi9eay9cRB8iyO8VjItGjRGorRnGD/zjwUeqeSsx6 dqN3EAzJr5O936UI3RDGpbacGxIrJ9MWxmr26lvrGDW6IG0Q78VH5sZzyQhk1EgS585u6GNjkgTq gQ1AUVpQLJZRshrJYvIZtC/jrCzvuYtS0HceHAZE8zniO0MCTrUU/XwXXLAFjCBzQ2boP69dLXMi 1Sqj55vSM+Pt/594XJiUJgyxFBL3AGWvvuEeWacmxifph6Ph5U0hd8+eQ01l4mA/cDhC29CNtjRc 3N/Lw89NbGdNtoISaZ+AFdoIHZ6ruKAeE+mQFUyHzyWCwfQJVdoBeSUL7FGQc+BWEBuhtb0Mn+u2 KSd9LqbPIsMRl81fTfRa/13oeiS0tUnc9iwlCSY8Xh5X2CJ2Y2yZpQu1JSCT6EJ1eVN5fAYhbPIU zKsEc4Kd/EgxHPtvj3wcsp+9aykJjX+c1nvSkYd8e4mEuPpRQdTJusr4eH5yfloh7WvrWAARqLDx DB/cd4PS8lmDhmPz9e1tUdrtsTF3hGA9wDLKj6FBDoXL/abFrwiNzWzz26D58P7bc7WufQJigWn7 FfOXcV/QPvIZEGNW2gQKOAJWRrVjU4oQ70voOBvyw9Rf000tSomq0ex7/OrfYNy176YkfXYcfO+P waR8pmLmXJQ7lzN9rX4PDJ6lnZPZRNZ4IW334J2CuhFLd18H+9FE0TPybHCPFCJY1WLP3brFexJk azw/+yO3BWVcLo0ledv8dWvZ7dHwInU5QbjU+QVw3h8riv7bbyXcHpNoPbS4lI9TcS1udwKouDvc hrzQRxIjiUS1yvBQI1UzKDry2IxBU63Rc2XFrk81WIHsCqJj8nQmehoDraiWTpFZSifnr8nLM+P/ h12GKRVInQ5jBu6rnEQZ6a5uI3DCAWLSiVuLAhxhPcKXx2+NJyk/obyV3JgWV3BsC5sHz46KQSzt NdG8W8XBTV+UqscX2KPHC9CZJ2EJXqs2aNlpZqtPBno764Jc4kVJAKg9v6qh7zQseXRSnjF2WycS xwVGsZx0HYWkU7U5GHzoa/S3snf9FXORGY8NNImxcEBmT/BKojdLie7ZAogw/NNQL4agv4+p42Wv +RiL6G0EZ3y/HXNti8bwSPV13Kpptj6z4Pus2PA3zBhDJHw3c7bvttg4tp+YVuXl//127NMAla97 dAoEp7IfgBZudFz1Xg2E3iLH+3kju1zyXojNiQ1oMkCkpMnKv+iXviGZtNtlkMgXoxVH9nsUDaf0 OBh5yRY+5Hvys5opKDVHp9ElIgbBssLKLc9H6D56K2Fso6jcyypHm7bqAqqv7ly7IzuP8RWuxUAz BvXMkjMPoAa1yJbKG18XtwPYTc3jppYFLzwdZEoZK/5/R3+vducL0IvGZupgzZy3/I+kgUQ/EDKR NK5FeweXWP+yU/094oXSYeriKGtSXgHtRdF9WBlnHCOIHyYMsyFUtsoTg+7uMUEt+Vv5FFOJyTHE tZJmg15e25/mpkYPhkzXgZZTwCEhgsFAVfrGhsWGVxW1qL/CdpWwIwbMKUJv3rU4djhO00LcTlO8 NYDgSD3RimzSulptFu/AV3IPjG2uOLu4Qxa07IDEXmXTjTSLgqjEq/dn/7kmfcPCAt1It+IqckQv EBhAOWxh0zvOPWvo3MEbdIR6QAn1+2+meedYbnW64CzF3taVzVj3kin7708zQQfEpQjXEE7u9XoP 0MX8KYh2gnZFEy380HonJpN4Lo0tJA5xek7mubKFgxrO6py5C/4/MijSGrpBEZZRkVx7EZF2VL4v X4aJIrN55my3pYeGGPm6IpERUWPgykIwvV5G4Nx/fIndaCz2iZSqmMtiwFZ+WRuv9INkqhGPGL2Q JyMcJ/BUEZGrOZ6LMPn1Td44qC00i6gbybtTP9hQQSa66b/iFLBgW2Pe0TiFYvQC9oRklWm6Cqe4 CHOD+YfY1b8zD+16gAwmD5YFI7rk+AE2jkjmQ5YZ5glsfk49HrBWfz9wiNletZSbCgUA9EJukKJw 5b9n7NJkklwtVyNI/XRAfo8p3RgfA1QePtF9c2d/nKT0XQv9o9BiqZVWOD/xvjeKOo5CVh4HsQ17 6pRw50kRYQoMgV3zseeDanBVEAmQZ8dnJj0JMQFWGgedD+v8cwj+IIBL71NjsZx+AgaP2TpBnxzt lhwhR7Wo27iCZ8B94B+LRWQ3Zd+f/tdgzEb0iJPQRGfxEwHcCRS+PcEAS7lMonxw34BnFH5Hv1L/ OevKeyhfbq1FEdsfy1G7Byv/AMoreWfMtOo41xnCdTcZc1BDP2fGY9sG0ZbzlUESY/fCusxl22ut /5KTjB8cBKdZtvaQ+UFoFBKrpWznBYDv8C4ZeQix4/k95jSPtnfqIhzKVCMsARaizNuiHgpvigLM 4ixGojBQ7UTsJY347WILJVpfT5B9bzeTyactFeerMxt59+jXPn/cEGNQ3RDYhxnoFN2K4pr2GaBZ d63IriqdcxZ5Ab/PohMnm30qTzNXsyT28JPyICCJRKrm0z3mzyC3sEQS9n15J7REMNN79+roZXxE CaxDjhV3rLw/vbYb3NyeuoZv99lqQ073VN3EFUmIHMfJtGZgx+zezTISylubyZEc1eBBIjjumRaN A2//+YgFjspDOzLJvY2vDey9CjYmSEmoh4kMgyANzQY/9tyZNEKhXeU6+JsrKxd015byaNFxmOD/ iPhX3JNI2XPJEtFjklR4FwZ8ZcL077ppRY8Jij/YAJQQnsWHQVCTsIdCLr9mPRcznvcGEEHk01Fj vOKRsWb/Oul+I5nDChVbbO7FnLYhVCtvU7fCG0CMGpsjFGb0iGiCCF+GtiQkF+DMPEMB9TcxVxVM WWsakb44OBg4AYsu+Bo3y+cbjgcC0O8TtUjj2Te/EUdEC4y0G2+/fnrX+3EqDpH9hC59MZzey/kr +6cc2rboLKYORkZdmbgGQfi/XWZjRN766aDD/e4cVX1GPDglaj0y1lrJ6jWGYUXpW6Tn8iy7X3ti yTpUQMRz3MhINbFEh+/ToaT811AkC4YJVIoJ4BCVLc89v56ysMZantQRs8pg7Uh1wfvbdoEFdKXG NTe3+4betEqyMfzhbm2LJGd7b/+H7JRtwiniCWuxLNiVfN36F1/BmykYAU2zacMpJXjtH2PFBH4R MsA9sTZof6plS+7EM7zn2cZB4lJN/YqxUu+nB/W+uE1et67PQN61ZJGq2wyNvOLmAhSfhBEa4aXa E72kg4FTbL8h95lwits6fzNpJCzlQHifEc9eNgk+iC7I2kr3II1qbJBnRkMpnikjJnp96gy8C6yx HsNexBXGIq0l16w7djHlughNQWBGjmFNI1MQRZvXJOJPnkQUfRzXsWoTTYcOFO+fs8z7LpCsojfx OcsufMCjkAEeHJAZb96+VoNjDu8bPW8/LJvaFY9lHHlVdzQYvaMUxFmQS+wiQ0iGEKIozZ2wCwEm OqTIdEaWwqWXpfBWGPR0aLZpsEvvXvn/uRehL0lnQC/moFpJf/WZZgoLrPS7qCW1jVNikRsqqeyZ v9bKYfSA6BtyCF8Zy3HmDnL2RqmOjtgIo1aMR0IqAekOMk6eHBKAmifjpCGQOItfHmt7JlILaqQZ 3YWUZlL39UrY9E+R8DA2w/vEpGNH7yVNiewClYh3uTx9bPgIVCmCzwfh2+MvKwsVBcPbVLnmCCWS oorJrNrwaKBH8KqHQ7LWzi6taOqKtks8IqzUNMX1T93MGLfr3/pbYrIARhAZHsxvx1Eec2lcpkEv 6c2Gb3ummAP8utc8nbJPbScIPvXYwmvlNU5DMF7z4imJNnZ1FkJyDxqvhnxSYQ487QC1m0pKmm0D bhsCeel0cH+iBJCB0hgxKTVgowixycNA2TJ4mxo4jNGASXs7qexHdZ9HIl/FEpZcdDWVLdUkfxGR 1m06Nz1rOpaqIJrM2EPqm9Luuv60B8z6gOnhzxUT+7k+JhQnQV+42SWX6c8SK2+hMeKKEbpv+fcU rEOCbQOki6X/KGRG18HxnejRvvR/T8GhzPlnm8tMPTRmSbBJeOsMP2jjoidbOA592oN0mnOw4Fxl uaaNd+fVDuVmWY7bO1nXv5yq9aR57+ivQQ77pwDn+faj/Hj0YNBWykEOT50PcNnf+zxXxnFwMDeg oSm8QGkOkaB2jkPCX0LlHOqOJe8jZwoWEoQWdr0I1hpmxWuxwPH0xxZQl/3TYAum3JQ+BU2hRa2j htFJbhnmtNz0x6P9jt98T8OVk3kzv9CN011iqz2WvgJyVWH+pzenFJFCARX8vqCKcRnFusVjMr14 PZXpvi+APbr54IM9ulEbareaRQdw2zP4un3pmeljrTxoWc+44qQdNPFqdyyX0oi0YWy4U84uqfcM R+8ojPerNr14nABQ+nW+IZl8D0L4vUoPFk1uxYAMEGmigaB2QMjuQnV8szl8RGvfz8gpTf34YlOF YZu2kPqIj9O6BeyFVdutDRXjyl9/AgnJcwWxtBc6QFDr8IJH+dK/kvWetli8XizVXO2lVMp1YJST HvSs9xnxLa3UQJLaig7sRlV3gUr6jE5WfQypGBLLbzfsy4DPaQRxtEeOY+5tUKdkyxFWxyHDg5ff IxV7tJGPK+iVlxexbmmRoZNjYKWS/j9w6qr28SGA/oX3YAxJ8TLFOshHTHsbH6f1aw18dfOO2c3d F23fo4C0D9sdWubYEE/cuafieA8yUMnWR+XEfCEV5K2uyOUJd4oEskW/gXKeVAbGaaCfj2K1DXr8 LU+Qab7QXzE46Kald7jimkmfqAjFDH+ekZFEtee68S/94KOpbtWgswp2FITq+qAklakU0VsqU0fc UPJ59D1E3YUuWsg66AN7pFHH3AXati206FHJP8axiuyDZHIWTvLHSsiaRFXPZt/IyohlMvBAef+i ij+Z9TSzzJf31H/EyHYA+e/rjloNpednC+TcrZ1s5UYVa3pqJnHNViT5xZzDGmPk/5rdVcwaauWC yG825RkxJX4cYgSn8MdnOxSkDt1KsWQ2oLRpNJ9IEM3b7eQCDFFSUxqjVJFBk2B60WNVjWIVvdvz ki3o8k152QbFDFbcj1sa4X6XMwIz283Iw3eouHwe3GTIKtJjXWomaswVf+ccfpocNtM5XxCukVE7 tlpqssQDMeFIX1kjuDkEbKn/4rxRr4zJCXZls/DtTEicXyYAPm/ZDM/4xb88eRdvuZ7XQMpK2J2v SoTuh68bHOfeDLvn87zuHGu0c5j+8qzDxLgnT1uQeP0dI+Y3PpX0eOmCCcwpsoHIRjeYo2hZjkSh dvgZ758OFF/F/MNHIcWWAszc2+bKVrdzIOo2l/a7K6r5WSVOU1sjq+cjkqArJKpOnIMeCqmiO/FP W1aNgx/1E9myuZ1BWIysREv+Dd8yiBfFufNeWyDEnK3xQwdtJWTm1AgadbS7IUAedajXZqCNB6T4 u+lZtlgkScOQiT6c6GlhublrXfTT40IawPsQ494ke2083q1/CF3JPIxIz0+5LE5l+d2GqqH9racQ sXBBFbzf4YTIYaodzr1b9xvAlWHIa/50V67zPN6Z8yh/1Lo8oadj5Z8lm2RWp6T5tcOD7SJUq2ss UQq0c2K5LX5zVUFfxHtng21cimA3v/aaPebTLWiM/sl53WSBqwjUI4mdVD9xrjnjXzdRv8Cg5Zul 7IRX7YfYXU2o2kR6c71zINaIY/8ujn45+w3Xso9i9eoahhNn1RW1gUn50cdau6eNJe1kkYUKqjZN lcv6G3C3D63bEXezRFRvtDNXznE1BlADRPfLyvUTXdN/FgBpLgWHibvDxBstXnyNWQd4KCoNfI0m oxjYjj3gfARWMh3pcIYEDXGi3/xkpDQL6U8Xecxzo23sUfhIyQUiRdYgHCyiPyac0V/joj9SoSRx yAZIZlwNqajKRyhGC9cQmCsfkGB2m99jboltU8mRt5Q0QWUVv5jNdGYrPT0mjBbTHd2hKHN5OdtV NmMXrKGzYUS3RXlpCGKGw+gRcjElrRFD+YEKOiPIV5+imoymcfCs9RbID9FZk2oUx++PLkGPXgKs /YOmj2GKAcbi7HNhBn2qFx2tXAAVD4lmVzr0Y11jJSIUHOdISfxwfNdj/aMerO1L7pDKnSoz+qej DXkuK8kIs1RIzjrCAdpVAHa3hycLtSt5YRvnvzDuEqTSYRYmIeiGohnNPzkArD7SxnJfmGobvkpp FENb9qNg9F0hZk9l/5OVaDTCb+KH6htNSDc+BxkxUgwcM4VP1kVFnaEoO34qpxW/QTmF4IHoRWPV AZqwYu8eKwbSO28n5/05qWaO7W1208HXArPLk+JN9zRUno+aWB40NamfuftQlNA61FfnB+FH4UC0 ALSEd0ep6k+M9OFZN8NH1IxM0roztlQ82l8jTEQjiqLEGjpcoa2e9+LOJDKXAasC7iGMXRk9V1rp 2mlvtbrneowlnWA1nQbwFeY+rliwCmyp2ksXor3TtbhD9S6KS2w+WtPZ5BlpDlLF5gcdvukBChjn dkgsYmYKB8WuXuln2eE7+LBXK9rnA2dQjH0+YJTjKcPqs8KgCf7SLQgXcqmR/k4yRtRFAb/2o0qt NPqX4KNpVBg582eSmkmxpc7Mv83QCbbMoTbZBuaL8rSxJNm9zGAot1FFXjGsJ4wR2wCK7m2Fwmya v9dyW7yVFq19gGJbcWpE3J8sHSfkHZdThbgED/u5ITRt8ryzjL3VUsmAxYOAICPHwz0ONK9o+Df1 v+qKrkSQVtTgZjaqAJlRWrUZ3v16ygxFnjb+CpnrWb1n0rOp0G24xh9D1Ih6AxPqYIFx/unr4QUE azTGf4cic5+PPjJu75KfRbEiP6Ba3ZP06pBipadE8ftsyk18D79fB4TbUpZuJ9b9btHxWvl2oeaK vvS6WSbAJuXlXdErdVe1ifzkGyAX4k0XupEju79m0DqdP8/Y4Q9Ue5m1NDZwuosz7sNBXV4yqTWs 6HPSaRP2Neohd3JqF+GSJfxkV/Mtjoh8uNvrvOL9V3T4L+45KQFgcHtrMkd9ZS6mxNU1xUx5uwJr 6qaZh2vWcDVZi6e+p4By1EH5Xpf7ITitnTCG4ghvOmKdwP6GpvgDHJ6kWMPdYL+aF512Eoxq6cdX 96ccmMhGrU3V5XTQkh4MOjC2JqScnXF6z0R9zh3cNTSLVkl3euOfu3Ziv0i23/+ZfprUZLNYME26 Bz69hRLbzUHaTbhJvwfuRdVYXytUieKG0Ir+fIFs9qbDgRdVkyn+gF/nkFnheG8qUUxsbXgWwD1B Tdpea1CdAFV0hqi4HNbF3v6FQcB1PUSv0nCEtD8wzkU4oTvUt/uQasuYIaZ7QlGRvBgo90eiqv0u OkBGtWdZsyOcoGDtBHxX9/7zl1OiK4J+PBihXDIMvf7S8HmdzE14PZYzYvwuaVFSZRUg+6Dn6o7V 07HxYTtu8XVxT7oGBPZmoxxJzA3/cw4I9KTIo2fsmDUPSPv9dU0XsBIatp8HTCoZzO8/3KFuXAY1 HgfavplZ+i3tcguAcNWVq8HH32j/lf+nCItz7A0qFD9oUVNVo1XwZpN8xC8B9P1oHlRj/fau5dfc G09JJcCX6psvn/CtCP0dA1dvw5JLNj75g4pc3NDTIAJQE7pytoQnQHp/yvYdW5mMoV/50iktGbcF VNLUzfX2Aq261V3Wszs0KtlkXtw/+kAXBIEPeXtO6Xe7PnogvYU3ecYJfuIiuR9f74FHWSqLXzzG 2gOM9EVK/TnK1LR0NAF5uTqlVGbAR0nUsI1fQBXbXEHm0l3qMSQuIExxTQDVRHNKReUB9bAvm/rc 3j9XWUt7VeGryiB/LtAT+4xygcV9aweujN/vux+Yuq769oWwXVcHXi4fAKvS+E30OUs5e3gej51K 72jBAjzv8Q8eXfa1zJZ7k0AziNKhgDYej9vKkqjmpFtJKplV3k5nAVHWszybkYDS9W2o2F8jl99W 1PX9oJp1OJibBrEZH6XtQIotTCMo1/A4zUnMleunpUZaqn+kXKQZkhRlLTVIjZZIoDvorZ2KotMG wZdZh350kzXMpMyhCS6oaV2QmJ5uxRAgdt/xuylfffmHA1SEzAonoYI2zvMszFniduUROhhoU+oy jtBdFg+rlWqbQTXNgA1TIahowZ6I93Cgi5KBavbrdmHH2fYg/qqNiUU7CfkPlcbMGbz/dgVGuDM5 k3wGnV0csOgH3TDMqxltQWHXK37QoSkWy2WWT8ymgt/K7o8h3GOzTggBmNGMq3nYkfnPgRAoiFzK U29NAz9UyrKv5Wx/e5WmEvKYM6SvPD0FG4RtS1kmT+ythVNo16IjrqlRNMrBmHmoEEpu/QlR94IA /7iuYcydhLC4X1Flw1XZ7QmJAmsvbRvMF/Rnx0zqmDjAuOfmhSbK4GTA06S3M4Fvqoxm7hhcK8WQ +Y1CzdgzfVS1tp/pXrFDM5/bcAs3XNHrIX6gxm/+QDRv4ODH6y24ECBxSjwP6GXKGDFD9mBagtbu Ieu4JS5ywOEK+MSxIpTSze8c6tnSpcR4InX6IZQqdN/3Ksq1ekx7csArph3rjWn6vIDXmKKMT5Kn uScQhshENDpVMvXbp4k9LouF97tklAG8/1GopY4dQn/MmMaDCMdyiyh0t6c1GSaauMRkalXG6rsV IJMvy0MXZ1kiXH8VoQ9nFFXH4v+X3l2FuQqOJ3MzhODX4mysZTdfbtbfdjkDRweJ0T4/oluEfc54 1hx5BozkrMEu1UQrip7UNPCT5sAwczxNAixQjjI/e/myZ66SwG3jWmyjwy+dT19CdaS3y4MNXqTb tD/qp3qTLhhsO96hlHCKT0thQhHQPAXDScieAIAdTB6No8F6M/CTrOwFzfvkS9mYYNRT8x+2QTzy OrF3s2tMXINeGB1F9FZlRUGAmfjeO8+h03S7AC7kg7uJt2WvQziYW2FpE9M/hzjrb+T1W+aCqLFY 3nDTMZduu0300rhaD8kAVp9nQelJJMv4TR5c5DlI6kwdgrndDdrDzaqR9GhP7oer9v99zwydxrHE z7Nf/yNIj2R9SaFgAJv7/Cx7vukjD0h79vvqlimDLZuNWUEnziHE6M9ti5e16yExUiIcv32qzy7G Ki1RlvG0B0peAk0h4slmaruuUxomtHhGvgwE+y9zPVz23SkeIzwGwXs6x8FFkD8Tj9nkeuo2hVGw b6FqhM2TZ6AqEmxI0sHmoyKBKJW6/7VF5NOnqDavhhEn0VZt5NRQ7T+jjJ8Rt0USyJbdtGY7P3il Mzw+9agcgtZuz0anARyBM2xLK6qIWb3Dvbo5/d5KCOfndv0WQWYusgmoAv8BZ4h15uqXIne0NgI+ +vGUrhTBXC13iqKeka8+XYMUGvpGAiD0aLpRAVjHtY7jj3ulzC61dx1/ZaeornIFIlV++lUimPX6 tM/bmalkFbjIguPb2yimVpfx5kMkVVGTkzXR2mG7MWKzeRCRF/hq+9y+X3s5Dy5QBmLT1VjK3N1z io7ST/0ODYSXwEEfteYqsAHBzoj0OQEG0bNBTopsl/UngMQcRx9KxG1zCfoBd7QUWSce6ifFRx47 5qSnOuHi7xoNa+cmajDBbHr/5SEchU0damNCP7grFNlDNF3QYvcqpJpoJ9q2vzjd1jstM64XoELF ZxWXAoMOY9klFtAIzJCQyLB5xK9v3ucWKDb51S5dDw0o88Me+aYdTJ6+e7sW9shv90jQL3LxVXr4 RbyJLtYOizcGvQmLbqyO/5uJDc7okavpwowLfnnF53WHPadvyVM0s/2oHsED3eZK5khlNtqUm5V8 u6X3FTn2DOZQWI+2yThHTEGycAuOw5ZBQIn1hYyzLA2M4UUVn8kGS5PSJxjL9KGGati3znp7mI8c lQAp4tDInreiAWLVX2KceDIQq2iWiqwk/RhTPQpHinPGcxNnUdt4nFTX11445ht+Iu/QawSAn0Xt IWedZQblXy1vKWedIlgNLQCaYtc+3DpMzIxQknPFy7zLTbm6fkFFjE7pIMygj1tkOC+u9qlu+SOJ 5uraOCx34gDciSItaWlczU6sWv/dY2POvB7Tpj42DpqaPL6vp0pjtT1SbifZOhMEcWpxNgcKqIxX CNn8kKAHCZEMs87MIzM1V7VLt0mvvTXOyKw6mQtO9n1/3Qf0Oxzw7Dkt/QHgLfTshIpPMH07z/3K sH1kMX+YAY7oENp6t4MKGBEeh9z90Dx5Fxx7zzDaUPTxn+za4WiHPxvub29p9peu2kuVurw1d6nu /wjvYsFh9bBy1OD0GxSh7FvIGsBl3SHN1lZHm1/4HMkizwmW6xdKKODDL1YRIejysg9dWlvrVj9A LLteZVRJDo9gh06su/o82rFFgDnEXqe8pytCmkxuTeKYBC3Uo0gUUClGRVf/dLuGvyo/o8I4VrA4 j00l5GF+Ree7PQpCMTW8Q0fQ/vm1Io3JXpHSXIhOGNrDT0s1KY1+RCNXz/LMjY/9SYiXQJWItLCK CgBbokh2sbRfcMGAJrYxei3y/3b55Z0DvqvpmOJKJjQcZX/FpeW4bc3yfcUEtQv7ug6UVzi7pu0w jiZ9n+hhcN+wdyLA7wIw420DZ7zB23QkcnWAVxMoMDOHDLSaR60y8oJkpSpTvPKUZohkadwOT06r Yz8Wj1PQmlUocsrEeodGwC+GANHSX4z4TGi6PIEXVOFACPGPkO/TzDjEfW4U7NdLwFORw7jwU8Lt K+7aLjuU9aMScKnkmvRDdxuqppx18brLeE23LVaeaAsYKm+s5vZQIHooPaFQXn4SseLzvb6nv4p0 6NiA0RFmJvLW6tCTRuSerTxYqp8hKZqzbSKQ6h5m4QbEPSegEf7+RaIv+WZ4d52QI9nfHFu2evNx mOFHg8b+CHyJhqErK0nBn2semPSKpkvs7ROw65GNUTW4UjA8B2KDPj8l92xrr5LG7Cf1vx12cCTZ RsNyVXu7vIKZihwFTIAnyrKo9GpFVO5YJ5jgnFC2HZlre7JutSavEczU23TIROK2wYpYEXH6ElbK u1Nwg+maQkzo5MlUV/OIZ5c3LEAQUpIIpbbu9VrL0T5uRBsJdRX0yBhMuSCpOS2nUqkmovH6bjN/ H4m3DtnZqKIcz2gYLeWyIQz+GPwA4yY0mO3LuL2hwRdnwU4T44b6SS4xtJSipBXjhoq8Iw3hTniu R1iD0Xec90xITXUsoQNxpJvvkJCERo1ACf9HP3S3OxosFfqFh7hyYHRfpx2+yvjd0Fp3DbU7hyG3 Q+PQWGt6UoDIXd1yLwBX551HfiJhTaLz0T0lp2TS35KZUeI+YdrF7ZcUHCbXqv2gL53ApogNvEeq mMIxuZfvqzpCdGDAEudh7wwUHNfIyVcuHcilfXtJ/u4y7rqpdUf7JlWKre2wspepQt33itYHbl5L hsSLsZaJU4q0OwfcpRIkJFGHc27FtLy/59sloVh5hZUCOBT7YZ9MwhVX9Rg0WKhZHUjUnZ1gUBGX ED0nNRBlgnXtQzhIHBDNNxd1fDGhXmrD1IKOY6L9VTH8fXkxPaWKJofoYrE0m0NpCfOVLEu/jM0T U8XKJ0ixpoAzKjbaIltQ7Crlt1wj9GlAfRsoJZFba2JixT6uHb887vQm05ny/XROajPSB4gJ6/zV twbf+lc080V2pdDj3UBqg77mhSYJAPezs971J0hxu1FeRag5ayo94lgjf3vBACNcCygLHDFX5sIG D3F8qpiF5b693PiSOPc8cFThH1lVbjmDcOuGlcALQCPoZ13ODqaC/asG5Nvmm3okysaY5lMbEI8l Ru1+yl9IvT4+Cfye5FUnVxvnzUgPguiUKgbIGoyUik4QbB0zQCrhHtVaddBTFL+HpBso56Yl+JJ5 QUp+IIhWfOzOHPHDJHQHrP155ntaekpj6LVudfn2uKXsW8aUtLcM+vIy+RrWm8hi8SUqRQwODJVP 3/CKtout1DAtYOacdB3iTQbsYQ4neD5bj3JUDZJBv28gyBa1bf4CSwtqsBmmkF9mne81BzM/cNh5 i+91YMYBAPfyrNrJKE5cCVxzf9DuLO5aIBpi67xVd1yHabIwrIWhAPY63vpMUA0gNtbe4e6OxMQq 1Tu03NW8LSTgSBIkfWXOVW0mbWsdqPU2Q8EStFAT9kaPcNiQyr6/z1eQqAq58QPelzH7+Jtgj8nW lrZnhRYYDP9y6pfViDSVf2qVlbs0HqcZwytIow5Hj4vJEBCZr9oL6I1oKLwFSDtxYd5jYv5Yw1ud PkbUWObZjwlNGjAHRZYxlaUJZnfuwD046WtfGoVV5oKhuY0fzQQ2R+BYBeEpzYVuao3GWzofNRoZ pojv805lDdMN6yocICaB7DOF7AL7N2hAg0EDaAAEnZFvW2ubME7MpFaHOicN7vXuryDYyG/nZ9mL SH64QovPrIHl1W5O28WE62uN2OzBUpC/NpWYVTCnmOh0EtVXHDutVlAKj59izL5hJG/sZlPGINdr CZ5WyDqybDsqMPAyK3gSxYtIMFsQtsZkk1XZJfBYCZ6bYuPr4UG/biCRM+krxdSzSoAUxQTJbzJO ZAzZaLtZHV8SkW4PeivoTQfS2NYnJV9GtXfOn0wo0v/AsuM9x4uBQRn6AVbyTytKr9+fBcbI9cua 1A/eExOYx/J40rbsvSmqHaX9iLIRwT4TGhLTYjca8ZH8FvYe6oCRGdWq+g7C9hYFDc21gbXCJXKO 1yFLEn6UAOPpEEQplvcAVsemhXbS6KQmR9TvPBmHU2KMPsJ7b19Y/dQFoZW2P++jygb1No3xG+eO AKqPxTZFyyKo/eN+dIvVYeWfaXmT/ZOl4EJnn1j9s9Nv0Tn3v5e8r2zh9lGMJnAR8RHLkZBEkiG4 ZEapcaBsKsb/Sge3ZAutRTnpszb8VpwODYGfvzTYbpz+VhVQNmTa8DYU1oiOVL/QFmbs+Uqjalct j6AJAt23JHURxmAyOb0UFe9hHYVatVyk5xJwnbNPRkKPJwOol1zdaIUSigaXxGX3WT+6FrT0XL3h E8UevMaaXNRLPQtTP5OCyD8hIufA+aQveSZqvHo2ZG1/FzKCq82y2RP0CHfJi+Mas7GYWH5g8xCu klzD4QhxdKPPLQfPjPahfwnyKwqsBJ2J88rdxq91m2Iqbp9Oi/icZnSy346oqlq4uoIVNIWOauFl ua1f4JSClwh2gjbwiuqDjQl6ymJdtFpLbzmO9tO9pxZzauiAtkiSJMfZevUs5Py1ZwMHf+ZjmnV7 Q3rOCDnSeIitMsxeKXkXAPTYjyUXoRrF8ieu7cYc3hvGofHTF8p6DeB4psAemHbd+Ukw7zEb5mTV iWAjMfQJY/1pCkJ9ynPBXNJ1oVytfC03Ee/W4F3CTO++ZcLgeH9crHJjfx1KRaTbR3TdHZxxZs0Y tB3CLl18DScN/iBke5n3/npYHsZY/0H3HOkDUfnqkS9u/97rfcvnjuhPg1v2jRUye+IGfcNbmtm/ N/oQQtREKBXjR5rkCkblCAfSI//VvLerRky9HcGZNSBFhOeE40PNOXROc9k/lc57zMd48Yc5ur4C L8Xrp5HzroK/16qS9XcipGVxWI3mrbdGuFQXtn1zQJSIL+UTA8m+tbY9WkVVZlWpbzfpzAbshMm/ RaCafxcV1ibRYFLmQThdGOABumnzvgiy6VnZyw1xRq6nrJwNiGEb3Tu4OuPs8GA4URjw0k6x31oD Lf02ptBLAXrVTwV5jzadZ7igurWkcpKHrNotxyuWimHPi6mPL/GsdRIHQ5irjfxKu58DObz3miCJ wEZBxCOFf9oJ4fxZL8X5EyA7lBm5Oq57EeIITm8gPEpYI2JFd95rtZAeD0TeeSibZxa8/ckHQC7u MWs52WxDtIedzzeX260NMFIMa1UO7+yP8tQpRyDJ1p4kUHGiYbQO2pKPasLV9iCZjHv2xYwwp8Je l/cFYbLk75isTe+VXF/m3Uf81TnXT9bekaidXhxFSTX84zD0Cj0DbS+mio0E8KIilorW9S3yzhFR oieLml4LOJH+LHoe+XDmeewUX4PvhWeJifg83qGcGo29hFex+w8FksEdfGLRnHPxgiGorrRjLqNn oeti8r8XH8Gvfysnm56P/BVUaOPnBmbW+HykhahqvFQitaURs/B+OLAwotXn6SF9K4hJhfuHw9QM x80gMlaCxcNVc/czBmYdMqDrdO0+mCgkE/2C4risXtjT+wsCai8uEs3npo7fkGinTvdOS33eZIan IAm0/ldczlh5vbasyNLVM5KziCFCWN/gdGYv/W18Mf98eaBHHoG18PcA5+3zBUYfWCyA6RvBKu9n jFxpcCbcEirbKHtkr41IQPmpH2wqbOeWyAQCpT1d3jyWD5cCgEa3eCCq4jlfzIwI4G3fZZ4IIj/1 wRJ8pQ2NhQL6dEFXsR6wg0GdtS/LEwWqYu2nx86PEdfuU70Z1K5Yw6v8SyrpVeT+VKjxwmO0jTR9 nzbT9MoXKskbolrST8a460ojQzjdZSdHAuTkncXXULsRfZw7ABpS48M3lJSvvg0oDCT8eaTZl6dj bFPqm7Ztpec4OgsddM3AlV1oSR++JnMdiA3EjCEJBmJ+sqfP2pE4rXOQAHT/lfwBKy0umG4hXAG7 DSGjkQjJYywGQPC0sfQ8L4ag7fdkOCPMcPAQJfmgUtnZzzRt3+dj3GgX4CEsVr3x7JUfczxCFsMA qo7SyoYDRL5YwcNnk5Jf9Q4EVuT/27d7qkp5yBlOXHwDwQQanQnk7Gbk00k7lbYky2mX8TchyVi/ amB7Nc2Likk8faBPRAoLM4S9XFdWrnN+je8qbsJ3vIbsaix9cbXrqKx19ubvosXriNm6V+6HfcoD s6llPn1JK/zgJ3QuKea2+FoxlyPaqAPG6Ti4/xCBbYbLG7ROt067H8VWuNMA9V4LVwY8fVthdQqD le0T4WIo+WNT/4ZWMywUjbEAoVev1PzVcJFrkOrVuzdtOyZYqh/wLB16PZBrctVs20fEbPhbSPcy 3gCuJ7SgfU91pw+T7D8knfu5rP7IhrMKK75HIgqYOP3MgYha8BRUd6AXfudhnGlB0cmnf3z13bB9 6O8tf4LOIe34zqwydmvZvpL4jz/1kofoHtucWetaH/ufxgEduxiq8BvrLOeEfRlOAjq//iXDmTrh MWTdW0NKedzHbCwtndT6pr82M0ZbJSLe3NnAHsEx9wwRZWXv2VavCd1Y2aSlV2S/90x7lmBqLNIB OvrlnUfwiQ0i18QTW/5yuURb8iXlarxzAn8QSDr5zJIOcgw0bB3chE5C4qAftkFrtmao4JELGfjo TkEsZzL01iYxNG8O8jMY6JDH3N7p4yZ5/8LjWVoHQA+5IwOVZo7WLtD0TaBlgFbbcWoPKwzXyoF4 erQt/VBlVRfDPMGEwCf8zPMbj+hAK1TvMyhcjzLIOCNCfQahLRP+5CsoN6ViSPEOzx01y26ti9ag g6cOr+YV9wV2ZNKIlU2xQfVTKyAQYwEgmSXuXJ8MO8aRPUwGQ7jhfK8CX10N+K+tX/a8hLMBSKLA K8t/lwaNY0ZXnidTX93HoAZ4wQASRCQo4HFaqaCAJVscHM63wZjiJ6vdjfRjzKc5JSJx67LNF9/t +pDF88U3vsNBqNbeK9amhInsdAbeCavHn98XdpMRoJJxajof3ry1N4WS9ABlF0hS8bm/vgC0FTVq F5f4uRdc4xZi+/ItGtQtTqh39/sWbmzr8iARo7A8bsJSubP7rovW2kwLmrColCwkrJA2R95+vAfc NwGeFmr7O4LD5qBZziLJzYkX/dRNQUhJTN8idGU1Mn0WM/ZC3VeYPMEXmvWvdSmMHAAoe8A6ILrI kuIllNiRKydhoc/a8LPLhEWO9I5HOjJVfRY1103KKvbEZ0K1ewsh5mX0wB3IgYYRYRWaVsj/t7uu /IMJJvgF+FpgKCXmJX0yvlexI2J0ivv2dzQDIToUX99U2AprVRU1XTQx7rqrjVY+7bvmnroXkf9z uJPl7Ra6hN7IjMywv2l2lb+F9noHnlTHVxW6L/N55ht4WD3G/AKi+WRwgj8sGd3beNPhRWOm+ZT6 kwqRivqrPkZDzDtB3eXUfcYrwQr5HQAujl9Zbn1KNJgY7+Q7HM9KF2a62+VudG7upq/Zc2cjTFU8 MQwVdGdxkaQnutTO2ZntYtf8yQZ61iLuek+qPHAublCxCGFppxOZ+VDZCVIVp6D4cdcN2b/fBA1M qmVuUuRfiOtkgV5AJ8VX0bW0ifSU2MsCmBhFwqthrnPDGEjQ5Mat1EkJnHMEP4LuVKA3C42MdO7X ONIpz9QMG2M+LVtzPoOJZadf5Kz0vscmrD9NcfBwqVtUnVNAtvRQ87lJ3wzBFCVGacf8TSoamzeU BBRfrZW3yESaDlB3kLHvYc5FL7Hfj72aJiRPbutWc/KsN9evg3R8+iQTX7ygl0hnH31hRO5wiZwd NqfuudoJfZbNukKGx14mvOSnxI1wLrh9PdrPOs8uOy77Vo/T/RbEG7reORs21NPIN9uKqYLkYrdP xD6MaCUYX7mNQSI74iqK59jZXQxABviqDCFDxxWmNQ0Mq0dMj2mJHY9DHlrNIYVVasUj7GtqgM9T JssNIVD3OjiVCHHcLRBDVyGbxMPROd+UP/iEWqnRDQaDUO8Z/qWnrha5FSqTxIahAxA7ZCy31HFv P63G9QvNd6f8u2JqcUFTx67R6r45nXvZnb4vpoUfQYiOXiDZzTNDtHny6C1yajYH1zRBTi1MLuHJ ALbl1RF8E4sRhh2mvVH3RRxwyny3Y4PzBtWqkx1RbSQAZCyNDRyIL4UzKJumMwTrzimYjiYjh63f GS0Cx7Czuzhz6zzpFoU6ciNzX2uHWqOF8x0OF55kFExAy/wVx9chTJurGxTSQvKdkRWbbyMm6fbo b6owDIVmjX9TRCN4x0BQrC7gfI197DvZFw8juMI93rU6mut6uvwNUaocn7GW0vDSOnB/tqP1vz3K 5lffkF4LYWgpZjPNsve0PXcIrMi0utZ/0VebNfP7VMqwxxbXH9+T0Ya/6qA6gdM7bJ11hDE3kcBd vXGOjkKsFAieWQzmUct009TQKRQqcoSPLwsr7xa1hKpERktO48XIhv9U3GukBDu4Q41wz1zJl8QJ vVavMl2+hWDHrDhUoS5NOF0g2zcpjBhvLuBFQjU4+QksvSr7nO4sscn4z7srppuv5wIcLzuj1u/6 IPYuFjUc6KV1ccGGoVwsyexPU4Cz5+LHK18znQKeeGLQGxwalftNMxZoNh0Uj8B9eRHhaROTxJ6L HrxelJrO07LVUEK04aEmza5BwdXGBQuM5744ZJAiyK8Eg4cZFvsnyebyMNgQRRck2TpB5XDOtYdM gTSOP4twKOWulE5Qa0V7ghad+5jlGGSSCFuwwhLDN8wLi60REqJ68TNAAEootTvzbFotDMlORTud kH1KfoyRe/306wNPY8lD0dFXT+2z+k2xRn+efcIedbX333d4caVWkfE9Nu8luP3vPRqeSnrmA0di ErKVqeR53o6RdLtKzHVP2DT91RBc5AMj8SugKi6fwE7EFQ/8PyjrvxWpxMUjX9+DTyDWUo1oT5I6 /61tIWAcW3zqM+cOD891PbhzXzsKO9od9Hkd7Ecbs+0LYnttwLmiGarnHVPDfudTP1xccUrlH92o pk0vIz1nrCIOdVwMEVAx4Dhdxi4pgXP7X/oiKs6pmI8gC9W51PTlolneMgS1GzEu9BcxY6C/yTdG dOwiHQU2PKOnfLUv3pRTGoSh2mvGRmYduBhVQW9ZqTAWW6SsBJVsSfQFiWA40XrPtaxfL4ElLh5D 05ghmh5nk6s1sKohGlC+AzpIlYfphXyzfaq4xItZV4NWVgBbOew4pQuuYXOLGBqAhSd4OabOQhgw Zg5IJ6+yhbzoygOGqVucnaWWtvRaaXa3+KJeISrsa5VY2r2UvEdRth99EOY7ZtUpNVuFEMFCCBNc qcVQzfOP4qg2C/etEMBSFmtoeg/jvKMnxf81WlQcBUOwfolJWPf19THtd2JgzyAreui2cEopu2pt LddVmUfmsiJhepSaXeFVE72sDhAX4woqQUkBA0lTgNpuCehxq7KKCKsV3P1lTv1fNeXRcgDiqVcj 5DBf/He3BH6siOlnsN5vWo2rfHHqpbi/9LoON800+fcx0EEsU9YJKNNadFggOrNODfMEhTRsySsE C4M4kSBXSMquVU1nZn7vfbcOouqq5wP6jDEBnL+ZyjWE0j3BtKwDvbI6xCU10iWCk50iR60GnFFE k3k35+V+aMv1vSlF8o0RP31z2GcdTu3mY+Nc1boWNf2BYqh4OaT2ME9s1xCf6yndoPIwwRzYZvHo JKzLtB4X8twYRCaUj+943M/dv6tW5U9TIvYEPgX90QyyvY8JV5dBMeV9SZLAzJc8fgHuWksNsd18 LTmAGTVCe1eU44c0iA9aFXt9ReV9sXddUs4bgxM4HjyvXpZzXuhyFEo3np6eVjTb8/9w31GVh+M6 5OL8BcaqzsQQMflXzoqckOxJuQ5xPEKCm8QgOZp7eElu5mMfUzdM522dEgB8bM5aedvk90Z76+14 Wf3oP02lAExeyHf3ToCuUqgTU5SSJ2Zgc0B17l9KkHQRZFW+5nqzkpPPUaUGxXkrMRMbkpRhErtB eSlVFjJ4GS0s6ktHzdSzV03KrIUsrkuth8Q4S5tBH4peMCMS28EpwG72AINeMlUqTYfmQnUINjOT 36cO+Vq/iAWXki0FiFSV2H1zieV6B06Ln2yuJa+Si5S1fn4gt39jijin4Z367cpU5p5d1xsvOEJi TJvIC88CVUsXepBWddD2kwV+T7abZlv9Cg1O9gOFRAAZxkMMxzSBmnTktGbQGr4SvwTXjxAHDrq9 Bd0O1j0qu+yYeFeAY4eKQL6i3aRDXmZ235vVfQFsgTV8JcwNFyUSoIwmsRjdRLU/zxGKSiPGDpOt 9uLAOPxHuJiHqBvkpiZ74R195UqZnlOCRmvuXuopB2kW2Zl5ypbW3cfLtwlvmbejRLvBigcTBBsv wUG/cqmM+xGcdwv236A65KGQ+k+O9wRdxoo7WW3e9Pg0ywF8JhL+J0XrOMQ80mVu04tg2fWW5S4U WXmCjFgxhYQVy7ScdUcgvIAa1RbHX61wo83NAC2/ZQ1CmSi1i06qlUbtAsWWS2vZJy2Rr6rsTDZi u55WmkDeoWCq1VEumvGGGAyki7V5WBaYRhd5N7H4oE/HUf+NRUBU1Kp4+aN0ufuxLg87ICeCLWjr Vo3L2G8L7fygNhmv5wM+P2fA5OfQVuFkA5B83QEU7Bc3i0KH/PBYdFS7yPYhx0qKoU4YcVHPctfD oiZZ8RS+mAfaUf2nMd1e7kEpDC8B0Ar2bpfZRn23T+AqCOsH6BFmwYHE0PKoeLA6tGTJq/Q8Qv+n +pahF+H7UukkpC1G9gFmoPJtPW29MZOY+kcxvIoOWaMheF2NjC5LhXfFRCtEBM4Wmr5woECvP0WA q5m+llg7X63EIvuNiQfwTP8f60+m40ch4dQD+ChBf/bnMruse/6W/TSX1W2lOqXdEYd/hhZAh5no tqzBpe5iEanc4E0hQY6aX0vCNJrjC0FioyqnQwGYQqXGq13u3fMeqgjsw0vpzeTcpKWK64+fNacx Y87wchCT5RHbooqBL6CfRW1Wb72KoH4c5BIZPLGwUKzw0gvtfrX6bm3yk3yqhAzaRCpPaXXPoLZ9 1hoobevlKHMc2vpU276yJ4t+gpOgpDnj/jnzolMWTynbzBdb2V2v8A0gyQ0MFiBYcEgkJj/012h7 LrCCLilPnyg/WPsWLl7XlA16r9YjgsazDIgQcF9A153x0GFE1Yv/81cPUKEmzXfJAJCP9o2QeChP Dru2Evt6idojlb5zM0EtcG46FmA9sEGIcjxLtmRszONct4DOYsvOjeSjvccborwTZ4bMJUfbOFLV /wtgnCuOq3x0enypOqw/g7zVkrGWkYEq+LwjNX7c/2HUTawMvT8KCNLkQNAAG5SCoPZOZINzJbhS TRxiNSRyKdFaQbgl76p8ZZzvZxeG2hzL+b+YZpYNR7iEIb18HYJSysN+PHn/xWtStiizJOSHAxYu MVCYldqK02is10B+UMcFChJHObOP7mhuLRUmQIdS9IMnVwE5baYdWrwI6CH6DOUF8Bkug7D2pFJE trR5JgZ6Ke3GtLz5SsO3wVOT+1tM8TO6Ag9ppXRPS6PWAvliENT5fCf286YwD1OClAFPE1BZCTkD L/UIiskD6D6H6K6al5ECADRKLMm0zN+M2tyfvXBYkA3dIDmIVfyj190DdahwUub4Xs8gVkloSNkq uy3dgdmdQWKpxNc7ry2QxrDBEUewr0GYePnlYHYiQUJlLzAOPaX1AhHlJzIK4nkjqcEXVtVIYs+l R+4D9a9Fge74Bkpcab+fDhCgU5i9b8uhiEkdlAk8LwGCFb7o078X1Nqu9ZH3IFuxZjkELFfJNSjd Z4192uEcP1D6aO7RGmPKwy+qWYkyo77F3xR8hRr1fe4AumYlFjzxOGHSMQITxQZGcMO/QnCtlW6D HD2CZM5lpsJcdknZoTQDcvEAUYOx3yyzzX15VIFpx/aVhhrsmEMhxjM5LmH5lO2G4IVh8p8zRzYm bL2saMNZz8P5ESvdkq8xB25ohZ4o9R7ETlc7Og9zM46oePc/a1+lnyDZBnTPb18LOH0y5BUiLfz3 Ik+RfxHDB73papHo/4TLrvZAh8t1ovRNzy6xp96rQgoKWVPog+NbidDdYYCZ+OnBrwefTd1v/lg0 zkQr4fu5FC5/XE/YAItRacUwHzRZuACZXkcz9heudbyIYWRNGwree5UwxEj5hzkRuri5LiEbmoTl QLWd1wwtlgcBd1MEZRUqKGxDMEtNTikaom2WwZ970zNevTjsI1Qc5KaWSyN7WC3jzH717OCxcmSW niySqReC1wzuj6nfoP4/3YXHMmO3OPXdumSm7oEg6sz5+94hTN7r54Yy+VA3XT3NlbZEAZ+02bXY 8rzdON/3J22gYxA09vxd9q5QGvgyYRb1TqFJhbOvqcy/QIlSVqvb7A2Dfbk2/Vdf8GNLt23hLL2I uQUxXGk7Z2qWHPh9vvrdYlzQM/fTXKDGD2JoaEk7PKD3ptRbNljPllFBMnR0RIc63CNcybE068Xm U0uqPPXCpi1Sb13+Qu4Znz4R6AdWXz06J2XD1+geSxc3VjYp/Xrp3qtKi1ooAYywCXMjlfCUA59i RwfjYUDrMjLrxx61mZRUhLu2RMlA6OOYtVRS+YDXJyqDq1KPg55H80aRaGk5i+gvryOqIkNBzKIM EyJ7Ft6fZGItpTe7GRdUioH0X9NkKjJ8asZVkMu54TwMJ2GKAIFPlAXfj+iKuBMp5hHBN5KkJRHL cx//GQAWZQiSG9OBGs5Ddx0nSAl3g6hthxg1yFNVaDUHynA1vyoq2WLGvwBxdzBazO1yerehDNgA OFHlBA1AScJK54BIc+TIytNrZovQh9SlaQpUNrpYFpVx5KfCa5jhJLlzN7xlKfC0nCdZb+2GR10j NcFTV7+onDrncBeCujieNgH4y1jXBBntbceKXpIvLBeyTWQ1LbRLEZ824zqNLwhVtS/ylJ2HJoqk yIPj51uoXSPDsoIg/KyF3UVAh5fux4C7iXLjWFBn8cMTIIcV5wiiVX3E8pB7x8Hinh2qD0BrmtgB yg5xEVD+Qdq0OzYlnUwKHTeolHalRqI3tEDJJQ9rAHBTfiSCql39MFS+jdeMJrR0elnY4uF7ub7G eB9ZvlPpLtR0lcW+QMcpM8qgR1T/UQYwZYRgw3ctxQscowOk2Pv/Ilua6CN//oaI1F+23evjSHcB P5jK+JxS99310eFrfBxo+dKzhSraaOsknKMgPIr0MuRj43Jx/zrIIAPUkd25GFyKtF1Nd8dGVWqx 3pjrL9efXnXeoW6W4iRuYLYiqW72sIiK+ECRWRy+gXJZARA/etDuz07pxDdqKb4Vmze2uxQPU+Sy ieB18QGuizKvm8NRRMykJZfmsoLHgFXbAhlMPy1V7WRK7ZpNedaqiWYIIFga4JwofWUSh5Pxt9g/ q5yYcC5k79qxB3acGdufez3UvfyCcxEyHNVEgsSrZw+hAjZCZvi9SFTwUG5eiZYRXOlmjWndvp65 zeGL3wSqy8vrhAgNcW1wnbgrXe0C0GcTPQct15lB25Gsjxq0oqnb42PLUyDrla1GFL//iN7Ry4HT yKz/u4VCiY1IMvhyDw7RYoiH+tGT6ZJu2/r6kIxI//3nwhfp3UP99E6YBGUuibLM9LuAxXJYkh1c vgc8I6kqB1fda/CCdTWcwBM6f7Ydfq3/HPgEK3Mp7u8j7JEfG80vwmJBWp4RWaT9CwNi/sJimmOy S12xfwUbCMyuEbJv1fYkcIGfLPLauvQ5lvpur620eU3VeOmaGz4plgq3Uf8vMnktVFXdd/HB1aCp iUta1eFrdmegwS5LrAbnktlqqOsZu0uKT6T85wvG2iFC1Fl7yM8GHUk93WK65dYe1QLEj2dRCMB4 vOoWaK1xTIxIEj4CDkXSWDXYvP/6vCKg3reQdrXy7SWePDMhazJxZNjTTf5kyB213fQMycwaQ77M v35hLgmZIkHqKO85pO6Gyh/qzCTAIOC2UQRtJHOzX7vKMnmGFtQIZxMugwDR4GQSrAI5geGpKYNa YH5Hm/0fq5TyBz9pm9+EnF8OU4kWVNGwps74rk4Dw3LGoeIL30zroSp/68Vv2ZIEjtRoru8NQILL AzdHwCiXEIAWQiQ/6e9kPSH1yu9ul1tbwocKxPKKrI8mjk1cK3cWSKutqGW5pkEuOgcBpDaDZ8W8 wPYjwgI99/y+8P9tAUzLIalgt1J0QtqgQrUYLcix7V/03Ma8H1RlhOTDv/7ydi5n/Emv5drpbwP3 OxntG64uDODAdrxtmCk+EkpfYL9dGd8GsOIMlNckMgYiifcX8AEj9TQE6POJ/+EvUXno+Wb4sr8C uo+JLEpnkRwceNBb1XOPcYUNy1Otv5A4NIDmStF3OkIcU1Vm+xBZmh1qAFTm4yHrvPXIv/oCojfw 9Ywz7tRUtpj70ZZzRTloi4Tm5IteBMstitZTaf2jMWEYxPpGyXF2g0g1bjJCdxepkH825kQSQnyj x3eCpwfJuVYBO5AeT2Xt8Bp6C8H+FOLX3QX0SBn4NgVidzkLvZSgPMwjm81y+JD1QY1jonoptUUO VbqtTYhdsKz+9EFV4luCIz/JxZVFscx2aVQw7vfXwva0LlzZ9BKDml9XeLA0kTdxZhBg4wl1AFQi P3TTAFYyqhGvmT+fWUOMg0/5ic/ptsf2TMYeahaLNKM6HgT0SNFV1UzIbAPpRVj5gX1t5ZnRMKwO wxMsoSCOCJ8SIDRqgVyubMbCs0Jltfc7B4rhp/BXqHUiujQBQoc7roGakCOU9y3dI0mZdL6rMKW9 AdOOqsx+vIOYjjEkKEGxENNR19j/C+SJdZU5anoVadNLdL1PMxXRf9q1nlyBSMN8jhmSHpCzr4MI ipXXKTa9IDViwA+KWKYPLGMP5sdr5e5lNBSozeqVQIrSmE0rOrl/3yExUz9RevvNx2KfziQyZTxj 0eTi3xzncvqHMy99yFlUveFIzaLKkTY+bJErGLvSWnOqh9frit8pz1DX7zsD0vnVCP+dDrY5sJTJ iKth2AEb+KwJegUuWZirLC0Jr62uZEpVpOHbAFGaZ9pSWEeXCfh9Xrbd+4pC3usczpUrlIj+XQ1r 333JqZoPvrWdLphWIsulg67F8+XlgkQh4EFpVZu3iaTRlnu7nyEjoOCE6J8Xc+GqA9f2f0eg0Nca GGn4LEFkQUCuy2vu0rLTKQ8liIYXehYLbmxMl6aROWV8P1EDF7lDnPv6WrkKaugTsW0l7wvIZ28r b+VnVbMKU79WCB33mUpbtWuZYMel9BYy8RJdMU6hVrEtqs2xEmXAwGYOeRjbjLzoGwwc83epvgJB /qmdmAmrLvAhjx5YWzwd7Huf96dUe9ShJWGWsQHx3otjzaJ0W8v87OwhDzAvy7A158T+af6kHwrf jOiXHUxC2oMvyKHNRIW/qTJNp3V7l2MPQvZ58SfDNpJFS933ueBffnoS0eZH9Ovm3HYLaBwr8gB/ feCPFo2gWLokc9v9J4EheY7xKuPs4zCF8+kQzPubV2YE5COafy0NMBieXly7kBuw1WLkabZp7RBU lSNCWrll3rOK7ehzMATKW6OwIFOXL65s03ShTaxbbRrAaLnf9IYRsdMJHzp664uaESfsqOevHBeo 9PhljuNkH89cq5J03Bd7zmnji5ZDDZlDEl2VfJsN2MZ4y4uuKyKZEJ6V73UP9B9IC2Lg6g4oocbx qwQFJnMA86+nKSAGcilE9GkCeg+709GbZD1+VUJfHxUcVB2ph6kUTjFf/D4htZa/tNje3cJfsM8k hqUvXwZlMrZh53lpvpFXGJ73ILAmSwpboYMFIVb5i01mjwntnwlIiuFeMfbfyPwHQri+fFKZULfh bf1PdjELbc93gCV6KZ2UmsDubu1j+3Fume8eBYNJSQW8Z7tEoGWYZ9xtXagK+M3RUtoqAezZ3QoP zQZsPGf2nAc2yVqqInbelTfCHxXLzdn6k7oPKQxZOSxheXf8nGlg44062f4kb1vM0syUBQUoXcnt U38qnOywqBD+Y5y8OR/rKb1mRkrpSxHEWamawLAPncigJPVGQEa+rWCmvmt8fh1Cv6j/4gy6YiO4 vf8Xc1IgBNspU3wYyM/XeQ/la8UY7ZCf5DcNW50nZ/1uyUw9AK914jfdWb8B/stc+mgdv3B8P9w/ mL64Gtb2uWzLLmJzply2n+mC6d20BRvjQ95jpmkWhqgDuHuvWnZrhD0MN4UYk9WDiI1oGvvFyi6K CjO5LQ/wu0OxDPK0ElSGG/E0oH7tlc9WMDBWoh+FhpPNOmE8QuUR9t3z1JTTdhDBMavuUbmTZ5Zp RSV8k5PE69+hOTXIK4HcSfn7kw9mz8B5Pz6fGX+n3nYUHCkTNIDA+EdJA8yPx+EatYqZsXw6IrNc mZ3UkEe34LmgjKdwZ4mLja2tc5TpWWTKuqXIbPUF3XJFKSshr/Rh3XmoNAkRtDWl91eiwQL07Lar 0aug4wR9dZceBr6vemq2OGzFwX1rhD4/VbdBNSRP75Uhu7BeS6/fuOiVkFmy6+8nEN/RVDAbcVqi dVHZNyYP/8PJIxDB/Sd6B/xYUEZRIBHzXWBJWCr2skeufEXAP6xq2rCuTIIgHmcPbruFARaJQq6k Y9X+QeSLvzc9+s1aHRSBoVCGGSm2bZ9uBWUnG8UsVqN3HlKRO81oZCQE4UqGyDGffuJXynt7MLMs qPajmouHMfXf5H/cuGfivtEsqjtTAgB5lcv4uHI74d9BPQoSfo3EqVY8s9h1s1xGs8wE15vgcLAW 6caFbytEJepDn21mV6O8XDT6uzFbpLgrfxAZcaG+1Cr9aMV/CLOd8b4yKGpvDleCZlcMASWAq9ml CD+o8SOGw0tFGaAYAOZkBCTkvYtCbt87Z08jNURNaHhfCzUrsH6dukI27hy/XNHw4vjvoYrOHkfm 8WUQprVqOsUV/Ya5jmV94J81GaNQGwRbr1EAZS6Fz7hH5q0tHalx9TboWDtTkKrI1Ju0pJZI7PgW 8urQe8zRwtD3ed7JEAYVTDyDaIrHPuq/bfYiW0Nr/rrfbbCuPmr4GLIGmPjMCCZUClb3E/+cI1pe Htb8zl1lqLKCnO+1VRoXkHMiinX8CkU1iLF03S9iELTsrnlwEy9Gp6Unsc9LFzltWC5x/z3cUute Rf9PJvL6neAyH+U9Nw1FhPEB+kz4f82EFbNdX5XpxoOFnCjyaEuHCwlUtk1cHZLNu7Y/OabqKOCH feaizyh8jVWhhamgzQyhn5Og3HQF6sb6OBle0y2sOg37cFi4C1kWEGydxMVmArV73EBEdSt64Llz dfc9Kjw6Ci8zR5nr8v7gVVCTZQJtWogRS/jocgLoO6KDNN3Z0STwl8bw4e7UUwpr23mHtIn5AM04 YoGUSQwcHHbato81z6Dsy+TfqrS/TnwEMYwcDXB2KVT8CRh9MtJ9Og21bHEhZvO8EMV7/c5wf1XF MDDzZB8RwExUcaWDmAx8SubpnJKaAOVz+EBbtKubDwSGrSFfkOHB6beVO8KukOdEE71cAqjlbezt iZ8dovwG07YqoutNOdv9O2A3s0U5i3laec+/utsLA6aeidDHLDgsdaJbzE0yhseJFUQNCqpXa7zm NRinZuXnVjeo2ahcJRLgnLg5eWOUzULY0Fp+f2ceQWgWpIRbYY4o/fokCG+nFZs33bsJo9HWLQkz 3vBSMFQgKtiT2VjYYVdFGqlenq4tkww9glnt//5Go2FSaAX/E+524Sl8VjFaMvLDDs6spIfjIYPt 95C+5i9dYHVo1hOB7ac6xyA2zi4qHgwsKwjIBxllcyFJog8fa+vkA3k96Y3/S46Wh7lgosFJbR+7 AfvDlwnjkCFnfCAn7+w+U1zOYsMSHTmFjpFz+fDsHKGx0WVVtG7vP7OrEE2H54yORqe6Zl4ga8+I QBg72ywHDSZe+DoEyLaXMbMCyxpnmPEijaqd1hFGGuUStTEKRXzvSvSR/modABMd0tUPKdLJWxND IQk1QqWmrr4fdY/9bhJR9vMRCJRme+y6z7joZzrPf9TToj178zoA4JCpkz35EtxVtCKg8AAbUJhG fMM7mN2YO3JoUQVO97VMf5RzEUqVDsgoRRUEhzMBSo8vQjaGVACUaSDrCzqP/etbcdAMJxLYCk2e BsYPOfIFAOtwNb53QHr4Qd2fXA5TKMdqCjTcJlD5cMezKVMRhGMac/V16Fo6V6rPDq9UDjno7q97 YXllWZdCdALkZFG83jnwC+NJi8Sabs2FHNqDIhlxbgFNUwJAPWuj0QGEjGkX4k/jmf7M/m1EagWD Qfs5/AGfLEK3qbAsWPsL39tMhdBghu1ky3B0mB5wQPRpwBwMOJ5pF+dcttQrMTCxWXISu5K/Ee0F 293onYB9Tj3jSyMlTj5yb/j8QGzNGqldWw6R6qKtkTrosR2qqk+0Ju8H+rbveCMOasZGMFJY775c e07iQ475a+m5hh6kenx12ItKoM4fVooJsnny8y1BVXBRIGPlcr12ekuVF85F1VNfBn/p/zlPNyB0 kWtNallwoGKoDWoQV3psRCFZXXS8if9YL4gIicBFO+MQLKOZRIEu6sXxDSYSmM4H0E6nus4Eu+pC XJLkORvHKs3ePZtlxhnh9atZI5g5RTiuRWLaPLwctKbz45jbB3OvEf4NTQD9VP8YVi6ptGA7xklF ZoeEaQ6tkuBJH6Pwv5btJD2gQ3UYylPwEPxCr/h4G992xGz7w9drYF119V+PzK7WpNeMj3Doa2GM +BJ9DBH7d/t0AJdY2x4VYyz7vr3qGRC3irVpHLJ85Hh7AOCAMjQzlefK5rFwpeHmUspEdJqAtsAT 0tpoXyKNxwvrft29DWdLEtxkeNUwR15hxX/6jJhL/pHXeKx7t2cIIktAPA48+GVdXDvwRHPO7YJ9 BRqVl8tCU09F2gTBdj3Tiq6I7iSCkcs19ZNNHRs+ait3nHesddnAG3gktv3bkmXOWUZHaXdLiJJc PNnWtwdaAclN/HBz1qMB6kA0dtl5S12IF8nonjxAb6pMRp7iaoQ7Gh0PwrImyExmC+l1wdPqSCDC qQSVSf2qOXzrwBX3ZgFhdGW47E97XeGF033DLXhH49zeIyHIaP9lJ5qxyFBhwsGvo+OCeAFgBlKu PFXbgyMIGJrUWrFlcPRLCY1DmHBJXqjYJDuGu7SOw/e4Xz8AHBqsb343yLRZVD/GzPNGa+v0xSeb iWFrNydVSaqG9jaPixI76QhubKjJqNT/oU8ieb4mQowF654F/2to8Xra0bR8Qi+Kk6UPWgFBaodn TL+y4lGYnvoUEuIvH++Fo9anQ331478hLyl8CmPZ7XFMnlyxr6kSHWjol10J7w1ML8fdezMNR4/t cLdO45tCnFvsyD4FaLLATBAsfNdYjTF9FGsjVAahc3Q2o+nddWSvNhMEeLp+GaqnhZowvXs1bu1U XUiYJsojlj8sxYPzmi6EM8IgMpA+m/TA4fC5nSsg5Caij/9S6EDJoX4Qqgs+l7gC54TXdnV6iM7j vJxSSp99oB5R3Th5nY6zypTHG7yZ1nSD69mBuJibfXjNKLN2nxvOodRjsU/GVgIKC9drD6XepYsP Qojg57Ke5MG0JMWf5TTF4VPbasWB2qm4S9R9YjUBdCNuGBllJEuFbgwg8n27CSUubYqhftQiP6qq ELe+fPqaWTTFzFeF/jlEkIEG7ILSiYlExsi1ZuAX7Z7el7u48294tSiz3buwuTdpm+6qfy1RK+3P 30Re5sDzVB0CZZ+BDxNcBn7hEYi1+lTAGQV0gvHmC/HzONNkuV9w7RN9HPcOT//qXbbRiRjSe+35 YLg/icKip6p2//V+X3lw3oI1wiq9Bwp0mSbw6Hx+ytkxik2fOSY+2rsGEShT11uIj1MxVCZZB8y2 PDkVUfyFFOwGhpyGIgAlHaGIeUeixmYoZyaOmFRwUVIZ7osKbcF+GfP6ouK2/3MrU+iJomEJ0tTj KRC2qezjwcboklZJ1k93wJG6G1J25h6JNXNHZyKRJXaSAhszwle2Y4edgjPP9fpKT3O7l8MPtwRF 2cQK9xLhG6VTywIOoFQ6HW88oOwEC8u7lri//TP4Q4yGzLuG6tYOVqNpUxK4h1d5GG5IkwPaxnx+ XLjD0HuYKgByEPSXfu0ZF0Rj6UHaqMnVywSJIAE3/oHlMLTQ5YPQ8Q2uqac6vu/phSl9MC7AB3Wq +SYIUfnQimTXUvm1GdV6YJQ/A84VM5BpiIs5K7sJWQ6gHaE4ya5O4IFURPBL5YhI+a6HkRpfoYFo OCgv6bWf7MUbDgmjKgFu7dfrCTfzpM9ezyfX4c7txlJo+PcT8tkdqfRgTn8i4EcQzs/8VMg9nzxh GTW4VOHk/w3LyRaxp569SL52V6xV+NKAyy56d1TaTQTGOi+pBhc3PfQL3FGrZPXpCqY1/wxpixbP X2pDDboTr+TQzMvhCrBnTX1gfCoCDCA51rJxzQViHvPVImlZFuASxpNw0nZG3fM3/LiPRB2vZ/xg WyNiNwMUjOVsHozZ9SvFfdQmyaLcCPD5aOUOQAohFD8LrH3VBrj0Xw8pucb7/dEs4mxQxSBj/WvP D9/h/St8kUroinm/y5ZkL01GmQogJPsKz7cG3ypoXpXIzYZ8rMB+8cFVahvw5+r6Sm7Sf+XqpZvK p7K/ztmVL49lNCBKHoaFcUbBeVRjL5hdHHtjBReB41jVpRk5kFI1rScpTHkaem41/11FO0iGCJJK 0/8lc16yylSAgEf7dh2wu3An0KqI5TlP7zg0RaPu0NJoV4V3zg3Zf/GDrautyIdauMXnLrBQFWwu 8qvVQXt2+AIbgcUHrhzUcq8aaPgMuSmbf3+MLIep6tU0R0zUlddMGUSox7q4q0Iq+yyechV2lrTM jlkUTU6/PTqkSsOLPLiDQlOVCdAq64vFQsqwjF2A0yRp6WWOL3nygKRkagUS28SGIkcdJ7P84QgC J3urNith/l2vzVE//6cLCsbEaauxAs5lcfRhCpHgoJWCm8Q74Wc2W2xIbPC1a4d8iHt6Uvd4xfZD 19NWFf4PpxX/MWzQqK10wA/+Lnd80beDUbYIy5ZBq6VVwIqhzlCfKRenBhBjvwyOiSh4oNffQelB 5LhwjsRi7F6TpwZDzQUN6Do6O+GMWMqbKYLz0l43sKpJFvG+dSKqI30zlxCojfeVSnNxQ1a+GkyI 46/wB910cNB6yBCVj8LOVlFwg0JlA99/fIwiwMdNrCTNAy5qMeHHRR5szbfcsUitfqtkI5ylzkOP FsQLXHDXffz++BddJmkb4UlxmnQWVouzJoPHa2E+wRQQjAnZ80x5EijO0pVcNDcMqkYxL0RMjWup oSMiIcCczkV4sn4hRYpDai1Ye7K4xLdkaGFnk+LFhleRvPJ+03Masf/jnqAYGAP1jMCN4iuZ/3iA 6OiKQxX8iYyuACFSr1lgWXPzzsc8GYPyfoYjX7Wi/DlZLYTvlHINe0gPRIgv7k/xoUWrGmUH1e95 MJgEQxrbW7tof3mKB5h39WJ7aeA1+ui2CLJJ0hdu2QF9gKgA+KjLHBnLw1szMGuzTgbfFE9ji3C2 EHzlZ1SGpbYnCAGhtuZqgYN8u4Q8ipDybLHylMuBnsp+OE/IWL0LNQtD9MkiDdId0iCZOi1dJH8T WZutoNAsmP7r7NzABSQwXq3Revr+Kr2KmDmUVcYHoMSyoVn5Ke1kLxtlwAH8Ku478NxxLmPvxJ02 0woie/QKw9SuK0KeXOxFX0O8gHqhQXoVGnnW3zXjEBi+H/dwrlBsJt+uM0wu6PMAcYUg+ipBBCgY wLVpWrIHln88s5dAO220cOShDYyQWsSS6Gu/DCHqJ2lOcWW5xrokv26CfxZx9NaEkGlFlVI9QUYA 7XPm2YytWVO0Lgo8CsUGlKrMcK7l2szrXMZXxwUWp6N3ZiJFnC6tMoLMR8hDnD/oynednp7xJBKZ g460WS8zYi7NhgeB0siCWxLyBVraAJXFAtX33mYVPVQzwUF2Zf9D530K2NKbSeqKO/F2+Rq3hIAj jxNmc0ccnyQDKY4nHCdopHPPAEMlYBZrDE62vB3fo+QT3DSE/GfbWDWL0M3ankznMW0I1/BHmo30 KsZi/Qp6xsq6xTfm/mNtRj6y9TVH+VJ+VQJJhppgD+gXrJeSIJTrOIheMNuP905OrFJoVUuCOYTo DI8xltlb30AQw+LBWL5j5awbvF/xeNsbDMhJf9ioMnkNfWQmvr3DpCZqMEyAvzE4uxEGOugpaVZT Mj/B/DIO7TIh3ZeUmkTolMpYOE+xYrPTv57PKHwI/uwSfa3ZR2Q+DDXaVtqLJh4Xyxo29PjqQHG4 +doeeWRhYq9axydMp0kozYMPq7ymGMd9TuxHU7mqLNo6DPqTc4eWW1JYsNxvX/ERzv+IQZYfJaGQ pGiWBxHE3YhJVbVZJHNIx7xCeETPQIsPPk4lvnS6wYQhnY0FF76gs5KXUgh7GLq8fMxWXBiiM9RZ EVbTEU5T2PM5gQ5FD0DPaZ0B2bfc3osSpnwBrOg2HqQSzgrtGPBt6l7zPv+kMsxiayx1BJJH1UX9 O6BcOwmsObeFU4DVNJ26FS6VI/NqO2kjU8YWVl5vhcQvqZTzFOlACzW8JxqJbyxZekhwIYKvomyT /jTtdDcOOjH27QhfpCA1g9ifBAlWOOk1oakjkQt7QF5hprBXKui0HR/ZLCP3JRJMhvANzoygo0an H9xuv0ZWsdjWXMYWY/eCwsvuDksLO1Y2g7b77d/nUU+Zdps3m3892B5rH0N+0l8HEEN6CeWRw055 z5N4tGU11jekeaiR7B93dBub5DyKEECWZKt/UF5Hyw+yHNnQkSi8Vftjw+14P+riM2gzOS3hYdh5 YFkeTUfjp1qJU1XDniiPS7FpZwStFvhy1fJ0PND73/Ofdihmcg9gsXuH9P4vBYYtBzyiKtW3JiS/ OahivLvQAh6DY8fLSh5Ml6QWmWzI3hhHTECq67PSkBJ8EZlRHHieTFjCTx+Tmt9ZwM5XNQ+3giaS WwZX9THXVpLaehCPT6SjRQ5Lfpm5+iTi9CNsRMe7UvwNnR3TYc1fbGMyfQr2XaXcKVVKsDcWu338 neTsm5odFQeYkxzAtt/jfp/3yiDP0hLhUaI9mlNT3RKHr0g4RmgcwAkyWMb/WJaQTWMQk60IxM9L HhUB99b4UzFld2+VEfu7VtTNRtK0DyuOE50aksTk5SB8mt5ueLpM8ZvT93JY4qV+K9wDouRFQsWm PTijgaPVsW3OGFu7qHjz19DQrqyoQSuwTwl96RSZaWRcK5KtFWN41lpkOHlP5ag04w1s1kZPK6yA AuijfJSxAi1y+DJqjHYLWSuPL8V/MHU9b9YiSMs+tTTHzJV4rzQUPbDpDYOoZ5O0qJh8DsrkaWCC U4nwP9wd5hD85SlxKlmJ+0CBQgbvh9Ijq/1ns1cMHNaJLCsPhtLczCgD3qGwrQfvoEnHFZiT+sCK WvC8iIdTq4NJHmN4p5iVk0tH1CHs2FvmnNxozQhaGRE6MZPF3MlbbpGYI49hZmOMuhR0YIgLzxM3 DcYHWp5Haqlt8jETh/6W+Z9eFBvz4Nd/TZ5a9NYDR+9s5B1EzZASqhJZHGu+nCxKb24yvHgAExFE h9hi+wZjxIhUwEZtjrhaA8gaJTgTPMxiOVKBtoocOWNBbz8MgLI4teH0NRgFDiEzqpBJ3I4lpabH ZFm21ieJkwdu/KNIMTAzBmWNRNP6DcLIFeLqiUStI/4Q1wpza7bDi+ls2lHW2d/JILIp91ZKirKD OmBCD4QtCwdISdpD3aNzJ0WsS55zyCga7qN4rDgszGHyPx3AXUDRD0rWVIt0jIXnwS9OhKo7G/+i lVtCceUJMOK0D7pKJNuqjI9uuj83bYlEq3ppPZw3Z9wOb8rugOtgXf6sN2P8vn8tOJ7Dqw7AQHY7 oKRbwGQwpu0GD1J2QysSScvOcKiFFSIdHJ+mWWaWLEpHrkWYtri1KF1KJWNDhSVLn0hButfjmZxd pAbzOnppKQ8PhwTNGKRNs7YTqOmGYTBUcvR1wrR1Pr+qsw7Py/2j91AHUASMqglIo7i1CHnuGDTk VmGT8/WtfzTmPV1fDWCsoxhyzaUsvIvCSvI3Vp/D6NcSOQz4OPKHVoXAA/OZq2+MIuyYnd/Sx8MX uymKUN/OcrUWepQrlLaAmHtWEEa7/Ho8O0CAyLYFNHDzB54gfZNbKt2j2zUovPPm8hBP23HICrAq 6DkBtE9n+3TF06mwEOBXNsNSQQ98ah6BpNcoqwWvQcM2zB3KbUNxpklrZMbSI5p6eNNrkHFF4dPB V4fvNv40Wf3YPxWIErdWQmU3iZSJp6eS+Iv8iV6fql/DSrgNhOJ1M5F3TkvnnLQ3So/pr2bRB0Xa CxKl3g4Z/skdQy5oyR06fUh2nSaXdB13XME+ISP3+7E6KPZOPpsn5kDt+Kndrq3aRSnn8srEj6pR 5Y11TSMPhFuMYpcNM4xMvoZdOsWFGmZbVIU+dT72r82WUKOjIRUQZWynXbdaWOCXI6AQpcjoqpYm NQiOCRNuQmebd+1MSmprR+OHI8euJNZn+sf6YiXMyGB6aZvxq72faiQxhN7UQPkvqAuerXAYdqIx wu0qaf7zRvdz+kA9BgPTqxMDMwGW1u0ZfSAKprxsvw+Isw4U9FWq5NRkaGhX478Xlw+z6ZhWo7nS nuBXvBUYLw+my3YjBfj2ljnLOUJIek8W1yDEMGdtnw4CKcexvPzhJQjynEJ36pPQIuU4Ws0puWlc g5zMfKVNOp3RkNBBl/k3FzrYutWjQrAgRbfnrZR/hURQeBEFJ0rs6kEczS/nOrf6deYfdENtf7tB yRnkZvHhhLkbMDxCE6ZyniUKot366zkekF2NLboSrmM7F9rVIGxP9GZGcdQiK6hoMsxKycETE6IB DZnkfBpqKOj0ZethfBla964JQk4Pl0v5awhJ5sG5DUozF5yzHi6j1NtLqekHqqKBU7aQ8Kzvra3D ARK1RW9gpa/YI5O5vpWdw/9wYP7AbOcN5tJ4P0quPvmzO2Hhazyb/HmXmAgbfcXBEKa/Lp+wJTUO iVlcSrVZohgs/9j+rG3p77+yWKRbg8nnffF1QdEkuScIcK49DiizTFDvSWJQO/Ys4+wtIyw67AyY a4eVui86R9h1azkhfSyfFgz+ECPFVaqwh3GmN2sKGbgBYRqd2QTopV7F+NOU/JN1hxPV9KU9de7P sDYUmaItowHkjb2z2Z6qJsF41vhCFf+xzcimzvZYBhlLcl1YqqTsjafy05wO0bVo6MVgJx/ahVDa 78qLEW4cvfhb4nCJDDKUDisHrFjQg/rM2bUdcgn8Qk86usYw40MLAZmFm/627nkTgVye8qeXIC6N iiq8DBNSql2eog2CYUAmaES9bejEuMmk1oI76M9/waQLZhWvzSlg7Wg2tIogDM1QaCpBnGhDANYN 9IquwlUj6XPyOttkWL63fGMnOHRbcGvfiWFR/pWfUt0xN1Hc30yNfvZIs/NAuY74UkE7QkVBNnLJ zFyuPS024V/XXKdWNomQLt4Jerl9fUnqLIU7Dy8xGbLdmv2MxK1cCNlzreQC3CK3lgVPzQkJ0FFE o4l7snI5Ad4/gfUyOUWzkywQmHlAEayUr7ybwdTtMx4yP/hWEenifDqFBH5M9kb/5biqzNXHIq2t 9PvROTO0QelMKisfuMcHUimOR/cIYqZQHqN4t6cOoQ2dMAoVKVOgdrI+rp6xbxh5lz1z3v9nRRiz yOPuGc9zfMQt+Lfd2iiETb5gcYUPiq3t9xa7beBbmV4WV4q5HNy8IVt5UJAa+CYL9a9muKgvS05b xr8QbUDfvGU/bvd8IeI+SKnaK+SdUP/Z/4QiGLxu1iuVp0O1eWbBn03ZeY0B/ki6qLjwdozygeRS zPLr3YMoQXCIKcPVBvr8Qt4fN1NTEPmLFm7s3VeAJTPrwwH+/Pn6z9kJjxRD+iGzGLGSZ1su7kRa ew6FvWMzPlMNX8grne1GGBvf8fC/A/dc+IakAcuNGkPw70CIdqfHDeagZLRDosky9qRRtAUOIRYR 6UNojNX8BA3MpYcCY27geMVNFpqv7zE6PONMzv7MXLpHiL3cmnSldkci00h+aBK2lydLqpDAflAH MkRSvLZvyhQi0HxuSw8LibeCbYuDFxBl6WNHsBzFWwiUCuMeh5AD4pXGJpvDXe7ynGI81oM4E7QR 0q9TKWO6AGJPq39MNg06Q5EW6kVVLx0fUev7BcrnxG4p8l9Dbj2iGoA+LCtMvWhA5oX4r1jZ/GgD 0snaXY6xerB9kCslAE0PHlvn9lrHuBwcuJIsw/PfaqDbiXB2xJlOJzIyVvFNxwy3/yPntO4jrSdg RGhZcnZnEc2Ev15NTKwnT+zd4cQhf2dNpXQ42o89qxioC5TIAfhw35iP2SiEp3y/FkD7sr/vWaPn 3tLpyQF3Pjf1EEiOmdr9cxsFNvasPmAwcCRPUMPaj8TOOplIqz18tosrx0mTPiEdU5/0RSYFGlfI clB4azn6oacJLqNCSc5zTp5vbYZA84w1NJoZgevB8GASqESWjhRzLU2XZN+PXOGEq3q9ZU2ZoLQN EzGaAZY28vNBXFTQfdhGw5FMkH5dN80N/TSI2lAxAdWj9n3Fn8467PsfEPctARrvh++6+vS2pE3W 3wx1rn8jC5wP6Df3Lqcw0SGP4D753GMlnRgoCitQiLMxdhPhTzu8eGQttj0GHMrAE6F9ipjKWgX2 eICzhA7wd12RNL9zsIgYb8aHDFwQZfmcvoZpY0vHxlaQk7KhDVpVSVAw/NE2rsHrHdb/HIEWUXpl wccx9Hjaod8jBp9hdnz8x0pinLwVAj3z5PCNFM2YBmQ2xXxVuR14hTmA4UWYEDnBMDbnHYPtJ7Bl 3w24dFr6GZN5w55DaPnVLt37qm9MuZW0JfRzjvmRyDWWxg6UnrJFfIeKhH6Rb7xHDfO1ug+V8LxK OnlRY45zpgwpS3fAZV8/Cv/swtITKPaVYhgpUsOUzBj2//MCsHrNaB6ltZmHr4yxmJHALG0I7R3N Rm7U+cUCcqYm/UUc978K2SZzdCQM3nFBHMDL8ejBgpTQbQfD+ssv+vIotY0kkszslEChgcNvbqJh U59D+lTHo0yhpoiwmdzv2PAFO/goqFEywK7aXOJS2KHbqbKjMFkt3Nf0iqkkf8i9oo1ql3as27IE Cam8ad9cQb2FBJfyOTyLygn5l5u7iy9zmtUsoY3/SKkNF3qCeSISTSHMGTWrRBKWrzdExnJ6CNxP TvAg8sKPkC6IlaSAfJ/QQdkVdiY+cBwlwybLqyE1VjN9U4cjHQCW191LveuTwBZ/vUSMiWeUyKvV W9W8aPORKjnAX4xHxxYkZlXFNSTphjQakmlL+VXSN36gzmOShBq3btNImwFDIrIdBUFRwAFznxC9 THmf9ndyQE3jJhXl7g2yAye+O9DXy2sHG6BMt7JzvZOSrSXM46O0aVKfNfMKIw8jzhI1qAbcm6iK sa0qgizIn2okwCKsgaySS0ajeTspKAMnSje9B1N8vKt6WoVPTLkixn1NYpHU2125LRA4upvuXhW0 5oQ29+xv9y5swj12h0ufVwA1dXpBXUwS6BFpbf2VVS/2VHZayCUnxyULzkdm/KFPfJRm5U38adb+ t0Z82sIBFve407KrH1rnMLHWxV6qA01cVVuFlsoTzZ6O0iAZKHTEQvFSdNZzstMhKNhQ2tIGRj8N mGuGo6i5eBOmcwwjppRh2r54FYrAfaCKp+3ZpgwswGiqkiDik9WAdeb2EUauCYkSTOUShc/TGgeP hBlc93mHoz1PweQKKhu9CKSgj+qw+pP1hX0u/6TnZHGBv5F0moOpcSGYs23efmixMxFBUwD8aTyj yoCNB7O2Wt1I1Gu/+108hmPcZ5J+7FiprpYSvT0g7TdF/hy3Mh6ofb4iYeKS2dpdtDSq8UyZX5Ip laAWskOA68u6Q8ZPAzdf5AeGogxGBecEhKNKDEt388Q7a4EGZjfn/wfQZD7M8RVXTdHsGk5tnYzh 7CzkO7RHw4wXOQuPzlakbsjH6a+NS26mRZ/a82bEcvHqCBwzJt2urmpwGjqjhG72ymPiprViPMrG l19UG/77OVMSplewj9ZtxwuTg96TnnqooV8+PiW3smsGyuMCky93OpJ+o9WX4+URiFpXC4RWiQuC KVKsOqad++HJ+9tbeXeQR938I+GgQmgdHCz8wuycovCP/dO+P60RcWZFwjacoruiC2+q+9GBrnoz 8Kw5S3yMNRm84mRhCiX6WdAsQFO33DpSMAP0DLRYqvKnz2hUxBJdNpeFbMg1lfbEg5V3dADtX6HX /5Ke5s+2u/n5idUYOD2PuaOmZGe5UJamrY6AYRHsJTB+mKKqM16O8otS2J0UwRTnx/HFyOspUFRv N5UvGdK58+hQKqFEWU3eoNUFnziF7LtrrOIS/ZsZwI0MDW936iLTkkbH8eotgCW0Dax6p14PlYLh GFM4Tg4YL8fqpObuzVBxHjvYQ76XM/fLrTNeSohgXXmDunmFpH1ZiqA0Z/rRN+aTSeXsAtIGdS51 3QE0YVRClGpmJyz5UsciNfK9GMO4un1zZYSHRSwYZL21doRJhvoylP+G4cqZkzlvF9p++EYksOgG xBXgo/Qe15eHEb/B0iQ5opceQSyCB+Y//QgSKUYhZSvTsmvj4XR7jmiNtvQE6TKiI2sUuY5oUoob brVLX7x7FvZmg3NMHmro0FhJvr+6OpcsKRw6udYgQG9vGUIrVndJXObOQ4dMepQ0SPJnaYqEywlh Q+8wsjahoqkdDYIdYQ65aVK0WxsRpW6b1Euxttpbz+Q/AiMt51eZJadqPv7wP6txqr1xw8UGrZZo o0jriJTsCmDieogDGAzxdDFzaH0c/3SzJYxLhecIgOo2ZDKBbJcejPcjkkRgd8kqdVd78Mz6lXVb e346tT6Iqz091vtl+La5k5I5acH3VXYbzkmXPTySx5ywMWAmtYrp9aY8IoQ9QVXReQXwCQdTUW1w noEHfuH+rbYJtPsOtwFj71aKMTkWii7fXmJJLB3qAwKM6F8lamC+mmrdf0RgcFB2p2/Og+1cpw3d L2d4v0v4ql6QTOZu4wEmmJdsRpACk+qTngmTH9mXpsDkDfwlfxwIdyRsywdv2Fjm01t5A3J8yE6S lKAhAmnJl3EJ8CLvjZav4CTF1bchpmoLLFTYPuYHriEc2qP8etFxB2XkcShpgcx8kOlbtm+LaPr9 aKtTSJzEPdhZncxZMVBLPCRTFpHCgz7G2aPjRieho8GutqDxhSQ3d31929i7qSG3ln2VD8MldiV7 QOLWLqVwkvK5U8aSfUuQRfBr7wLq0Iq26sKGwtsrTWzLVrI+QhaaUyOl072ITyjMvn3sNpwggAS+ hNuL1oV8w3tfLvGO+LqQNDDFY5cr6EeHO0SkXo0cww8jch99KZ6xCV7iBNuD3biFCJsEL3CUaOxA IIDkQY/n4dauxYF1v7H6y9Ef9Eeh3ooQlIJ7Mt63Uk4qpr8cojFmT7aeFUoVNyeU1uZLa493Vz9w uSYlwE3cuc1eY1QgI91nPSHEXlokXOj/p7FAhrqdV11TroetHBm1NLLIayDP42E/NYuhY2xm1hL6 sEgkaulaFpRxoCuFsZ9tWDAAUDqaePDeC0ox3V+2UeuFamjp6is3boydZ9wOIoilJyUMnO9f/6zN R9NN5ScHAmzkGBFKTtCPgyzRVBmiPgiAlEdDebVQHUUX7pOf+XcMYVNr9GlTd8Ray3I1IEwI3Rwo NT9m0W027aJ6fBt4jwgP1qowHmb9itVGosc9MTILYJ9NHvjqtDKDUHuDq4MolG8xCizIxzB9pMy9 sRDoxjRSvHDz2Ntkb446jokuGq7vsFirYYMFoN022pR2BEV08B3hKRQLVAaw5ft8vI6sxE0wEhw6 RtHMWtKeUG2hEcLnANf937xuKRF4/bJQ5XZ1YB/4B3ak9+adVziPqM7fxu5LVhIfDbNB5CokAsV6 /SNMvCO2DGgAjFFqRxbvL235Tzk22Hfsi95/eqkx8tWmqm+J1qXTEYuuJtEfrqyQ1JJvGf+487Eu D2kvvpNZizw+XIbcKo15nUrxDTBcCbBbEZBbwIgmTK533+6dOf9zcoXSxM1TUVOZ+i8tyD7E8SDe 6SEdKpddy8N4pc9c7ZfyOolFWZQm6w4ItOqRcUJfyb6cXxxmjQreXEIfg4ACKVkNci94MPQuW8mo u4cN5ipDaVsmX95bu5/NG3XOj/3PW/RhcMv7RNekBzXtB6tUUWFqEQIJqKtqT8Pq1Db932U8KuMC +wg/v59V8m+rL6Lkn/xgMBRStfw3l4XwFF6RM72D9h8RduB0sGEq5jJOTStcXTgBNqpvkjatNeYN ltcecfWIPAZ1zRyS4Ln4CaE5zlV3vBYWnKIx0c5WRmwAoU0ef/0i4ue0GVvRwZ7OHvERYL0nhik8 wIwSVuhbPPcq/tRQ+lGHwQjqZMCek+MFjp8syU8nvpU873RetPpVqJtcWakFj04CcH5yqGScR+ds fMaMU8mz+rQjfo807ZTTIvdxOEJSKaXpDcvOJJwPkuKQpTYos8Fl1aGxE82nB69UNWrm8pr0pzga Ob+f7TXfATzQHsSg6ZxG4oObg4iaMw6z704bNnr8B5gT60cy9KE2nQBxUTDgImuMRtenQxFwvHIC HwznSYtIRxqRoWLvD6F3Iw0boqwDreU0aVxf8j5VODqBWRMn85hnPV0g3BkkJyx129ofDj839A1x uWhP8z5phxypPnWsdNZJTF3q0TTkbCVzIvv4kD2PAmZMvv73fqXF2/jKHnS7JFQCLa3+IAi2IpG3 C600QuID0qmOfhEuij+nHS35P1OUkTWsiq6S30+kTXzkDGs+hYeS5w+KymFUGtzu1FQ5QNkYg/6X lN5AuSusy/u88ac+2LriEardWKS4NfCkHGymXnQCEw9/X/ZpUfHaEuL2keEbHiElPMlEWDK9iDYl WpUv/bRoxsy1B6AnE8LgEwT+N2zk99ESO4bWUu+cRYXlbH0gArx4XfWdKgb9uLUrjelge9Xwxz3O slPQoLI0SB4KBVCoYQwDsj63QCTywMtsldwzu/xOjP+rT7CaRsFsdUrI4zae0jysCd1aOuFB8txM l9FJFUltm6OMKLXn4LPwYa8N8K97rSeti0Q4wg21RRlTWBqSlHHH4coqauQn/2jrZZ23EoWI4EeS y178nwax5plWqVGz9eDTbRegdmzhfJ36ITsvOvYIYhNgw4R8uJP44wM8fYTsl6HwyzHGHjWgEsYy TJGZlKMUtsaFTyBUXlpRYyVzMopoSoKQ4tQh99KdzwyrvakU1pHu9A6orUneUWaYQVf867rVEUPZ M6/yfuMnlI2DeWF1EnNZxiaW5pxl/EEBixUbWH1xQHAmRx1FfgnygPyFa0sCY5TU82K4d556vThx z01k0ycN8idP0dO+iGHDOYRkLedLcepca9+dctWW0S1N6mlNz2PF5Cd+X8nbtbi1f/jgN8XZc/zL p5DqrNdoN8hnV9JVvfhSSLcM7BSY2twtHcjo9XTccFZsLIQ2B6oDNim6btAW5d9il/s3nhdB094q BsVT1SRmunA8lc15Rlu4fw+mvLWYSdqZ8fn4j+FM9ASFk8j95EmkiKy89EgVbDPGgsNxt218x6WE Eyzf6j1GyH4h8oSweljNkzOyZMP2jNdLDb7GfO5QvPKSzYBtUuBkTUfAJxSHlRmXvyIUv5ejnhOW svgUx9ZSxd8t3LDN+aHXB7O6IwoiAUXnASzpiopY6/E20r1vbI7jkEq0eCWhs0aBDORtlV0scf+t kzzJI0suYK7xaRJDycGRcDzvuMRanGpcBlYMMT2U9tlWThfugsi8LghMXhdt58DbNNLG9JD+utkd TWM250x6WW8pxmaNP/RVNzSyp4IcaTXLETsDZ58AIXXiVU8uOr3nV48HdMaNSJ7dIV+Qf/JZZiBL c1pYCfZD7xiEW7A8jwMQtu4K6n74IzfgXWUlVfAp5qUXeaX6Z+E1JfWHVAbpYebn1thsq2DuwYzL GWAZUxkUoWdPUTFCCMN+GJmbjtuOqxeg4D8BLTZfGdO6p4NxivKExR4pKOpe5mYEUZivjT2L9F86 x3fY2W6n7xFtOSldLhjSrdwdqeEcJE3s8mNcGocwR37zA2YDtlexIPAnlfXjzFW4QuiymnAxEtRC owLq3NT8VCm314AnKHTxlfqP60WI0iXWQwPW/Et53i8sY1amT+pA+CGn6pOPFphapofvIGjcLe0n XSVxVvNUvkVsdQ1snLZP48VGwSI3Dl6rFfXFLeI+dSHQLtZIdesLasgqsMyct5FNJ8Lw6HzN3+VT W1p7sZqWaBctQ9LjddABjihqQcE0SFH78x1XsJjDoalPNk/LtRrFxnwERQdvH3pzB2EbYQyEf3uU uSyZYZEO9K6dzpVyQymgkP781P3RpK2eIGP062qk/z6R4fmqzW3wsHi73M2d/XD4yWL+qZvjZ5C5 ty511nENDnoY/WkBsknyCkJHsy0GMxlFT5G1490xMdGgftYqvyLxOl61pat8u2l6YzA1//z/EosE UlPjRUwlGxyFx2tbvZihH3IKB3CMsmFEGmPccc+SvDrM/tvBg5SRq513bTDiec7jt7CJzlpa3zEC V/HESK2Ael5LIUtqCqXzO9a3K1vJn6gz9IZQc5r08s7vGHicm6r1dRLqXo4b+KHEhuIt3FJ1o0YF a5z4d7wWtomPQPnc2F5sxCzy8ycSRO/CNfhOXa0kEcW/Yrglwp/w8ra8YX441uTTxO8VfSvBoG28 kzEZNfLZqHx9OXlHyQQn8ortOPX5FauJ2mm2fbVfHT1b76IAN3GVyjKOAZVn4ttowgSHBNmRKe0u 3cwUiaR4LPVangn/5dg+9CMsoTalyv8ZNKHzH/G2zbedjcganf3dcHN4qb6WqUcIMoHPif8siO7+ jMlIQEYy4BTf6nt9FhOAaiNTVd1H5B3BvkoybvdF4T8cMh09wPfEomp2A9e3VP6daKG/bTmp4zGd wJQXyn+9bB00IrZhsorsEpNa9Xy/qlkPNILBppicKYjMbOK6Cc4keFFboVMdnunig56/X2d+vrAI 54U1OCN4ZoqXrS1pKfTr3myObfwVcm6kQYTGb5X23Pa8QWKD5p0PMddeAiUGDGgtWIGw5iTUniJE KVfuKUxTQ/R2bujLFQKS4Uf84bAMEvoenpvi9kDZJJYeOxsrGjAZ1mu5JTs9K4zaFm25w0EdOUgY ewex+ZyV+op4//p9Gqeb7IIeWozz50A+/bIDpVH8n+bHcyNsRxhb6j48WosCls0QsnAbrtJHwrOw vmcJQKNVsMTPzDutT/SYsplPDiZtCvc83HiqlY+ZarjTzmHmRuDCM6tPFaevCQavaWpZOU60d6A0 Kx7lNRgL/lIm/gRa2psEyA/bX7W6hrkPOFrAeTGeD3KGNVo0/HRV5f3Ej31ZlQd/cCdpA7uqsG5/ 7ET0Km1TSy7F3sz1VnNh/5nKD7hKbWhy5sbLdLvXBAYYSpMJEBrCfcd8I2drV6ULMuiXkH4U6+dn VmmCg3mMDCQkgoYtThBercL/0oIHxDZH8Icj6cJP+Jw8SG5qgupOFdQiHVkJ0Et0eG4CMhE6v2A9 sjEzUHhQnQ6Hf19i3L3vmgnw+J/veFiXNDnDD588RKbH5Mh0zXUufa8rxHQ67i7gESwHnXBY43TA xP1FH2LWNLZkdYOD5ytZuXTJzma3tfLFHdFc3ZVTsi7RIx2XxxtS8lvfuBTLFWZXKtVVsYfcF7lM JgozlDGJzT4jhRNSFy0O1E9dEkeezpBs+Jy99cz3HrZ6BrwIEQF9XM3H0Xasc83hZkAwtMA97L4K ye5v6gvD0m418lAuEDDHq2wV8VPk5RNsn8UBQOwy4iAyl0oouKv0hlj3wy8SXTX/apqKmI/ppBRr FkAzpc5sy71DB0LcGOtZUVcSt947lKwNuMUKOJ6GPNTkVHCYmYs4sx5vh+Lf54vtl+Gr5tbNyFWv ri0Fl2XOFEKZITo8rViH7GXT2X6eFjWEqfQh1MDKSO2VgJgrhL+462Nxh0op3npz8Jjy2YmLfY0E mCkF+xCkeryrd9MOjM8WFtdtGqFcn1Q029DbHB9veHPovZT8XDchwfjD7vgwy8JSWCk2l14f8LIN FUxG1sGn85imJf9dU3b7XIclbCxXMQp35NS/JTL3jKrpYod45LYr1TuIkdG0fKIhyMWtoMkdtUjO h8sfSw7bwICBBuyzPg2SV5/Ablue/f0kQVz1LBpJXXkKNeACzrlbU+4NQrYDFGRyLZWxeYXEK35W laaJZD+39ZXCYrI0x5Fk8nRF7qvhysYRiYMRSIZFau4/bryUP6idu2zoyD02N+I3eJEq0vJoZfGG dDl8iD5LJ1knY0YM4zta5BF+L9Q5XADRkh3cB365HOVnqeO8DA7KcycxOXVlE3RPMulrwQ6Ractl 5wdkE5zehottt2ljvhb9XlX2egas6jTSTQa/HvmC5Qy6mlVl60ITiDcvEHhf/G6SNeP1+iDqFF9o vR6yMwyjqJx1N/amvhhxjFfPE/TBjJfCkP6EsoFnREfht8ODhHj1RCVVUVtJOSHt1qJCLzw/VIwq D3XBKYD7KKkkRGHBSS2QCcAh/lff02JQRdlBGGg1VjlcAZdqPEGyvLwHOfje0hZRF0LQulGrwlSs piVU7+LWhdpIsOCpdJ/mHUBY//ZO0ydeYmY+X6+SUNKAJDFghqeHspf28r/LMfnrG08wmLsJm8+w hgo7CyjWuwqqu4O364X5On5QHFqx/xZX+bAJ/Dydk56ynt82ew0wSKy17TikRUFk+v3S+VV5DtZe vWNfiAZFEELVSmIuJHlFIPMDEmVGEIWikydrPcL9tmlOtIWkCto7qnndpWJJKJhB4GCtYaxIx+md DB8yUY8E5HIVlGT0BWOhk9hG6ryvcX2YpPsqNeKqdXWUJ9Z8q5vosbpW6SMRuvsea5PfN0oYvcKJ nb8i1rZ9XkWpOsC2aMG8sNKv9VctM8T4tB0mTdwomqNDpJ/Jck+pZW09sX7ogF8miksXmKZXmJ+x hgGLhM2kM4xrHDDdXrPeXMq/L44LNd7iR1Sqw+eLb9OjsKrcO+6g/iIlbPiA5fxxO9uNdI2KxsJP ODg1TASeMdVIMVXPnfJsqN9ebDyFh5qzH8Gu3HYiNc+j6SeolUGtDNNzI0CX0nF7fYJxcfmA3taJ BuQjXwc/j1t1SH0ydSE4C5UXW6mGf8mGyqAfR5cp1s3YTl54FO3rvfI+7og0LiOCuplLmYyYZjs9 1rfrDr71SYOrj+OshV7G5unRKGaq3JfTa1H33HrDVp91dwXDBwVCr4YGhuCLVXfv7e8rkpGKmrx/ 28VaSoQTa+57tDDsiRpv84pSIGAxEqldwVCKbDzrYrvUs+qrXhLdfyF2g7DV3zPYjoN3kNkSoSWQ XpHlmYQ5OhU7bfmNVhL+AhOXLbo9D6BvHp/uGknXrtv3TeuwHCp2a8uAr7ZtIYhC8YYyI+ktUTCj lAyJQEGtX2T+DYwR+MECykvfsVuNGPbHx5bDBDHgbsKWpih0msm3H7gq0NWbs/R/7p2zXkjP3VrT jW+8Gsbrk/0XYC0rRXdRhENh/ffjrG6/6RxU694jY05X45QsV7u9ggL9N3w6cWP1CP4RdmTSuKN/ rWt1sMZzxPnIFeMxm6mnx6FVA6w3/0kqwcHZOLgzzLGR6pmRaJ2vcg7kEzhKUgTWA4fQtX7041eU fmzw6c/gSWSgWQRrEzd8f6o8zpqv2kVcr/1UoxbdJQGMd6EXTAYU2nSadBorE/DoBiwxKUSjTP4u ER0MZwLNd3NO31BtxMqhxkSunb6I3gMgfXhfYJ3kyRPiODXX6e4FeKuAZYioXMZhZEXplP50vCn2 orS4QqjYmORihQfPQ/Dz6sQN3bw7gDsQ1TjeG0p81zT4s+hZL+fE0vKA/o6bcQTt+/LY78cx7PE5 0XdBMW77fpXdZIEgC2sMXmcWHz6gw3qiGzfgUJxQjc714jMeL93hoN4AhgJp3UyVfn3JXRKS9rKT mFfyc2izVAZwhkK/v6PSc2wCeKm+syrqrzkErUhOFNLKR9t6ChGKPnH9VKLSlF2LNfj5Bj2QML7c h3Razu1oyyYfVHbdY/eauq1OQAr0fP0OVWLNgCq+kioTX4+lvNa/JtFnR5XzXHK5aWA8jb/xKcnW 8ke/NCtX6rqiNdNA7MQEeuQpJGnImNhuA4eJ3B5QjCY9xzoxc9e6A1bKTA1Rqmlgzwci8WSwrg6a mEiupAjWa0qwimhScaz3dw9pfXUZbGPCkyHV7IK4FOYiZFEyhlkiJUod2rUNEDlfqp832S5wCkFj A5TdNcw4+rnrB50lBERydsxgmu1xD0SRPfuKoZ6atYdU2ee9Lh7S3pMb33vBOK/W85tAmxiwLSZ/ V7TPtG1kuowH43jZQp0g4GluPNSV4adGlIoC1oGb7UHVMLl0afI7ShLsXj93ob9vF3iWCvQOqPxa DrTucP9Bfs1H3cD8WKVe5f0JHKjEy33p8/l0mw8FtRifMnvHOB/6BXf+bCFsGjuWAvjSmFD9ud3t 6uydUTsGQg96c/0QJqfPg0k5j4zpdS4vlyCfcA6ARZUp/Y1I6/mHTSJ4JmpUb7XrGHf/EWvuq10F N5BeDZNA1tpuh1LKKlTL5oiK/BQDMnpMYiy2eRmMwYEgOx9DxVn6JWi0HTMYDbZQiLFv9ZR5/pDZ 7KVHX65Dc04E+v4FlbMHFpjmiKTkXiQjQJmpImxcUBn26JyLABKAN8eLH6gut+uvkUcTSD4p2FFw Em8d1FW2iK4kL9sQ5WHrwBOOJOSlAvuQBRxRqVNKTGMmh5Fol3vUZnx1AeD5xqU7+pT0LhUPIUXI 6CRSbsx4y+P8k/lYt1F05eUbcii+i/GyuT+puW8BFit+zu9p3TtRwTl4SHt8XddrJzHcyJ7pvVYw vk29+93XGyQXtBbpHPDiQYSbBPSxK8+cNPu+J9bPCtf2Pq7dxEjDrbMAWyyAI9CFuEGq0bQ/naLy afkBFjvWXKe0h7ECvcjlUb5/NsNHYTR5j3H6j5kElmsBpHAXRtvKqCGmmfdp7F+UUkbhCNxDIl2T xk49aQbsmsB6cr2WBR6HJn4hRBLxZU2IK7iStP11mt5YyJnhXf7z1tRGJo2NmeTXoZvXxnD79QpD sBUmyq9uvX7MIqCI4+O0vXapNcSF243fkhDQ0lUus50AVTanyylvB3sBRSkhPEWzK4RjUSb1pQDw l/nQ99qoIL6Uf1Hyyx5hd8/ioN4nZ6WIAF7XjfP9TaqiYPBQRyVioXjXaN+RbNFseyc9DqE/4+1O u6kRssJVrBxBrsschI4FlcjKcXREkX/ib0Zfn3YtfxUXOx8qawJ8CGbQ9UCiLm1DLSHLEHuxxc6W yOGKIXhlKGSuKPrQmDtXIBA2bPZhL/uwPOeom0DH2ypkvGCwuCT/N7sxfb1BnTDYit21WtxQlhY+ 71Rlc+LNJD3se59peLNj/du3kysLeiDUi0tLg+lTKg8DetHeE0Z5DcCZJUgp4LohtEqVQGS1vH9Y jo13MpkpnXbfphrNgwifWVvijnyuZZbnfH7WzF6O5MDDxEhQJhm+cQkGPfnoRALwDVbiyeL9P7A/ 5ASYk/aFu3/j5QZJfE+KNI3wXKCv98uS5mRed5NIl6x9ePou6EsMAkKrdYziH830eGSA8uGci0rF rsmZAf2EATmm4OWrYaIhAzgYHIFHHv0TWsAX/2KSRlTzg8+vxo7PRcW3P3vAFjtxjzOXwgn0t1Kd SqpdyzWmBkiJ01PhSuDSt692cjKMnO5uV3ONfbVT+mKsQ08csRG52Q8QlfzZYSBoFaxTwJ3TX78j aY17Wtm212E+gaVmsqh3gkeYaeYpir+ovIT0ppFaXTxz7rOxO/YGjHW9pKCvdJCOsfWS+/wQ9Ygy AkaVhVVa/rGmlSqSewO4M2e68VJaPZoxj+JSz/c5KGm9vxIT3UpzJxLCSeRiYIbdD6oBeDBGRiGk Xq+sL3HQo/IIlVvAH0tMobXoNthoymDVjxwwiEz0FYWsSnTRfARD+UHu5pckVoLt2niWP6A+jLuk pra8XHwEWS9imbtPrcG18JU1qPbzsUFI8jWqe7FbviQ892U8XtL8bR2kI1as/cK5Pxfs5dQo148V rd7/Q0bd9Ai5EQZPTyksLhnTNCBHIPwAj1qneQxHOUD4+rVk7G6umkEsvZdQt4gwkN9dVWeY/PGt 1nyjmHrD9GYF4GY5cKeWUbCwPrrUt7ecsDXzHEs7xa9ItczLtWC89bdyPeg28EOj6FWbC3xlyMBY 33aE27/xPjCIyMEKo0k8m1ZCXLmTR8eOvun19EuHep+dJxBuvtIZf7LZixfpX7zwe5QmUSmZ0o8L rifSm6m7lJFDTmycm65MMRY4h5zsqBoE0NICMjxinAK89VoXvAIJ+pZRLjBGyb71Xr6HQa/rV/0V A0yaQhNk+01K3YfsvbeF1l53sA3HUtXcPpbgoRfAPZE+yNClanwqdnxFfHlPImL8sNNfmZGnUlJk MFb4ihARog2ej3J8erC1kQY1xp7OKslNHyOYRWojmBEDnSCcMo9N/SGMUbF7o7ku+R3WR787ILJM AHwf2NTLIZ+c4uRfrwL/1xkvCDH6CWBfbicKBD8xj7faVFKsEpc2NBL0Nff6gBnnyqHAYbB/k21z TghHz1d9rpdOd3qAZQd4uXcBcT7VpPPMqxUCOYNS+v8p0OZI9tR5QpbyLYxNShvYq54S0hIFjBl7 TsjBiUIXxabLFSXGzLp3B9jLOb2TWRCAdYOstAptGRST6oGybf7ORw7zWAvmfNRuZpbnZVTwiZhp abAzVQwO/SJ9x0HgneIDyI4RGYUwM88bZthY6eF3ppvRfMfMTD0hjbHpOyKM/e/n1x/OzPVr/7mf qWbwCvqZ2E34wfAN9y+yfejnZicIO5SH1Aws8niYzK1lCUr3Ik4XT1os0lAjQ0PhGT1/BHwyq3Uq Rcjo82l5goKtEB3Jpeiysmmf2JdsTa+fngXrdLTNuAul42982sfBxG5EcCG2WftxQ+CYdpJN6wUv 7CYEx7BDqFYTvbc/87Dr8noxYP4OzZW9u/d1zxvMKtenPhi75j9d+yOfSNgQqaMj33HoFKt6N4Y5 Ll8uS1XOEiB+TGMX/JqAjlQzdrQyMVnKt1m2kYKfYlAtW/ftAR9WCGLa+hMBe2i54hflR7AFUu9A CwU1LZEmhhvm+F0lHhfnKMQoAyDQUaVMZUDKzEAUExHQuzKh9RoWFi3DPyBpOgPGZceBVcJ5ZbXO H3D8xsLMbNB1Q/H3XRZmHDt5KAJ1Mn2EJx+7l6zs8Z+JenjdZX93igzfuTQzngxICK9tG/xnnIfx oc8A2pIv1VFb+lNXNXjPwMQ62aeBMOWKIIkuLjPzIRaleVw0fVa5Ig+RyknsNIgZP1XRBbWaoUPK xEFH65ZrQKxn7/wdoB+HbvVC6KEzG249gwDWmYwcQGwmkRj7sCGSGPAnf/Hmh2KA380TwBiSkk2U vu6Hlrzcn2zW1TuYiUEVTcMJCH7mYdBsHwv6sAV1ZE72Hm7q6yTBNXZkiBpJ72Ada4c4V7TcuxhY T4yQLj2rpR/ebOYHVDCa4+UsQ5/Uw+6wdOWWYZroucNC0wpq3pgTEAZCWbAd/4CRQ16tERDHQJZL Q1taMLoL9ZlLQxZz6W9nNVp9+I8ILWUFQK5GoTFRDjZVvdi0PbBrNS+0XQ7mENNksR2SucbqRvDh PzxYuTnVW/6YJAgHWcIz/V1YBZc+QU+xccbo5HijBKiKPmyBhH5FgrEC6N5kohgwOzQ0kwgSPAs6 qzQs0OBoKXRNnvUimN/RZ3bjBBmVHrC6jzD6uvuwB3gMFFdxjZbI2D5t1MzlPthiS47e3xZrhRV8 bGaM2bAsQ3/NiJUSoMDS0HACjPjKdHXRu8DU/I7rMslhSPziHtjFrPo/RVtvckxrj6EEoVZ+BvTn ErbjqzoDErHa5N46nOB3egvioT2EVIWrlQwph5tPPIGt9PaoRej09UHHR7I2KVxRJFxCzKaBHggD RYRE7QVO0aUPyzsWXNYpZZ8Y4sM0t9LWgt2HxKDn+5lNjfPxmjUytweCYKGmDSGG15lwIUhW6JzF O8slVLWX3ladwrCWNCeWYODE6ccGlGF5Oq74FSIuTzXVJO0ZrAYtbVfF0b9CGqlhnulw9yXnp/qW J3ElE2ZQOHF6LMK5VjKL/jmMp6gWMk6e+vS3zqE1iW/FnUbdQFnqPTAlW6dbyEbqadF7QHZAyOm1 beYR41HwyFbH0H3+dXeSeuosIOylB/ESd1AghQ44stTMi+umoPM8JSeRJfUi90Z8ysP+9sTu3fjE rRD6RDoFVUBcl8y7iDBHLINBx3jeZpMuNo7JzPw/KoyNIvtkfohmdFIVKNJJ3lbNZdp8QmDqZMN8 Lh2mUmWxEE6jl+6sLwhPF6vXLPm0VghzFG5XGj3C7Z2mWf+Vlbvy4QmuY+aFnO60JU1WqAnQ22cr gmGYjDl5RbnkW114vVWIWx/TgodJDI7+QO0StTqyKfJAlvai3GldIv3rU8ziIMXnIfZ8M2tXGlke 9xb460zeGUu41TrQKcE2MUGhIE8+p2Gh1roKmFGD0LRf0y8t/2vGsVUL2GFWCaPRiKku07K/SX+R gW1jql1A0N3PnVBNseUIfOMM1fp5qgidMXfwarEAHkUYZ15r2YfR/ey7UP1l174voo0oOlXUXttH diEuFutp65lzbIuOC+WSd4a4zkqQN3x6KHSYjPdo/dKXyhZKhm7BdqiqpB8BoRp2Bz3I/MwhMxe4 xvHYaym9VbDPCX/274kgjXrpE0k1z3qYh7qcEIvJV4+PetqtJiTVSGYNJbHWtvBIlCUigeWcDTUs 3xBi38g8cfD3va/g18rhKxfvgEWbHNHxPk8ld33SLSnz8nd5+SnrWa2H29EcDyZYtVB8VX7sYZ1p iFvwT+WOf9NUzenI/luGZyJzEyneb+aYbQKdIeHhR0HBDMos3y2RC4IBwj2n0RBFh4EfunX1YJa5 WA7AtcJok8Je2m/vRk/HAGPIdcoQhdV1Knvo1+JbJCWh2mLlCiPMKjsazUir5P3IMyqSK+Mt5sgD p+q2P4xBibOGLLeFA4oHWdE+cJOov5lkoRs9xN36+QDiJ16lfOqCcU3R9/5nUIJGLLlwwWdlxeLY sOyY/KVrLV+dhSQp4CeHeLwqccknY1hNmUKOo2jXHpCHT+/ABGXknuXeHAKHPWliA0ZRp1huP3nk H6j8zGiDOe5ATL1YoMwbeGuaJd/vDeIUYImo3uQc8cnETtcW4CAhnQeRhyoV5nJd2C4I/64eqXTc IfMXbrOVjfngozWGSmanXmr18RPskARcNTA21hWlbJeytDdhe643uWV+jTxoRH+sUjW7oB0TL9vf oejaRsgSisjfqe0ZrKsmOrM6ZZKurF1s79l8iLKC0KbUadPaNcituh+2jdqy86MtEo/9wrIhPfBq zRcqhQmhitEx8AxGNCejpXSo4iHN86wl02VoX486iNmvy9A3WbasYkAKzucCX8yaeO6qZX8WugUv KubcmJedfUUeADV0ocHk+MZu+VEqHt8ZisjAPdi1i7ljaKMAW7GyDySCT9+kwATwZOTDjZYAnuY3 2GEZDblrMsFdJb6WuYX0ndcjk+w3UZAcO329zGJU0w83ksoxds0NVobvILPxSS02OfE7A3mIHkit S1EyJRDUDGto7cENQqnl/scIJwGZ0mqMC02jujuVEx3z7O7XhlucLMtWaZ220kBHmev31dA3/xuq S984NU3o1x2A3RUL/OuNBvFUy39ix6QetkER7v9pxWZigWAF6UswnNSW9ZxQRmaeAUcX4HyF7nQt 96LRYFYb3jWZxxHzHNyVIVcZ6EqxzU+XBjwN60zXzj94SIcVjklMZXDKYV5aNyOGzFr4z35CP3Ft hsro2m0cTU116ovBfUSb5tVn7xMrjYRkZds9oPex4SGq3jdQJkT9SS4/I8X1DTFR1XQZ+9AASIwA px3WGnHOXl80cp8rtzzPSxcYIOsLMbIRSxTYj7DBVROf+7ZAwPz3rhfuk2BN9xcsfXkhHOBSDWl9 I5EhBQVecciHZQcNIfkvjPgxKvBql4rJWmioDVd0dAaLvi7gmlUEOwB6VupAdlvIWZy6UVeV2VrT qDGI+AlCSuS9bJOr5zsZyInfvhzegcsfAYOJpzjoUn+K/YaWvYNXBgtry9zmLJZhiLs0SR5xQKaN c6JA7p5UiS5UFHLSqw8pH0CdBWyWmSDV+Rcp8mJxJ46oNYDDMY8uNxD7e2CyDIODaJ+Br+N2GXE/ K9plEB3WZ69jsEsNuHlN/KOMZdCY/6dlV/wVctv6c4JgzYWDsC/Q6rV2lCIE+iO/PwEbHwI07Fod zZe4rv8p4piAjo+Q5NLzB5phcwXF8eHk8f66Q9K3kyt14wGSWNfk6mMIPJJQsqnaPptpil0MJK38 zSNf4MdXNIq50mU4h5v6dU33ZskCEIWzjrRFZBPxkOjE0LLt4iBEx3GTRS2H+Qf4IKgLzafM9zY8 6DyVJokKLgXhQYtEohuA1EpdI2IoV854wUvAHgAa9tDzQvZTBwkYx/npyvL4j2vwlWxu2m3QpnBH H5iYil+l/89sqNbrdDGhShqr3aZVcoPsBmqLIHAwDory/H/SIQ38uxtWKYyVZNAFN9PBcea9gRNc vB06ugJkBM2fYRIIU9d3xE7bKCbNRF7/qIkLm4KThgH1obkEbnN7LuKct0qIgIcCtoTHuVoclu3e mvKWZPHt1SpQ7kenrEBoJXcdzBbc1FoUh1CuOv86XMeS4y5NbvkA8tBkA8SY11kL3GUKXKs6DYte p+GdFLvg8S6tS2QZzApMuWvXZxLF/PotfAVj4WH9nuSHnkgMZupXK8EI8KWhynjjqcXejzProdtp PyjePy14NKeayXAmGKCSC3ltNSsM/NIFWd6SoHaLMmpsuzm9oNp0Yvo/Jp1lAsjH9JlslEhv5AWw GhdtL6ebBuFDD2CYt33stVRNGJdeIZb0KW7287j4zDXlrYRzk+1NehSOduNhdNNWXzGrSL6FpDYA zLwEi7f7y4z33uPvwPJlQ6RsQZ7erEN+4pO+oOtD8LY7C7bpfXd93/WSXl/7Or7bcCkAmhUNDVWS F/yxPqaNo5YJ9rWh2crKOCJwpkFXBPrLj3S+MPoDBF1xt0GRQ79EAo4eNlfuGfH9nYrn6WuzCW7d KY2lHR5PnjZzpmjZm3fSkzxh3OvtxDjgTNt+8kLfheb+2tauUbhNtX28RJTlG6QkSXMI6wbuCd3C KMCEajvEubjT/liLqnrZQM/hFR8Aio5OuzbaGi4EFRY9UUiAshrNFy66ng0aDW1j92Nbqg5aMBUk P3R6r188dbH1HbVSCN51ZSqDWFNh72VK4cFJG+LNfQ9vzoc0ge/rgjy5IReDG0vPQaQhnPdgrAJ9 RdM+avSg/sxw22EcFvp0b2smhJgKBhqT5d++KWqOpFUvrevmur3kG9B8hKp/83YgoVfbwY8+Imtg fDpOIsNOAAG5IqEqEg+HwW8tsPePNHQ8CPH/Q5Nxccq4awG/6w6KFXaZ3MCRXD1ioLdkD2C2TAHX bHuqmXYIgskdQY4B25wqHUv+pP5vzmX5oRC7QQgWjOsWdKUFANdmsRTEtnLxEkPe38JqUiG7cuGt 4ciaDlCFxiJt3xJX+vDaJTfk2YdDiEh4MMfsWR4u8AzlnJk/2LBuITEdcWASBTE9kro+80Tk2yd0 pkhXtzmaALZEHNfFAAWSw/TDYm7OIUcJNaLjjZ02/LzSILFdWVbWu/3jJT4gIzFspHBbgmGRbSH/ pdXj1aWPpsp2lAgfzaGc+oC+/SreSBSD5OrSJi8z4xdYRL3gDFU9yyLt9bTxkZz8FfBlCEVl99pp y4Vn81PptptiU9oqVBPPOwOeCGyy74kK6DxV1+N+0aBoyKfu//5EnLIHPsTIHc+DSz7WmocusNO6 7qd7A6ZpZgRL4rXNr9FqbZbmd47m5yeav+sZOBvMlyoEDTtAS2ggfpjlKQKWDcTBjvgtPVPSvbKG ziAIn1HVgUrh4bMcZI2/H5dcnpWjcmHcoKMVtafUlhhHZhSkyqSd4Xf+qKLVfT1T/lLCd/Vk+Xyz 3DXWF6g8OMDTEvMzRqdhwkQVbJTsE2hlGoIqNsmDAzagn2G76SnvHUfP8openbhSNaaL7IbJJGaq 9+wH9lkHzZARo8BEKcGSdbnJdU4BXHLoC7dIIX1ucgx4vkgXEnWX+8JbPKeNnGYBnkZoZxZ/ZTHv 5iAYSaEbyJsC573yVshRqAG3cvAn+RASIoC6B6s92pZj7SRbi+u9YlAWlgCyOjHmhOHSEZWNXzq2 RPmxIhq13tDok0RQoK+wxFKBJZOhkzAacNUEdywCZG0LSrNNRzkKhy0asHY1rQCYgkILhfOlDFSC UQtAmOo+2OidGqLFbFtxPTN4FrSYrUwcqkW4yxyPvuh7KzGMww30ux2joCUWJ1TCr28Ua8RgO1HM jS0i6hi7v22UOliWH2KGiwASTuloBdFvDj05BrT3nfrr0+xy1AYiBj8BgXAk6yaYubp62zNc9Wiv I1lcoLkI4Xlco4Hl8iC0DoDB6qwsItUIsYgs4X+0hOYm9T0nrXEd9JxEiU0B9jrLZNcJQh5CxlnU i2BV3tHtZhuB4vtjEjcnbRfeRiFypzbQy0lsq6uVCIVORA5DmrSefJ+VcDE/BWREnkidht7KznaL ZLO5caOVa6qxHAVVfD2p0QhDqd5i6RUMUfnG/v/mCzweV/jTyoJxS0gK812J1wBvEiVIF3VNvulw 74eTY9FFuuw42RbnPAWd25kjNbzdAvFVz44IuHPXXJ5exCFKdA67VgMFnhpURCA4+pbUAuDX6Aap AFAqI++Di7h+Rf4KkwV3YlpXxly0Sp1s8cNoCNCQYjRirVBaAszr5fnfPW3tx5KuiRBFpJDmMaG/ jJwR+QgI8I8T+wVCDctGH4dEexzg/SGHzl0lIxcJcfHXd6COWHcluql4hW7111Q3loLArqYTT6W6 LWHRmu0CoNBUzG/ak1qahu1JZ3RRTXSx7t5/AwgDsWgge3pNLIg2BzjhDTVqKhju/+Id/qhokWbj BV6eqhdwquD4HSYjRTdrNHA0RL2pjgUPz57xcB5WreIrqP3qiiEijXI/Q5F74+hhlGO9PBIflCUW +17x5EO45jOiDkOz+C6ly44qkKQqWH3NNwvgikhiPbzYGXPE2b4S9/ObGDW4moKlUWyxqc7mXTEM rCYmnYoyBSjc0dWppqzS2axKNimD6oJJ1uW7HYpFmTbmfTjINYDevlBHlc9o1k+WIWGmK6cSKFVY jZBu0p+S+wpz1Jvqt1CzfwBNTIM2NMiHMXjYxJStjxhnb846WmIhWnwbxybb5DgQt/wq1EH700GJ mVNnhCKYINT3GTu9iBpZ003Wf1q8EcvF43whWuJO/hG+vB7xtfm6NaptOiKSLM7sVrdBqU27T0L5 MYHXOgDTNiLkAwKMuOKKKKD8RNhneBIcOMY2x7/QmT3/1QSiaZmijN95NAlejAFtssjH5h44zcNL jVlNe69uy8SB/FrN3LLvmYuwKtH97Nl3PoaKWnBWJkmZThsrjBI6StQkNOWlnl9SbpEZnE1uoZdr zW0fnpkCsXUuNlq7dTW5uo80XTyXMd96PleRIAr/ew1tTfvV7HeThxSq1zo8WkaP228HbSdkOnzz 9qx6x6yF2lGvtQbnnlhAF2bwzvZ19fbYx/NpaqsN5Ayps15i/3rxgwhASbFfOdDsbqdsh5Ktyn+G 2ED1LA9ZcPeJRrguDTNERDZQ2Mm2RraUxVyc/0XcI5q/l8C4rUhpjSpnk/G2AuztMaQbKN2t+azX nMgpTCW+MC4+AZKU3AiJMHNQTqcuj8rWBnsh82jfZGUYF1OwFosxtdbsTvd+IWzc5kxpjwPfYHXo RE2r/wKbusnHp8Wd2Xc6Air9qgh7eDnV2J7fTB8G170jz7q6hiSeQKRuTAMCWVu+9NZ3v4bklkKU vsDjnt/DBF64tZO1W1TEXBHXh5duooO6QMSDw2KADTL4i7KlBz0FabcB7x+lxmAUVO21qaRrRjgV 7go525csCvaJo3KVI42nioeJ4ybp/MfGo2nLnJlFu9IzRsSxUKfJAQkjgPTSLIe684Mae2XAmuXE HrKQYnH6NycX5TbMSn6AH082AnmPpwTKkIDUHDSzNQAREu3RpdiwE276I+HBFrDhR/bv2cxec5Ke 6fAvQMlXCaouo1kHVj6cBkhy6j8Zcktlsp47xOXOHwgAvfp6w+tvTBvXJynLII6gNk0jgKCGHYrD dZ+OltSDLFln0253ULCu24nr8a3y3vsUP6ltVkdlDa9QCRv2U1Kxa2vZlRjFOHOd2u6CLOezdJNR lZ26hnYZUd3IdI5ccTCc1osXtuqbRQG0okmksmSle2AdmFxaWEJC/8O4lyymE9LvEA8pEF/Yz021 V1DfLHxR59+Kvku1eLqwWm2+6R0CBGnJ/J1XM7T/0r4NzCxTLjOWBtbf7tHsZe9JwudZuY1GYzal bmdmaoJBBYGPwsxqpNC4qmnQ4zsjEc7lLayTZdBlAF4gPpH0yk6R0yXV2OfPZhhHv/gEj1Clm8QO QkWMS6ZxL8nsVJGi3LY9Dn6AjebtCfEyPE5kw2s0pCS/bc7ZBdicliDjnqCikojwTP/oENBPewDV XKQ+noX3POecZ90+utWQJHKzIgpy+dhhqzu1P33gXiJj9CWoqbtpD3PU5nIvYhYKcceVtYa7zuX0 4P/ba0qE+Fn++tSBpgZGqlDgMEKT9TUhhf69VmmiMczO5KdXEq47UOrJS15vw6riFGyhG3Qy9/Az ZoM6PP7+XSlsX+i7jc+Rg7xr0IQzwKe3IQj3AMD4Z02UBq7mG7HNXmwpy4BL0i61NrO9YzWk9tyZ T+3RPmxKuc/cANm5N8+QCxrGeX9B48DaEQWJhQa5aC7tTthUQOFRTns+glLimfxCxDDfWSpuaDnT GDY9YNai9xK4h4rRtQkX4S5MRam4EzKnhbHpoUgmhSVnuBmw5O2fBVw0psX4JruK5+PEEahmIsbl LtyYcff2oF4odpSK53nuPQAMOfF7bVmeKBZb8vSqChJEcRLllGOYhhTZQrIixQ+RSYdFAX0FQFgz hN//OMuW4n7wxT0XhYLt341AxBn9a2C5qrTguppKuWXj6DRQFO23p5+GUOEbfGRbWphQid1vXDqL DoQg9JNh7ucKfY5dRkTH0GC9fgnyLwRwgjM69XLINzasMtp+DUMWqEOOmLY3hjsF2eyQixyLf5hU 2uPf0hIH2PotEGNoZW+gT/LfX13hiF/1mcrmB+TLyymMHeQV0FKxe7saT9aV/CS3XQmIW37957uN jAL67B9NkSK9AaKQyQJK9j2XZqOMCsY2yoDVhk0TycmbKn0tssZS8+SHPIGL7wCmPtr/JUtPzN2y 0V+uEYxoBgdUkJlVUwWiCsY1d/IBnRKHA7qU6WdFy1/kr5YLp6JHNkZZ2vLISmyMyoSafMDduhbE 5Jo2/Gz2yFBtl1RJAQVgBFGZYWya3+qc7VwSmNmiA8Coija/9Y8PEn6PPqdsrE0ws5H9B3P9a0Vj 5WPpUJT54U/a+86K09cAiHrw3nVQKkWB0u8KHSaohKmxvlJrxQMQ6EwzvTJdek5e6M4KWvljGtDs ZfXM9lJ7I94DDlLTSlsWf9LUeIwztqevhJbHQnQaZrbpDZq/wJYbuzg0VX3AzxvOg/Gax7eCtlqi DpvuPRZaMxH4MpvaUE4WJ7VlODhotv7QUzS2mXwsR/nokD1QWBjOCZve4ZlMC670nz7G4rVM3xWt Zz0zx0tBDi/R6lFIQOZhb83x7hyk/VOdRcmGr63OvSLbM+qQW+ueqt8gCO0PdV/C9zMg/yrL7sqI RhQGcR1FF39w5HBSIHImzT05T3fyWYoKea/KoNqcJnntV5TfXG8nF2yxyoyKgYBFpsyVgPGz8NaA Ob09pQXcN+hJ7Glfo2OGi7KRyVNnJXjYMOJxynf6JAUGXxfdPnolZPFrBHDun6MOJ6Nkl2tPgaJr myN33yaYYxG+hD0tlzl0sqVnmmo9ThSUOVlQlNJp+5Z+OT58amcVFkaMa8x6CLf97tMjkBLDEior TMecXTND2JHjvlIa5kDPYvIV6F3cbAlENmRX52zMpQ35pvzG+ktBr6nI0OYMzLoqX/dECkPCg2CD ZXsINnc0ZVu5AkdRPRPAnqTBKTMM7KcW/BwzSpMkz7wqfvDA8CezlFQgUwt+vXVU5bbR5GgK66ZA F2a0XXqsQg5RNVtYM41i9m8UOnjYSjchHFhR/7ACOUlnwvAiouitu/EVVexVMkqO59/RnuFkhJvi RMOcDMpCzghuDKat0g62lyLgyKjEfTl7NHiOZy/wSNmc+gmXdQmpjE/W7iJo5B3Q/9noeJ+2Ew7L 0EYdd+QdL8pEmZChgZLr/dnMh8Aded1poYyHGL4X8EAswYutvPhdUaKupQO8Zr4eAC1gjRV1AITy XrOy1CksczDYeGR5sfMnFOdhGcADM8/cVtMZ19+JhlNVu3EcXcTw3rAAoP6VlHmCPyR6jXnuUONW iGSx6mTWGgD27V9Rm/YaHvKMKhAnrEtbrK7FWaD+KP0bE5MvGg7qoJQvAmRWYzB06gx6oLtZTbh6 nC9Y+K+pjGZztY4FdMXPgv6Ifd7aoULdfxcXmzYBPISsLso5x3vzEWa1cw3XHsH+pDNuosc+MvRx TqHjSHkaa7UUSApXCS93xejoIE5WkRPTfQLmeLmppiBXT6U2VY836bCkoOvw3V8JxqHHZ1pHqC1w 9ESfo3UhQg0/7zAS/p4M4ZqAqFJmTQ+YNqzpCJjM4Ie1D+ZMFDsoJsycZZ51Z9IC/69MJxs+9Eux rURgwN/38L7U8h4VueCuvxl+KULriEJzJJa8J/KcW6kl54jrFoJBXYv2AxC72Bm8WPnE8PL4wXzV wuux06FUkoD7OCB4ymOJTspKZuaIW/I7/VyC5JjkdLKuOymPfeyU/VfmtLu670Bn7X4NyQxGeczt iDykeIwkbuZogLkzKuX/y5hYCstR9p7LUksqyjUQYIp4hgXvjclvPw7vBnotg6+jaLoR4aQJs/Zs 2QDVZ09kTcB8bR5G2/aY5cl66k/v8ejO7Eysl6tFfA0UDXj0ijV4QzgD5Bz2fIyr5fy8bRsqRcXQ fk/gC/2qjL9z1IauOYjIhI4PxEUrENXH3PX3xid42Qql67U887MkYNrxziOB1U6Ld/y6DvKSdQhI VTicQw4QbBlsEXNkF8XEOgmEu7NtnhFBdst6DL25X1EaiDkNQ6O1/ej6r0vAp0L7Bd+RvKA4xlgW XqDJFZYUFfpuoSp6r59+JOcEoNi+B1G83oCchkX+bSi9xffmmfVl3/jgLzKptXcpYSdNVTvOj69w 5u102+WcHaLb6k3IAsf65NQQZ4YSJmvV4aMmI3UfKnujgCcUJ2hxPFyf7N3rosv6eN8Mr+zYhOG9 KZWdIDs8rYmKyvLTOeFBU0nZvMmI6QUHHHuyUg0jSf0MERCM66iBKrU29u4S1uWSTBk602b+penM 9R7KOs3TOVxz8HsH6/69c41D3cHdyJN5GRuLo3/2W7xU7gG9s6RacBm7Oq0I18oni9OVc/KtRuia nwLW+9Ay+DfFDoZ9shQ5tj2QU9QIGa+6aJSkHxc7UL7e65WOwzTB4Iu/DsKjvXRORQriGdHNhc81 xjvovtKygPxhx8SjXCBQV6esMLr7DIjvjT8ga13xZTo6b69sx+ueC2qR6SdCIC/7pHBcb9eIlLud 6shVvdd653QaNdzQqWwQzu9jtkjBeThvYYXRpD8m1csZybuz5m2zL1QEunosnnma2G/4mCdIawgW vJyc+wd2n0MoGuU8Wh1PBeH5BLhfE8Dylftz0oAyij1kVuNRC7vIi6PEZ26fZ7HJU5o7lAAXJn8f 828BQNXG5n46vgEJxwVZSll+IptGYze1rtbF4HexIVF8jLEa10VFMVKiQxB2jLt2E8lV6TvY546k O+PVqx0qc7DGH7adj0CjTFRXknnODFPVL8Bhj5GMl8GeyLUjcDxe6LfRlRxiiuf+sBdJYmrkeRDW Yulnol4bxXbNyUIQ9xHqfObiC40mDQjiWzCaxUNN6JFbrErpEVqCTMj14WPh6LrU093Geqrcs7j5 MqBDjFU6lzavEW14PxjA6kohcv2SVxyL5QzNAWS14nC+FjLv0isTtOk6gn2RJiZcA6lZOcBAq0WB Cm0yd9xHQ9rY3iMrUIPeoSRrvhDheh/zKL/G923vlnQ8HJSQjS8qTszxWgI+MpjGg7ZfOIEhurfX DTkKY6rfZW37aXfnXpNnxybvALjVR3Gexn4l8TOPm7uXTO2Iyx0KFpU9/86DjFyTYmfmoRxhb+0J aeo9VGYDmcxrvnTgady+jYPct5FzMd0btjv2ZDMJ4gtgGAfgmjgJpBwQpZvYk0NdixNj+KgS2ynJ svQOUSUIbqZmFr3xcKHDn0jwtHB6mJ/5O7Vv3CqE6c9yyPAikv9EwdWEItXDYddwZNUQhdhgRQvP w1V/u4q4Ta/fopX5kp4pbnaq07Rmvy+17vuf56QyR9mGBOyV3fCfP6mXgbF4YAr+2NsaMIB2kGOp 8AzeU5lPxclSzDacmHEI1xm3A0Bt3p4JVcowqPFxxY6nR9xxx1YfJaSRVfvdMX3XfgWRNpz9EDav 9lUYiiRwZosNEWxd8+H7kH/mu8MZScymADXM/EYpoWpyOhVNp/1qZ6QBvI+/UZxR44k0OZ6//dbW 7gV8qIwD6Kn7TwngRhsz3C0lNlRCBayV+mpqmuEgKJ8DTZF21gCIjZeAev9jCTn8DwnqxIRhpudC AKbiYXxK9/HYzjtCp4momc6X0lSTeMe79CuGthLFulQyWorierDaiKhDD+Bx+wtzJwof6yWTCHRS DUD7HHloRjfCSwpOxt5C/RppXpTuzoF4LaSi8hYZILWDW+RNsEL7Yq6Nlac37sfNekiKKx8UNqOi FGR6bTajTDnwgmEymNw9FtEJDr+7JGmsJSybXItXjKYNYBa2nAaKk7R3OdIaHV5TTYCTO5X6OmJH zvRR0Tjz7KxE8a3k0xdEWPOWtlWS7S/apDr66S3VNkKNpPhVrsrf0/o1BTDDlV5Gs3gud9XgcLS9 pxigLMNxH9Ec0nu6KuSsJtRnGJr1+gijJ0KIwU26q0CPlu62oB+bYiN4hMkBVjYOq0vSRoFu6MuL LN+8pzfU2DK/aXnqFHTRoyjKxbAyQXoL6+T2k5xfQ8v8Yo8q7+LGCjzJ8oxOGQbUOPBPxDa7gklE VTsKC60Y2om178rcUKb0x755MYUMZRLX1m6W8JBecYGjALBnjKJGSYzKlpPaazjKnDMCX9bJXlj/ X3bE8XmyyfNIk42vDS1Ox3XWZP2dFNSe1BBkv3m11/IstwOOJp7znew0465GaEisrmdtJPDqt3df 9QEMHufOESEiSkk4lYm2wEug1rw9eXWtjJ8orIXR6T0HNhoDJPnYc1NSfzuCinfaV4DAF6ix7kwF CJZo6G1XgyPHyPkLcTr/DKqiDUFPQfW524osu/NE+jx+AYRlq9iX1pz1gQiZnYqm0xZiAGG/s7SB Rl9gT4Sih05XIw+4IzrZOwNKoXCIJXQKE9J6OfWPZwFmPYZl/Qj31+yGMbuYwCkLufX1MRaygM18 3O7ztnjR6p/lw7Rn0J9jbagjd1qERzyDEu0atcqxgkY64T6dkA3/KNlLcErjC6bt0E3Tq9gf4Wb8 qSmabGDdQh53NIgW8O0zemkgUKhz9OekF1uzT4oXw48BeaMFubG16ViMOdrNV0ErhwS4zts4PXMH UEcgEjCIpoGVEqu495VLeeRiBHuKo6s90RXk0+9kUTYFpW+5BaEP/gsGW25OLmIla9ENKenyfFTz F2tpWSSgNHxcgUnUim2FOc5MbHj6JndDV7OOqj0pXH09iDM02D5UVEVseThvzuBDz576luNs/2Ok /1tlkRIX5uNyBVesSa6T7Ma4DDLZYcQN/eHcNWpTmeE8ZP9r+diNaGRRO5qOZXEcGyNzAhA1FtJK y0GVChlO2n11E45bOlAeZ8W3mLbNpymSt4aiyeu4ywrczZJ7lFzF1q06eqPEfn5PoVA3NGk9kzQd MMof/nDa2jfrkS98Bp4lXBADgLpLQQ+b9NEvK14neTmrpvmUt+TZZj/4gwToyCCoxaAgnAiHYLl+ NukBcE1eCMSmSMkYO+iqeBJfN7nA8PLbgmsJDI0M2HM4stbzBHoeB5L4hpFXjdbtwSJpYYWjaBug qeVvK6YrW+pDnA1H4ZVktw3DBGlbiJPle5roZAV5cRfTF7TP/43sBAEOWwUAU0dXdWuwZqE4ljtj T8ykmUMQ5dtn7Tin+gY6+sVZIFSZBgFdG5VUienIBWuu76sIT9Wy3rfBMp7BreJaDI+RXqHLkIKi py4/UHsWVIRAclN8K0dNbH5+Sn4TUcMTwrEAcCqvnVxHf/ERVppATXJ9BM6GDGoISBYhicKGN6Z1 QylUt3DOAfVQwY0uMbbKJcjlM0cUwMuZIKzmBAcq1xl/496q175CRtiUahLcqdyLa60iUNKFdjlN Pyh0GxIZgG8Xc4Pp97EASyEqaQvrfSdIMmoNJ1nz8JJwOpKFEIkHrBZeJ2mHfd0bQw35YaVIw3Ro lSjbPwhKoCgGDthxhebm+sYuKRaols98H1JqyCqI9OUtX2w9yy6Csfwis1aS0fjLDrTVAxGjdGzH Ml+4BWCvSOPL24vYvrGWEnLRALN4dBVKIk5VKqg44QfOLMI09UX6zF8IKOQXEDHBeYpnfDPT0MR6 /QdOAhKshJZM4QAnWT717142kSVSaRmHuStoWKk5jZVKOPTYZf4E/VP5qsKGDs6xn7tWAeLswaGo BdbJqo3GrGFrdKR6lZP1dQvhfpBJ0UpHhmb5W7+2C0reRfNngeYqMq/eJQ2yLA2cXCMqsyEsGcno gh0uFderyBvbZNWGT49dqOW9iwRLE8EXQFGPEtOEiRJgO1aHc1FYjsRf8ze/5cvvnbyJW6wOK+0i /sWZJ+uUpmYxYNJcw0O9kcRl3KElfYatMsZpdbkuvG0HQJUglXrrjsbofmyzTk/QtxfJOP1u7cuF QhI18WCBa5SFm59+AE56HAbE3aqUJRWRuBNdq5uxJFnb/iJa9Gcbq7qdm1+VCjHyLOYxWXdvG3WX zAebepbpD3ooytI4iDtasMa4lAJ90kMBEsYnYmz7ir0YysJ+Om8CBTjd3r1bHMt8nUIqBcT7JBZA 2SOqV7iIhHE+L2BMdELVwym0w7vG1Tku55RO64tzM90I2JpulJ/ngzsPHBFH3ELtuWlSbGeufgab wpNOwglbLNDVmA2BCEzBYFVVQkGOeG6P+lS1cQWaA7NDEcT6xewcXS4qjNtiIYa2/eelvbVzPzgd Jn0jA+XJckArkFkB2GmrQ5Z+ybGAE6F6LzfJLxj8Ihu7wje1D8/AkKkSXaVGgMoFGW+IVZaWgd5M RG46DuK2g6zx9m3b8N7ngifwusT/qpFc4Z0mmPmh3IDF/al91nGnlVHJTD9eHO0p3U7UgODVBzCA eKGsidYYHMmMuirUE/cXbIsOMxBkSn7Nzw/Y+ISQAuNS7bjI6cCL64jl9LE9oVQq6p3XPoUT94ca QvouuacaCLEOTg6aBlvvACzqdsA8zQx/ga0QkekStvSO75Y4ZNhEzg0rsEHpmwexx6scaYbBSyaj j/Zj+5a/OisN1y7J5sI8zxiBL7VPP8UAjoIFA6xMzM5QHO2Dal4iQOdrf5D9I2yWhnUsAu/uMvla mqyJgCQKbEWNlQuMU09bj0eJZ/esZeTQcvzKfhp5N8NPWT+rXNJb34pKXnxChEoTymuZZL+rsOW0 sfJjxmn0F515o4Ah+/xFoTgSpHAfG6vlw9vVpXsctOYpDnIxTzU15vUCBTEGbZzsaxZEAlU8cjb+ N9qySq2r07fKYGrSSOUlmZ41NzLEs3rnajeDQ+N9W15wtlvUGVEAZoGbfYelrAdEwd3DtYD8tI4T s4hjF0eEdDu94ZOMsDOhLKnxU0g5sup260RacBrVysFNiYxdKnyqvYn/27ayc7WO2k0AQySElEcP wi9R6MyTdmFqxDBt9wFOzHEKCiWBqG//lFxbRhixmDsOZNkYdDvRDtxyeKBzob/9BTYmaobMQm+c k/RvZUEvvU6TQAAzf0k46XpvhaP/PJp9pa16vQJ+V9vDCmhUaZPIlEh48YGW0JLAjgb3wyQLc0J2 +3/YxqCQGU/PhiBeIv/Dyu7Gd2vpPQJiSum9UjOMhBC76VANGfN05yTBz/geFJXntZy00bSpZi91 soN8F96SZxJ9IUQDQS6MdEdCV9629qGyqKxuH/fxkN45JneMjVsKRDfVWxmTmFs5NRIMJtOTs20Y twl5RIMGKUacUUPchNjv8G7Nds/CCAqmecgIwzA/vbQ/6auhmuwa3QqRNhSZuBl13hcIL1uDbGHn VMSWZHhs6K6rI+xiyzu2JgZLJl82N7/KBKBpoZf9OKTPwDTcvZQsInvsF+IkUU1uibp8H2xTy/Ry JLjFOiHqYOwcvGMN3Q4zpf0cHbgs4QHcyVzMnnRLurs4ZTos6hcsKU1xKhe1HbhmJHKfccL0dNWv J8KQX16kwEnhYIwAvNpOOH9hK9Uqo6PCN8bb6ernPLaDuy5tL0s19j20yD7/pavr2hpiQGYMKCLG xS9pITqWaLADPU7XL/HHP3mLcnkPlvmubj2uxV14+eG4HsijhL4Ws29QBdo7zzLyn46Ukf19MSZj Oz6pYVGxxQVgJMGPbndG3BF6a8LRtv/8Vv7uJrZr7HJiyOQ4NujsfkWXOmvBQ9J1wppod7uqrfVD I2mzOEOCWzK20Q7RsGyAoqMjgBcPRx8g8P77S1nYQIvWqb5gIatzcDtYoAZxM+y7WTrBcrL2r9OG 8Hc6ua1g/mB2xW5lI3McVRgBqcgenzQ35EouNVi7OuRogtQh3pnRYbDU+ahTfYyMKCTjttfLDa4G iqlEmI9vHNhE0zHc5zbfk6m3UALudVAfRwSI6QbRZmTRddHlGvUaUsDV2msj11g9OXJPqJh4b5q/ r1gr3CVPLR7VWpKrePpP+KEG8gxz9nVQqc1aQCUlbpkpHJfmSbdx2KkIiYrpfpLTYTjFr3qoRsw2 fEVwdkHdORDqtdsSzf5bxG1TLmu6MCPq5L1EXEtz4CyXuJkHqdg+0i337XmuHD3BbLT/TdOp4r4f UvHz2cdwJuoPkGkwgX0k53+5ZvmsL89UMZcdFqklqLbawY8CjIudUFWmR5LYxXIIbQ1AU7zRk4Hp vkBFC3YZAqwoFBSmG5kRwK6xXGESqaKcfTrUjMn3eeimF/pCJXl0b164ImfXbu8UO5Y9J+ioGybE XGigixcp5Mrzf+F+uv+3u/T70hNWf0HohtUIN72gCDA5jkocuvk7iaMNkYwT+yWx1U49WP3AYPaG EX7zXn2ea5GXCDX2l4rVGn69+W8VfrTcQ/+4Sk+5jE2hfBMFU1gSm2FHknorMOHuaqCjvPa6Rsb6 hKFqyuykgVBs/i34tau+W9ojUPqBdzq71fz73OO1IQNxZd19Wn2mGAC5oR2jrmWIGM19oLUSpM6j JrZLpN1AbMRhvGaqIi8u0VB70bkav3S8Li6MH4K/uDLE08Fq2+nrV0R+DzkLUB941Bm4UzSm1DMq y36/+8FQ6KxTGu727Z1nxUHz3ggLB1eKhIZar7eQoIVJvBDfAjIeh48pMFT133r2gm2+o+PhHCRi BUdCg1dnjxdXqX0kbNAHrneKUH8+KBPavdVpzkXW3jEAkkb0BcFeoGF9zCTLotCXsc1lqsS6pxwb idPMlAopUi0ETgHBSB9ZpqMbppAkjrCPpMCEnLdWDtezkjRSWPy6adj8vMII+SmEyV+AEDKX06CZ PC+/uIzkjkHF4Krn/tDF7YhQHD2etvUnd/f81lrf5m4bXeTcoz4PRDKF3kMUuW9b4bVYF5Q+qBBm RkaRdKxrvCER6fTVH4X6G3C7+imN6xSVBfb8Ii0pRXlHEA8XAB/3sQbGJ+kgjCCOlZPrdylOTvFu EKoXmjbesNTVRve0ePHC5VqSbV54UbT+8KiENgMWsbxjWUneo7LLMaru7gQSkBET45izXaOeQEW3 7i9MY/yrCMoWxwgH1VZTLJjeMvcNpeqjZHyWFzqlp9e+9X5Rzw+sDp47OjfQK1IRkSGhVCbIG3cV GRLf1xmIXXuO1j3YyLGQ5iv/8h3QtBbOW42uNQwu4UxwqEkCOatWNjotNIQdDgSRpqKjMaj7nGnk WRucH1YQkVSH+uYymNegb377Zs7uW93tYftP91cbaRUSDpdXMlYhYhkNaZjcfSgm+vCNK9KM3PwM DMhrELhVphUmslqwl+I57Ldq6FfHXBZMOmwLj01qO9hI7jnuHpLL/IHYrnWsf0KfNnYlQphktH9v zSecWO2sxNz6bzAvu/jnCBfvJezBTHQJqwdabAuYMoVxnSgi5K1pudIqBxoJIiWdNiwpPqZ0T5JY 0EL+mGgzFe3ZAZd6lSMQ39wGf0EZoQiEBexuzsV2XQ9J9A1LiHnmKJ5ekrH5R449nLCuMrXuoP+H 93OASlodiK8Lbal7AUbpAvuyc0k5SwKMlmUz1zn3BZbUXpE2hTsRLTPE8WzAjYPWU8YaBwaNIZZh MvEKF0BbKa2Cf6PI+6SvPT8wIrk1YGzK4LmX45oYC92P0Rl0lUQK0AkX2fyzt/xqfgLRbsBkAa6V N/bePZSodf4hMYnogmZ9K7snHFzPBXbpvFogaDlLE8dz7/JAC+2z/CrjyHNXNs+/TSG+LKAYwSn8 +nfOms867HVxOon3+8p4zTfxqPdNyV+YN0pbUtZ8x0Bc8EoQvf/QZk29kcl3fL0sbOw+IZWRZRaF KGqP/UA75qNZq5BG9zlFWzAvtc46ZMF5uhymNhVJVF60yVfrJ0szKB/evzhtx9c8tVQJLstawP5Q jtsZ1Vqf3YTS/iNG0GfDlCla6tT+Uv7RoE4RvJWG4VqSMeU9oF4DqYGwkC7RoTT/7VJsBnMPR7Df j+1+b1wBj5Fw2mZNspwlKFj/xkUpoQWYiwuZH3Fqf4V07vs49/nmdLWN9ltzyuCZh5+T4euG1r0f IueLwygMhq9i7MXcfiac8BhMYE0pwy2DrcAcfFgKP5G4EvAijp1+kgDVqh8PZs+zKQHAZsOjuI/H +DvegIkJe64ZSA2VRTM950KhMnGkYXES9HBmS/b4A3JimnEB2T4PECIcAH9YgDoDcN+y+xBUIaV8 aKZGaLI1jbbQtVtvv2Tcm9uKaeeqWuLzNWenl1trr2feB0oVXITzXTb8nF2oC2Ob6a0T99d6vvIF sWepnpNkL54ETzlODiafnky22IvwK8g0hrvsggJ4XA/VATPqvU491lRVSSEcZ1JklEl0ewzLvnb5 GhqLg8LYnByDgDIQsIzf26NJOSxNz2n8Y/Cts82UCKV1vgHKFfzjfeKzI81iBlVj+m23Os1Tgwn0 VuM5NQYQFev8Py3M8X/le0ugsUHcFY2L73k9k0MAgdhlRyiISbG/77Hg8YkEFlkqiK6lGYKaiu/0 CP7kfWqo+gnNV7oWmOpMVNbRXs+eKolclt9BKlTPiCMWBUCJBq1PvUObmRKXyPwiW40d1zhDLTVK +aH8Wcmhbn+vWnGQkGsdc6RDLYxAbOSXtZ6d0GNF++2zO4kxK06u9Q2XIN+zlqMWQHJPE1fKYAfV ST7e1JOUXtQFzPLxQe0fRazJrzuHyhlx8GPRx+qbdgpBiyHqGZSyMmF7EeU7RDvHp0u46ZF5Vr2q YY2XO1pe5ohr8alf6GaL31DUL8oEzthq6Anxg+tRraqLn7nlRWk/6DyP/Qn9Y8NlAADH05OioDcF SCMXK0B8zh8d6eJHotNul6Ducjdmibb1FH65lazOW//Q0PTVy4n5Bo62NIpHCITHa570COb12iR9 yakZOzuXc2fKKg/vbsdn2gXCIF66LP07HML4Ez7mKxjN8GQ7/9KoiS8j9AG6+oWwYkcS4NoIO77N 3pdlCmKzDJ/gdq4R76QyxiexY1kG7qFzXhVEvZ0wFs8aNVhOLlBhYNOp+l4DD+pO3P2ERtwMeUMu WkSlw2qVJxP/cmiAjTMxdVP1alhYKwClkz98XK8UjCRT6xHXP36pSkrOZlfAgHBkj1NycTXZtsFp AnVvknNKttrncoxaZ/alCohO36/QGrSdVKbO91d2gTUx6Xntr6GPPFNa6Yx3OmTPI1jLK3h/lbmF uDAGQ8qf9TTnymJC/8kbXF897sVJmGKV/hmr4vtQP+8R3lqLjfgbIcVYOJQE8dvFa2OvMCfVtsPa wAmiDSfGxjGD2Z38SFGR6tOcDZ8da6b2krZk/IDFeptLJwWUzH9soi3o8/4LiVrE0mV2ujaaN5RQ s9Lq1erQwRN/cj+iNCyQAQFGOdKSAfdszZykgW9O0ha8cBkfYuCYkDBBnH6MyljSzYMTYK6puHvG vfJeNlxhI0/+QzA3hN7CGzU6TTdEsj8EbLOeOQFOURsps6xzpeTkoNe2AUgZyHQKYQtKDtq+Iwlp 3yRsuDoztTaaAtnAtEZFoTNP86V3Uvzfhd8OEcABa+WL5H7PMtmLvYRwy/Q+WT+rM5y2HzYDYAKH C+KgW1ArS8MQoktKIFa5uMIoBNbeoTodiNv6EK080mlN4X4LoDCHBvxry7FTbpxe4prLA2Dc1NCr WTfnSvrm499YwVWi2KnR81Qn10IBtJiaL3Ud1TtV5t3BbacwYgjxFG2Wra0IYiKNHDZIiOJr+piv xfjJ4fumT8lZ7orSPK8RQ1tnHlqMchGNU924wVfbx0VtP765l6vnuAxQq+cebqoxWv7p7v+ASPsf SASiZX0JTboOIjPlwnBkFuI8dCu5cpSR5LMonClSklXNK/wREzi3MEELQyNTxtxdKFpT5v/ufP6z Gzzkq/a1A1lQulKrr9/XAmFxkyvEbYdMp8frfBseovNhX1XHf2lv/+TQ4fPz3zZ0Iave2Q4yA2yf s1yOaDWU49Pr+orow6f9fOLBaHSWBCSLHEVBLIKBh1FiUipIzSn+xkb93TX9qMSDgxXRK7qQDIM4 kpMrSyi1HptSLNUG2PhGKigzcaEAB+JI+lrpmG78P3KRc/U/6UYkJW4worzlqDsxbA/kHkFm6yK7 7cvd17NXSKO+u+0vYy1BiOcd7cjKvziqQ5DNLR3eredy9QRhYshTKU9CMNoCyspoymVVQudt2hIW 3g3YJKDVkB8dP1KLIvpk5dJyCH4JFzzUfTgWzDNllrFhDUGTqL2qS/Xg2h52A58kESmEQ0OT3b/E 0vVqquIrBmxCHD8aFxiTtfBBr0qeqAysbI+Sn8BDeHP0ogfyWhMVxazDNvKGhAZnV9fIC9FnuzSN ALs5APoEfnZzf8OJ2+qAWogJLikxcBHiTiqHaQL77GYsuzDVGeRKtrWNMbhAFJrNtpF6YLv7OXcJ QMlMxycfHNcOlhAxn8znXo3hy7W+hFuEfOVhr6bJoOeAEd22QGGn50CvJppSliorlWlqjeDjLzt8 YDrF/cezdCe/e6vjZtFbWct6Fn0wRObCztK7HlqDY/6QadLma4S1EmRl9t57WtS49rO8pVhmeG77 T7brWmirApAonjjnRPa9AYSu/yjjDX4nVlwVGw1pXiwq6K17AXYrO4CCzn9V6PlZ1/IfV3gwXD+y LguFS0LkGmV3nupxraZEEyqrtXfrXuJJNp2MNdwEEjhssk6dGyHYCAwQI2o/ORNSiDCSWCIUskql 9E1A9Imfm45wbFxSgccI+dA7Md3syKgS0LqyMX2omJGSNPsDe/05Fs2C3dqFFSy/cOhoPBGlQm9L 0B47K5dME/sQhJpMhW4nx+iAhfrcpY3A8TdzYtnQ9m8w/DbJIRVvDp51pH7gP+PlsKzi9J/6x9u3 GPXvDGEJLc+Q5xPbtnt2z5O10MwOrD6l9oEcsvU1ceG18QelP9twnIT4kE3o2q2P0lOmZu9Adyax u+Uq62qSvUxnhMBpuYef//lni6FH1hkBiJZqtf9qIUe8dumj9blasJbDvDLzhn0WTt1vB7SfD0L7 J4/S9UEhYcIVb8SYMA99nD+nt+DmKfpsCy3uOTHp52Xu/hwAcRTd51pN0mSxV2Nn1o9dab/HIHWn 2gbd0UmuGVnqjShDemmrNoyGp/KWSborraHry6U/AfWwdQusuHa2uQ5iwtPyVM1WsH89mu2MTloT yF4jj7qjPEdlnByTQn7NUHBKtucEgNoTJc+6RU94FqxrzzI3BLkqyyMQbCY1XvybDjOD2guvnZIT NHg1x21HUZ9bYEovR625083C706Tq+5yF4BsophCTp6Z/sallaavplXTu+O2N50unwaCcDTV+jXc dFRJroL5YAc/fOuKqmN6B4j+1tGwN7zHw7SGsHmuDrce4H1awY1YTzLp1HTUeDhr8VDxXr+uN/9n nskPGhlMp64s6B9c+EAYPoajXyJQZk9FyO5J1OxPj+pOto9pZco1RDCGlPY7lnQagZb7otcmBHD/ wx4eMGV06UPb85SS4ZMVFAknuHkSHHusdY1/3r5CNRjxcNlHENG7MFpftSJQhpEfS4BiuSTioJEr 9mpzPp9BbkUDiKiRtjYQS5PYdxBqvE7twMfp5T0+36MJ5kHyTQR8TapTwoR3qwfXxqLgAJ88fQnD 8XZHtdsBpfV5tnx9zcXEP7LpEDC+16zMbQoqRmSHmAkU9MA7dKLm7p44xrUOdPHl6XO6Ror22wxX cCvDlNFc1uiTlWOqPAzq+/jZs/vrzOJsreC7MedurCSOF7EfU5fteBBtnPJTwFf56Ko4aTtJGIFM UYeoAWOSD9HYgrTrVtBfCQ8XyvXXfXvJRRMQlzRQKXXAsTF5SbGIcC4mmWfE7a7lNxSFUHg6tX6S JA+/4koUb+vp0qgQITZhCA1WoJVUB9QSgPhzYdQlI0N8RGZwmcWTvjtDpbouDN7NYpxas200TFOw uwcm7g/x3Gyo3aR+kSZ/Ywz/6PvxzhQdm5Vd1QwYq7u8Jg1d+4mXE51U5hEIJ82pqi3sS3EdVnRJ YJNcc7VuYPmBky4zFvnajYtWo5pbKZTEtL1/7OS/1BScuWj57zQ8eMo0FB+UTwHGonSRrtw/774L rUDczG+IvUwv5xtxfGK7dYYy33f5/kFIJJRFpmpDjhCWxMHXC1GY94ycqm35kjoLufUMc5f5JGVY vM31hbDEo2AaMAP226hECxYPS3X8EneenfWvwfqFM4HV2lcGdQPlEcxe5DKt/+Hcr4ZObv6WlhyO SHZgiEEphvNnnJFbhJgl9lDNkTxpjvcjnP9ANaqlqUj2+VfQzj+ZBxA8oCbas3CVik8ON73wbI6g +pQQAlmfuXtegX9rm1SlV76SW2oSeVVaeE13tGYiBAuINwqjcSCcXe5RUVOWupNvUGwG5c63crM3 lBPRndoaoXarPtoRFZaWngnKoVDHjMcHZxwq+1YhL8UEWXghHF9zNbWp7FdmtGnzRwhll4zfVMHq btqvQAMxkaUB6ewm6MbIDdnDT3C1g0l8qxirxmV7nnrjd2isxAyrjDaLjfE+ZL8bH2cQy00PaI0l 5HATWhLqN4KSaExsZdyCBlS1FS8Iti9YUlKzRVRqVHVI5hRMJzsEhH/Q5+FLoJrmOCLVN0Zylhti c2CcOj5qlBXm95FMdsZPDqUJbOVTst4r74tQPaZLWxioytszacoSbo8k3hJfHB1R65UQ62goxUGN d8BHExjJ0eK1hGmbkJNWHghFc6W1/lUnGeMApgnZf2ZkEmK84+8Ly1gePeMzZk3H5k+tY3mt/jYS QYRO+HPBDPdJ1QT/DHS7yEWsyqaUbo6S2hTeZm2txcJWUHOWGS8nFzkFx+sFJKHCeXgrgVSMAyrk UKn6EL6/WU3SLa9ao89KG4WYCMyFqiwtXB5nyb57oCQidqG9sDOSn+XV7n6hnw7Gm3ge/6IkRSaM LiHZUpXab+0o+FN3xxX3nEm+JxFtsoeWb/XwYENkQzEO1v/uxblzZYYxqQctdtnTlCFVSZSVBli/ UgcFy4131wFmskyp5x5CSB1RQXZEareW+mZd+Dzny1lrZGrctQAGQFMNTJHKMvBdu3gSUMAGoh6e CPdrmHYmaKOajJ63KHDWUwt7VvlJ7P0NlYStlMLxkhfXG4sDgkhnnaIl0rORc+gIEmO7U6JyI0gU bhkTf34+t2HQXY8zmBMcJNiGXUcUT1Ij9mzxWZ3LDaivQcQ3kjm+HlEXIzaFUCqM1VqzBIrxAjd1 zS3w6MtpF9+lYUHO6eXhTv1OonQ+5t33EqM+lE9qpGs1scygxkl3k5oD9mR+pjvY6hJh/Kpu8meC 7VMXWO06uwnzrg2NHXuJHDlrQvR9L275zXeWl17ar5/mG/6j3SzRt9+9COu0fRlpji+tb/lo23v3 AgOsSN9P4Ra73hMEblCtweG0aRq0ERX7RYBTkRwokbj2RxhBVrYld+JPQuQ5IYeouXqSmx7I3oyk P5g9STt3xg2ubzxhF0EIPwdK/T00AkZ1+KhzAACC0d72YgqGo9V8mKGJ4s/rMs51Np8sqrqZFKv+ rrvUj/q/E8LTA/tPulIWfOf4xB3m3PdYLkelz93Rp44/LZA+WsTspFgIcQ+Sh+y1o4x6iZ3gzzCe AgOdB49F9xg1VjxHdvUWDRgic4VldhqnTFJuyGPKs9rA7x7cBdQxSA8dEh9O09FQXLfZztuz46OT TxdYwIvtT1fKFib2+FfT9iF/HFpal4w7y+P1Mw4l2yCPAcUvRNyInIzPsgs9ELg+cEhKPwAgsNks /49Of1idZT1gpj8MsBy6ayUcQ6TQu6HjnutOpSer76E7euKWQjg47o+04b+QF41DpA2p2C6yw0kT 9lt4Dal+4i3YOnXGj/Lt1WAwoOivNjOcZANsnKYMoJzIsbUwpeu07xXE65AlSkVRz8A/BphAPBUT xBI2LaNNp/wGpKzGVSzN6DhNAZ2WuG2mK1yD3Z0NzxOlAouN+GkDhg+N0y0l/U2ZSNRTc6XFJqsN Ur0sdK8v5JvmreruLVC5RDJ4cdzIWRKDIrza9kLFjDW7OMg/IvERDqz8r3NfVfzlSMm9AbbdbV+e vNdKFHD4Q8j9cXTQfqjDoZPv/evMXoZgzN36Q4rRFFscOvY62NA/ySc5aZZtZHvSuliUNOUqtK7G fdsxU0mOUxCKGgl2+5fz7KSuuM/XV3ag2kIWES+MFf4tCfzZKhspKnzP9tIs31AHgQW640wLPvgJ pEgdlwre+X2ugHeo0JFp8j5aGLsAzPwWf1kDa1Eta8f018EoZaclOtHmNHPeqxAgkMCTmzc8UFug IPALqLxq7jJN+U7VmtQgia2XSdrRho5Z13u5adhqluVpgLeUU6vtHVLVpynWk4LUXfSALKzVr9Kp /BI/jfbnWygyfqUErSb8OR0nN0ShE6awJltWOCP82nTdpSJUOqW3owcr/4zWe3InltYv6LbETt8f B3nFTUB7SMUM7y0owld69ZToYDWcMeiZYcACbe62X77lQfq+3MSGlfIuHVGcdWoFoPQ059PguJKK UrMbjZD8IeGxbWQ7o/bt+qtRbwibJ1uWU+qYkRFENeNTEYKNTBskoonCX6FhxQGtIwElcc13QF8d +OWJOxc6UDZpq7QlJyDmxduI07gAeYgMfZ/1El4j81hCAAZpVmgW7PbJXSA5HBXeD6tUC4x/ZMyT jXRp5Vdv0olBZx9TL4xiTXNs2yqKqai84JDf9t66diXzMbfKOOAKd2dSsJkRbhmGYQp0dvUEL7SM h/hHV539HPU3mPESiTGw0KnaUFdR0WG03p+GJtkkSp13H+cSf/zcOqtEu053whBlcjwRGKP13S45 jsHVr3S1AEiPS9xoPw7t7Z12sCLaFGngT8fMu3BCDwOzlgAHfeX4u0qStkodiSiAwJcWPrHqQwB9 0LHhVJ4mrgzKKK+vp719Ie6w9PHbobI4dNrvqQqPxBNM7AXO7ksfv6PDj4JJVmncnq2VelR3rWnf CiS9YgX7D011TXuzCE7OEXHXyGmLM/8QBVo0aRryAnkufCOOm9TNvNooXkEJgqjagRGFXts7By90 r9nlb2A/zazv5qxCFltg2rkMM6349dP6q3Z5jTv9QOaeF9jekUqRzrmmadj2wRFXllfkxYSw2cbm FYo2Prl3rgiqGq5renkL7JJWbNFsFBdMQ01BhXqhVcaf6X0V5RSWy19S/252p8m1koVJj3GTy0Mr f6yBNSzUBnvePEycy6vZMOtPNGBUaoYAiDvg3GN2lNgBNEkX2plVhCnA8aw3EWtirndjQYH5Lgcw tOnLjMh2Hj2SriGjs/1UNnP1+IHY+dgQFPTSS1AW35MoqhkZxBiGyPShQZFxhJv0hF4VviDsVyto u4XVu5jloqD7dmTx9k1rIsp0tiAT8tCD0LRM7FEeEUOS6M1YfhAjiOdvVx8MC6n/Fut0xDq+IaD6 Kz7f1+gi9Fj/BJTu/Tx/Tx8LAi3+eOwk3YDoxPJ585O7Y0E9jGF0DdNIWyWq2tNs5FxsdbpzDQpU kXdsquvkBZ9DaSTfUR1pmqKDriXRryKJl8ukkexCnritoEKP1hJZbFe0no5De2CxYEV4gTai52uQ sm8qHG1ynlcr4QVa1Kry7yGoSgzEZeme1G3iC60dqZA5Mkt8Ae2aYh8Jy+GRqoBgA69KNFfWS0on bHwWQnC+RCX3n/J7JQ52DvnpS0I5uaUhn+Ifan1yAaR6VpvNOskkbTqVyBmWbF6D87sLooa59R8j fvH03MhF/hr6uXuJyedWjHqLv5TnrwJHsi3XwO1p76Iwa4Am38vbiZVUN1hkZQuQvVSSCF+QoXjp 40teWBGE98dlIXvgV7XZaTNGtfuNrL1WRIUfTyfFhOhyWk/CfA9IFyQ4bZVgZLw/6YJ8DSm+4iJH g1mP31ZwMJ7cumkNygMCDKs0bHs2RRG36ifDTLljVbPv8ef6Pg8W+FHpgRxc/jMmdxe3hFsx3jxG 22TYbqhz1577WeN/tjC/FfVqb5+0vHY2AqRI86eRad3sJOydVuIdB0qKB+4xoPeJK2HzVDT1vSRD Wu1DvbcSPyKbideQELgFKkI3L6/yqVwCu1HW2pU7n7IZNFv92kTu6nxMwkpkpr5+FK94Qz7+5MAs Wqt3u1lIEvlgIEAnS8bnheks9j8fbCtqtiZBU/peZi4LSLzZzDk6TgelmE9yjdx3O+P5ofaVJZlB kDfZzY5l4SY1DyIkxcX52YSsyyUTqI1EFLRhPER+8NrPSLNT3Nn/tyLgmW8lCs6ulZzexaMTB4oU 5uxypUArN/a/QcpeTG4faaDLLD9NMkMt0U31esLHZTWLX7/C2qF7P6ySCFnw8b3fAuw0VT/EMZbx ifCjEe2p65qoJ3/dAwUaB1ZaDRXnN5ttQRUg07i0KBvz3F/2FUn+qkIzjvIA5Wh2AK7tmE9p3Dsj TJu0z/CJSudiilJmwdrvmIoN4LcWojwk+49m5tscd/UW62XQVTZiXikilw74+2IEWmFYM7pKC2k4 F4vwnxr8uViK1qDBUl/gH/vU0TYxqRxYCaKJU2guEZT+6IOkDU9SEr9AgZFAHgGYkx53z9rJE5Bf pBXFpFEMBpKP8nQeUM1ES/OUnUaruKcCPJJjIfVpb7wiQwIn25P4GQJNsQ0oRWsFN/m0cFFoXymv 6+PN4B4nEhKuBs3fjZDsMe/103CsKDtUrQq/yGErIuINATE0/R1Cuzemzfh1YiMJeGpBeZcaM0km rldiNDAUhGgeYaIaZEizNcEDR35l8va3K7itt3JRlUpevpYANyYsoJcsjTCAiPr/EwqdQIs6Q31u TvdTFlZ/vZpHGifoafl12MX9Bfwd/Bi0ok84lTKm2eOFcmdD7Ka6N1HsG7huo0f0d/8sOjwB2vRR dqf8v2uiLxO+1a2TrarYnWzAcRSm79kluKXkdatM2MgQ2jqk+bdmW4U0qpTcOPucccP1ltcb9pTC v5Hz02zXR8MZpFWbOjm3ihYavwD4TQy5CJeMa2GCqXXV/Wbs+7mz5m4cjufueIqa52kaWgzuMFMv nlhBLFqhSbZS3eEUOZfhRvRVputEw8CyNpzng4SG85IWgn52OAr+6iTyybwQS9+TIPQhi4tW7dFT +E3YsKX8p3Jpm5ERSyssuHNJHxjJ8Q1vSkbtMErC8jqLG2ywc33PxfYRc4SuS5m+osc2xcN0Fgwd LyWxdVFWmLfWFiroskJQ47nswQabGyUqFVqpVHYsfIGnkotzebyiCxHhtSNAAIbbj6Y8GBaMuPZl e+1e/Ng9vZ4H4XbE0oSrTxNHMEFJRtRcyXI3VWyaruV6E3Q79SfKXzKm4RFNCVbXuWCIkb4x3soN 9wwTrosPEWFB30/0egQd8+rRV74Z2a0nO8Qj51KIPeaSpNmS6eT7kTEzvlcLH6RNEs1k2gbqFDD0 Sfqr2pp1Il86Ah5FigdXcLnNh4sNn5n5tpizUzHtzaXdy097c2cBU7Mg0760B5Q7gRI8ks235lRm Ks0CugJ81MKCAPRMOgR3sqdNWdJFWDZl3nDSUBU9foAM3zHt2ur9/IU1AHmTJkhZhGser9ipt+pq e5dr5gT750ZIjzmK++gytl6LV56tu06be2Q5CbT31UdLV+RtWLAjGgONLEAcfR2KMC9bww5guDqd fFK/DBJR9SrOKOHumU1Xq9mT7gjZqnj0LJ1DRiN9RZ/CoZ1LB0mLtXmuzW7T/B+ChkriAvHlKA65 F0IVQO//NYiEJ+cZFS2uZ5JyibAnMOmFd23/gFRi4awskVwW26iWNcMY8AShA8ZBkkfF9OdvWVny O+XPf8dRUjmZ9e3zLROg6CHvSnuuRh5wZwmCETeyzk22E8xFhe0+1M9kdYdHx9HEfPEmQQKUsres vBCjcDBwQPdE6CXqFcR7bXQoWOu8EDUDA1WYFWqjZulUFG5dueRPY2FQglGedV/yeRHKJyup740I jf+/5dggmhKLV81KC1CmgBThfXqVxlKE1vfFQ0TAH45fKBPU1DSwDzWnaRGnZuKFVTliEBx3L0g7 iVyTnHRZtf7Cqp6klvRpPbSmljQLgj0yYeATXGwYS6bwcabF43sBhgZrWG31SZxtHq7iw+0cfG1R wxQZtltlTDnaeHGBzPUUAjfdH85KBur4Ok3BTxOXXP3WzS3ZKODdJR6lhrdGgAqGbO+/hvy1D87E QXOjOxxac9AKMu3xL3+EQW2sa4o87i+n9WTuj6U4FlncoZf93alDMTcIHFsLdQkOG505qDqSxPar 13DtNm1ZdIW8vKOou9lVFqIUP5+4e5HuerXhrpSk5lp51FiwvISr5bCQVJpk+0jQc44bSBydq76b 8cKbWg8NSGZpUshzTSOVpo9X02BRaTFtsCc2Xx4kzbR8BpCisyuDy80EeeD+U8xGOA+Fs4rgsdsX HYJsYFkpHPLt6aioABD+kyF5eK9v5/eATNEqxUzX8uzwHa0fdpnEakNiXHeQHxGT0jS0TvZpFe4V epmIk697PQPgUlv8bJzbcd/B/7BPzqt37Pr+ev8BEDBn8fMLilAvEq2bkdzlY89WqajXwNXn666R EZqEJHoaaQ5OBOVo0RPIRv3od8mR1zB8xNnBM0vfFeF7ojZSTYfMQbrpRI4RtRsYHre+fK82STbp fpePr0EUPQ6zU6ovov4YBQR/ueWGczCcSrURwRxBLEiz1/N2UAxQrXBQqCTQ6X0Dhuo0g2uXQKri ySR7R4yBqp1GZnm4wqGwJvcB8aW7yzB2hCttUSXqQQGTG/TB4KuQ+2Y7obFsqvHnWuW+UMp/+pvd WPqBbV7DXRTySCF2h/HqgbvXdrl5bnW3OXsB6dd3AnvC8DSjoTe94ZKU7FdV7Fm6Qq2tGY3KFWkF 6snW2RJR8SwCccv+22SMCSmRQ5/zGPVwZVAmLfXDUUqCAnqMHiOnmbAnHfJuOoSuuHzvF5BEt6G3 cnZX2op6Zo0819QpMqXhmFkq5JpqdX+U0M7+8IRDpe7sGGsUL2TQQH8LF0y7WgIBQJS2yzmM5ZC7 TWabExemXNTSYAVY6y72B+gZdOD/8/SubmNXqYlkwx5cI+V115kVMNnXXGBelrcDCUGrZBHwsv46 Yzv1hfMcTiSzrxMCnWq4qqnxbxZ9/FEOGvruIB0LFXS9Azmn/ywYDSMjK7QnRK2LqhwzQWgyefq+ 4wOXXSfthxRyz9kkhPSyxJzyOeE9nqnSucTPLFdbuZoZn7t0V3UN/z4s/NI1CG0LuGm02JKKwztE N1HRmcULm0e2Sw/Xqw5MIn+npaJoWUjNzldrQpAdOuToKAnpnBlsnnqROCKTtgmFg4OApOHlkHUG pkFHBQTq+Vucsn5nbV4UTCkFzm5OpLzLl+IYiMuR9h6asf0pEyQi2aSM4iW+5GhCzUnYyBMfHaLo IHjOA6KSlI1a87j/sFZTzUvPD9fdNJxArXqL1+P+WJhSFeBiXbNK/0nU8MvAKMP7YztfmVZuNGWG PPE7Sq2euhM5U2J5Z5esioQFxust3h0MrzMFdkp3DfvNd0Gyx8C4wydjHXrU0Jueg5axA2k+FYyv 0RFTDOvjJZC7diGKwIXfE8V5s4bt5ADOLaf0eIL1kdW0fbA1Hajs5VTOEfYylD6unHW23e28cKwM mVKUUdkYffAb9mfIb1jkYzsDXFjb0rQAonH4EHIzXfMkVWGJ1+OqD4GkCEzmLOLTsJO8uyf4COqu 4fcreHcdhmwb6WR5XD7pR7v4eKo/L+2HJK38GALJSeCeKfwgRtE5TppNpjraWzOIt/fekhJKWct5 uWehUAgm5eDZAKDiVouDeDQLXg8yJ9Z2tjTyPF0jlOwZ+hrjwm3qlO8SKRYiYub7nZmeAsXndJTR LwyY6/9GMP3aPNhLakz3ho1Et9r6qtsfL85p628O/E+G2BZIG3YNRQEXydNsxykQ/xkgTEeS0CEa x7Iw1yzqAr4F0MhHQRyOG3oLInYeS5RW9Pm319V5RrCf5let2/nd0m5RFqHkesRF8h1AeHbgu4by 9lIMknp27CBfslzAJezarI1NHLnlyJBKc/4+J3MRUDUtfFelzckGTY0ymohMHLhiRbDFUWcOzT2r XZqcEllUJdu5fXRFtLjfRqFWIn079j2ZkiajbyD08EZVHK6pgtMsE02kiPGxTVGMXe+ZElAvpHOf 6DRHLkLYXXdFPB+Wifq32AIKlU/BGV3A/AnZIWIKv2ZsFOhVD1lpjd1L59FnOdVGAzkYnBruZ8oi PDl9u9+xzfU1DiA48Dg7Ba/A5vXTr4BWtn5OJbjGuXhlXf24PWJp38wBFtxiuUKRyhSW/mCrXc/0 utDjOUk3ycjYI5VOd97/PnLxBf/M4kLMrqKIA16Y/IdaOH6g0MzxNw+vjgIGE3aUrsAj9yA7e+jm i08xTXqgo5PobN5wRFYcUyS79wUIjMzxMTX1/vLLiqDBk/g9HnQdybEMsIqPLhsZPznEmQ/fZuQ1 oGwlUCijZEA8FP5SMZx/56qeP4d5o/g51xUOxWIdzquK8l7RVIke0EGD7FBCqkhF2C4qBlhvGFy9 6cFV2s44Yu4roF9qZFSr19Fif+05LRhzZXf9lSTl9knWOrTGyyHbwrGFwVN1V5FYzigwhxS2h9RU F/OwAihy6JvExqRa0aGSzirRdzyf0soeLlOoP6wENYQs1XE8dafPtOgPEfZ05/9a+Wk/18wXYEG2 AsKNwURyqjJTy6eQYvAJlQ7U0YB7nogQViGT1NTQS/GIcsls54Rk2c6hyS9x3w+7OiOJrcH8JT3P ha11q1bf2n1D9T0Qql8reL3+8l0Ahl5XKrtjycDa8i0xJW+FwExfE0IMzStymMNYHM7KP9kEuRGO xbFrRM2KosiDKi3kUAfEiE/BJ+WYx7Jnar4Wdrx8bvMGpSI0HYEgxlx/jlfwcRMiIeK1HnwVbXhi 41hYX/eYc7zFbKvvbBHhTgTz9cqhnZEu6mGTx9Pv5GsHDud5INzpya3ssgyZxkbrzU3/8xzbCL3S 0eBH/lXBRQjYSmXxBC2ZsuHHyC4UroyEaJ7coLCeXuhYWXmEUfG0GdW6YtG78nGC+VdTj++LXGTv aMtPKM7/9DDOfLNB9Xvp4f55J9jNh4v87iq44Wlo2YyvYdIpB3BtTlk8Rb4jifIZbcNTPxCa6Ug6 H3U0gZYWHy2a97ZK4p8g1fUdrQ4P9sXut4OS8XqkjCqkFKIKJFEf+XCl3TvmDJCdbtbr0ElVAILt X7YSQdIPl0bTYmfruFPhOeBykMz6K1QhzI5kONKIzMwVtHZLeHeVycOvhwh22JQjzQgsgRLkkjnn /p832LDgMN66ZkSb/ohOsnf4iN53OozED+3lC0NMVqHq36blgE31pYiKxXqb1tqZfNtjb/HFjQpM e3muWb3G/P6xfVTgp4X5nE/DrmSi/G8uv5Da0cSdG7NRPzIGKonD0oyGX/S8siOSa+pk5iJdbYun G2PQp25SOH0yyytEIhMyLHfR0TJsnGEt8W828HkKndtk56BuxqtpCLVhGcInqgI/VsfJwYayHp3I yqXnQhjCXFNhwbXa8JqTFGxaMMFtlVziQql3X0+yGkgYECLLdF+quZc+GxK5ZOEWpvt5yEmkBBGN Llsx7BmyM3ZHM83qRkxXEt0Wcw2GSgD6BM+ho9zFMQNtSSW8QZpCha9oC7+AOcwphG5OKsdeSd43 9KP09vMmYcJvTsGJ1IIA0YLrBVvFO4/Mn2BC6XgfT/bHlQHfWV4XEu+gAa/MmcxXoGfupnwMo32s CnobCfrtslLBoNGeVQls09ptDs/QdtizTS73876HQ4myMS3G3/YgWHLgwvvDNpjejj6bxIzqL6JM r/ni93nF1riXOkr7LASR+hv9HtlfvRSCocViPWycdFasuFhKAlndrDv8iqqF0fId4UVW10i6uKvD WiaaYG9b0B5sewEBv844vBLRIKXmOClvahtDlKNX0XbeX8a5mUFOPdoZkiEBYYfIjjv9H3ZmbNtJ gwG/SeQ4sEMIunavlVDB6jz0lZGy58cuB0a6CGkkOyViD1a79xsQWsAJv69B55yWTgtExaFfK6fA PSoX/9zdRaQ2sLEZwQGqVBXiSEJq05c8xlMAXWCJpTfK0YFES9kdZ3HeAYtUmrxp77EVFGeThfbe Yl1N8FxWWdSC5jifpQLzicI9Lt9yMGTr4LK0HYDG+B/jVEArL+hXNXEd55LjQ+6NWx90g8jkbbVy DbZjcu6m/qEG1o64e4x7MVZiebEGuyUvib0dnXQa5vGsAoIdLr4UqkZV3LjLTN+kWkp/8YhKFwqS om3c5EzQ25PuVU3H69x/YwqpYlv5bdFg6MGuDWz+7WffwcA6qA2l0s1Vv0JTAI1aKLU1HDjvJZ0P Yqz3FYvJV0wsgQdd7PrMcIsvNLEc7a6Wv2ht1/Ba7LHDth4wXJF3O01MeBKPcCLGfHJ1BNUF+ulO bYCWZ151IC36/83JN9yydn6t1G3It/qrDhIkWEnzaWkPxxIH8lI72mSf1WykTFTm4jokn1klrkx0 DanZ8yny94dzFPiQ6v2RhwGH1QtRMBl5gQ5AG1Uh9/eTveSJVanfN38U8OQ2Zzp1vfT54GcwdYCw Bsu4nVwzqs1BatNSaa7gae85c5TMLNfd+S0mLmF7qS8mi7FjKtnMOAHY2JqK0cD5GL9+wjFIIyst DkJd/nS2LbLwjRIzmqk3rcruNRX7pqu8vinZ1ZiQIpJkLxfnTsmnhJnG4NexKkmJlQlbamSARuIT uZnmdOoDRpOQtLFP0C7tzFZCOdXYTX7OfMexKq1woeCM4Q/O8YSOPBku9BQUJqBjHbM3Ijm0RLt/ LrtwZLgxOVduMLLS+PQ/1ij0AAncTHRE62TTpfKnGYfEPZwYXW+ypH+dEr4hXNZz1XapJ4OLjcNO uBpCh0QbbXIJjpueqWszta3gjgBlpLlsTaLwBETxYljmUlowywLW3P9yu2Mao6BEUopaNBG6B2vd vRapANht30Y50QIvikgJSABVNT/xZeXCk8Nd/RPmnot2b6sJPOfuOX2xIgpO4ekQ/jIfS1njYsO8 IpjdJK1GxGxHLsatVg+6fHsvtBOYzjKIVjUqt/kh1a6w6vwqoOV53H90H6+aAO6ISpNGiIVlRNm6 yAWAIgZdEcokUq/31iM0uA3kOK2QQcW7/zm2qpcH76idCAsqYa7q67DtRMEVxDIbFwbtSQXxdcMj 8viYnKZpjQlF35F+omMHzD9fwbZoJUeunnn/vrYWoGC3vtqF3YPAVjPxGDDcmW63e81O3PRrpgUp E8FJJAqhKETfuOBXWMKcFidaxKODlO7wlYophG0dTx2gXOwSLP0LDRkh/hS8GqtjqbROnO2Es3hE SwkZ58tYMnzQqNiTII7cgDA8SW3v6mO9n9VcK4zUrXV5XdpI1HcYrKwojIlhJv/3804b6T7k043u XjFAdfRSOeC0/8hhWvSbxV++dLKY3zfn7NvHCL3ZCQ3b88JMjeLFhldhOmCcey1ySdwpKm4ypZPi iXuMAHb2XRM74C8IPs71gCpWzjdfOShHNqIzIIUL9SaxSvdgJ/VpuIdLqooxswgi0cMnBzTwcaB/ FiMf8vac1v1RnRGTax0qc1oBO4lS9aDYpV3A0VRHn3Hh2J8WvY6rO52Po5lJQMLUe+vD6fBWOjqe 8ka42HiOio5EsPS2AJ8CS+f40ZKKY/svz6ufZ29l7C0HjgK5E8GEmyINS1h4OtmqBDj7kqMRjsOH m9KYW/q9PKMuMza93DpAdFY2m6rzAQVngvZO1h2F33cOO0hg0ZkHoAkty9WTGkI8ctOs0KpZ9to3 omhqjpFyyXtYmRVc5V+1171WHxJKibe2KOiGtlzU1P/fb/cOSb4QHekg+K96gb1nNco7ZBSk0xp9 oZwuMRVeJIpvu0XfD00KU/ngcynB6KcyUUg4dHHX5WZncibfu6esV30O8B1X/+EP0vG7DsU2ejfA S7ioQF88rqxuA1uUPfpPT26zS4uI1Ye57mesKAsZI5Gd2SkDr3Pl13Cv3TWkJbOp0PtaiF+URG2a UYArYdG8r1IRrV3r/U/KJhjMGGNBJRi09rzjMTbBwqmcj2MUQtG8/oldWyFZBPnqJZrIDd9A4vg6 Jp866c0OrB5GrPoAnSBV98fkJ55S4jdo1/gjUVossMacHO+OM9lVxdIVtMnfNQYOiXT8yqPgm8wO c+xgDu8hStzn+5+BOV5WrVdmMhXo8tZ2OG/wOYOw2WadMTSca4crrOBWcgByc0xnObPQ9LDYdRpU tE5fOA3n3qaX13Tjqu2R5eMEFc487WIoBGo4KuWPo96kXXcJjjsX1f21DGPCbvV3rmECaB1JWBNm R0Av+c8zDwE9j2xmS/BhUAqe3cvOKlsX/+enh2kU/5+amequC+HoS4sb8LWmtD1vzpwEMInBLJyQ AMNzacBQdvj8kYSiuj4c1/PEi4xK23xoo06bVuAWApbD/+pCRJq35I+eMEepjLsApi189xBVKXvr ezceuqpgGjwPvL7351JszGYFjEbiR3g9Y6JJxkaYI12QFTfVcYNnHJHdYjgDsQV/05YZWJYmokIP as9sTrpRQlNOSEXU/18SKtneTewYL69UWbvSzY03Wdhx0tEMRfHuE7yB7M81VrHuJBlvWFqpi0jS FnKOEAAktBF5kftdRpn2f/l45uXLOU9EeVYb62D7GkSuNOUQWTnYIKxM0LzIf1tl3agYjXRKLcGp VlM4sEs94Ua9BF0IJg71HnDqv+EeiH1ziYK2qgoqIhr25dGaHSyepwptjMc005+TJ7bTXelN6G0X sFehzlV7KqYGC/xQtj0HVLZkLSOMD60+oAPM+vgoBJDiAkU5oX3kP8Yhv/QVEbc/EzNroZPyAioE QRq0+/6EVssEWtWaGav8NMxAuyKw+OnpNYiTrf3F65FULyOX3Q9UDuQBnWJFxc/yxH/873GjiAGO uCKOLDFOK3D3GwsFZ3R0kbhKnXLHUhsi9ZKQJeSjtuO/VP2KuSWkvtB8GC2kwoxtZ68Uhso/57Hb XN3GUTPhhRFs52pN1BG5N/mw7n9QS2G9ejqNI4EK5DH33lkiG8U5yP7tTEA2cz1ObcT01I6mPycf V9BOhGOZol01LTjScd8gZ7tOFyipzDcmo55wnp5OJr0QuHPx6YJU5lpeXHRoZkk6Vvvyge3TBS4h E6uY+CYavmVcsWyBeF2ynDCrUQOdgwLh6WW5e76LoOY4ly/3f+LThSyCixRBHQuduVFz9x/JKfYf kqGPRzwgF4z3o6k2t6ELY1Ja1DikFnuLY/ovxxgKRYYCP+beF0Ec107LPjLJHN4O466F7Rh3Vc9Z 5tOpPDX8hfHqYN+sr0BXK1PWwTGUUI53bNRfjy8smP6NJNl3K2eRknupOj3w22Atbe3fOUYEEwjS zJVAtyGGyq5h7k5IaWST6F+2ao1uRpf2Z/pt/2RVCtPayYiTue9h+AVBuAWamMfgjb5INFRTYAYp cyEt+yS7tYKOicUk8sQA1YZXqMcL2ZnyqxJ0fz3gFHjSq2vZ895K4xoV0ZpBxFgONyYS3/uy/PAr 7DCwdwks4Lne9dMPSOuAMEdMUp7ThhLa5klNcANJ+KawLmMs8+RX+Xz/Oyy22HoeTOS2hMr9YyoT B/6kSKs5u936ChXnbEL5m4kwVwQxNTkstOoz+2ZH95QcYVh2fxnEwdyimhcNy3CRCwlD+B1d1KWR OFJW6Geq8fxOIIDHbHF3G3QGkVV17bKRx0+2cIJ3fDSEzlkhmJ5Did0zrHrWBLv2eFtoo70+RXoE swqHeD1gASO8C1Z8y1zm/IofUitTHnLcLLV4AjiU/2PEsxWRSan/YDHBZ67cDR2xTHW3m6UiK4Q7 ZWnvVDsEpFMZ0oIjpBvgejDwjhsy2GJ4x+e0cf0EWFATjJW+Vt02sE8pB0sI9OYAecQFY2Z15+t4 tmrgm/vun8Siof1RAcvjMVTpS0LqF+TLBMHidaGf3hjsnW2PrZqKzUNO/4+GeV3ppc1u4DG6CCS/ X3it0RLaNCKKAR8K8hHZc8F6M2zI7gvK0/xi3bXnAqej+GKIInQCaXB4LDNNt3WSFb/U6zHQsX0y tJsIu/Rm94/aIyh4ns/IVGK5ukOd6rcIKBrr8IqHoyw/xzC6V9FxYDQJ35PwdASmsGI1r/C1t0x4 Go6rLDc3UtNqGUIr+Up0nRJKp4GDA56qE+Hyb+ehzfD6eyMEMJOdI2RH4GPmFsl0+hdS/y7pujTW PCjF0cb17hR2+Puu/G9mtQNyFS63ruk3QZPktTXfpZrFXu8rnp2MQb3Icmq4D7OXJzZ8sD+xbbTf VsdGnhpwcXFMsu7zrzAQdeUjrWY2t2WRadRFLyacWCyD0GtfkjiGaUUNxsqUH20xV807wEUsYUj2 kFWVDgkZHTHaT99lJ/Gshv2J38jaUxwJL+0oNmZ8xrU03UZjC8ON3LgP73nfzseoXsivLUDrTpF0 SVdHvxBmETEUoRa4tGGsgrgubF0oskcc9bSVy/hQp6jScgh1Rfbfz5HUGcaoOIIl0wc9EqZ6Qh0K H/D9vfhcg+l8jFTj7YQof/z7uxYnq8tqpSCOYXbynpUMW29TYPfIsN8y4Z8wGBnWclUVqYaPMV// zbjJvXa4vHB30r6iBUfjlyaLGYNOP6sVUbDRRhJF48asZiSaVrtMGR5ea08wGyKhrcXyZW0+a4K9 ZeeBvJYlyRkSJ3KcfrpCS3LPpnenl5tzbGURcJdLevU98nMGCj7n1bPcC5t3AfK3Wjf/KNms8iec 57YFpx6eNN3OuOhwB78aFANTGzHJDd+15TysLNoQuvnGpjcy7iHQAPTWinlaqbT7SdbFgVayAp5F lIiEypHB7uataCozRiZUu7lQca+g1oCl1Cpp//azqF31ceuvaqwDJLh31DZ8/MvemyF2+nkbhHWI f+JS0RmwmHi4QfQ6UvZyGKfmvN3ZcCY4MopDipAWVQrV/VOsyQv1OHWrv4YAvCsBcRUULO6gtski aSjKV/Vpmhu8wTe9NTgzaK96DB9ugYPBFf2NdoXVcuMqwRJNqHGsVoxG+ZosSbKBWKjd1qRDKLpY 4tA7G5gi93Mxbr7pRM5f0LBjU/VEr2zCSFnZAjFVAH2awmlfeypo5F/CuZhIoEAHBtkFyxEXtLhL rVfd9CJrvB3u33vDL1S84h7J5hamPxwK4SxFUFXIGTr1cFR3i8GioHLMc92MxYbyhDhqhePFyVRw djR1OhQFixpX0ZDjk3YoGKtEjtwbxk7C43iBEQaoQzj1ALeNJhZXbdgTTAKupq6yf13qElyTSXmx GY22GTIsi1a8m493dY52LUNrvOWlI69iGmg9Vt+LhxW8RTGj3kV0LVPQ7s9Q8fAmItgY26J6OV/f tN4yP6EcrBlJZwXmzprvVD3Bfh4to4nUBN/qYUzk95BaOBxsVj3bTFP0yUcNTAF+5dP+wJ1dB6hM XSo4Y1lW/MdtPmZK83rKglzpNdxcT08P7rzj6LzzuCXwsjzeKsaqBOqftsW3IefGIs04WKQyNiNl gURva2bdffFjr18eNGY1fdIG7MG3/Qd97hflXDQxzIMdNbager2CXKgT1v4igmIB61ucCS2Lefa/ sSRKgGNQERQwAzB5Jy9lWvqXneUV4XugnXz3RIEaSP56gTSvAnAQ2M9G2azX3T2l8nTpw5R0cOIH BB14iVDdvFr/4qm2CGmHiweSTQa9yAXnFtPAJIO/61N/IGGf2Ey0k07q6kIYc7NOW4HMtQ5RKDuW I9/DxhxJLKG5KsAsR2dZ01BXlVdZKeNUsL6lpP8J+AR5yitN8+Ly5p59U1DehFiwk1vW2XlEKmkV XlZ8PzBZedHYCL072jw2FaCKNa/yaUgkhX1Q9ncbYHXtpqWyf8+7D8tcjYDu5/rormHij3dh0nCW TItMgiNVwHQ6joxeX3ZGkPr9iyBFLGlPMHwD1BR4O0MqaywNyYgMNtFtuSAamCmDmRxn5Rh8uuXp U2kbTA09wJxU51RsxdZoZCP9qpkMUafvcwd35bMmFU1QZTVsUfxHuODGSsNKci4k1enxyWXGlLhp 2yAK5LweqElBVlcwtg2GdqAfVMmervK0NO9jrGus+2Xp5YgjcI2uNFTMJldi0ECB9Ia4Hua1gGtf dUdw6LKvM9rXqYr5jSuO7iAKI7N9/is6fOM6LYLzSU/OO/xEQ+lU2vg7DZWhvlX7UkiR2kofWW29 2svbRizPN02BEQrnrMHsmPwWORxMWNdDYm/myfzrwWCtCY5y9RrJ4KGsfD9+9BIUWLjzn+aAIAE3 grbIkHrLr+DxDB3GgRkGiciEEHfa1OGxSUbZDnZ2gT2HrJPT3+LpeEHSyZdJkxECGkuiJURfceFq WGF87bNm1HIsDgKnGV9Qa2u13Mt9YHDRezH9mCzwZXAqgd6G7P+HS4ENln2QpQyIYKXFLfeTD/D8 V+AKvFb0iJda0VICTlCnyAIolkTco5dfbgiOTKmB6NBCoWYuDbx7tPkgJFnWzgHVbsIVo5ftz4Ke c5Yem0tTkpVhmtS/TC6qn/LQLui5dMOMqqZa3Cmmaw1LWVzobeVql77DtyLL53vgTkt8I4GN28DE WjAkcnrOd/JjdeSAA+kyiupPloI+wDzI0hAbR1H9YFFRIofekbiNWhy229a06JzEel2uFub05t8d gdBHcE8IKteURUoWIa2NZzIQU7PavfpdrdmfAaonrALEFs/gULtIcaG2XM6NjY8s1CYpPb3JnTcm RL+8+Gm55SPIDe0dH19q9ye3YD6my7I1tRBtpgfJUXozAJSJ06lvLURH/1SYMf0o+t9cTgvttNA5 LHAX74WEV3r6lhc7Y1rfJdoCJp0/6eMjGrh93L7KrBjhcGL8VZsi+274y/dqOslgNkO1R/nM7VHF 1NFjUKePhgwQBgsqgGkuXhaA+40Ab7J0ctJejvBIEUaPdVrH3Md6FvOUQY95kjy5MQ4+YcWPenrB I1QP4qlc+yTy/4NDUT7uzd7be/MmYszJdTY6r/Dt40AmPP4IY3wGtfv80/JhitNvwYb7KBkMJKvk xbF7I5G2HmBqRzKabVvMjMo/rV01Sx3yA8Lag2Xv3ccSvwcGzJOFsRc7bvImzhQWhpxIsFes38Hn ahXE+oXOPG6SGsQpa2W6rWZ7oEc0MgiIMqbBSWParzy/cCi1dbUnxTIBB9PNUwn+anXyx6rGwIrf 5A9FvQ5gOWoZfuBqxt1kMenbd4tBjUEqWbQanq2UUGbdK2YcnJPlW01SnT1dUss7UG+T+hwfvvtC Dm+7AmsQh2FoO9KBumWcq3rGPFG7VlkOFZXG2GHza54lZfgc5vFdRcvXg03FwhNaiXIDF5E105Wh DufV9C3U85IyEXzRZOY4mhKDD1XMJ6GHl9TVZEwHdbhcswUGNMAKrjC1H7rg8NjAuoUmIx/FUdzq YKhqsZNs6OH9kXbw8a/uKIQsK+Gv82mvbx7StSwoD6b4hu3axTKPYCMpeYD/pZqhoiFfj7v4qw2W LcL8w8vxth+j1lZvbPBOTeKJL57rIrFgIl1eeL/DYsdLu7vY3Bv6nhtuz6lQd+5QbyB8SoBXKusl d7kZxZ/kwtPTBQbJ9GjiyS/ru9fkLy9rwAwq40BiA7OIV637s4LV6hgZ56H+Hk7rJ6CemnjZ2YuJ An1ZNvaCwdoW4xIinCDThpRXZcPpsP7hQ3kg5heHnN1S6lIExE98LxmAJevTgI2ABj6XMvHdp6hO SyZZicXp7DsRAHBxm/YYiJfqBfVW5lNcSyysMEYkCSb0vbTXKdH0CZAOVItSao/Lx2EugjVLSB69 JBeSnxuijU3BcpJAhkJC2Nnrjl18lBRHG5d8BreAyg3Ntd3yzUj3RthLDUCXzp7GHila07EdDCUq NY6zqGEyVxP/gQ8YeGU/XsGAum/noL9zPkqyFI69JWDRuU2azT+YW3qThNWT7lcBrpe7Q84o4+jT 9DfjQUwkaOn6e+nKF/Hb+OGPYz6U88WMK19P/QvQzOmZpViAbcmL8qy59nv5oQcq9hIlisdlPbSh OlHl3WpWeKrlq1ybdfuxx66OfspCuqIF9KHzZWJsmqGvpS0xcH+RSRrBIXvdgCbJ/v1zsgb8nrhD 5xt7L23hRPZkPuXNFk1DmGkvVOAOURx7smkV0AIy2Jqb+thMaXU8uQ7TNCmY7ECVHC7q0GReY0fg sGe3rBXvM1OuTGfKkgbSJa6fKLM3ckmkUzF505wndN3635uiyX06yIKW/M192ncytNaFS7y2/GkO g7hD82Bu6kZuPn89+7gSdFtqHSJeTl6rYRG0KLQaYKe8h9l7ckvfkIUZBw6YT8MRbI3736qsw9NZ Z/iUgLBtvttkHlzCDZGZ6OlWeKBFVobzafY/A8wQxBp61kca7NI2VVUOGH9tTZsN3InJMlhSYDqO CzJFyoEaxKXtR4HCaOMT8l+TNvKYs466VMOaLJRu7V/P5Qk7qZFP7l34y2NJUnW52dPMfvYDj0Ln gGd3BnfgksrnZbknBgjgIXepfXsxDVUx3t6iRm5YTnVU+ufAxB5pfqu3J6CYCMz87od3gX2rvGgg c8rKusaoG1yt6Np1r9vAuQ6EMDyjw9d1xei+uC7/kdKvdwZTsDVR23KI4u4zjBkzo9com7mzCODV JqrHe6q4wFl0VyW1+iaHf+icc8hVjij5BdFM8dcmNHdg9weuMrUxgJDJ7pOZ8cNkOxoAq529IWKO t4VHNU4TzhJ5RaEZQE4CSkdZli+fQzTwcX29KMiZTcqFidDVJ+8zixdPhctpvJywA6432noZa9nm G60GeeCD0aPECTX0FHDfNa5UYZygfNB8k4SzbWSLnEhmLIksx+4Q5xIFFAjU6g2gOLAOYmH4pnqd bKIRSq6/CACUBQzqB9dfm6Wq5UJI07Tu86j2gE7hDij5zdDKSXdpvDCKp3jWkLmXZjBUi1gj1ItH Qav2pvjeiv3vMF10hyW+zLtOVlSfDrW57eMe/iTpp+DTuUvwT9c+bEg3Ey7C3O2GURkWy+QeAPcu cLjYDVletIADSOaaVcWt10ztpLoVTvRh09hxCoUo//JIBXH3AIriNGCz2Om0lZb4L5kvZAozRjvC X8GCiJcwRCjaTlVojx+tz9nWXpvI8PRHjfyHTOv7hPOErkzpPRR4gSOZ+MERJv1QgM9H6jLlY+VZ kZdudIuJ5F0MWX53AXxKX59AuyYlNwUXzKTER0gKnvZspOMYpzvJqv8wdGiZjKcJvQv+004NEKKs OpW4MEpi5DipP01bDrM/kGtP0aHI+FXucj+h2gXjmrj6NbEhb/EHEI0zWpBuL0nY9abxSXu9vWBI /5/4g7ltiHokz1ky6FozzMTKuWVy1VtgqRTrtoLia0E41dMlBynRX3ZaSqcEsw1MJFHOfZartrsK uTrPZPPmmjQ7+dNVSlJ5HXLylVEml7mS8l53ftZERTQ39TCxGVE8Tq+pNgaXnO/2iQdAyUzZaUU7 853zGNPGOYzTOisnzuxS7726nadhBaHGdBzgqJjd61qHMvyS+C4tTZpwyA4UD0VETt8YvFQzAvs1 RVqY6hQalqY3ah2QHwSVwyxHtGoBPW/uqVJ8vF0071PRCCWGOmpX0jXwlrrc9u0XSsrk7FMyq9L6 KfQgyoZBst7C8UB3NoSyEaxpo/xUHxCcFsX4320qg4PjTP2BM3qsI5ufP84ZLEMSfROM9XfG3AGA vwcdXeboKk2YmrQtPQGVUHcqL5/zR2Kp1Q90xGSTPvtTF0O3rYscgOu4c//eQJQ6gIyp/8PrAEu5 zBnld16+kOG1Kos6rcZQpDoChYdBvkCPhPJ/TVypSWS+e0rXnDxGosRg0JuwjmD6rIb53pZqLX6n jO/usEFH2FOlu/+sQD68f2uetcunj5QUv8EGP4/j77smomLLVMmx18IqPeTyU5482Zsf5Z7EfMyU eHeYheUl0fFzxz5OTQm2wBg0UQYTh+6JTeW2fEIeEa6tCdd7Iklhdy6hQD5yFpPpOjhblVrIqCqX VTtosEagus3Z7WBgT9xA9H47knSGTCefTtwNsuNSs3ji6Vhc9zYoNP5ieitFuqxHeQZFK7sWj8E+ 0STY+UG0ZYH66rfpX4o6VCXnek+mEFzR8u4QhLQuZIy1qwjYXPWVjMzTUo5ZD3XBSY/2LOofT4xp A++rXS1NpDZz/KhD2IX5+HrhmyK9OzsNo/9k4szRE1DXnpwHsuj8vR8SZLq+0MjZG8z1VwOyCWX7 MSSs+IBJD4HCrDByez1BN+JxzhfQafC8ZWrh+erBWPi8CwrWeOkUwkithrxDg0OWGLnYvita1THK riAF5YXhgup68xnXAqb6HdBPFnW2SGCjI7sFP6W1EGobS4C4A62kTNzvljRam525b7s6hmDCLYYp 5Swi8+EpBNEAgqmORckkj+YWoHrNQMAQVBk71xkko30+VVMMeFZYkscHQP7F4fIYC4HvHUeQaEq7 g0ZhN8Uhz885NwzmD/4XZectEIwta2R61nJO0LZZaZY0191QWJ3t82thqmkrvkY0TDuBDrLpU8Ui c7kuZeLnClOQ2ysS4D+q712pbPc62JQYnTEQDEx4oqqiULOEPHu7vnMp5tHEkjAYy7gMnRwJ6JyC P3twz04dWc2goCaUCgXQKT/szEUAVwCrp6+42o9cc5aF7JN7luG/yYinXkxS0nrCX1zXwzCF0nWg cU+m9v5GE463FSwLDCRuBoBypmpS0TrtuGZkOXtHTCq4eGASUvZ/7nfIPEPUF1ADPknb3pRRGKZV M0iiYQv8GVxJE1D6Xadr8UpAtpO5kO5ZkRno0F5AsqOVKvUvPyOcxwqG+WT1CWB9Qs7nhRAC7Tf0 ssRxRvJTtk1X9tNZfvWb8H89ou1DMrRk3p22vmqnYOTzOqlawun+AHS/7JNnEVowZVl3xFZG+XDY w7jbjLr07Ib/Xg8H5dIVrKfUyLwI/xxaQstdlpdtMUHT9S+rZE/IN2tDP+8aumdjEH/xvGWJZWvV sr8FmhgrJrWgPhFYYHNP27WPv+aIBZSZZhIXEXU0Dg7z7Xd/nHdgPHv4+WOnnAOLSqb/IDi/Gy0C 2bZIa65q7mb+Jc1dqls/HScz+nZYYgQM3yJb/ZKkYgHv/fRlz8hhoNu19J3ILizUnC2C//sHwBV+ rzssyiQqq/45RgwioXOX6NJ3+7g2/5QYe8hGGvVrae51Xh5g/PvTDC4TVKM2Mue1wWfmi95Y7cv7 phV+fqWAOA+Qpapxxb3lXnQLtZpl8H0SBrVwKi5UNBBkbm23QphYFlTuMJm/0pLBii0qc6gTOvrX 9PmWXmAy+uav5k/xi2SolhMcyETW/8uPRlRIv78jVHj303yRPVPAAO7oQFdro4bsBql4PjBCiQSl HBpYOstKMDgMZjYU25p3Yuiqe8/VFoF/RAr1Ivju33FxPuUNpkg0+eL4vy21CayGDW7Oq89pvn/b IIj+w9nY1D8Avt3YCQWI4MB9ZWzNs497la3hDraJ6rWLQ5uKlCPOljGY+1EQjmfELA5+k5rcAUkQ WF+c87tF1tUvZ2g/GPaP1QgxTa0J6przMSNXt1mnjL25YhZp0TZeKOY1jfwnBh4E3ycTnkN9Nd1n KWIrvOxkovoBn0J4OlBX7Z4NRwBZPr2khYS/1t75MkT7LisY4XOlEwP/gznbgI39sA+8xjOyLbyx 6s6GxKfNx+CDGNG7kc4LOEWaLYXRQIccN5oMwnVFPpAA/SJYLO+Nt3kGZOfoBoEXgm840NOkX+DM EtRSl29FNTVEZ9D5O7U+ojru7FfOMGI6l26G/WitiSbqGxBqfExT4SHMmliMT6n8zy+C73PV3+LA 9j8ThIMxf9k3/OjqaSFpTFyc4kZQ9PF1D0Q2IjG/N/1cWXXpjmeY5TV6m9G9xPfvKCKV3K/LXFlr sze8S0SZ9JU3bahSkrN+WoKRnrQ5oiihvPtmmx+xJn9E5mxrCQ5lS+Tiyoa9U1bLCjcNy3Zo2xAd WnwP/UQevdMcJBhT6BHI+Z7Ze8fZPERb+8gS5bUnBjHEVifRkaLLL94sJNrKfnuPW9Sqwvs2bY18 XGI4nDQenSdMbH/WKzImN67cfpNGl4Qapkg4vzxu1vOLuBTt5Ir3oKsQipJAJfdEwqjif1tGodPk m1I5DjCisPkQPR3IS2Vg82kQDSLkuFj/6C+feL026K1yWxOWGRbGWtja6JOHq0vW5ZgFb6kYHh0H ft/8t0tqnQXfBYcsVw8X+//A8b8e086RvDnt0vBpehn3mVMzEhgt+HX3LpjKLadyl/2N3tdmUZXg +RbxczH4lNDPGkHCKpDiBFHtMbK1uCSDByBt/3nqWHh0hBKFiMDf0EBDtVqT+B4XBSXz4N34IxkQ XAGS2gt+FTgeiPfjPXkobaoSghTRC0uXF57mo4AV2bx+hNPXh9NftumgbXdnqlLBlhWp9qGyMwpg LdqbQ1RMylTJkaHfag+74tjY8+Hs7B6ycBVPj7R7/OlBilZ0ZtJrvy/AnEIwP+6/20S3FRLLfSOU ehRnWGwKfv0j4Y8KtS1BuUUoaIFyTSnociaieARagdShk/qSeiwQ9mwB0x3Si4jfysZ2Mze6uY/J IFL0PmJos5hnZE1aq5ShrZ4zpEyqUZQaXr7j7QJHClwIBSPRxLpymcf6diIjpi9bVjoqQvgBqxW4 2YTrHPPA+2AS8C2h5YhKkXMcdWf0sSwzO/pa+pIUnlVtfyd/1a5/7QNH4D6mQrYxOTS9qCnkYZYa Y+Cvq2hVln8o0qt+yzmBNLTBwJSJHXkwy5ljEZIhvW4YMNmQZJlMR+j8vZwK7nDTHU73EFbosRpf T3uk0WVEMPYmHyVTlMrZ23z1jYQj4jDr+ZHXIh+HcB9kZj3ZrHbwYXLgEQu4DGaExnGmlTLyOAeH 6WJAhV8B+EMfoGRT68StoEOzhccAuCGYohJ9rZCHiVGRP51F+GZA8HMtO8/RoFL6vSET5nUGZEmo u3qyakhpqJnEs/NpO1LHQQjMXJUs/eHYI7UAnsFhNq77G+rtb3O7LhT/3tHyKuxzp1igC63li0di wi/udcCr0+nNHPlMJRehlzhNVjwaGH4M3RL8OPNp2GKS81phR5m37zFPeYLN0lUJSgmD1o7Amm2d 3LSx9jeK069fC6+rlvMvPjAuZKXFE/hoN9eCBA2xrWRRLAx/YoHUd2RsFLKULh9iCmpnvke+9jL6 Esh5GYHuQIoHnhYxfZR0EUO3JDQg6TkGEbFtJ7QsqFg5rDYyD7mZHYicwL3fjxhJhHns6oJuRmAr rIHXASUTRa4Pc7VL8ueEAwoplv/pSEBl4m+bD7+3QBZ6DrIoscEdyHmeYxLIXwcRvZXuUurleJWV FzJc5XLdEvr+9MiYMIIxIASSOcyb2MJUm97n9inTidkvMyoteyJISuAqC9sXJDjpIUFWu9cCcgQe 6qg7DUbj8+8OWHq62g9cB7yMHVsrTBcl+DFEQ4cxcpbQruef8gVO2yR6w5Re6US1l7TBf6JTJ4Nr RGKAihOPJ7vITvVhCGut7wUXsqchjr8fX4/X3oCB4XjuwUBOVUG1DiXCGkPZO+BaeJ4EnacmNtkW H8+o2zwKs5rkHMV6A99+QXixsoP1K/XMuViSu/JCEsBhQG6/wkWh/stl25KkpMW94a6ZGayvn8cm 06W6F6iwJ7KVmybgLYrsu9cH8h5EKi61a9bOrmoFW7/7w3xSxsIDpJoH2o+j1CVDmut4uh8CC/bo DsmQIymF3S+C3ju/XMUZAie4Y4oYmEflKJwte+er826Rty9b9Rw7ZUnID+T6VxiIwGkC/Ys46W+U nxVjDvCJaZhYcs/9VuE1tp/o7iNtn9YaC248QQyYe8A51T+nz6hKxMJhv6oaAED9U4TS8d6hVeXx Gut1E7M6PoQevW9kCKQiQUxxOo47VSPadI3KDpBsBLy/zFptRtBGnqEkvoG5JO0lRnKUhUhBRO5s vMMC/7qgRAuluwRJAcOXveEBePK8rlQzuEZNW1oIOlgYIz91f7syJnPjXANuWjC/NH0fMHHvBM5T mtZWj09CWhKJKBcpVmeLytCZiy2wyWwEDyPWVGPGf+2gcRTK4kJDE1hBOoGHh6sU/lhAGbby0+NW Xn6Y1v2DDRQ4lq13TvInBIHodd86pt4oNU3qZXdgH0DQ1dcBZrsZqatDipk5y3NT27nVsYnQi2ul 7Bl4gi0URnGbUBkDl93L0NeN3NcPrWlrHtIoZ1jZtTteUXAd6JY1g/NqYGfCDQQaUUCCeqoI7r3a 9wOejqBvis+Y4G55sD1mGyBhcg6rrbHxyQ1gsZ3hn3VWLhSrMwE68tj4ZN9d/+te7YEWI1E1a22m VAwTl+Tt5yh7rSMwtiQtDqajBeEG9bHqNSDuGqwOoZpfIi2zHwYTslsgFMlAGl9one2Pfuev7DP4 OtoKomrnCZiamGJeGAndV4MYnqGJRG6MSuQs1KZ/J5o6Writ6i2aRRDh5xhjDJjxMotNV/wblBLT UE3dhE5ybXfqlDXCsTUqyYyNrL/C9cpf3xhMblfhtq5VmKBYxLU9LOC8mNYz2oKNFPbIdKnUzXYU qNNNEVgBA9en2A0aGL1VvnfGUsFOnQlPQtB4rLEf2rDWeIPTKP4vpWTc3BZtArkrGNoyXL4twNIZ zBK/sVK9uQITs64s9KmeFP9PZoiIEK/V9Ss6qGKUYsDvqVLnBNvnZHl9EkG1BH7NJhNyNmHuOwvM vLgZXT0aecM6MoPkaRO5RSY1ajqukgDAbK6OsVw+pJdGznWf8a7JACWfLrjuHDCKTRfnpAudhjT3 onNl401l320be3IVI2kAtUC19u9IkxTswp91Qoj8dx3JqqemzOpHpa9ne/wS6Zb82iczmJQRPEQL 5+oifsOJtI3cqwUAh9aOToP/HJhnBUnGM0mvpMz3U8RrW+BLD/mNmwxngF3H4AtPfOsTk2PO9cWK YO9pW+OrZyDQ8iTCQ2RcSin35vwTGnk9M8HFrZR5EKS6pDtIJNnDY5ti1tNmMdm+vwBzlUBo7YAt BZdw5VVcWPk8vFLpLmZ/+Ea3ghfjTaw3l+RAJltcszwbVLv7kyyuJaYLhd+fwNabi5vgeOyBr0f2 Xl6+GJpJKxGz+bGQpSmJ/FUFadWnDNymr+Gz7YXEW4BHerTYuuGE5iFgQf517ZSthsJnuH+f/dYX rAqkQH4b01Y1KlxOxjyZKimZCwXbvRYVC/b+mJURaos+9on9DPh+aj7aDOlFx9jClrRycQXrNbIF typeOFyjkOpWvjW8l5xMw3HBHpMpCsqKZM/Xw5aTISI+mdOcOWhRrRorxBBDICc8Wlasv3nOilLZ pwjNfCt3UFdXmronUIf2/Wr5e5ssF4pmiAVw/tLeVWe5xRxKarLxm7uZ52ixjnOZYspe7EKnaH7o z8j/M1Bb7/VmAJsD05H1OoULNDLEkxKstCw/97ZRRDp/CPU6sdzbUP6X0gDE+s6/NRMoRQ0C6Tvw 9RNgZBize0LNq5Nw1sfBztESzpcBe7A5d2/+Bw8odd/fzgQg0zgIJ+fl9Raqp7CTiny5kJJg7uyc 6caTbR1AsBHd6GruVinrJvZvgHMcbhVhsDMHDBZcxXaUCAzz+v4RAGi76nnKqrVD1/s9T5EV4OYo qNemESieaDWc8KhF/+Doyonlo/buJKbeTZjVc8DxbOuSfihwkjVJIILoVYIaT+Wj7V0nRsXa2cZR Lqm+MYDjqfjI2qTongQ6nmN+uMxoq0uyJ8EMyRTFjlLr0OW1ZshWFGPxNV29FL4RQKx+6kh9wzzv sXDcBzNN5YCGDoDSM+nYsD/+k9TCgjZP9dm+NC+WLinDE8WTon7BObEkD4SeQ6qC2YLEPlkPyoHg 1cbFn17DaDxJRUpgYR33pu4UpK3afkG8WWAfFvGMaCjtA0cZRVmxsN3hL8rn8/IsXEn6wDDqCRw+ XZAq2iqvViO9O6aXRRWQYlVFTuiHpsfbgGP5AqrkG8eQKiVEQITy5Nr1j15yYdOuwfN4ehei2CSC DhiBZzHhp7Nwg0L+MubeyGCqs4bKOrSrmCmJ7JlFiyoHyXZKDpL3xYQJYOQ6tvgb5FqVqp6Y2hCM HjIP6I2sni+3v1QCOkcUDoikOTH8fdl7fNp9lGqHsR9cfhxISBcNxiKsZPvmxhvGL9OjnLjBxnRM rdio6GCr6DKZZoRYYg02G07yH48gt0Qj6a8G2K+4NXWbMRKBqbCuPm1ay+u/i8rJh4w0PdbFXf8f iNUJ4sS6CZJY5o6ZX5VGDgb1pbKawWYpcWsr1/NwlRNiQh4bIibUz81Hl75GI3CNIDsdNBMSJUFM OGX9wgyjWAnyGFEvVVvfSOA5ICO+wShSTG3CKtX9rxpL987BQMBp+tqbEYznzMocHHisVsiOfNDc tFTh2HxI1v5gQQkBy3hZ9Nm+XAZfB3XMOkYdqZ8ixNxVRXa7RQTOXAP4TTqr/svfy/my5ThfWIly Km2sl+Svs0jmjKHL9f+AEHqXG3he34D9vRZ/+NHSG0T1UfRYme43oIiVAGFpkmtuGAOLeh7QdI5p jjztonoXhpFGNiwxjCeAFGOuoORcPp4K6SN1sgD0QtVwkh/XuW4hD84zsdSG9BpBeuPM5OtGVAB3 HMV4Poq8P40xBQTX+q6fKfrTSvqOaaOe+PvSlcQboWJF4nVqlJUQoohdsJnwTUELLIO4be2bnpH3 rOC5dTF60L/AyFpF9/99u8LUMfPczKvSX85gI3ZSdKCM0pDBaNh1T8vPG1W+VXXYgXWjQW5Or0Kx xdy2iMk56Od+IVNia7ssck32K33bXM8zy3Bz9+UFZlz7XCQbYLTiXpirWO8nCVoAeIbmpSfCEJV2 TWH8fjexa7TR4AuDkTh7NeuA4+JY5Lxq1L8zPfpMcZeU3LCiOUR/NVRRk8gEg6Yz3uoYO/bvtOvB BqknZG1mlDDVHLEAbYhWrj/tSIpq+yFKL1WqdckzdLv7baigLt5JxiEYex8VOJBCyj+LCwSfzpsa JQxQIrnJy5FKgYsM/Er2+KnIWyd5Iza4rw9zvpfUARkCpGvg336xU1+IRWxY4hOiagiDiFsa3qGr We5VQiAFrGHt6GSk+iDjEIAB4BZtx9cSYdvqe8rWNWREM7g30hllOcB1tw5K00p2EM4LzUcOXpY2 bHjZUHspKHv2v89GN9kcDgqwMALk8lXamUwLjvluxoOhKRejIATOik9+HjGC9IoBhiqAIVgwlp7W vRqvFiltq0nlPnHlCVmgWR/0jS3f1UVYg9dp35Aa/Rvj0+VcNfgY+ft4/mQS82LAvdcrDRW+/B/r NOAVb1NE/4JhrhT9rdlxcFhW6CYGk9x68gNmelBS5mZrXRFnKSkl1MS3WUYCKwpYdl8NnAVJOn9z KgMfKA/gS8Hp+tTvExk9bOnbAX4CnaYp/iK8G1f7TSOAWCfpqXyll1f6dgrrcmNqi9bGMzvhYhAl spQok7hpufhDnRxb7Id3j3kex+QqxJhXYnwpDM/UnQZACQiwbSNNrSNVCN7/6f9XCcSlGPkMY2I6 VJ5wWYgkV9sjLw1Zk4dZCWzbfUkSpI85dYKAx/iDv+LfIbGHVTJQLpIoP1ps1i7Vlwgoc5vbCK5H ptm0CPxuOGkbmzE+YqHpHnWYYgxcJByVX5L26n7bsPBUu7pHTQER3zsFJonCpQUNUI+c6HreFrqJ bjC8wVmXVSKdX5kakqJvqox9RyQ8H9RDq8efPqsMAKpGcNh6V30U1ODttPspzpWDr1KrrzlHf5eo MWEOQoEHgv4GDJoVHvrODdeIlfObrSrYSdUB2HIJjLYWzCFnOgIWbgwkJe56OBNWcbc6FDX9xx0Z 9Q5M2HlqxL8z9AS+7S64xWD3VyYUXiTntwO/tXqxE7z+5sDcpZ2HGuELOuZkHdrn3XSOo51Ymgef cpzqXUXbX+uf5MUPNIBdeuRppa2qdPTtqZV425IKCdgwBfrkqmo/vjPLXyhHQjGlkexhy2Tx8MJp sKC7XjAYecXJ75Lda+sT99IsoKSJhoX8vniDQ6brOH/LK+dMjByHpYuAWSZtFDGPuDqsKJucxKvm svYqDgPlrJl5zBv5HP2IRd7qMkZ6kuIAmxDOLfse4/GkiOHt2Zo5rtxPnEm+LbON7AxzkFmqqI11 eXCidUbi3TkiRXgjBaab2nZmr7DFPK9RIGo5mxOytjL2huoBpw58CXKltG3cAhUDzHh7MiuEsT8V HC6pSWa927WdHw49nGKviUUWdCdpuCDB9kHg3C9iqgFAAj6VA8KiyzpFYzk8pYcigemPLDku/3OY FaXlkrePZ0QZernWawxav1wfsJ3XNFjZ08TgXeDK2yM8W6jLVXvEBiPQx5e4IsLzgfROUa2vFX6c GpmQFDkIGV7sJ/WQhf09OihNsYOX6tXbMbj/E5PhMSExer+cT105eHck0uvgBZ6wwcZnWsl4tNyS gp5FNmfQehhbBL4xr7qW8mk2K4GQ9OxlUujzRynstOenPQL5KyNhlP6bTweonicGPyqexYqUVM3f nVc4kWSNaipVSKDeoHOdiphtKiHkfl0F+AUUqSgCQwf/eJrgSOFbOVJ5TVb2TkdDT9rldb1kbGaS PawFQEq3s11LXrVFCflqJNUf3qglxZQkfdJDZGD0ij2zp09nNHtX7qFqm1mcgCCPbEVm4QJYtj5x J1ETp75XnsMEyGIUEGbzpM3IgOJxmGweQUi1UlNqRlvrxmu8CUzKfp8nUmibLj2ZpRJDDw9kKTc9 pCX5gxtY84xUudrdVGxoQOaNgzjj5cxwKYTenwCeZ4zu1a6hJv2/JO5zRghTw5tTQ9Cyy4yfEa+G X4IS0saGbdlVyKxlQjwxrid0dPUxnOrkTQhCCBEo8OMzg67vk7Bs+rSTPkg8xmamPrD1isqmnDGP iRW5RqU0rFExc5CZXlw9cVooKsS5pvDaC+C/rXgjem8mMKuo40t56Pwkx32QeRIR0hbykzVzoMjB XfCeixOwi6EOxgf6LTTeiGs5EtKrvgOaLTk00bN0qzZzQpGWgSGeEkdIgoIEjGHhao+ShteqjAmX aw2zimbI9/sc84IIMknHCTpj4bUiWuT6+BQ0Ne0OFvkq0vLDQyV76vYYMFtVZhT6T4287s9A6VfN pY5AQzl0hceSxE7DDb1n52NNeDFakxMIjL/oI8cGO39Up0K5AJNlL3Vb+7cCGqDCr0yWDfgbbbXz 6tp1HhtAWAkxSd92vIeQfFvi0QRTIpGNiTMKdLiyuvtcl+YP5+hIc5G4mN7XN6Ua2/TgM4l1cX9h ekizapFwxRvDI7vvV9YwlgGqchs29j1yR7UHnYa7ZIDItMPB2Wrx0oIfHPf6mG3cjlNY2vgzVJFJ WRgpFuQ5A/40cox0745NXwGZSQWO6csiP2q9XTSn1FdmYP+iXtLLG+s1D/ZU0+Db515Qo510XXiq IXnmxrZUQFKAxbbxiLSNX5XD4pNtkOcXsmBQ6owOvXgVcoMfdt+/Ip9LVLb8qVNsdEFB4jE/vgA4 YDTzHouUjwvj/WqzETSZ+G96Jo4TTz3OUIh/lhVccN0XYEtzL2/r+lb1N6uN6awXsMDY63dRj0nL g0nfsqQh6ufd69UhtjGQPhw0AJd25c02Kyp2SUbu+BQZm3j4QqFsHWlnMPXzHEdolwCBAMzsHoj8 69A/p8cvzE55GKRN9s+cPQJUQwm7+KTLucEtibxWOMMvTJlHPo0cZus/iHLmOWvlCxQLL+Ag27lX LHJlBi+8D7biv2Q9dFbHnnYeZC7LtXChbfT3X2Lbe5ju2MAFBC2tRSbk5I6JvjkU84iDBB3Nv655 YHHER3bHLl4KUlKlWPHpN4kLtpzUtANHSQw0Hwfg16x9mbmN5w6mWj7811eeYG9CqVQ7+IGn/jj3 Nk6kpcITx2ZAvx4X+gmcoPThetPcI6+qzO5xKLSe1wwweLytuvW+xOXP4vWz915YB1UYm2k3Fedl TsOmduJO2h/Hrf1wtYbXIve4Y+47vgranMZKgZd9N2QE1UJoKzWwD3ldGD+gCrzR3wZfFEGQJcub D/mg1OgZn6G3fq+EOlg0JNZau7egFD2CYV2wR3yMfYzrnOHb0/i42/j+oNx2EM63xXP0Fb7IfluT ZHXZRM2UEufMCHCXCHE62RKUmBtj0rC+wnuiidv55XxMGSHCXlZ4eoYkd9AFL04oNy3j5v/ophLJ lEKmpZUDZQss65psFR60t1o4smXkpy/F2kwv9fjbAfCwcaTiLQZSl2eXsaE7fP8RWjuXXGHk2R3s Ety71Va6anL0lmp8bFIsPdv6zDo04VVU3S0vOZUGrzvVIhEguJu2+0ViyVMv9N745zMIhpQLTYyX LCh08CPwqWuvyK8Yt3t5cA5smxN8q/LiK/qooBYu0QJQRW+VS9imKuCjkIxiaGLSRJqd5Bw2i4sy vPBAfeHxmV8eUDpn7tymzCkAwuzmv5PsbsodMZM7PKmmRBR3E8PmfSURPB8OcgOLflx18KfmTNZz lEEeFL1lzoezKOiInkdYIoaqj7k5aaUIp9r9j+0P61QD6JxRXQjkpLjUD62UrhqvWecFf9fHnJK+ 5qOZ6m4Kg7nyq4rM3wcKEVtNz83516VuaZlsHf4c8jdwrX+LhQKMdgmtCl68jnxtde/bdM0rjtb2 23JDSadfaT8dFd0XYAQav3MdXeHl2dL3C5e6I+Mcyd86FwDZg3RwWv5C4gtWuOXB0Gp4CNqFlRTd v3n5P9MO31/aqLQcyvUJsr0dBJAwvdBWVIfHRd7Axi5Qjmn+kpB106bzD+ws0ExUYWcfVZgVMfxE qAxU9TdU4gpAf/raPf+FV6w4q+clT77pnPDHrhCmtQOSshZzloGtF9smiWH67+/LerOqLbY29pN7 NTtdHfj9JcGa/YyrM+Ht3GJyCOxAPBKzABeLv/6mkOrEIB+zkOZv55RfX0JB4b/KmvZXj1dKyCEM i3f6C4HZ+iHqpf3LG1DBZFJIuln2NdxpE2SwSP9XLPtmJw1Me/6iEfEKy/lIUfoZD6rXL/rPZea2 6hZFhMzDJAFFkExQNCtHHIz+3uFs2ybMWchwgWxVa6egxLd7FCbsWMi4IkG7PcnRuUKZnUgLA/zW p6YomQVPRY+PZ/H5+lZs125ggtVI68X79ZI66mBgyOfC1cQEYpT5TDksBm7g+Le1El7pWXsbIiMS QvXDBz0pIv9DaaT2V4lQYd8Ce1VH4ZMCMJYU/G6qrxgaVgQMfy3X0m48y5XrZmMwuVPy18FA5Q7A eEBthg/EzAvrJi19OBCkx1R0OmylSf9CXpZXlk2+Y12yAitDa7vTixJPNMVM9p6B2k2XphRW8cdq Bh6DOCqzLA5724I5/bpu23+VLKvVBLxUUVEuTd25k9HXcTnG3ra+w8E4gSjE0YQNebGSY8s9wNfK yczWVJrDV5Ajof1mXvuPmxJp+UuHju0ckRGPPLua4xwFmIrIHwWinuG6VXdps9818EU6pRktJuq2 pj4EAoTMhr9N+trnmE44fcIEazAf7w3UfMldRzmiM1tZChGOZ6WBjEDPTzSsz9DT2Of8CnYxjmlH Sp9UoeP9RrpmFg+g7PWh973iCxOT5sR61vbwXh9VwGc4h70nSATeCMDzP58KQFsMu25hHQpcTAWF cTs8b1gxut7IlkjyDxRKOVJxwgFQdym2g77ySV7cStALdqM6+Jh2sF83mr6auz1k/5Sk6fBCXoRP xv0woKry2s4rBTgvWsDHNZiDfVt14ViGXVnnaHnfapHLvhhpFMfVn1cTfwzU2w++Ab2+FdSirrXn 3oeaqSGFG3JkvUoEVE1wCPD/MSiuHF1poi1JwQ8jqe69QB7z4+xzfo7+3YQiAD0lvHoOMv87bHRM lc7w2uJjeBSeguekPHUNiyyB+Fn7Z9ZJDaIW+kHEeX3ev/A5h/yOWICvK/UN0ON/CnCKNDIUw5qz eKw2zEzFq7KRWLkGUF/z9b8ha50M54qYtPxyReAuzopogwoy1ZcDmDnPgoHzBxX6+IsbkxjagYuQ G52xOi8ebYJ5n9o1XWXAa9dt7aP/VLwt0jrGJQYazUSWpnE/26WqreGe054vVTRmxu1p2HdlBjv+ J40i/q6psxj2cQsyyhA7w6vGIkiFNi2kTbR9+MTE8NEiLiOHwW9OzBC163C1wl8lfFq4lYhZd7BK UV3Dv7Uz/mX24eNM/UgF44QQqXATQnQz2w6ukxAaRRjF/zzFG9YROfeYaqqwgl60zCkW5wsjbelQ wO4S3M6dox2cQGYWKLuxmx689vIqDZepyCwTlWz1xWB8/tWBwNG9gNKVvSGli8Z+T7fpx3RCr9dA gQWmQ3L9ij9zXcGe4vWhYryhsSfmvfgipNrG2n/088g7maCNWA3SEiCJpBvu+9Dhfz8GbsO6eyMH YxG/1+Yh8j7BU7xxNGLKrsMfO6OxvyHVFkyITjB7bVv1LEO7wteUnQQ+RnhVt8yD1aAvpK2PGlfw xGKO2HFf0cXUanG7x6bgWP17GACJtKiUpyoH4e8Mp99PMn7YHQWHJG3koJbBHLvhOPJVCt3eCbBZ FSS/ROH9s6bumg7mAAsLyczz5vxk1t3SkZgUM3G9c8yiRW9x/JtjCtOdudDBt1+RbXmuDa5FIWHS XVLwEJUZuGQkZ2b54UOgEDw3RB0Z1vWyX97/m8juRUs+HWicEKUENPdgeALBDTg5im/xkRCfBoxP GHqWXyiqWgP+3DY7YZBn3HaC6PXsfgXGoQNvrj3tZc4B5MHEXJHX8r9TEO8OubnZLtIsvwuY3Ghj Cf9mBZUb4xj9T7KRZwOudGkLNmSUKTdFaMRZOrPzCS6aw5Ks8WsjLG4tL5yPhpQkOa620q16i63N 2XoOJ2SDxWx+oWRre2lHk6EAKPbD6KRqRlz1TBmLZQXv2BPbE6l37xxMySWk1IqkNlbcyykxJ4wm IMzIqkabBajifi4wJDQ4MFFCl3qy+q2oUf7I9WTJCXce2BTZ7ul6FlhWSkvwzhZ0Cjem837/PWXm 50ioPutrfnIZnmU+WfglaGirnCU0gCX38Jf4/3geMsasx6EZhGfIYv1/FqBP+jjf0deQxGKlMZEt 1hd65QcvOiwhr4pvkopP8adbtXGP7kGxbSdzuBDKsS1FPA8mmJs8mtkkwamgSrtgdQq7sgGT2Gp7 aknyM/IXwTFQHh8mJB8vNBTQ9lw6nEZZsiCeHKEiOh+V2mhNPtUgKOrwSneMPdADmvU/7VdGZkgP eN8GzTxyXYzqqEOJTDYXpVmykgbhm07JTT0jV+8H+iNIW5+AN+7XGWncrJIeqEThkHk4E9Pmzzib QJWuFZ9DeCp8HO+WV1i6yjpnxFxIG9pUDHmGtTthPC7egFb9wIjlcJz7+Pny52pTEVeTf1aWngty G6moWH1ms+xPMIUsLazLvE1d9jt96kfyqGgnMBRjXrQ5hwTA3itCKnRc4/0EAG5CT+IqOhsnaxLD iQmDQYrl4uUEaFVHQP3NLQT9gmXvTzsdL5d1Hjky6mT9uY6+FFEYrAMeOd6a1lPh/metTvP7kEGe 4SxDbRbxZgHVb4y9GIF4iHMmy3vHIt6w7m9DK/lEIHbgZZArkDiMKWjkw6hjVIdnxLHUUx60qdYA Ov4HjIW4sS+mCvuNIkqrhtkrd3bUbjj5GK1VTTqzZRZp9v3Ly5shEhgYOgCg/QTK/pWNT3aS8v7S FfXgronX2FhCjlWilJ0oJQxv/f3VbkeocjkifKtdwIYsteWIfoVeECqLo7fY38Gavf9QB/pxwxwO Ae+J52oPrqr2FHd1z3AlpsPxn1Nf55Z1cMW0O+OKPxClGtWc50dpbo1E8ss3J2l5wKPD8ZETs0Uy r1Oj5dUIgi06qFHZkxraDYjVKuER/ZzGAeBJ24LGNvD4r77oaT3ImjbGO+X0jHSXJhfoMCWGG4fP pAf34XIJM/mHgaMTQrWJStYXk7pXpuJekKJD1o7NltAd6K/9fIR47DI8Dt3iN/GBd9ilfgqRYvgJ 05PucTB/GF/uv2jZpNq9iI50p9pqXItQGjHSY9Y1fRj1h97SybaLLpSrDPW9RfHSakVfdF72Tqnd kRvI6pvYKAmKsCzbHjUKGxQqJozHbk8odGZiR39xx+TC/pPut6ZKcvu6+LikuBkaNXfAAkjpkj8U uf3Y4iNNVrvwz8GpVmE8yc/YwowAeo5Ot5oDGl07DEsS0Lodj5LKqhoST2rfhsZ+FCrUQg9+D3YK 6i2RJJ8V3hrXEb7s03gx7zmhaa4H7EXbx6lkcOB1zTPoB4+va7O7XJBL3SZmlz4fFY0ofaQSxmOz Scww0Lh/5OmID8wZ9tgE64lz7/SGMVzBi1fLHBQM5IzKAa0G89xxGLjxH6fvrMnPaBIoqHoA31mf w1f7pHCJZyBGOVQ2i+kVczK9w9PtOm4Se09/+2ooAiMItob7CoZXqjrzpSgqQGR88YuTIEfBwWgQ r11bof/L8PiJd8/pcfA12iF0xpgVK+mkiZNB5jTKeRs2wQAAhQ4CcH2WX0QrnJlt0UVwFtxthqsm 59xIwRKucU04Khkf1H8BQQYfVJi43g3t7BYNb88iRq36GchbmubfjHnolpEJkMcFPVD+/Ici3yYw od/kerFS4f2nkoVBgHFzL1HprOg5VsIRoSQCWIUo9iZy2GIIrwzmcJG0uEOa7PkRfWoeXqjIbVX6 EmUvPNw6/bIBBBx1AD4Po6vDI2bZQQuMcPuD+Q8CzwNS9UKwcBlAnXvCD61S3hD8lGwaSEvYvT4P c2cPQxXS3kJez/y943Ybdx0TECjswQEJV0Da1d2rICtF//zlnGZ+MwJDWtUvNt/1zpKvLbAwgNxU Hkc7GW0kBp4eJdBLVqu+5FQ73cAeUh3FeSqm5qRHE20AQz95zfU+r7TMj9l2GxoNGn0Kmx51yhpx PKmizMUhhZf4l5RllKFGfjfG8ep7nUSzwJbG1DZfb9KrSivbp3z2GNAoh0mnbkjks2NBIRZU7lyT SkrZqVQKESMK6swqCdsFBklG7VThhwHCXgD2DB+VxmZCx4fqVH9LvMQ4/JrJRvDVcMM1lxRDrCQj bDN4ciRUdylGQ0fynYSJxdIDLwRr9/8izBCJ4NNI3yTAreFTj33MDK3IAj8HysYz5dRvQSD/opxG SGGa0drKPJoreSwdFA8ZOMfU87b+5NLHPnIC9tc9e79YQGLgapd6wlFm8oDduhB7ZgS+yOSWjbu+ 0roQ8fr2MCeojRX2LJoZZGHUbOgCVyMmATNODOKWkQfDwPLm1X4eDVQGthvZ0GDboizr5iJVCLFK +1VgotwddevfjBjfWZZS/hApoHpmcC5+WtHGlSl+4sDG7G9bKFQIgR06RA2EkL2huKt9TX21U4K0 Xq7zBcc5/KkAk61jWT0cgy7MljfUjqheMurJwQFfHgnU92HEbaYKJDavOgniPXx7cY/UvJOmPWSN w8YFImNV6IbiY923xreCndWzjwQJwAdeyZCisj+ZPVsSBpdDHibSei7i5tLrietp5cGh13oyOMJr /iNZXMZblu5YmwBr2CXAjV/CdOzyvIg4PH/G8eHJJmr1TTFrJFVK8r4/C+lwwStDZWTE5nyXbZcA h249IDeZaWrJLDBa3ziTbEfqaYyt+5ti6HCYu0j7gyT7mCRwUwTh0w80pHp34vnLEVf9qXE6t2EC nyU5qmbOecPG+7Hh9UvPOGY2QXYoY6NGuKZUDtaB6KWXdOcgqWnS7/bPNFooIl3+zXhtQScmhMuf BlccHXPuwui1exPOORHo/sAVbIo9giRaWdZmZr4/9FW+kfn71nwsBwIbg0+ITltv5bvEBCcWw4fq DQkF5KdKRNVNB6qS2ycDfX97aSj6wEtBK4Cz5gjeaEwp1vGcPWb08ZWIG6iAdjcRLU6UCR+Y5R0I rKxlO2uyyXbFGT+gh17Zvi8E+KrueG8Ayq6VHH4WbU+Bg8gHDDvkLFEMGHGgBY/ZmBTp71bTAIa9 VJxhV35mF0jkDoBvaKVoq9QLywcz4/9gTBz1RGoZnPn8YrlP1sgvJXVIP6wAZwv7bcK+zp3BRuQZ AWnryUoPfIgDO5JA4vvAC+lo8YpqYXZ+odDS2G8zs0rSc6czUJxJ/gOusfYP+1Rhp74PYj6xUgiI vtUkgSPdRkzopo2ix5avijxpinB3/OKWR8jnXZwmSs5YAPof5BPhos8KHK0U4K22J5YSn6XEraq3 D0Z9xQCCPP1F5A3AwDY0dpBfg353sDu2c6Ab8FAwJC+xb3GUkczxwbLei/ReN4ZNdbPLHalLHZKk RFHaVvk5jDpQ8jvhFXWoGGL+j6wzTZpWrw0cpm4GOvLxkGpjTg9XkRWvibIEBewrdw0fdS6LePLA Q9P4NU4KS9ASJRqerfp/AJmCFcFoszrSIwTac5V/kw9rMf26Bm6RJApXlbe5eZigdoBMbwrfH1qy 5GFHqrHqoKmwZrqtoF3Givwk7Igrvswxmn7RG6JPzSG55co1XHmsKLloHgXuVmtdbQzOB97KE9ro ofd5g9cb4qJ0oVdXiNdrqh23mV2Iloko3t89yKkr+o2eCMSLggHSTIZeayO0cjcbwHq/hjmHb7Wi 5voBLq22+YUspJbwgM8n1KXFH/RJAQi4SHcqjYCm2Pvz0WGBHboK07Ge8pdX8DV7SXRdiMtUUQce uZln7n0v/SgUGHYqHy9AOgOrek2aV3WsW38HGV6hKEZyJXGofvWvGHBdnj4b1OPSEjzrs1HcBr94 TNTcl0SAKPKWH2cmSfiPIgik3dlOr0eOp5HwPaXkYska2gNadabT5MuGCvQjwtWhRh3fRorAyI9K eAY/Z8TbjYM6QUiIe1pyaODQ86Sz4YITM6OQXggG0UKxitVLRCX9bttpacvVoIkGLvXzasO2jEBf oSc0vR6LK9KsKstDS0LdPfvEDIfxPp9dAXk0ZaMVrSRDyZdoLdxMpb2RXXU4B7fE1umcmHFwmodK Irf5Dkv/EbQ9Et2mqSjxm5TyXAof7NiEIn1C/pSWO4X4YiH98w1g1414/Yp77XDqOSdWDHPBbLq6 mbywkHZdUYjD6AFAm3WRVQxMbhJz9iuWt9D/eJ2KcLsD+a9+B29pAgu3LmEafHQ+b3wcAGzJE7ag 4OZIWCE/+UPJYzlxsS2XHVzczo9su2Qv4CK71rt8Bf6TiSxvO9QsiWoGw6CKeu8Lnt4j4FoozZtw jBB/UQ9hNof5VRt/LR8+gVc7iNMt+UW2Rznd3amL5Alu+XSeKCro9qXk+DHCzj3jIQqyJBhzLZmm qMmcx7Tz2WRVxFRWhHfMx/ORmwiKGC0afonU3TA6Jh/Sc5DJYW3VmR3CcbQ9jbutsY73ENaw9C4j Fos/ClDPS6kIYUs8H/5L3PFkP9Oa8JDsVhaTzSbhQ8+wzE1jCXeNNR4MKIR/5tDsm3iw1NtCkg8y jBw/zojvxoh0wHXi4C53B9mM9IMmRCH3W7RCrJm3t3Qif1W40VNhQiPnF9KtnKShh3Wmsk924bT9 engQsRtY6ZRp/IkD19dnt7P6XmjDi3az3K8nfwwJpPgFazLarXoeswGhEyBs7BbH0dKg1idBhOS/ uQeaTCXnhT9npl9EVE23i6R6YM32oOTua9AYG3QOpeZYHxAbdEwmhC2BOzu5XHn8Ts+B3QdxBDP4 Xy98uYZtqJPaYmR5axWvqdbFq2OnzPEQxIJbC67z/2OpNtXSdXL5vEn5ewtv7FdCJ+mBB5QMbGj3 MRnxzENXn3ZTVwbCVrsCbvwAUgv7n3bWZb33yYzM83rmcgxHEcc64qeQSYS/Nr0hPJ4qp2Fu68au WIW00Mm5UL/rGaqESX5cmRWoIcQQT0h+pQvgOLWwY+/uWAT5/cucFCPKRFcqRqk021qyR6acZ3ZA e8he2GfpFDc9VMz8NgIzbJjl9NZQTcLmhWqW1PCCukvAVuc/i+RAIpJipKuPOUMrnm3qaD1o7gPM +oFlSmP9lkzSWrrkggiDc+gSz4ah91a5QR2fKU09VT3ieC3vQMQ/e/3/8ZEbyr0nqo19ZqSzgrZe IH49lTuUzX7l4DgM2f4PbKyQILrT4cE/vK/r+Zv+ywDbN88Pe0cj6zb1H0KMUUbKx/1WMVDK+F2l IZv2DdvDhIVxoHaJG7CcW66KSsNnrnfeYpJYH7Ouy11BFiKzN60dIObKjEpnNSlDWDrcUG1+VcIe J4J0VyOrUHmqN4qeowjmHhg9GpCsxCog/yr/mUPn5oKIZhI1yEChQ/DTM3VwNkNTPv74kziNHky5 5rNc9BZ4hNge/7urbcIHhern9cvlaF8YEpAyV8PT6QHDfTAX0SGD8wUYcip6HwgN/n7jq3bsvnn9 1ROkLpywIiVSL9/kUcTeAXe1/O11ghKNtuaOkNMI0dDeFPcHTw19uYulBLGQ+CfvbyFHlnCppaxL iDDruWnEiZ59nws0BRR8fSktiK+ggwyWxQMEWiD0fG2z3pyS4XqwWXJY+8AE1pjR0gUVKxCzvsqX 131IBgV0bIPhT6i8JTUKRZVb70bbpb/RdfWSQXaf1UNN8QPC+bqdqJNrN5FDuu6b2Hnp3YxOlMVs oxg+3qqMohZclF7rJ6EA0cbsw9pc7di9GcJsAbGhoPfWFqNxQr/6Zcag7T6KAJ4aMxBFSf0O+1KG TlMJ9l+/NO2+stdcaL9hdX5sKMywVCYYqrdbn9FsUKHYw1qbu0/euICAPWeaWsQxjb+PVQ708bqd 2+t05KxY3OXme/2FwDpPKYWr9DKGUKKe65JVIAhQdztdsM99VdrI3z2Ix4AAeDT7+HCRoKnDhga0 2Wr36KRc0u7p/9/17kbt3OWfzHjDHnhNXlgJucKDfjSEwN2W4/jzijh1Ksbo9R7g4o74uN7YhQMU lklsI4xcZn263eaN3cjdqFht8xfg3ktPspwV0WhpLHEF+7xiafLFTWckL/mEZmN4JcWi+QxTtkyV zaQKB6I9SQmV6ioEbkkN1xgaR6KTAHy+QmxyLpL5j4Zkqyh+6rhjLqu6ZGQ8DlIU+tc3kZ3FJ1yf nLwonJ4TfhuGebT9mnvbChVrOwHeugH3l4Ay4iSbBFxOqwbzoqY2Tk5omUOcFswWrV1rL+n+6BhX iJyceM8iqXaaGAsP7NiY7uXXY0ZQi8c1pvSisr1tP2yCXGaQUrTNJ8BChPOuMmDdSmn7mI3hIx7T /3cz8T1ySgBM/VKrb82nLtFvNZPOaCKw3THL50m6v8IyNWZHzg5oLFa9YDjZAns5pLgql97RicBN 3U/R7lkazy0dsnWIh237Whff3j2rfxhOKtoJupS7GQtL7uqXSLjcE7L8K3791SLqaaN+YBDzVlL+ BV8iRQcUyYOiCVTI+6oovgBYIufIBf1tmZeJ+YW99ZyeZo4TU8tX99tGGIOPgKULjpf01Lp5uY1k y4lBc8mgvZ+ayMCfk8Van9Z4oMtfhwuVCSsFqNcaPXANIbXpbh08UwwDhpyY7z/5MXdHQn8kN8eN BEXepzP+g53gtJMgsXkFIq/+z0k6bjZgI25THD0Q+ih2LBk/y4vXl7nETIU7jILRSDjZj9U/Dh95 sX+aszWn5DYsEoq/s8PZdezK/l534WI5FmudnthL1aIZHo44QdP0vHZ71h2DcQLxxV4nzKL/LMyM oSdoBNyHI4Mc0VveYS/3Yln9UX3FAYTYUxmc771/YCB3ah0MD59HoP0fjubtu6VMe7VzLsHlbS9n EtiH/QjNp+47L7qABEWWGmusMcVYC3/mqYnAYx31VAd7gRy5NXNGkt0fjYyvYXMtpH7vZpck98xY 4cUcjKwZYMkiwKjBaL74PXzx9v6KWinjlqbBrQkNUZVZhhkeblsaw8ik68OAw+gYsS7l8ZV7oTr9 J+gVTc5qF+Fd0ALcFtzxHlFWJbMaok/EuKTyiS+dVPHddQIlCaPIckZeLM5u3u7kZb1/pl6wBUT1 sLI8aSs/lXwqLiDl0b+ShK9U0F+BK6/xdnsZ2ToG36o5u6y75QvcNt9vHw3Lj4YSl1hnopHmKPXp 4pnfialhDO/EUyA67+ld7OGiCidTMBYHXyhqU9/tczeTMWKPyRejifunkVkWnE57uLCKYRiKKtcJ EKkzIQCAsrqpO8i57bnLyfFZI3zUCOgNDFvL9L5zduhcVKhu2AKU61pGGEv4XoIvJy44v2hKyzyo fybOIboo8xIiA8BebHun8gc/SSrRIu0SSm390DVqkX4Do4J9ZdQ71HLa1is8/bJFAK7uQaYStGbb 1Kut30CvEqbztom5pvPqm+YR+ryMBkd8aO6ueGAQTNSlweAueX5nqjOO+jyEU4eXmshTNkZKUTss SV/6v8j+ltPSdrfMS+3eyI8h3Mz/nD36Sut2XetKHJPu/uj1qUp0eshwtGMZ9s/nZ+5cIT7sKlDa Pu1aNGlP7Ulzi68Mn3+JlNgcRbp2NsLdh1/0u+aNL78fzm5PiumvT7Dr43dOJSVq6cC/tJH4mKZ9 VRV1GVVeuoPQbIQsyfxHpbTb4VJoeqOathgnC9/Yu9e2rEF6n+h+JO5nLs2+h4ekviUsW+juQLt2 SvKD8Pm7iGIao6HQLOsgIaR45zAn6Iw0RBS1qdwUfOv/gSySu5zcZQqTAX/nhr2YvDHDWgZ2bQWy +OhroRbI7nIF+/id4NPyF8DK1+z95UmgjvbMYfJt+o0cI8gkdeovf4eIeljKZpXAV7s3rraCPUpW u4xHyG7mGS1RH9vV1+GWqST0SFF7TxNoLs24JU0THBCIEr01/7xUH3tRUhmndrpYh/6NShCMWUoF Vr4cBM4ioheZG4Dwu46hean1XEmRUNxWIWrE05s7MyDvCWwOUjIAEGpLMQvOlA6RYSGzBk648JyD gGXi1yegCWuFkGxxrH8kaDQVxc76gedW75xFf5xbcQEUIf+qgl4DT+dnO5e/qDgH+1poE0yjCsjT 2FvTivDmeXfA7JZeSzEX7IkwXeXNj6l+rUondjx8fg0L45dWCPdSxO32tBgTV7+eW11ZUHHCaw8i OXXMvWccsB0qk95DlHDNFUV1ZibIasp90IgHeU1P1QggOXgnvcZp0ugQZoNWqi69OOoCFgCfhup2 HFb0OK3jEzgqpXb5Lu3qZAj8f/Oy/UGXjvdUwZA94//ytuM8ebRfdGPlNf1vKB29VY6hJYNbBDR/ fKzkTr7cLWlPhL9eJOyMK9qGmp6z1DhUKoH9yKKgk+y5FOMnWplXeYmnZAuyCkRWBeLtCutrBlh0 g1A5yy7zxeVlHWypi6nAAiWzJu+i+KTZCRqUW6+UYXAqUtiXims9Ynp/uHYGg/G3dr3gCaK1uSkf F7qLnKJe2zlcsDLKDtShEp60U21KekeuHaE70/jSMaFKTIjoYs58afYAYBV67TXW0sga/mh1xome zWNPYdfuBnxbiTspz0lGWO+CJMG8R0cbBQ/OFcobCVNAqyPDK2xXajSkqZXNNhEMj6FpSW3xQzML Lt+2tfDWNLcSEu0slToqV9gSBr1WA35T+GjWFE6s1iFwTO1e0QmiY6gchpcqPVb+zcws4vpCLcgm 7fMJ9u4MFFydbheDtTMULzveJpI2Ye9rdebOBZy7vFxB0q/gFvm1M9R8hWfgxnL3J03oR8iX0UcM xDch9J7SdcQ0jrOthz9BEn/62z9LstW+rxH9ZNgPY8tzD21s45eb4KaAURaL9B1Ec4+rfzVgJxZc CB2Km06zsPw5i4ZwGqiuGiPsIeLnYu4HdC1/mE95MyHT44QzFJawr6jfFPuM48fKiCJb7YWttNXz q19EAizr6SbnD51sl6wsbh/fhX2I3l0wJ8T9oU8htvcrm1GyRn1C1Oo0XV6GGPW8AW9TFKmyGUE1 yEeAh7lPKyrNjvhe73wt2MkDZkTtpN6JZi+oBd0KR1ZOyxxzyjUudXbY5ZP47pi/WlDphKiA3uZT v3xc0l53Qj+zO7OhaU3XtHdYKPOQxSoZG/iKA2aYm/s3hcxdWkhIN/7Vk6HcUKytzmcX/cQExlXw xjhIQ1HO7qnPo/+HCjSwGxhVInyWxVqbCBniCLyXyolPRtp20MLe2obDny/Up1Kt24n4vPGwiFaT K552Okm4z1+iftnLQWTqLGRYslhs++yPJysueajGI3tMnvSiS6bEz4/GGSVR9KA6KYJ2b5D32XlR 5Vxf/P0x6fDAHDx0cCG/kshwnJ+b1v/GUqCNMGrr9kvmuT5IP7Av7ueo7UGVttHtT+5ZTlhD1yXF KeSuBkYujjGDJRDmeIlL/66lT07wlz7m1VoojSfP2QW8bDWMm2kfC6uXWqH8M6QLUzImJfyv7KPR U1DeWrGU9Vi2hE30soAw2FAYWH8StN8BVgXrZuJPxSIjH85l93AbN6Xnsu6fqpfkbE6vf+T9EAZN KFY/mGtHjin55+WAX3qyE0N2LFNoDYht2A7kXBshLlJ2pNxR9F/egX9JArNrX+iLvjN7F1QQtkTn NHqcq3WT4Jst6xfiPTS23LqhycX9HOo9/ge7TJ2sVDAqIoSRTLJKliNEPReiPx0u+Q04ZxbWsEmY hKfHY8BkzhPSGdcqhafKjszu9O57EsM7VyXsUb9UK4oLf/ggxFqjfSSiPycbzBrNxz1kN/AhSeR9 6pX8YDr/FTJQi+GGVSXmKCUMLErzZKHuAO7xUqjHPk8c34RsFsd7vwDX/1/ErdO6xWVCloSYi21P pe3Jw4f1n3D7WTAma183qx/CWfK4PPoYOPlXJfuScB6i6P9yIMBTwlR55WFMvZ/oGOJn26GxS+As GBaoJzlVDh6Jb8Etn3BasZ6TC5eoIFswhOb8LEkoUkR3xRhA+Y/zBgScsRQ3TOn5uF4pIuVsOscq 1fqHh9nCiztBLsvJdt6lsgzEMFhcUG2xKYN5dhjmyIetI8GjPdpNEN2xD3f/llYgNAfltW1TMOC5 I3Cdx4zeCGeEnvAKczFTmkR0CHuh42LHhcFFQvtIPsKw1q8dCM5KnJUS4IyMdzt6Ddbk1UEAM/35 LUJXEs9d7R/oue9k+Y4SiBah+6wOMXOVCDNd7U8BFIjS/fbhhExOelFauB84CS+9y/eTOrPE5xmJ gQBV0NDloSur7Po2TD4M0uk1bNy+wQZC3hWwxzfUEgXz/01OjvXVVvcLIdI5keF7Popb6zS1DyKz QXDlOBg9Y9G45dQkp0eeV+y+3Z3+wnGpCWp5edaY65GvmA49skdmvYgc5vesHUtP0iQzFZLz2CJE BwyY9qLuPqXCzgOyZBKEkdXwzeKYP8Qg8hN8frDJR8gGc7uyScl5J5xzPkQcCu0tdz87BRtSGkxQ qbEI0/cQjEcaY3J2XNwH3mxfmj68Wd/Ozzvg8zASNCLiUpVMM0Lv5LIL0ClRnRTLIXb85Ipwq0XG fF46kGpu5N7lqEq6jSAcHQlYtcvY68su5s3mWCXCDfH/rSyq5DjE35h23VNasma0y/Ez6y3Fxkz5 ixNH3gdazfFSK3A4j7gWyPz/xVSvsLyVBUuIeM/tkURly8xKuXiZZgRckm9O6C/wqxohaPuiGRfG YSQwBal2BPhYmqbypf7Yq5aeJfB3y+FxRPSMlMAjVYzJPzBiVor4qmO/3+/Uwo8xGVR5ojAPaPrB n9/RKyH6WdlSXN1xJbSFx3UXnP8pRlQAT9nPJxzbSWaQhjLo5W/6GjE8jA+zdFpIQwA0YBqdvy58 ZnrdX+oDo78fwNQ7Rz8yCSHhgliYOXHuOzockb21P0eBunqvJxnfZENg22wRjwHiQgGOAdNcY5ca oZN2l+XWtbUa3xzcKhvMGXZWndFxmuHX7ZPYwawXiA/upRkd5MYh4a0SWtWSniGDShM2ojX8PuUd n2rXQoflGvho6u7JCocuxfBblSYspUO18LMm/EFfdBJaj5ZS1z/WdxojsQTA5K8b05kqbH0HCTKF tLrdySluGrjKP+kFQW5CtB7RNut7hg8pvqu6ujBRPaFJDDSbc90Q6jRKX8MNCPTHN8gQ9Rj4XmV4 6gkZyO6jsFC9bj2oDd9wF6YWzIyYfTTBTdTl7GBi2Fye3ZlJWVqdnInhny1SL0DXY7QVXuBD8vT2 Bi3xzFLwlgHLq+GHNY7XNSef+xk5JyJ53CwpOumJmUzaKqZV9skjeoSuVmZ7JMlNn/So50LFixYp tDA9wJDAQ9F0J9WL2uLU8t+SSlXSVTDtGMWbNy4Nm3r/kM30065k4knNt4AchRn61VH5C2IUYCck YMRMD/lQJV8e6h8nHk1TOXpYhk0UoLUWYj87QUYOhCDUv7r0BAWyzBn02Zpkuz0mYCZlMmYeaa74 gGzEudlUnHiNJi0C+G0H218z6Cu7lPtHweunyWYquj175klY8JRmWt5zBPLLfSUc45E9XB8PhMSd XwsIMFboAKkBc4eaNg7zb/1yfXn2JyMXKi+cX3/ALG72oBokbKQwqMN6IW25yeE5pj0DcHxs0TGF igQFyALOmWKOaz+dyQO2Ro6iStrXadTsvoH5zbnUNhm4ep4oaWlWka7H7Ab/7iLzfYjyQObjhxJF UkHG+NOMMEb7O2luVKlY/VYJOVCDwiftvbB8CMo8rmbvmBg7Ezshtssk7Bi6AT33Ynoj3/re1dhZ Ci8nozld13ge4RKjOzGl2MmK43ib5kWFzSjhFmLARH2uZZrPIZn1HyaY8UiHVC8RPI1Y+wYoz2O1 RMKjVmu8uhLtw5mh1Ag6OTDzVAOam2H+Ol/4uYmN0z/N3vjcal+hjLLDsrqto8xVPzH+rkWwN9T7 edjgFq9Wre7WQwP7XJQxOuCJwwP0kLkqjeN5O8RRtfOgJlxTMcJooQzegh2OksnA6YJQrT5MjS+U b6SjlV4N7EkgExZSrn900rYz6dR38pwR1AT/cjPSsWuIrjs+CTY1KgveswfU+le0sB3VtoCNri40 mg3xwIU+/2pVxSoTon+GlR0Bp4lUagbC9i4dMbOAh0HJYuiNPZnxok8py/sx68j1R+Qz1zJ47DQB XVXsBU6fLgf/gbPoFzNOE3tYpG+6IJxWcp/AJ0kaZ1ebAuGem9thaKSg3nnxjTQLQ3LekQ0l6ilx bgvBARlOG0+5OSjG2fuKk2UB5Ea64Byg9gsoDSIp3XsaeVurWNYcq72gcxRkr6E5Lw1bdoAqbyUN 9PWMoPZu936kxhLNLSkVE4Km6kgK0Ofh2hTU5TcpMSXJw4c5s19q4puVku3174+9Rt05mhCKJvf6 bqd4jmktYMofVGhjBmIcuCAX3uNZyjZD0rh4OmyTKCkjaU0h/53dHMqMBdO4je/D9WqtCM3TQQmt 93VZqxdybaYiwGRlpqEWJnS3ZBX3hEnR/9PMCZi8WMs8omAAsACY+VgKD4PZFBTNKTElIbc9rFuf 68R3RVFhhAuuPKfB2IHZYrpIaW1oYP9UHd2r7bBME1aYy2GJZDrVbx5EKi2ppA2joBFxrgdNL9hJ Nte6Ldg1nEa++51J/Iz9vd1fyqd02IqVzgY80o3OpH3N93mFYi+w9SqFy+sJuh3FcjJOgUah8EAB T7OmnwZ8Ry3e+TcCvtRwqMDgm32iBucBg+UyC24/OcpnoBat8f9OUJ43A2Le1vSXWOJlaTV3O0DT DfaYLIko328wT6eUxq+NM1oqF81oCEXgMwKqe0xPeigC9QYLvL4UZZ5urOC48smPHr6ANYsV9bZw tkyrKoO0QLsvj6ipv3TYQwnHCYULax9CF+jjWl8zSLrnjFoEAQmx5e7wFSBILvCBrdg6ZuTtXLx9 vbzMYn9FzFI6AEQIo+9RHrjZobD3iEVpEfZttPX5m6KqqFNxRXFZi3gB5nxoVNaf9IyJCMoYZI7w mfSm+7KZzl93V5DWkjCP49/fJ+cmjj8UjdYM8bn24BGP/WlliqU8jYx+RWDS1Fn/DIPQ9Y+cHue8 Bym6l0mvCNavUvYpiKg0CqnpbDVRl4fj8f42aVYw1n3VHTVIxQdk+sycZseqvIx8v2t1NQmyJWAk 75xW9rwTIN3O1Q26j58bHnxNOSvZxkAVTpowQN/wFroj3C2blZPkQD1wzAK+pyeCh1SQihXYVaS4 I45Jpmg3AbK5rtbKv4Z0jO1cj97tlBabvKheCL68YsYeTG6EjUz14hkFBI+7Jsk3sF1+iolOuffm bKA/AxJH/smcG0jQIhIX8qboubWDh1CiZJmoGV1jHvTtviZTri6JDV10oFqwgGxOSvhDWkR0tMv2 Wul7yWRUqYHNEp3zXpEltXXhlNLr+44p+WTiLZ4LedHaMFgYNYTZ+WkZlOfW3ynFkYbZZ21urHc8 ayql0MZ037WmloOwpamM0T+pLdDhSQKVmfD4A6ipPu0JV2dm5VSzZ0bqf/ijaNal6zblFP9kuxf3 tlK8KQjOPjVP63gj9VhW5rU8k5+rAAtAydoYp1EpnxhEf6vZPYVFk6XezGTUX5ivbCmm0eEcdRU8 kUakcM/ndrC2+ONMLJgup6alYCgW9jy9U745VaIGmVYMNkbxYX7ykrcPLOjBIBnDWchE2oDvuKvz NzlNgYqiuyKxt2JWe1RJun59gSkGgl2K+ij4OWkMWm2vdINE+PsMYLHclS6BPh3+IVF6zAZRuyON 5IUfgKEdLhd/7CPwqtSJB4poyHxgjk7vTyMR666vgUrYl5etl9xTedCEyX4VsL8P+qk2b78z1Mg3 6nR79v642/0BUSYWOyQZsenhhDwlgONPr1gixrlKoEqVs5Zzz4uyXkY5B2ctMpIpo2l40yD+2yEb usqR2fO10X6x140ZWtkhhkv4Yy6x2Eb7or/NbR1H4/TRCge5AHp3NWBObTSNqFzL7TKhXWv4C1ml NL8hgyjxjNxTQ0BP0QCEL7pU6yYRuk00quQAiTIL5KmzgO2/IOEO3i9KfUSOHjFl73WiJeF5cqEx D0ycwLuGDrbnV6xEzR+QxHL11J8zsOAwm1GAJaPZOGu+39oGGGSvj8XHz3ZD7HDfmnFMK2As42TR BWVw7VwBvhA6TZPJsDmZ77q4HBPUOzpxW29izqcrIZG/xVqhHCKI92NXVCBHGYDvHUPakOHl/5p9 +UVkuuviX1wurrg46stJgWkhGTb+Rk1J2AIY2gkzymmeMON8s7mm2Z4w0s3W3MdN6V6grHzMBWfi Nze+eKkjRG+XFnJX9FpsKvjTHxly8ytP0dXB0ey8rNFNHCRK6O4gAbVHERJBbgQZDmvu4dTj9WCU VujGdTPQ0BXALpTuc54td7VwM684dRbxDYMuEwIW0rSCbNtAu2R6S6fyE8bFTacVgxqHdmqLpAYA UdLac6lDyQSgUJwqvdlZxpR3W0wMuzDvkQ4LzGyAO8kx1fnvDw+X9Gi/dgpVvaswG7wtMvIRDbcJ mCk3Ya4j4BIdfmjrLgrK8ZKe82jQ6rihW5waKkKqyho6eiExBbHWgLdrr1TjODGYuatj3BG0+EuX U4LCkrvMmvEE4NrP0U4EEWz+SimJjf0B3O826gnHKTIn1LXROgANK6g86tAKXGD+LNsMpEFeR0U7 2o+fcncStwGRO3w5FWbcMuUiPgx4Nno/N6R2sqJmdG5qTyDC0/0eVYHkaCrllvmx7fN+MO6R/ytP 8qVshkU+9l+dmDQ9av8wIIB0T65T33Po6wxaBQHGVhHDkqHl9FNmdmoHjpA0rTdXvYp7MSStUH3d amz8C4wDn+0+DWGV51ojf636wFTe6ElRKQDs8o7rti3abW4BCWMtrWi2iiXdOpaLQ5bS+HNg6iiq qcSUOmqtDK13PZmAInBRhPgKbBCppvbclaDZ9mZqZbPQP9NoK4NnGlucCqRIegJE8WPyD+e6oABL 98KqQbbU/Iz6nbNHvT8xtKZyj4L0crOS+q9HLKoxdWzI5CnCHetJMfoskvcMbIkQGgARRktXzbnm VbtCtsnG8aeNzmBWK7aw5mLYzacoawCGZmfBUbtJgxo0sHo8PWaJZqAPw10D6v22zkXy8s4ld8G9 opDzXiQUnE3pol4qtEPKpU9IvIY8KEHjedPLjFacG1tKaux4HoCdFSSxWjbTDCyAlN+ilFZJ1hlR 8Tn7qKo/NE7kPec/MCMbhMjRJgPlNACjyCOibKFHPfAupcyCyRDRHow9+TNskfTxhyyPmXTdHZa3 UXV6RYfdXL+Pn6Ilt9hQJTzw1iUq2vc9mWvvW9boA+dfo4GATqNr1aANFTkE9bKRJLRrzYIGcrQj JjwiguHMZc38+1vX4LW0p9VGgIjlMDzZK5qMyLp8xd+e5odv43UzTB3Jr+AUhKPxNAPyy8rCsZPb ZbIi30jeOnOO31Km4Gp5JvoQX7gBjxA/PMlf6SCnpNnM5U1kVqfwT4oJgUEaxoC5E0WGAnSxILUN 0Y/BgYk44+g7+nUMqj4DIcJMtKWVZmFFyCymig4g9OLLqczBS7X7y8eexVI8nyVHRt98/FRhp+uR fk+84kSw8eslqIwWFfA2HP7PMh/gJpwOhbZEiVgR+Rme+duMcPpln4oUY7Jo5ZfhZf7nIu3JKDVW wafZRcdbgZelqCYq5DlGVBsQUimAUEaXVGfOnuQJrAV7n5Yi+3APFOPIrKBjpmKVgNj6Bfngexf0 ki1sfroivX4V7VSlkB9CNVUJzuGfy0KNeGX4Yz7beWevyagvGlf4CWvFtbgqR/88JN5JKLtEqfDE 3f3k8kffr2EFUhxkbz/BcMFGWgiX9BfiUubRnYD+J4UUlNaxdBvCLj0ZzXl0Yx+YbWadJxOWJcqU xBQAn7FEsrA4qhDD8S/s+rGkCc6v+oxqAX+3kxZGohEosJC4IVEkY4BWUwxnMDq2DAsWOJWRyMqw ksv9uEB5UxBP3rv5OZiHGxL+6qcNzNMYomuZK0jrQMpovmWkrv3gpK2dt8lbXcnCp0EhfU6OaChG /ifw2PGcN7cd+tJsOPzPEeIymxKCFTZjABYnkaA16j19tcJAWtZc+UBzYfboxKJ2T+Vnbyq/IrN8 +gh+xYjW63LlhaL2gR7cd95skv5BEdP0Pst2mgGKtFZ9blLPu7ZR/E7g/D9vG2VdPD0/LwQ3k9VH T2tJ31vTyCVZ1fOlrPHXc4xcOIcxCpsPJqbrm5eyyuCvObiOvBKWlCCLJiEfAdnbvn0wGfZQN2Yv TukUEmc1MHVuvKCPExDi+5wrzWTRCTCmkegyNYt1zAQ2mmx882/4g91nNiNO+m6Jrr1qvUJOUkt1 MKO3h4JcfmQIMgqfGg46ybPIn/5CMXcM+NCyPOAnDD/3UIPQH82YGnCtqx7dEF1Egyyl2C61EnaF VexjvhsySyvDXMhiz7CER6ubPifCnUglWx9dusHoyBEanz/jL8gQ3QyQlpFwJ892q8QZPEZz6xQz UXXWHLki8f5E2UzZFdDtVAZHNGk02baxw/xRqPrA5Abu1TlR1haddv0EK/eyQpgsUGcEkF8i0Nkp ybUDlC87diks+uQR5HhAL/e3X+YfVbNXXxJZs+AvqlUCYBOHo4695norsACZNNvgZ1V+HUTI/NeU IHw/2JT9r0DRcrWGNzYIBhm+ummYaPZ2OTyIM1E+AdDhRcytEwRuOdQFxIC34ukTqxGzkqGGl5p1 ehFHAi56QGuHs6vWWMOjzofuSFj9m/8bHGtEpjlrj9zxmtnvIPFucOGZOjywiec7osV+JyHQQ1oJ oD7TppKuu/7zVZp+CLlZVFQf/CWDq9e2Xd55VkQWoSzKpGJ5jWZBO5krubRO8vvq+4NkrBbt0NRI 9F1yRc1lR1QcmMAGu+xL4G30T3qyiVTFMUW111dEyioCni1ipX1g9aw2NnhxNoBSEcEvu5gIf1r5 24BChdqUSgkUi0askMrSgT/7oJZwcKmRK1VviR8NnRF2Y3YxJUzOMvBs7a1xGV55QhLhOxEXYfa1 BYf69D4NvElsZ7JPTHHDH7FYT+soWVEFUHJnGN+eV6/gmy/jiVSAQKXYif/ONp9hmh9vX0Yf0VR4 aRkK20z2ZZd0asAnw0hpOErhI2dH3k+wof6hfB8fHDJEdAjfB9zc/DLsWPzB64+EF3hEMgGQ+SkC 9vfLWRdMocdrcLcH+31cOdeMbxcdrnM/WB8ViWjBUIxE5QckzAQ/VRWVQFoYLnJxFKCubRquLBVt UW2xDjkqOOcQ1OMzfcBGJWQR6E+m2gq7HZVNJ+g2bdt2+SEkKQnovP+2dTTsGbedogt4/ljjXg/8 S1kBGBNX9l+rmKIfos/CMUPEKovQzk42+USuF/TYJA== `protect end_protected
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/arctan/sim/arctan.vhd
1
7172
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:cordic:6.0 -- IP Revision: 11 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY cordic_v6_0_11; USE cordic_v6_0_11.cordic_v6_0_11; ENTITY arctan IS PORT ( aclk : IN STD_LOGIC; s_axis_cartesian_tvalid : IN STD_LOGIC; s_axis_cartesian_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_dout_tvalid : OUT STD_LOGIC; m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END arctan; ARCHITECTURE arctan_arch OF arctan IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF arctan_arch: ARCHITECTURE IS "yes"; COMPONENT cordic_v6_0_11 IS GENERIC ( C_ARCHITECTURE : INTEGER; C_CORDIC_FUNCTION : INTEGER; C_COARSE_ROTATE : INTEGER; C_DATA_FORMAT : INTEGER; C_XDEVICEFAMILY : STRING; C_HAS_ACLKEN : INTEGER; C_HAS_ACLK : INTEGER; C_HAS_S_AXIS_CARTESIAN : INTEGER; C_HAS_S_AXIS_PHASE : INTEGER; C_HAS_ARESETN : INTEGER; C_INPUT_WIDTH : INTEGER; C_ITERATIONS : INTEGER; C_OUTPUT_WIDTH : INTEGER; C_PHASE_FORMAT : INTEGER; C_PIPELINE_MODE : INTEGER; C_PRECISION : INTEGER; C_ROUND_MODE : INTEGER; C_SCALE_COMP : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_HAS_S_AXIS_PHASE_TUSER : INTEGER; C_HAS_S_AXIS_PHASE_TLAST : INTEGER; C_S_AXIS_PHASE_TDATA_WIDTH : INTEGER; C_S_AXIS_PHASE_TUSER_WIDTH : INTEGER; C_HAS_S_AXIS_CARTESIAN_TUSER : INTEGER; C_HAS_S_AXIS_CARTESIAN_TLAST : INTEGER; C_S_AXIS_CARTESIAN_TDATA_WIDTH : INTEGER; C_S_AXIS_CARTESIAN_TUSER_WIDTH : INTEGER; C_M_AXIS_DOUT_TDATA_WIDTH : INTEGER; C_M_AXIS_DOUT_TUSER_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_phase_tvalid : IN STD_LOGIC; s_axis_phase_tready : OUT STD_LOGIC; s_axis_phase_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_phase_tlast : IN STD_LOGIC; s_axis_phase_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_cartesian_tvalid : IN STD_LOGIC; s_axis_cartesian_tready : OUT STD_LOGIC; s_axis_cartesian_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_cartesian_tlast : IN STD_LOGIC; s_axis_cartesian_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_dout_tvalid : OUT STD_LOGIC; m_axis_dout_tready : IN STD_LOGIC; m_axis_dout_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_dout_tlast : OUT STD_LOGIC; m_axis_dout_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT cordic_v6_0_11; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_cartesian_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CARTESIAN TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_cartesian_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_CARTESIAN TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_dout_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DOUT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_dout_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_DOUT TDATA"; BEGIN U0 : cordic_v6_0_11 GENERIC MAP ( C_ARCHITECTURE => 2, C_CORDIC_FUNCTION => 3, C_COARSE_ROTATE => 0, C_DATA_FORMAT => 0, C_XDEVICEFAMILY => "zynq", C_HAS_ACLKEN => 0, C_HAS_ACLK => 1, C_HAS_S_AXIS_CARTESIAN => 1, C_HAS_S_AXIS_PHASE => 0, C_HAS_ARESETN => 0, C_INPUT_WIDTH => 16, C_ITERATIONS => 0, C_OUTPUT_WIDTH => 16, C_PHASE_FORMAT => 0, C_PIPELINE_MODE => -2, C_PRECISION => 0, C_ROUND_MODE => 0, C_SCALE_COMP => 0, C_THROTTLE_SCHEME => 3, C_TLAST_RESOLUTION => 0, C_HAS_S_AXIS_PHASE_TUSER => 0, C_HAS_S_AXIS_PHASE_TLAST => 0, C_S_AXIS_PHASE_TDATA_WIDTH => 16, C_S_AXIS_PHASE_TUSER_WIDTH => 1, C_HAS_S_AXIS_CARTESIAN_TUSER => 0, C_HAS_S_AXIS_CARTESIAN_TLAST => 0, C_S_AXIS_CARTESIAN_TDATA_WIDTH => 32, C_S_AXIS_CARTESIAN_TUSER_WIDTH => 1, C_M_AXIS_DOUT_TDATA_WIDTH => 16, C_M_AXIS_DOUT_TUSER_WIDTH => 1 ) PORT MAP ( aclk => aclk, aclken => '1', aresetn => '1', s_axis_phase_tvalid => '0', s_axis_phase_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_phase_tlast => '0', s_axis_phase_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)), s_axis_cartesian_tvalid => s_axis_cartesian_tvalid, s_axis_cartesian_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_cartesian_tlast => '0', s_axis_cartesian_tdata => s_axis_cartesian_tdata, m_axis_dout_tvalid => m_axis_dout_tvalid, m_axis_dout_tready => '0', m_axis_dout_tdata => m_axis_dout_tdata ); END arctan_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_fusion/zed_dual_fusion.srcs/sources_1/bd/system/ip/system_buffer_register_1_0/synth/system_buffer_register_1_0.vhd
2
4059
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:buffer_register:1.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_buffer_register_1_0 IS PORT ( clk : IN STD_LOGIC; val_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0); val_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END system_buffer_register_1_0; ARCHITECTURE system_buffer_register_1_0_arch OF system_buffer_register_1_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_buffer_register_1_0_arch: ARCHITECTURE IS "yes"; COMPONENT buffer_register IS GENERIC ( WIDTH : INTEGER ); PORT ( clk : IN STD_LOGIC; val_in : IN STD_LOGIC_VECTOR(31 DOWNTO 0); val_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT buffer_register; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_buffer_register_1_0_arch: ARCHITECTURE IS "buffer_register,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_buffer_register_1_0_arch : ARCHITECTURE IS "system_buffer_register_1_0,buffer_register,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_buffer_register_1_0_arch: ARCHITECTURE IS "system_buffer_register_1_0,buffer_register,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=buffer_register,x_ipVersion=1.0,x_ipCoreRevision=2,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,WIDTH=32}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : buffer_register GENERIC MAP ( WIDTH => 32 ) PORT MAP ( clk => clk, val_in => val_in, val_out => val_out ); END system_buffer_register_1_0_arch;
mit
SoCdesign/audiomixer
ZedBoard_Linux_Design/hw/xps_proj/pcores/axi_spdif_tx_v1_00_a/hdl/vhdl/tx_encoder.vhd
3
20871
---------------------------------------------------------------------- ---- ---- ---- WISHBONE SPDIF IP Core ---- ---- ---- ---- This file is part of the SPDIF project ---- ---- http://www.opencores.org/cores/spdif_interface/ ---- ---- ---- ---- Description ---- ---- SPDIF transmitter signal encoder. Reads out samples from the ---- ---- sample buffer, assembles frames and subframes and encodes ---- ---- serial data as bi-phase mark code. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author(s): ---- ---- - Geir Drange, [email protected] ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2004 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- -- -- CVS Revision History -- -- $Log: not supported by cvs2svn $ -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tx_encoder is generic (DATA_WIDTH: integer range 16 to 32 := 32); port ( up_clk: in std_logic; -- clock data_clk : in std_logic; -- data clock resetn : in std_logic; -- resetn conf_mode: in std_logic_vector(3 downto 0); -- sample format conf_ratio: in std_logic_vector(7 downto 0); -- clock divider conf_udaten: in std_logic_vector(1 downto 0); -- user data control conf_chsten: in std_logic_vector(1 downto 0); -- ch. status control conf_txdata: in std_logic; -- sample data enable conf_txen: in std_logic; -- spdif signal enable user_data_a: in std_logic_vector(191 downto 0); -- ch. a user data user_data_b: in std_logic_vector(191 downto 0); -- ch. b user data ch_stat_a: in std_logic_vector(191 downto 0); -- ch. a status ch_stat_b: in std_logic_vector(191 downto 0); -- ch. b status chstat_freq: in std_logic_vector(1 downto 0); -- sample freq. chstat_gstat: in std_logic; -- generation status chstat_preem: in std_logic; -- preemphasis status chstat_copy: in std_logic; -- copyright bit chstat_audio: in std_logic; -- data format sample_data: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- audio data mem_rd: out std_logic; -- sample buffer read channel: out std_logic; spdif_tx_o: out std_logic); end tx_encoder; architecture rtl of tx_encoder is signal spdif_clk_en, spdif_out : std_logic; signal clk_cnt : integer range 0 to 511; type buf_states is (IDLE, READ_CHA, READ_CHB, CHA_RDY, CHB_RDY); signal bufctrl : buf_states; signal cha_samp_ack, chb_samp_ack : std_logic; type frame_states is (IDLE, BLOCK_START, CHANNEL_A, CHANNEL_B); signal framest : frame_states; signal frame_cnt : integer range 0 to 191; signal bit_cnt, par_cnt : integer range 0 to 31; signal inv_preamble, toggle, valid : std_logic; signal def_user_data, def_ch_status : std_logic_vector(191 downto 0); signal active_user_data, active_ch_status : std_logic_vector(191 downto 0); signal audio : std_logic_vector(23 downto 0); signal par_vector : std_logic_vector(26 downto 0); signal send_audio, imem_rd : std_logic; signal tick_counter : std_logic; signal tick_counter_d1 : std_logic; signal tick_counter_d2 : std_logic; constant X_PREAMBLE : std_logic_vector(0 to 7) := "11100010"; constant Y_PREAMBLE : std_logic_vector(0 to 7) := "11100100"; constant Z_PREAMBLE : std_logic_vector(0 to 7) := "11101000"; function encode_bit ( signal bit_cnt : integer; -- sub-frame bit position signal valid : std_logic; -- validity bit signal frame_cnt : integer; -- frame counter signal par_cnt : integer; -- parity counter signal user_data : std_logic_vector(191 downto 0); signal ch_status : std_logic_vector(191 downto 0); signal audio : std_logic_vector(23 downto 0); signal toggle : std_logic; signal prev_spdif : std_logic) -- prev. value of spdif signal return std_logic is variable spdif, next_bit : std_logic; begin if bit_cnt > 3 and bit_cnt < 28 then -- audio part next_bit := audio(bit_cnt - 4); elsif bit_cnt = 28 then -- validity bit next_bit := valid; elsif bit_cnt = 29 then -- user data next_bit := user_data(frame_cnt); elsif bit_cnt = 30 then next_bit := ch_status(frame_cnt); -- channel status elsif bit_cnt = 31 then if par_cnt mod 2 = 1 then next_bit := '1'; else next_bit := '0'; end if; end if; -- bi-phase mark encoding: if next_bit = '0' then if toggle = '0' then spdif := not prev_spdif; else spdif := prev_spdif; end if; else spdif := not prev_spdif; end if; return(spdif); end encode_bit; begin -- SPDIF clock enable generation. The clock is a fraction of the data clock, -- determined by the conf_ratio value. DCLK : process (data_clk) begin if rising_edge(data_clk) then tick_counter <= not tick_counter; end if; end process DCLK; CGEN: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txen = '0' then clk_cnt <= 0; tick_counter_d1 <= '0'; tick_counter_d2 <= '0'; spdif_clk_en <= '0'; else tick_counter_d1 <= tick_counter; tick_counter_d2 <= tick_counter_d1; spdif_clk_en <= '0'; if (tick_counter_d1 xor tick_counter_d2) = '1' then if clk_cnt < to_integer(unsigned(conf_ratio)) then clk_cnt <= clk_cnt + 1; else clk_cnt <= 0; spdif_clk_en <= '1'; end if; end if; end if; end if; end process CGEN; -- Sample memory read process. Enabled by the conf_txdata bit. -- Buffer address is reset when disabled. Also generates events for -- lower and upper buffer empty conditions mem_rd <= imem_rd; SRD: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txdata = '0' then bufctrl <= IDLE; imem_rd <= '0'; channel <= '0'; else case bufctrl is when IDLE => imem_rd <= '0'; if conf_txdata = '1' then bufctrl <= READ_CHA; imem_rd <='1'; end if; when READ_CHA => channel <= '0'; imem_rd <= '0'; bufctrl <= CHA_RDY; when CHA_RDY => if cha_samp_ack = '1' then imem_rd <= '1'; bufctrl <= READ_CHB; end if; when READ_CHB => channel <= '1'; imem_rd <= '0'; bufctrl <= CHB_RDY; when CHB_RDY => if chb_samp_ack = '1' then imem_rd <= '1'; bufctrl <= READ_CHA; end if; when others => bufctrl <= IDLE; end case; end if; end if; end process SRD; TXSYNC: process (data_clk) begin if (rising_edge(data_clk)) then if resetn = '0' then spdif_tx_o <= '0'; else spdif_tx_o <= spdif_out; end if; end if; end process TXSYNC; -- State machine that generates sub-frames and blocks FRST: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txen = '0' then framest <= IDLE; frame_cnt <= 0; bit_cnt <= 0; spdif_out <= '0'; inv_preamble <= '0'; toggle <= '0'; valid <= '1'; send_audio <= '0'; cha_samp_ack <= '0'; chb_samp_ack <= '0'; else if spdif_clk_en = '1' then -- SPDIF clock is twice the bit rate case framest is when IDLE => bit_cnt <= 0; frame_cnt <= 0; inv_preamble <= '0'; toggle <= '0'; framest <= BLOCK_START; when BLOCK_START => -- Start of channels status block/Ch. A chb_samp_ack <= '0'; toggle <= not toggle; -- Each bit uses two clock enables, if toggle = '1' then -- counted by the toggle bit. if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; if send_audio = '1' then cha_samp_ack <= '1'; end if; framest <= CHANNEL_B; end if; end if; -- Block start uses preamble Z. if bit_cnt < 4 then if toggle = '0' then spdif_out <= Z_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= Z_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when CHANNEL_A => -- Sub-frame: channel A. chb_samp_ack <= '0'; toggle <= not toggle; if toggle = '1' then if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; if spdif_out = '1' then inv_preamble <= '1'; else inv_preamble <= '0'; end if; if send_audio = '1' then cha_samp_ack <= '1'; end if; framest <= CHANNEL_B; end if; end if; -- Channel A uses preable X. if bit_cnt < 4 then if toggle = '0' then spdif_out <= X_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= X_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when CHANNEL_B => -- Sub-frame: channel B. cha_samp_ack <= '0'; toggle <= not toggle; if toggle = '1' then if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; valid <= not conf_txdata; if spdif_out = '1' then inv_preamble <= '1'; else inv_preamble <= '0'; end if; send_audio <= conf_txdata; -- 1 if audio samples sohuld be sent if send_audio = '1' then chb_samp_ack <= '1'; end if; if frame_cnt < 191 then -- One block is 192 frames frame_cnt <= frame_cnt + 1; framest <= CHANNEL_A; else frame_cnt <= 0; framest <= BLOCK_START; end if; end if; end if; -- Channel B uses preable Y. if bit_cnt < 4 then if toggle = '0' then spdif_out <= Y_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= Y_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when others => framest <= IDLE; end case; end if; end if; end if; end process FRST; -- Audio data latching DA32: if DATA_WIDTH = 32 generate ALAT: process (up_clk) begin if rising_edge(up_clk) then if send_audio = '0' then audio(23 downto 0) <= (others => '0'); else case to_integer(unsigned(conf_mode)) is when 0 => -- 16 bit audio audio(23 downto 8) <= sample_data(15 downto 0); audio(7 downto 0) <= (others => '0'); when 1 => -- 17 bit audio audio(23 downto 7) <= sample_data(16 downto 0); audio(6 downto 0) <= (others => '0'); when 2 => -- 18 bit audio audio(23 downto 6) <= sample_data(17 downto 0); audio(5 downto 0) <= (others => '0'); when 3 => -- 19 bit audio audio(23 downto 5) <= sample_data(18 downto 0); audio(4 downto 0) <= (others => '0'); when 4 => -- 20 bit audio audio(23 downto 4) <= sample_data(19 downto 0); audio(3 downto 0) <= (others => '0'); when 5 => -- 21 bit audio audio(23 downto 3) <= sample_data(20 downto 0); audio(2 downto 0) <= (others => '0'); when 6 => -- 22 bit audio audio(23 downto 2) <= sample_data(21 downto 0); audio(1 downto 0) <= (others => '0'); when 7 => -- 23 bit audio audio(23 downto 1) <= sample_data(22 downto 0); audio(0) <= '0'; when 8 => -- 24 bit audio audio(23 downto 0) <= sample_data(23 downto 0); when others => -- unsupported modes audio(23 downto 0) <= (others => '0'); end case; end if; end if; end process ALAT; end generate DA32; DA16: if DATA_WIDTH = 16 generate ALAT: process (up_clk) begin if rising_edge(up_clk) then if send_audio = '0' then audio(23 downto 0) <= (others => '0'); else audio(23 downto 8) <= sample_data(15 downto 0); audio(7 downto 0) <= (others => '0'); end if; end if; end process ALAT; end generate DA16; -- Parity vector. These bits are counted to generate even parity par_vector(23 downto 0) <= audio(23 downto 0); par_vector(24) <= valid; par_vector(25) <= active_user_data(frame_cnt); par_vector(26) <= active_ch_status(frame_cnt); -- Channel status and user datat to be used if buffers are disabled. -- User data is then all zero, while channel status bits are taken from -- register TxChStat. def_user_data(191 downto 0) <= (others => '0'); def_ch_status(0) <= '0'; -- consumer mode def_ch_status(1) <= chstat_audio; -- audio bit def_ch_status(2) <= chstat_copy; -- copy right def_ch_status(5 downto 3) <= "000" when chstat_preem = '0' else "001"; -- pre-emphasis def_ch_status(7 downto 6) <= "00"; def_ch_status(14 downto 8) <= (others => '0'); def_ch_status(15) <= chstat_gstat; -- generation status def_ch_status(23 downto 16) <= (others => '0'); def_ch_status(27 downto 24) <= "0000" when chstat_freq = "00" else "0010" when chstat_freq = "01" else "0011" when chstat_freq = "10" else "0001"; def_ch_status(191 downto 28) <= (others => '0'); --191 28 -- Generate channel status vector based on configuration register setting. active_ch_status <= ch_stat_a when conf_chsten = "01" else ch_stat_a when conf_chsten = "10" and framest = CHANNEL_A else ch_stat_b when conf_chsten = "10" and framest = CHANNEL_B else def_ch_status; -- Generate user data vector based on configuration register setting. active_user_data <= user_data_a when conf_udaten = "01" else user_data_a when conf_udaten = "10" and framest = CHANNEL_A else user_data_b when conf_udaten = "10" and framest = CHANNEL_B else def_user_data; end rtl;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_vga_buffer_0_0/synth/system_vga_buffer_0_0.vhd
4
4630
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_buffer:1.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_buffer_0_0 IS PORT ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; wen : IN STD_LOGIC; x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0); data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END system_vga_buffer_0_0; ARCHITECTURE system_vga_buffer_0_0_arch OF system_vga_buffer_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_buffer_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_buffer IS GENERIC ( SIZE_POW2 : INTEGER ); PORT ( clk_w : IN STD_LOGIC; clk_r : IN STD_LOGIC; wen : IN STD_LOGIC; x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0); x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0); data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0); data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END COMPONENT vga_buffer; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_vga_buffer_0_0_arch: ARCHITECTURE IS "vga_buffer,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_vga_buffer_0_0_arch : ARCHITECTURE IS "system_vga_buffer_0_0,vga_buffer,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_vga_buffer_0_0_arch: ARCHITECTURE IS "system_vga_buffer_0_0,vga_buffer,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=user,x_ipName=vga_buffer,x_ipVersion=1.0,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,SIZE_POW2=10}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk_w: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK"; BEGIN U0 : vga_buffer GENERIC MAP ( SIZE_POW2 => 10 ) PORT MAP ( clk_w => clk_w, clk_r => clk_r, wen => wen, x_addr_w => x_addr_w, y_addr_w => y_addr_w, x_addr_r => x_addr_r, y_addr_r => y_addr_r, data_w => data_w, data_r => data_r ); END system_vga_buffer_0_0_arch;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_transform_0_1/system_vga_transform_0_1_sim_netlist.vhdl
1
143471
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Jun 04 14:49:03 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_vga_transform_0_1 -prefix -- system_vga_transform_0_1_ system_vga_transform_0_1_sim_netlist.vhdl -- Design : system_vga_transform_0_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_transform_0_1_vga_transform is port ( x_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); rot_m01 : in STD_LOGIC_VECTOR ( 15 downto 0 ); y_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); rot_m00 : in STD_LOGIC_VECTOR ( 15 downto 0 ); x_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); clk : in STD_LOGIC; rot_m11 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rot_m10 : in STD_LOGIC_VECTOR ( 15 downto 0 ); enable : in STD_LOGIC; t_x : in STD_LOGIC_VECTOR ( 9 downto 0 ); t_y : in STD_LOGIC_VECTOR ( 9 downto 0 ) ); end system_vga_transform_0_1_vga_transform; architecture STRUCTURE of system_vga_transform_0_1_vga_transform is signal p_0_in : STD_LOGIC_VECTOR ( 9 downto 0 ); signal p_1_in : STD_LOGIC_VECTOR ( 23 downto 14 ); signal x_addr_out0 : STD_LOGIC_VECTOR ( 23 downto 14 ); signal \x_addr_out0_carry__0_i_1_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__0_i_2_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__0_i_3_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__0_i_4_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__0_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__0_n_1\ : STD_LOGIC; signal \x_addr_out0_carry__0_n_2\ : STD_LOGIC; signal \x_addr_out0_carry__0_n_3\ : STD_LOGIC; signal \x_addr_out0_carry__1_i_1_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__1_i_2_n_0\ : STD_LOGIC; signal \x_addr_out0_carry__1_n_3\ : STD_LOGIC; signal x_addr_out0_carry_i_1_n_0 : STD_LOGIC; signal x_addr_out0_carry_i_2_n_0 : STD_LOGIC; signal x_addr_out0_carry_i_3_n_0 : STD_LOGIC; signal x_addr_out0_carry_n_0 : STD_LOGIC; signal x_addr_out0_carry_n_1 : STD_LOGIC; signal x_addr_out0_carry_n_2 : STD_LOGIC; signal x_addr_out0_carry_n_3 : STD_LOGIC; signal \x_addr_out2_carry__0_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__0_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__0_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__0_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__0_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__0_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__0_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__0_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__1_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__1_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__1_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__1_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__1_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__1_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__1_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__2_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__2_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__2_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__2_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__2_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__2_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__2_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__3_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__3_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__3_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__3_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__3_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__3_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__3_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__4_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__4_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__4_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__4_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__4_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__4_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__4_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__5_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__5_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__5_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__5_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__5_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__5_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__5_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__5_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__6_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__6_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__6_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__6_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__6_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__6_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__6_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__6_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__7_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__7_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__7_i_3_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__7_i_4_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__7_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__7_n_1\ : STD_LOGIC; signal \x_addr_out2_carry__7_n_2\ : STD_LOGIC; signal \x_addr_out2_carry__7_n_3\ : STD_LOGIC; signal \x_addr_out2_carry__8_i_1_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__8_i_2_n_0\ : STD_LOGIC; signal \x_addr_out2_carry__8_n_3\ : STD_LOGIC; signal x_addr_out2_carry_i_1_n_0 : STD_LOGIC; signal x_addr_out2_carry_i_2_n_0 : STD_LOGIC; signal x_addr_out2_carry_i_3_n_0 : STD_LOGIC; signal x_addr_out2_carry_i_4_n_0 : STD_LOGIC; signal x_addr_out2_carry_n_0 : STD_LOGIC; signal x_addr_out2_carry_n_1 : STD_LOGIC; signal x_addr_out2_carry_n_2 : STD_LOGIC; signal x_addr_out2_carry_n_3 : STD_LOGIC; signal \x_addr_out3__0_n_100\ : STD_LOGIC; signal \x_addr_out3__0_n_101\ : STD_LOGIC; signal \x_addr_out3__0_n_102\ : STD_LOGIC; signal \x_addr_out3__0_n_103\ : STD_LOGIC; signal \x_addr_out3__0_n_104\ : STD_LOGIC; signal \x_addr_out3__0_n_105\ : STD_LOGIC; signal \x_addr_out3__0_n_58\ : STD_LOGIC; signal \x_addr_out3__0_n_59\ : STD_LOGIC; signal \x_addr_out3__0_n_60\ : STD_LOGIC; signal \x_addr_out3__0_n_61\ : STD_LOGIC; signal \x_addr_out3__0_n_62\ : STD_LOGIC; signal \x_addr_out3__0_n_63\ : STD_LOGIC; signal \x_addr_out3__0_n_64\ : STD_LOGIC; signal \x_addr_out3__0_n_65\ : STD_LOGIC; signal \x_addr_out3__0_n_66\ : STD_LOGIC; signal \x_addr_out3__0_n_67\ : STD_LOGIC; signal \x_addr_out3__0_n_68\ : STD_LOGIC; signal \x_addr_out3__0_n_69\ : STD_LOGIC; signal \x_addr_out3__0_n_70\ : STD_LOGIC; signal \x_addr_out3__0_n_71\ : STD_LOGIC; signal \x_addr_out3__0_n_72\ : STD_LOGIC; signal \x_addr_out3__0_n_73\ : STD_LOGIC; signal \x_addr_out3__0_n_74\ : STD_LOGIC; signal \x_addr_out3__0_n_75\ : STD_LOGIC; signal \x_addr_out3__0_n_76\ : STD_LOGIC; signal \x_addr_out3__0_n_77\ : STD_LOGIC; signal \x_addr_out3__0_n_78\ : STD_LOGIC; signal \x_addr_out3__0_n_79\ : STD_LOGIC; signal \x_addr_out3__0_n_80\ : STD_LOGIC; signal \x_addr_out3__0_n_81\ : STD_LOGIC; signal \x_addr_out3__0_n_82\ : STD_LOGIC; signal \x_addr_out3__0_n_83\ : STD_LOGIC; signal \x_addr_out3__0_n_84\ : STD_LOGIC; signal \x_addr_out3__0_n_85\ : STD_LOGIC; signal \x_addr_out3__0_n_86\ : STD_LOGIC; signal \x_addr_out3__0_n_87\ : STD_LOGIC; signal \x_addr_out3__0_n_88\ : STD_LOGIC; signal \x_addr_out3__0_n_89\ : STD_LOGIC; signal \x_addr_out3__0_n_90\ : STD_LOGIC; signal \x_addr_out3__0_n_91\ : STD_LOGIC; signal \x_addr_out3__0_n_92\ : STD_LOGIC; signal \x_addr_out3__0_n_93\ : STD_LOGIC; signal \x_addr_out3__0_n_94\ : STD_LOGIC; signal \x_addr_out3__0_n_95\ : STD_LOGIC; signal \x_addr_out3__0_n_96\ : STD_LOGIC; signal \x_addr_out3__0_n_97\ : STD_LOGIC; signal \x_addr_out3__0_n_98\ : STD_LOGIC; signal \x_addr_out3__0_n_99\ : STD_LOGIC; signal \x_addr_out3__1_n_100\ : STD_LOGIC; signal \x_addr_out3__1_n_101\ : STD_LOGIC; signal \x_addr_out3__1_n_102\ : STD_LOGIC; signal \x_addr_out3__1_n_103\ : STD_LOGIC; signal \x_addr_out3__1_n_104\ : STD_LOGIC; signal \x_addr_out3__1_n_105\ : STD_LOGIC; signal \x_addr_out3__1_n_106\ : STD_LOGIC; signal \x_addr_out3__1_n_107\ : STD_LOGIC; signal \x_addr_out3__1_n_108\ : STD_LOGIC; signal \x_addr_out3__1_n_109\ : STD_LOGIC; signal \x_addr_out3__1_n_110\ : STD_LOGIC; signal \x_addr_out3__1_n_111\ : STD_LOGIC; signal \x_addr_out3__1_n_112\ : STD_LOGIC; signal \x_addr_out3__1_n_113\ : STD_LOGIC; signal \x_addr_out3__1_n_114\ : STD_LOGIC; signal \x_addr_out3__1_n_115\ : STD_LOGIC; signal \x_addr_out3__1_n_116\ : STD_LOGIC; signal \x_addr_out3__1_n_117\ : STD_LOGIC; signal \x_addr_out3__1_n_118\ : STD_LOGIC; signal \x_addr_out3__1_n_119\ : STD_LOGIC; signal \x_addr_out3__1_n_120\ : STD_LOGIC; signal \x_addr_out3__1_n_121\ : STD_LOGIC; signal \x_addr_out3__1_n_122\ : STD_LOGIC; signal \x_addr_out3__1_n_123\ : STD_LOGIC; signal \x_addr_out3__1_n_124\ : STD_LOGIC; signal \x_addr_out3__1_n_125\ : STD_LOGIC; signal \x_addr_out3__1_n_126\ : STD_LOGIC; signal \x_addr_out3__1_n_127\ : STD_LOGIC; signal \x_addr_out3__1_n_128\ : STD_LOGIC; signal \x_addr_out3__1_n_129\ : STD_LOGIC; signal \x_addr_out3__1_n_130\ : STD_LOGIC; signal \x_addr_out3__1_n_131\ : STD_LOGIC; signal \x_addr_out3__1_n_132\ : STD_LOGIC; signal \x_addr_out3__1_n_133\ : STD_LOGIC; signal \x_addr_out3__1_n_134\ : STD_LOGIC; signal \x_addr_out3__1_n_135\ : STD_LOGIC; signal \x_addr_out3__1_n_136\ : STD_LOGIC; signal \x_addr_out3__1_n_137\ : STD_LOGIC; signal \x_addr_out3__1_n_138\ : STD_LOGIC; signal \x_addr_out3__1_n_139\ : STD_LOGIC; signal \x_addr_out3__1_n_140\ : STD_LOGIC; signal \x_addr_out3__1_n_141\ : STD_LOGIC; signal \x_addr_out3__1_n_142\ : STD_LOGIC; signal \x_addr_out3__1_n_143\ : STD_LOGIC; signal \x_addr_out3__1_n_144\ : STD_LOGIC; signal \x_addr_out3__1_n_145\ : STD_LOGIC; signal \x_addr_out3__1_n_146\ : STD_LOGIC; signal \x_addr_out3__1_n_147\ : STD_LOGIC; signal \x_addr_out3__1_n_148\ : STD_LOGIC; signal \x_addr_out3__1_n_149\ : STD_LOGIC; signal \x_addr_out3__1_n_150\ : STD_LOGIC; signal \x_addr_out3__1_n_151\ : STD_LOGIC; signal \x_addr_out3__1_n_152\ : STD_LOGIC; signal \x_addr_out3__1_n_153\ : STD_LOGIC; signal \x_addr_out3__1_n_58\ : STD_LOGIC; signal \x_addr_out3__1_n_59\ : STD_LOGIC; signal \x_addr_out3__1_n_60\ : STD_LOGIC; signal \x_addr_out3__1_n_61\ : STD_LOGIC; signal \x_addr_out3__1_n_62\ : STD_LOGIC; signal \x_addr_out3__1_n_63\ : STD_LOGIC; signal \x_addr_out3__1_n_64\ : STD_LOGIC; signal \x_addr_out3__1_n_65\ : STD_LOGIC; signal \x_addr_out3__1_n_66\ : STD_LOGIC; signal \x_addr_out3__1_n_67\ : STD_LOGIC; signal \x_addr_out3__1_n_68\ : STD_LOGIC; signal \x_addr_out3__1_n_69\ : STD_LOGIC; signal \x_addr_out3__1_n_70\ : STD_LOGIC; signal \x_addr_out3__1_n_71\ : STD_LOGIC; signal \x_addr_out3__1_n_72\ : STD_LOGIC; signal \x_addr_out3__1_n_73\ : STD_LOGIC; signal \x_addr_out3__1_n_74\ : STD_LOGIC; signal \x_addr_out3__1_n_75\ : STD_LOGIC; signal \x_addr_out3__1_n_76\ : STD_LOGIC; signal \x_addr_out3__1_n_77\ : STD_LOGIC; signal \x_addr_out3__1_n_78\ : STD_LOGIC; signal \x_addr_out3__1_n_79\ : STD_LOGIC; signal \x_addr_out3__1_n_80\ : STD_LOGIC; signal \x_addr_out3__1_n_81\ : STD_LOGIC; signal \x_addr_out3__1_n_82\ : STD_LOGIC; signal \x_addr_out3__1_n_83\ : STD_LOGIC; signal \x_addr_out3__1_n_84\ : STD_LOGIC; signal \x_addr_out3__1_n_85\ : STD_LOGIC; signal \x_addr_out3__1_n_86\ : STD_LOGIC; signal \x_addr_out3__1_n_87\ : STD_LOGIC; signal \x_addr_out3__1_n_88\ : STD_LOGIC; signal \x_addr_out3__1_n_89\ : STD_LOGIC; signal \x_addr_out3__1_n_90\ : STD_LOGIC; signal \x_addr_out3__1_n_91\ : STD_LOGIC; signal \x_addr_out3__1_n_92\ : STD_LOGIC; signal \x_addr_out3__1_n_93\ : STD_LOGIC; signal \x_addr_out3__1_n_94\ : STD_LOGIC; signal \x_addr_out3__1_n_95\ : STD_LOGIC; signal \x_addr_out3__1_n_96\ : STD_LOGIC; signal \x_addr_out3__1_n_97\ : STD_LOGIC; signal \x_addr_out3__1_n_98\ : STD_LOGIC; signal \x_addr_out3__1_n_99\ : STD_LOGIC; signal \x_addr_out3__2_n_100\ : STD_LOGIC; signal \x_addr_out3__2_n_101\ : STD_LOGIC; signal \x_addr_out3__2_n_102\ : STD_LOGIC; signal \x_addr_out3__2_n_103\ : STD_LOGIC; signal \x_addr_out3__2_n_104\ : STD_LOGIC; signal \x_addr_out3__2_n_105\ : STD_LOGIC; signal \x_addr_out3__2_n_58\ : STD_LOGIC; signal \x_addr_out3__2_n_59\ : STD_LOGIC; signal \x_addr_out3__2_n_60\ : STD_LOGIC; signal \x_addr_out3__2_n_61\ : STD_LOGIC; signal \x_addr_out3__2_n_62\ : STD_LOGIC; signal \x_addr_out3__2_n_63\ : STD_LOGIC; signal \x_addr_out3__2_n_64\ : STD_LOGIC; signal \x_addr_out3__2_n_65\ : STD_LOGIC; signal \x_addr_out3__2_n_66\ : STD_LOGIC; signal \x_addr_out3__2_n_67\ : STD_LOGIC; signal \x_addr_out3__2_n_68\ : STD_LOGIC; signal \x_addr_out3__2_n_69\ : STD_LOGIC; signal \x_addr_out3__2_n_70\ : STD_LOGIC; signal \x_addr_out3__2_n_71\ : STD_LOGIC; signal \x_addr_out3__2_n_72\ : STD_LOGIC; signal \x_addr_out3__2_n_73\ : STD_LOGIC; signal \x_addr_out3__2_n_74\ : STD_LOGIC; signal \x_addr_out3__2_n_75\ : STD_LOGIC; signal \x_addr_out3__2_n_76\ : STD_LOGIC; signal \x_addr_out3__2_n_77\ : STD_LOGIC; signal \x_addr_out3__2_n_78\ : STD_LOGIC; signal \x_addr_out3__2_n_79\ : STD_LOGIC; signal \x_addr_out3__2_n_80\ : STD_LOGIC; signal \x_addr_out3__2_n_81\ : STD_LOGIC; signal \x_addr_out3__2_n_82\ : STD_LOGIC; signal \x_addr_out3__2_n_83\ : STD_LOGIC; signal \x_addr_out3__2_n_84\ : STD_LOGIC; signal \x_addr_out3__2_n_85\ : STD_LOGIC; signal \x_addr_out3__2_n_86\ : STD_LOGIC; signal \x_addr_out3__2_n_87\ : STD_LOGIC; signal \x_addr_out3__2_n_88\ : STD_LOGIC; signal \x_addr_out3__2_n_89\ : STD_LOGIC; signal \x_addr_out3__2_n_90\ : STD_LOGIC; signal \x_addr_out3__2_n_91\ : STD_LOGIC; signal \x_addr_out3__2_n_92\ : STD_LOGIC; signal \x_addr_out3__2_n_93\ : STD_LOGIC; signal \x_addr_out3__2_n_94\ : STD_LOGIC; signal \x_addr_out3__2_n_95\ : STD_LOGIC; signal \x_addr_out3__2_n_96\ : STD_LOGIC; signal \x_addr_out3__2_n_97\ : STD_LOGIC; signal \x_addr_out3__2_n_98\ : STD_LOGIC; signal \x_addr_out3__2_n_99\ : STD_LOGIC; signal x_addr_out3_n_100 : STD_LOGIC; signal x_addr_out3_n_101 : STD_LOGIC; signal x_addr_out3_n_102 : STD_LOGIC; signal x_addr_out3_n_103 : STD_LOGIC; signal x_addr_out3_n_104 : STD_LOGIC; signal x_addr_out3_n_105 : STD_LOGIC; signal x_addr_out3_n_106 : STD_LOGIC; signal x_addr_out3_n_107 : STD_LOGIC; signal x_addr_out3_n_108 : STD_LOGIC; signal x_addr_out3_n_109 : STD_LOGIC; signal x_addr_out3_n_110 : STD_LOGIC; signal x_addr_out3_n_111 : STD_LOGIC; signal x_addr_out3_n_112 : STD_LOGIC; signal x_addr_out3_n_113 : STD_LOGIC; signal x_addr_out3_n_114 : STD_LOGIC; signal x_addr_out3_n_115 : STD_LOGIC; signal x_addr_out3_n_116 : STD_LOGIC; signal x_addr_out3_n_117 : STD_LOGIC; signal x_addr_out3_n_118 : STD_LOGIC; signal x_addr_out3_n_119 : STD_LOGIC; signal x_addr_out3_n_120 : STD_LOGIC; signal x_addr_out3_n_121 : STD_LOGIC; signal x_addr_out3_n_122 : STD_LOGIC; signal x_addr_out3_n_123 : STD_LOGIC; signal x_addr_out3_n_124 : STD_LOGIC; signal x_addr_out3_n_125 : STD_LOGIC; signal x_addr_out3_n_126 : STD_LOGIC; signal x_addr_out3_n_127 : STD_LOGIC; signal x_addr_out3_n_128 : STD_LOGIC; signal x_addr_out3_n_129 : STD_LOGIC; signal x_addr_out3_n_130 : STD_LOGIC; signal x_addr_out3_n_131 : STD_LOGIC; signal x_addr_out3_n_132 : STD_LOGIC; signal x_addr_out3_n_133 : STD_LOGIC; signal x_addr_out3_n_134 : STD_LOGIC; signal x_addr_out3_n_135 : STD_LOGIC; signal x_addr_out3_n_136 : STD_LOGIC; signal x_addr_out3_n_137 : STD_LOGIC; signal x_addr_out3_n_138 : STD_LOGIC; signal x_addr_out3_n_139 : STD_LOGIC; signal x_addr_out3_n_140 : STD_LOGIC; signal x_addr_out3_n_141 : STD_LOGIC; signal x_addr_out3_n_142 : STD_LOGIC; signal x_addr_out3_n_143 : STD_LOGIC; signal x_addr_out3_n_144 : STD_LOGIC; signal x_addr_out3_n_145 : STD_LOGIC; signal x_addr_out3_n_146 : STD_LOGIC; signal x_addr_out3_n_147 : STD_LOGIC; signal x_addr_out3_n_148 : STD_LOGIC; signal x_addr_out3_n_149 : STD_LOGIC; signal x_addr_out3_n_150 : STD_LOGIC; signal x_addr_out3_n_151 : STD_LOGIC; signal x_addr_out3_n_152 : STD_LOGIC; signal x_addr_out3_n_153 : STD_LOGIC; signal x_addr_out3_n_58 : STD_LOGIC; signal x_addr_out3_n_59 : STD_LOGIC; signal x_addr_out3_n_60 : STD_LOGIC; signal x_addr_out3_n_61 : STD_LOGIC; signal x_addr_out3_n_62 : STD_LOGIC; signal x_addr_out3_n_63 : STD_LOGIC; signal x_addr_out3_n_64 : STD_LOGIC; signal x_addr_out3_n_65 : STD_LOGIC; signal x_addr_out3_n_66 : STD_LOGIC; signal x_addr_out3_n_67 : STD_LOGIC; signal x_addr_out3_n_68 : STD_LOGIC; signal x_addr_out3_n_69 : STD_LOGIC; signal x_addr_out3_n_70 : STD_LOGIC; signal x_addr_out3_n_71 : STD_LOGIC; signal x_addr_out3_n_72 : STD_LOGIC; signal x_addr_out3_n_73 : STD_LOGIC; signal x_addr_out3_n_74 : STD_LOGIC; signal x_addr_out3_n_75 : STD_LOGIC; signal x_addr_out3_n_76 : STD_LOGIC; signal x_addr_out3_n_77 : STD_LOGIC; signal x_addr_out3_n_78 : STD_LOGIC; signal x_addr_out3_n_79 : STD_LOGIC; signal x_addr_out3_n_80 : STD_LOGIC; signal x_addr_out3_n_81 : STD_LOGIC; signal x_addr_out3_n_82 : STD_LOGIC; signal x_addr_out3_n_83 : STD_LOGIC; signal x_addr_out3_n_84 : STD_LOGIC; signal x_addr_out3_n_85 : STD_LOGIC; signal x_addr_out3_n_86 : STD_LOGIC; signal x_addr_out3_n_87 : STD_LOGIC; signal x_addr_out3_n_88 : STD_LOGIC; signal x_addr_out3_n_89 : STD_LOGIC; signal x_addr_out3_n_90 : STD_LOGIC; signal x_addr_out3_n_91 : STD_LOGIC; signal x_addr_out3_n_92 : STD_LOGIC; signal x_addr_out3_n_93 : STD_LOGIC; signal x_addr_out3_n_94 : STD_LOGIC; signal x_addr_out3_n_95 : STD_LOGIC; signal x_addr_out3_n_96 : STD_LOGIC; signal x_addr_out3_n_97 : STD_LOGIC; signal x_addr_out3_n_98 : STD_LOGIC; signal x_addr_out3_n_99 : STD_LOGIC; signal \x_addr_out[0]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[1]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[2]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[3]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[4]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[5]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[6]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[7]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[8]_i_1_n_0\ : STD_LOGIC; signal \x_addr_out[9]_i_1_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__0_i_1_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__0_i_2_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__0_i_3_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__0_i_4_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__0_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__0_n_1\ : STD_LOGIC; signal \y_addr_out0_carry__0_n_2\ : STD_LOGIC; signal \y_addr_out0_carry__0_n_3\ : STD_LOGIC; signal \y_addr_out0_carry__1_i_1_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__1_i_2_n_0\ : STD_LOGIC; signal \y_addr_out0_carry__1_n_3\ : STD_LOGIC; signal y_addr_out0_carry_i_1_n_0 : STD_LOGIC; signal y_addr_out0_carry_i_2_n_0 : STD_LOGIC; signal y_addr_out0_carry_i_3_n_0 : STD_LOGIC; signal y_addr_out0_carry_i_4_n_0 : STD_LOGIC; signal y_addr_out0_carry_n_0 : STD_LOGIC; signal y_addr_out0_carry_n_1 : STD_LOGIC; signal y_addr_out0_carry_n_2 : STD_LOGIC; signal y_addr_out0_carry_n_3 : STD_LOGIC; signal y_addr_out2 : STD_LOGIC_VECTOR ( 37 downto 28 ); signal \y_addr_out2_carry__0_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__0_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__0_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__0_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__0_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__0_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__0_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__0_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__1_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__1_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__1_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__1_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__1_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__1_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__1_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__2_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__2_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__2_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__2_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__2_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__2_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__2_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__3_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__3_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__3_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__3_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__3_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__3_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__3_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__4_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__4_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__4_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__4_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__4_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__4_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__4_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__5_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__5_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__5_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__5_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__5_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__5_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__5_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__5_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__6_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__6_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__6_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__6_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__6_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__6_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__6_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__6_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__7_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__7_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__7_i_3_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__7_i_4_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__7_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__7_n_1\ : STD_LOGIC; signal \y_addr_out2_carry__7_n_2\ : STD_LOGIC; signal \y_addr_out2_carry__7_n_3\ : STD_LOGIC; signal \y_addr_out2_carry__8_i_1_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__8_i_2_n_0\ : STD_LOGIC; signal \y_addr_out2_carry__8_n_3\ : STD_LOGIC; signal y_addr_out2_carry_i_1_n_0 : STD_LOGIC; signal y_addr_out2_carry_i_2_n_0 : STD_LOGIC; signal y_addr_out2_carry_i_3_n_0 : STD_LOGIC; signal y_addr_out2_carry_i_4_n_0 : STD_LOGIC; signal y_addr_out2_carry_n_0 : STD_LOGIC; signal y_addr_out2_carry_n_1 : STD_LOGIC; signal y_addr_out2_carry_n_2 : STD_LOGIC; signal y_addr_out2_carry_n_3 : STD_LOGIC; signal \y_addr_out3__0_n_100\ : STD_LOGIC; signal \y_addr_out3__0_n_101\ : STD_LOGIC; signal \y_addr_out3__0_n_102\ : STD_LOGIC; signal \y_addr_out3__0_n_103\ : STD_LOGIC; signal \y_addr_out3__0_n_104\ : STD_LOGIC; signal \y_addr_out3__0_n_105\ : STD_LOGIC; signal \y_addr_out3__0_n_58\ : STD_LOGIC; signal \y_addr_out3__0_n_59\ : STD_LOGIC; signal \y_addr_out3__0_n_60\ : STD_LOGIC; signal \y_addr_out3__0_n_61\ : STD_LOGIC; signal \y_addr_out3__0_n_62\ : STD_LOGIC; signal \y_addr_out3__0_n_63\ : STD_LOGIC; signal \y_addr_out3__0_n_64\ : STD_LOGIC; signal \y_addr_out3__0_n_65\ : STD_LOGIC; signal \y_addr_out3__0_n_66\ : STD_LOGIC; signal \y_addr_out3__0_n_67\ : STD_LOGIC; signal \y_addr_out3__0_n_68\ : STD_LOGIC; signal \y_addr_out3__0_n_69\ : STD_LOGIC; signal \y_addr_out3__0_n_70\ : STD_LOGIC; signal \y_addr_out3__0_n_71\ : STD_LOGIC; signal \y_addr_out3__0_n_72\ : STD_LOGIC; signal \y_addr_out3__0_n_73\ : STD_LOGIC; signal \y_addr_out3__0_n_74\ : STD_LOGIC; signal \y_addr_out3__0_n_75\ : STD_LOGIC; signal \y_addr_out3__0_n_76\ : STD_LOGIC; signal \y_addr_out3__0_n_77\ : STD_LOGIC; signal \y_addr_out3__0_n_78\ : STD_LOGIC; signal \y_addr_out3__0_n_79\ : STD_LOGIC; signal \y_addr_out3__0_n_80\ : STD_LOGIC; signal \y_addr_out3__0_n_81\ : STD_LOGIC; signal \y_addr_out3__0_n_82\ : STD_LOGIC; signal \y_addr_out3__0_n_83\ : STD_LOGIC; signal \y_addr_out3__0_n_84\ : STD_LOGIC; signal \y_addr_out3__0_n_85\ : STD_LOGIC; signal \y_addr_out3__0_n_86\ : STD_LOGIC; signal \y_addr_out3__0_n_87\ : STD_LOGIC; signal \y_addr_out3__0_n_88\ : STD_LOGIC; signal \y_addr_out3__0_n_89\ : STD_LOGIC; signal \y_addr_out3__0_n_90\ : STD_LOGIC; signal \y_addr_out3__0_n_91\ : STD_LOGIC; signal \y_addr_out3__0_n_92\ : STD_LOGIC; signal \y_addr_out3__0_n_93\ : STD_LOGIC; signal \y_addr_out3__0_n_94\ : STD_LOGIC; signal \y_addr_out3__0_n_95\ : STD_LOGIC; signal \y_addr_out3__0_n_96\ : STD_LOGIC; signal \y_addr_out3__0_n_97\ : STD_LOGIC; signal \y_addr_out3__0_n_98\ : STD_LOGIC; signal \y_addr_out3__0_n_99\ : STD_LOGIC; signal \y_addr_out3__1_n_100\ : STD_LOGIC; signal \y_addr_out3__1_n_101\ : STD_LOGIC; signal \y_addr_out3__1_n_102\ : STD_LOGIC; signal \y_addr_out3__1_n_103\ : STD_LOGIC; signal \y_addr_out3__1_n_104\ : STD_LOGIC; signal \y_addr_out3__1_n_105\ : STD_LOGIC; signal \y_addr_out3__1_n_106\ : STD_LOGIC; signal \y_addr_out3__1_n_107\ : STD_LOGIC; signal \y_addr_out3__1_n_108\ : STD_LOGIC; signal \y_addr_out3__1_n_109\ : STD_LOGIC; signal \y_addr_out3__1_n_110\ : STD_LOGIC; signal \y_addr_out3__1_n_111\ : STD_LOGIC; signal \y_addr_out3__1_n_112\ : STD_LOGIC; signal \y_addr_out3__1_n_113\ : STD_LOGIC; signal \y_addr_out3__1_n_114\ : STD_LOGIC; signal \y_addr_out3__1_n_115\ : STD_LOGIC; signal \y_addr_out3__1_n_116\ : STD_LOGIC; signal \y_addr_out3__1_n_117\ : STD_LOGIC; signal \y_addr_out3__1_n_118\ : STD_LOGIC; signal \y_addr_out3__1_n_119\ : STD_LOGIC; signal \y_addr_out3__1_n_120\ : STD_LOGIC; signal \y_addr_out3__1_n_121\ : STD_LOGIC; signal \y_addr_out3__1_n_122\ : STD_LOGIC; signal \y_addr_out3__1_n_123\ : STD_LOGIC; signal \y_addr_out3__1_n_124\ : STD_LOGIC; signal \y_addr_out3__1_n_125\ : STD_LOGIC; signal \y_addr_out3__1_n_126\ : STD_LOGIC; signal \y_addr_out3__1_n_127\ : STD_LOGIC; signal \y_addr_out3__1_n_128\ : STD_LOGIC; signal \y_addr_out3__1_n_129\ : STD_LOGIC; signal \y_addr_out3__1_n_130\ : STD_LOGIC; signal \y_addr_out3__1_n_131\ : STD_LOGIC; signal \y_addr_out3__1_n_132\ : STD_LOGIC; signal \y_addr_out3__1_n_133\ : STD_LOGIC; signal \y_addr_out3__1_n_134\ : STD_LOGIC; signal \y_addr_out3__1_n_135\ : STD_LOGIC; signal \y_addr_out3__1_n_136\ : STD_LOGIC; signal \y_addr_out3__1_n_137\ : STD_LOGIC; signal \y_addr_out3__1_n_138\ : STD_LOGIC; signal \y_addr_out3__1_n_139\ : STD_LOGIC; signal \y_addr_out3__1_n_140\ : STD_LOGIC; signal \y_addr_out3__1_n_141\ : STD_LOGIC; signal \y_addr_out3__1_n_142\ : STD_LOGIC; signal \y_addr_out3__1_n_143\ : STD_LOGIC; signal \y_addr_out3__1_n_144\ : STD_LOGIC; signal \y_addr_out3__1_n_145\ : STD_LOGIC; signal \y_addr_out3__1_n_146\ : STD_LOGIC; signal \y_addr_out3__1_n_147\ : STD_LOGIC; signal \y_addr_out3__1_n_148\ : STD_LOGIC; signal \y_addr_out3__1_n_149\ : STD_LOGIC; signal \y_addr_out3__1_n_150\ : STD_LOGIC; signal \y_addr_out3__1_n_151\ : STD_LOGIC; signal \y_addr_out3__1_n_152\ : STD_LOGIC; signal \y_addr_out3__1_n_153\ : STD_LOGIC; signal \y_addr_out3__1_n_58\ : STD_LOGIC; signal \y_addr_out3__1_n_59\ : STD_LOGIC; signal \y_addr_out3__1_n_60\ : STD_LOGIC; signal \y_addr_out3__1_n_61\ : STD_LOGIC; signal \y_addr_out3__1_n_62\ : STD_LOGIC; signal \y_addr_out3__1_n_63\ : STD_LOGIC; signal \y_addr_out3__1_n_64\ : STD_LOGIC; signal \y_addr_out3__1_n_65\ : STD_LOGIC; signal \y_addr_out3__1_n_66\ : STD_LOGIC; signal \y_addr_out3__1_n_67\ : STD_LOGIC; signal \y_addr_out3__1_n_68\ : STD_LOGIC; signal \y_addr_out3__1_n_69\ : STD_LOGIC; signal \y_addr_out3__1_n_70\ : STD_LOGIC; signal \y_addr_out3__1_n_71\ : STD_LOGIC; signal \y_addr_out3__1_n_72\ : STD_LOGIC; signal \y_addr_out3__1_n_73\ : STD_LOGIC; signal \y_addr_out3__1_n_74\ : STD_LOGIC; signal \y_addr_out3__1_n_75\ : STD_LOGIC; signal \y_addr_out3__1_n_76\ : STD_LOGIC; signal \y_addr_out3__1_n_77\ : STD_LOGIC; signal \y_addr_out3__1_n_78\ : STD_LOGIC; signal \y_addr_out3__1_n_79\ : STD_LOGIC; signal \y_addr_out3__1_n_80\ : STD_LOGIC; signal \y_addr_out3__1_n_81\ : STD_LOGIC; signal \y_addr_out3__1_n_82\ : STD_LOGIC; signal \y_addr_out3__1_n_83\ : STD_LOGIC; signal \y_addr_out3__1_n_84\ : STD_LOGIC; signal \y_addr_out3__1_n_85\ : STD_LOGIC; signal \y_addr_out3__1_n_86\ : STD_LOGIC; signal \y_addr_out3__1_n_87\ : STD_LOGIC; signal \y_addr_out3__1_n_88\ : STD_LOGIC; signal \y_addr_out3__1_n_89\ : STD_LOGIC; signal \y_addr_out3__1_n_90\ : STD_LOGIC; signal \y_addr_out3__1_n_91\ : STD_LOGIC; signal \y_addr_out3__1_n_92\ : STD_LOGIC; signal \y_addr_out3__1_n_93\ : STD_LOGIC; signal \y_addr_out3__1_n_94\ : STD_LOGIC; signal \y_addr_out3__1_n_95\ : STD_LOGIC; signal \y_addr_out3__1_n_96\ : STD_LOGIC; signal \y_addr_out3__1_n_97\ : STD_LOGIC; signal \y_addr_out3__1_n_98\ : STD_LOGIC; signal \y_addr_out3__1_n_99\ : STD_LOGIC; signal \y_addr_out3__2_n_100\ : STD_LOGIC; signal \y_addr_out3__2_n_101\ : STD_LOGIC; signal \y_addr_out3__2_n_102\ : STD_LOGIC; signal \y_addr_out3__2_n_103\ : STD_LOGIC; signal \y_addr_out3__2_n_104\ : STD_LOGIC; signal \y_addr_out3__2_n_105\ : STD_LOGIC; signal \y_addr_out3__2_n_58\ : STD_LOGIC; signal \y_addr_out3__2_n_59\ : STD_LOGIC; signal \y_addr_out3__2_n_60\ : STD_LOGIC; signal \y_addr_out3__2_n_61\ : STD_LOGIC; signal \y_addr_out3__2_n_62\ : STD_LOGIC; signal \y_addr_out3__2_n_63\ : STD_LOGIC; signal \y_addr_out3__2_n_64\ : STD_LOGIC; signal \y_addr_out3__2_n_65\ : STD_LOGIC; signal \y_addr_out3__2_n_66\ : STD_LOGIC; signal \y_addr_out3__2_n_67\ : STD_LOGIC; signal \y_addr_out3__2_n_68\ : STD_LOGIC; signal \y_addr_out3__2_n_69\ : STD_LOGIC; signal \y_addr_out3__2_n_70\ : STD_LOGIC; signal \y_addr_out3__2_n_71\ : STD_LOGIC; signal \y_addr_out3__2_n_72\ : STD_LOGIC; signal \y_addr_out3__2_n_73\ : STD_LOGIC; signal \y_addr_out3__2_n_74\ : STD_LOGIC; signal \y_addr_out3__2_n_75\ : STD_LOGIC; signal \y_addr_out3__2_n_76\ : STD_LOGIC; signal \y_addr_out3__2_n_77\ : STD_LOGIC; signal \y_addr_out3__2_n_78\ : STD_LOGIC; signal \y_addr_out3__2_n_79\ : STD_LOGIC; signal \y_addr_out3__2_n_80\ : STD_LOGIC; signal \y_addr_out3__2_n_81\ : STD_LOGIC; signal \y_addr_out3__2_n_82\ : STD_LOGIC; signal \y_addr_out3__2_n_83\ : STD_LOGIC; signal \y_addr_out3__2_n_84\ : STD_LOGIC; signal \y_addr_out3__2_n_85\ : STD_LOGIC; signal \y_addr_out3__2_n_86\ : STD_LOGIC; signal \y_addr_out3__2_n_87\ : STD_LOGIC; signal \y_addr_out3__2_n_88\ : STD_LOGIC; signal \y_addr_out3__2_n_89\ : STD_LOGIC; signal \y_addr_out3__2_n_90\ : STD_LOGIC; signal \y_addr_out3__2_n_91\ : STD_LOGIC; signal \y_addr_out3__2_n_92\ : STD_LOGIC; signal \y_addr_out3__2_n_93\ : STD_LOGIC; signal \y_addr_out3__2_n_94\ : STD_LOGIC; signal \y_addr_out3__2_n_95\ : STD_LOGIC; signal \y_addr_out3__2_n_96\ : STD_LOGIC; signal \y_addr_out3__2_n_97\ : STD_LOGIC; signal \y_addr_out3__2_n_98\ : STD_LOGIC; signal \y_addr_out3__2_n_99\ : STD_LOGIC; signal y_addr_out3_n_100 : STD_LOGIC; signal y_addr_out3_n_101 : STD_LOGIC; signal y_addr_out3_n_102 : STD_LOGIC; signal y_addr_out3_n_103 : STD_LOGIC; signal y_addr_out3_n_104 : STD_LOGIC; signal y_addr_out3_n_105 : STD_LOGIC; signal y_addr_out3_n_106 : STD_LOGIC; signal y_addr_out3_n_107 : STD_LOGIC; signal y_addr_out3_n_108 : STD_LOGIC; signal y_addr_out3_n_109 : STD_LOGIC; signal y_addr_out3_n_110 : STD_LOGIC; signal y_addr_out3_n_111 : STD_LOGIC; signal y_addr_out3_n_112 : STD_LOGIC; signal y_addr_out3_n_113 : STD_LOGIC; signal y_addr_out3_n_114 : STD_LOGIC; signal y_addr_out3_n_115 : STD_LOGIC; signal y_addr_out3_n_116 : STD_LOGIC; signal y_addr_out3_n_117 : STD_LOGIC; signal y_addr_out3_n_118 : STD_LOGIC; signal y_addr_out3_n_119 : STD_LOGIC; signal y_addr_out3_n_120 : STD_LOGIC; signal y_addr_out3_n_121 : STD_LOGIC; signal y_addr_out3_n_122 : STD_LOGIC; signal y_addr_out3_n_123 : STD_LOGIC; signal y_addr_out3_n_124 : STD_LOGIC; signal y_addr_out3_n_125 : STD_LOGIC; signal y_addr_out3_n_126 : STD_LOGIC; signal y_addr_out3_n_127 : STD_LOGIC; signal y_addr_out3_n_128 : STD_LOGIC; signal y_addr_out3_n_129 : STD_LOGIC; signal y_addr_out3_n_130 : STD_LOGIC; signal y_addr_out3_n_131 : STD_LOGIC; signal y_addr_out3_n_132 : STD_LOGIC; signal y_addr_out3_n_133 : STD_LOGIC; signal y_addr_out3_n_134 : STD_LOGIC; signal y_addr_out3_n_135 : STD_LOGIC; signal y_addr_out3_n_136 : STD_LOGIC; signal y_addr_out3_n_137 : STD_LOGIC; signal y_addr_out3_n_138 : STD_LOGIC; signal y_addr_out3_n_139 : STD_LOGIC; signal y_addr_out3_n_140 : STD_LOGIC; signal y_addr_out3_n_141 : STD_LOGIC; signal y_addr_out3_n_142 : STD_LOGIC; signal y_addr_out3_n_143 : STD_LOGIC; signal y_addr_out3_n_144 : STD_LOGIC; signal y_addr_out3_n_145 : STD_LOGIC; signal y_addr_out3_n_146 : STD_LOGIC; signal y_addr_out3_n_147 : STD_LOGIC; signal y_addr_out3_n_148 : STD_LOGIC; signal y_addr_out3_n_149 : STD_LOGIC; signal y_addr_out3_n_150 : STD_LOGIC; signal y_addr_out3_n_151 : STD_LOGIC; signal y_addr_out3_n_152 : STD_LOGIC; signal y_addr_out3_n_153 : STD_LOGIC; signal y_addr_out3_n_58 : STD_LOGIC; signal y_addr_out3_n_59 : STD_LOGIC; signal y_addr_out3_n_60 : STD_LOGIC; signal y_addr_out3_n_61 : STD_LOGIC; signal y_addr_out3_n_62 : STD_LOGIC; signal y_addr_out3_n_63 : STD_LOGIC; signal y_addr_out3_n_64 : STD_LOGIC; signal y_addr_out3_n_65 : STD_LOGIC; signal y_addr_out3_n_66 : STD_LOGIC; signal y_addr_out3_n_67 : STD_LOGIC; signal y_addr_out3_n_68 : STD_LOGIC; signal y_addr_out3_n_69 : STD_LOGIC; signal y_addr_out3_n_70 : STD_LOGIC; signal y_addr_out3_n_71 : STD_LOGIC; signal y_addr_out3_n_72 : STD_LOGIC; signal y_addr_out3_n_73 : STD_LOGIC; signal y_addr_out3_n_74 : STD_LOGIC; signal y_addr_out3_n_75 : STD_LOGIC; signal y_addr_out3_n_76 : STD_LOGIC; signal y_addr_out3_n_77 : STD_LOGIC; signal y_addr_out3_n_78 : STD_LOGIC; signal y_addr_out3_n_79 : STD_LOGIC; signal y_addr_out3_n_80 : STD_LOGIC; signal y_addr_out3_n_81 : STD_LOGIC; signal y_addr_out3_n_82 : STD_LOGIC; signal y_addr_out3_n_83 : STD_LOGIC; signal y_addr_out3_n_84 : STD_LOGIC; signal y_addr_out3_n_85 : STD_LOGIC; signal y_addr_out3_n_86 : STD_LOGIC; signal y_addr_out3_n_87 : STD_LOGIC; signal y_addr_out3_n_88 : STD_LOGIC; signal y_addr_out3_n_89 : STD_LOGIC; signal y_addr_out3_n_90 : STD_LOGIC; signal y_addr_out3_n_91 : STD_LOGIC; signal y_addr_out3_n_92 : STD_LOGIC; signal y_addr_out3_n_93 : STD_LOGIC; signal y_addr_out3_n_94 : STD_LOGIC; signal y_addr_out3_n_95 : STD_LOGIC; signal y_addr_out3_n_96 : STD_LOGIC; signal y_addr_out3_n_97 : STD_LOGIC; signal y_addr_out3_n_98 : STD_LOGIC; signal y_addr_out3_n_99 : STD_LOGIC; signal NLW_x_addr_out0_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_x_addr_out0_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_x_addr_out0_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal NLW_x_addr_out2_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out2_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_x_addr_out2_carry__8_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal NLW_x_addr_out3_CARRYCASCOUT_UNCONNECTED : STD_LOGIC; signal NLW_x_addr_out3_MULTSIGNOUT_UNCONNECTED : STD_LOGIC; signal NLW_x_addr_out3_OVERFLOW_UNCONNECTED : STD_LOGIC; signal NLW_x_addr_out3_PATTERNBDETECT_UNCONNECTED : STD_LOGIC; signal NLW_x_addr_out3_PATTERNDETECT_UNCONNECTED : STD_LOGIC; signal NLW_x_addr_out3_UNDERFLOW_UNCONNECTED : STD_LOGIC; signal NLW_x_addr_out3_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 ); signal NLW_x_addr_out3_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); signal NLW_x_addr_out3_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out3__0_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__0_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__0_OVERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__0_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__0_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__0_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__0_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); signal \NLW_x_addr_out3__0_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_x_addr_out3__0_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out3__0_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \NLW_x_addr_out3__1_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__1_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__1_OVERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__1_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__1_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__1_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__1_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); signal \NLW_x_addr_out3__1_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_x_addr_out3__1_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out3__2_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__2_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__2_OVERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__2_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__2_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__2_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_x_addr_out3__2_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); signal \NLW_x_addr_out3__2_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_x_addr_out3__2_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_x_addr_out3__2_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal NLW_y_addr_out0_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_y_addr_out0_carry__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_y_addr_out0_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal NLW_y_addr_out2_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out2_carry__8_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); signal \NLW_y_addr_out2_carry__8_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); signal NLW_y_addr_out3_CARRYCASCOUT_UNCONNECTED : STD_LOGIC; signal NLW_y_addr_out3_MULTSIGNOUT_UNCONNECTED : STD_LOGIC; signal NLW_y_addr_out3_OVERFLOW_UNCONNECTED : STD_LOGIC; signal NLW_y_addr_out3_PATTERNBDETECT_UNCONNECTED : STD_LOGIC; signal NLW_y_addr_out3_PATTERNDETECT_UNCONNECTED : STD_LOGIC; signal NLW_y_addr_out3_UNDERFLOW_UNCONNECTED : STD_LOGIC; signal NLW_y_addr_out3_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 ); signal NLW_y_addr_out3_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 ); signal NLW_y_addr_out3_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out3__0_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__0_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__0_OVERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__0_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__0_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__0_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__0_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); signal \NLW_y_addr_out3__0_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_y_addr_out3__0_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out3__0_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); signal \NLW_y_addr_out3__1_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__1_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__1_OVERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__1_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__1_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__1_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__1_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); signal \NLW_y_addr_out3__1_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_y_addr_out3__1_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out3__2_CARRYCASCOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__2_MULTSIGNOUT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__2_OVERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__2_PATTERNBDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__2_PATTERNDETECT_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__2_UNDERFLOW_UNCONNECTED\ : STD_LOGIC; signal \NLW_y_addr_out3__2_ACOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 29 downto 0 ); signal \NLW_y_addr_out3__2_BCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_y_addr_out3__2_CARRYOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_y_addr_out3__2_PCOUT_UNCONNECTED\ : STD_LOGIC_VECTOR ( 47 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of x_addr_out3 : label is "{SYNTH-13 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \x_addr_out3__0\ : label is "{SYNTH-13 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \x_addr_out3__1\ : label is "{SYNTH-13 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \x_addr_out3__2\ : label is "{SYNTH-13 {cell *THIS*}}"; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \x_addr_out[1]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \x_addr_out[2]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \x_addr_out[3]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \x_addr_out[4]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \x_addr_out[5]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \x_addr_out[6]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \x_addr_out[7]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \x_addr_out[8]_i_1\ : label is "soft_lutpair3"; attribute METHODOLOGY_DRC_VIOS of y_addr_out3 : label is "{SYNTH-13 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \y_addr_out3__0\ : label is "{SYNTH-13 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \y_addr_out3__1\ : label is "{SYNTH-13 {cell *THIS*}}"; attribute METHODOLOGY_DRC_VIOS of \y_addr_out3__2\ : label is "{SYNTH-13 {cell *THIS*}}"; begin x_addr_out0_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => x_addr_out0_carry_n_0, CO(2) => x_addr_out0_carry_n_1, CO(1) => x_addr_out0_carry_n_2, CO(0) => x_addr_out0_carry_n_3, CYINIT => '0', DI(3 downto 0) => p_1_in(17 downto 14), O(3 downto 1) => x_addr_out0(17 downto 15), O(0) => NLW_x_addr_out0_carry_O_UNCONNECTED(0), S(3) => x_addr_out0_carry_i_1_n_0, S(2) => x_addr_out0_carry_i_2_n_0, S(1) => x_addr_out0_carry_i_3_n_0, S(0) => x_addr_out0(14) ); \x_addr_out0_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => x_addr_out0_carry_n_0, CO(3) => \x_addr_out0_carry__0_n_0\, CO(2) => \x_addr_out0_carry__0_n_1\, CO(1) => \x_addr_out0_carry__0_n_2\, CO(0) => \x_addr_out0_carry__0_n_3\, CYINIT => '0', DI(3 downto 0) => p_1_in(21 downto 18), O(3 downto 0) => x_addr_out0(21 downto 18), S(3) => \x_addr_out0_carry__0_i_1_n_0\, S(2) => \x_addr_out0_carry__0_i_2_n_0\, S(1) => \x_addr_out0_carry__0_i_3_n_0\, S(0) => \x_addr_out0_carry__0_i_4_n_0\ ); \x_addr_out0_carry__0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(21), I1 => t_x(7), O => \x_addr_out0_carry__0_i_1_n_0\ ); \x_addr_out0_carry__0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(20), I1 => t_x(6), O => \x_addr_out0_carry__0_i_2_n_0\ ); \x_addr_out0_carry__0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(19), I1 => t_x(5), O => \x_addr_out0_carry__0_i_3_n_0\ ); \x_addr_out0_carry__0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(18), I1 => t_x(4), O => \x_addr_out0_carry__0_i_4_n_0\ ); \x_addr_out0_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out0_carry__0_n_0\, CO(3 downto 1) => \NLW_x_addr_out0_carry__1_CO_UNCONNECTED\(3 downto 1), CO(0) => \x_addr_out0_carry__1_n_3\, CYINIT => '0', DI(3 downto 1) => B"000", DI(0) => p_1_in(22), O(3 downto 2) => \NLW_x_addr_out0_carry__1_O_UNCONNECTED\(3 downto 2), O(1 downto 0) => x_addr_out0(23 downto 22), S(3 downto 2) => B"00", S(1) => \x_addr_out0_carry__1_i_1_n_0\, S(0) => \x_addr_out0_carry__1_i_2_n_0\ ); \x_addr_out0_carry__1_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(23), I1 => t_x(9), O => \x_addr_out0_carry__1_i_1_n_0\ ); \x_addr_out0_carry__1_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(22), I1 => t_x(8), O => \x_addr_out0_carry__1_i_2_n_0\ ); x_addr_out0_carry_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(17), I1 => t_x(3), O => x_addr_out0_carry_i_1_n_0 ); x_addr_out0_carry_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(16), I1 => t_x(2), O => x_addr_out0_carry_i_2_n_0 ); x_addr_out0_carry_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(15), I1 => t_x(1), O => x_addr_out0_carry_i_3_n_0 ); x_addr_out0_carry_i_4: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => p_1_in(14), I1 => t_x(0), O => x_addr_out0(14) ); x_addr_out2_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => x_addr_out2_carry_n_0, CO(2) => x_addr_out2_carry_n_1, CO(1) => x_addr_out2_carry_n_2, CO(0) => x_addr_out2_carry_n_3, CYINIT => '0', DI(3) => \x_addr_out3__1_n_102\, DI(2) => \x_addr_out3__1_n_103\, DI(1) => \x_addr_out3__1_n_104\, DI(0) => \x_addr_out3__1_n_105\, O(3 downto 0) => NLW_x_addr_out2_carry_O_UNCONNECTED(3 downto 0), S(3) => x_addr_out2_carry_i_1_n_0, S(2) => x_addr_out2_carry_i_2_n_0, S(1) => x_addr_out2_carry_i_3_n_0, S(0) => x_addr_out2_carry_i_4_n_0 ); \x_addr_out2_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => x_addr_out2_carry_n_0, CO(3) => \x_addr_out2_carry__0_n_0\, CO(2) => \x_addr_out2_carry__0_n_1\, CO(1) => \x_addr_out2_carry__0_n_2\, CO(0) => \x_addr_out2_carry__0_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__1_n_98\, DI(2) => \x_addr_out3__1_n_99\, DI(1) => \x_addr_out3__1_n_100\, DI(0) => \x_addr_out3__1_n_101\, O(3 downto 0) => \NLW_x_addr_out2_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \x_addr_out2_carry__0_i_1_n_0\, S(2) => \x_addr_out2_carry__0_i_2_n_0\, S(1) => \x_addr_out2_carry__0_i_3_n_0\, S(0) => \x_addr_out2_carry__0_i_4_n_0\ ); \x_addr_out2_carry__0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_98\, I1 => x_addr_out3_n_98, O => \x_addr_out2_carry__0_i_1_n_0\ ); \x_addr_out2_carry__0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_99\, I1 => x_addr_out3_n_99, O => \x_addr_out2_carry__0_i_2_n_0\ ); \x_addr_out2_carry__0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_100\, I1 => x_addr_out3_n_100, O => \x_addr_out2_carry__0_i_3_n_0\ ); \x_addr_out2_carry__0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_101\, I1 => x_addr_out3_n_101, O => \x_addr_out2_carry__0_i_4_n_0\ ); \x_addr_out2_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__0_n_0\, CO(3) => \x_addr_out2_carry__1_n_0\, CO(2) => \x_addr_out2_carry__1_n_1\, CO(1) => \x_addr_out2_carry__1_n_2\, CO(0) => \x_addr_out2_carry__1_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__1_n_94\, DI(2) => \x_addr_out3__1_n_95\, DI(1) => \x_addr_out3__1_n_96\, DI(0) => \x_addr_out3__1_n_97\, O(3 downto 0) => \NLW_x_addr_out2_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \x_addr_out2_carry__1_i_1_n_0\, S(2) => \x_addr_out2_carry__1_i_2_n_0\, S(1) => \x_addr_out2_carry__1_i_3_n_0\, S(0) => \x_addr_out2_carry__1_i_4_n_0\ ); \x_addr_out2_carry__1_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_94\, I1 => x_addr_out3_n_94, O => \x_addr_out2_carry__1_i_1_n_0\ ); \x_addr_out2_carry__1_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_95\, I1 => x_addr_out3_n_95, O => \x_addr_out2_carry__1_i_2_n_0\ ); \x_addr_out2_carry__1_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_96\, I1 => x_addr_out3_n_96, O => \x_addr_out2_carry__1_i_3_n_0\ ); \x_addr_out2_carry__1_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_97\, I1 => x_addr_out3_n_97, O => \x_addr_out2_carry__1_i_4_n_0\ ); \x_addr_out2_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__1_n_0\, CO(3) => \x_addr_out2_carry__2_n_0\, CO(2) => \x_addr_out2_carry__2_n_1\, CO(1) => \x_addr_out2_carry__2_n_2\, CO(0) => \x_addr_out2_carry__2_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__1_n_90\, DI(2) => \x_addr_out3__1_n_91\, DI(1) => \x_addr_out3__1_n_92\, DI(0) => \x_addr_out3__1_n_93\, O(3 downto 0) => \NLW_x_addr_out2_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \x_addr_out2_carry__2_i_1_n_0\, S(2) => \x_addr_out2_carry__2_i_2_n_0\, S(1) => \x_addr_out2_carry__2_i_3_n_0\, S(0) => \x_addr_out2_carry__2_i_4_n_0\ ); \x_addr_out2_carry__2_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_90\, I1 => x_addr_out3_n_90, O => \x_addr_out2_carry__2_i_1_n_0\ ); \x_addr_out2_carry__2_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_91\, I1 => x_addr_out3_n_91, O => \x_addr_out2_carry__2_i_2_n_0\ ); \x_addr_out2_carry__2_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_92\, I1 => x_addr_out3_n_92, O => \x_addr_out2_carry__2_i_3_n_0\ ); \x_addr_out2_carry__2_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_93\, I1 => x_addr_out3_n_93, O => \x_addr_out2_carry__2_i_4_n_0\ ); \x_addr_out2_carry__3\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__2_n_0\, CO(3) => \x_addr_out2_carry__3_n_0\, CO(2) => \x_addr_out2_carry__3_n_1\, CO(1) => \x_addr_out2_carry__3_n_2\, CO(0) => \x_addr_out2_carry__3_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__2_n_103\, DI(2) => \x_addr_out3__2_n_104\, DI(1) => \x_addr_out3__2_n_105\, DI(0) => \x_addr_out3__1_n_89\, O(3 downto 0) => \NLW_x_addr_out2_carry__3_O_UNCONNECTED\(3 downto 0), S(3) => \x_addr_out2_carry__3_i_1_n_0\, S(2) => \x_addr_out2_carry__3_i_2_n_0\, S(1) => \x_addr_out2_carry__3_i_3_n_0\, S(0) => \x_addr_out2_carry__3_i_4_n_0\ ); \x_addr_out2_carry__3_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_103\, I1 => \x_addr_out3__0_n_103\, O => \x_addr_out2_carry__3_i_1_n_0\ ); \x_addr_out2_carry__3_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_104\, I1 => \x_addr_out3__0_n_104\, O => \x_addr_out2_carry__3_i_2_n_0\ ); \x_addr_out2_carry__3_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_105\, I1 => \x_addr_out3__0_n_105\, O => \x_addr_out2_carry__3_i_3_n_0\ ); \x_addr_out2_carry__3_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_89\, I1 => x_addr_out3_n_89, O => \x_addr_out2_carry__3_i_4_n_0\ ); \x_addr_out2_carry__4\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__3_n_0\, CO(3) => \x_addr_out2_carry__4_n_0\, CO(2) => \x_addr_out2_carry__4_n_1\, CO(1) => \x_addr_out2_carry__4_n_2\, CO(0) => \x_addr_out2_carry__4_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__2_n_99\, DI(2) => \x_addr_out3__2_n_100\, DI(1) => \x_addr_out3__2_n_101\, DI(0) => \x_addr_out3__2_n_102\, O(3 downto 0) => \NLW_x_addr_out2_carry__4_O_UNCONNECTED\(3 downto 0), S(3) => \x_addr_out2_carry__4_i_1_n_0\, S(2) => \x_addr_out2_carry__4_i_2_n_0\, S(1) => \x_addr_out2_carry__4_i_3_n_0\, S(0) => \x_addr_out2_carry__4_i_4_n_0\ ); \x_addr_out2_carry__4_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_99\, I1 => \x_addr_out3__0_n_99\, O => \x_addr_out2_carry__4_i_1_n_0\ ); \x_addr_out2_carry__4_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_100\, I1 => \x_addr_out3__0_n_100\, O => \x_addr_out2_carry__4_i_2_n_0\ ); \x_addr_out2_carry__4_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_101\, I1 => \x_addr_out3__0_n_101\, O => \x_addr_out2_carry__4_i_3_n_0\ ); \x_addr_out2_carry__4_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_102\, I1 => \x_addr_out3__0_n_102\, O => \x_addr_out2_carry__4_i_4_n_0\ ); \x_addr_out2_carry__5\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__4_n_0\, CO(3) => \x_addr_out2_carry__5_n_0\, CO(2) => \x_addr_out2_carry__5_n_1\, CO(1) => \x_addr_out2_carry__5_n_2\, CO(0) => \x_addr_out2_carry__5_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__2_n_95\, DI(2) => \x_addr_out3__2_n_96\, DI(1) => \x_addr_out3__2_n_97\, DI(0) => \x_addr_out3__2_n_98\, O(3 downto 0) => \NLW_x_addr_out2_carry__5_O_UNCONNECTED\(3 downto 0), S(3) => \x_addr_out2_carry__5_i_1_n_0\, S(2) => \x_addr_out2_carry__5_i_2_n_0\, S(1) => \x_addr_out2_carry__5_i_3_n_0\, S(0) => \x_addr_out2_carry__5_i_4_n_0\ ); \x_addr_out2_carry__5_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_95\, I1 => \x_addr_out3__0_n_95\, O => \x_addr_out2_carry__5_i_1_n_0\ ); \x_addr_out2_carry__5_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_96\, I1 => \x_addr_out3__0_n_96\, O => \x_addr_out2_carry__5_i_2_n_0\ ); \x_addr_out2_carry__5_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_97\, I1 => \x_addr_out3__0_n_97\, O => \x_addr_out2_carry__5_i_3_n_0\ ); \x_addr_out2_carry__5_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_98\, I1 => \x_addr_out3__0_n_98\, O => \x_addr_out2_carry__5_i_4_n_0\ ); \x_addr_out2_carry__6\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__5_n_0\, CO(3) => \x_addr_out2_carry__6_n_0\, CO(2) => \x_addr_out2_carry__6_n_1\, CO(1) => \x_addr_out2_carry__6_n_2\, CO(0) => \x_addr_out2_carry__6_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__2_n_91\, DI(2) => \x_addr_out3__2_n_92\, DI(1) => \x_addr_out3__2_n_93\, DI(0) => \x_addr_out3__2_n_94\, O(3 downto 0) => p_1_in(17 downto 14), S(3) => \x_addr_out2_carry__6_i_1_n_0\, S(2) => \x_addr_out2_carry__6_i_2_n_0\, S(1) => \x_addr_out2_carry__6_i_3_n_0\, S(0) => \x_addr_out2_carry__6_i_4_n_0\ ); \x_addr_out2_carry__6_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_91\, I1 => \x_addr_out3__0_n_91\, O => \x_addr_out2_carry__6_i_1_n_0\ ); \x_addr_out2_carry__6_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_92\, I1 => \x_addr_out3__0_n_92\, O => \x_addr_out2_carry__6_i_2_n_0\ ); \x_addr_out2_carry__6_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_93\, I1 => \x_addr_out3__0_n_93\, O => \x_addr_out2_carry__6_i_3_n_0\ ); \x_addr_out2_carry__6_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_94\, I1 => \x_addr_out3__0_n_94\, O => \x_addr_out2_carry__6_i_4_n_0\ ); \x_addr_out2_carry__7\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__6_n_0\, CO(3) => \x_addr_out2_carry__7_n_0\, CO(2) => \x_addr_out2_carry__7_n_1\, CO(1) => \x_addr_out2_carry__7_n_2\, CO(0) => \x_addr_out2_carry__7_n_3\, CYINIT => '0', DI(3) => \x_addr_out3__2_n_87\, DI(2) => \x_addr_out3__2_n_88\, DI(1) => \x_addr_out3__2_n_89\, DI(0) => \x_addr_out3__2_n_90\, O(3 downto 0) => p_1_in(21 downto 18), S(3) => \x_addr_out2_carry__7_i_1_n_0\, S(2) => \x_addr_out2_carry__7_i_2_n_0\, S(1) => \x_addr_out2_carry__7_i_3_n_0\, S(0) => \x_addr_out2_carry__7_i_4_n_0\ ); \x_addr_out2_carry__7_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_87\, I1 => \x_addr_out3__0_n_87\, O => \x_addr_out2_carry__7_i_1_n_0\ ); \x_addr_out2_carry__7_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_88\, I1 => \x_addr_out3__0_n_88\, O => \x_addr_out2_carry__7_i_2_n_0\ ); \x_addr_out2_carry__7_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_89\, I1 => \x_addr_out3__0_n_89\, O => \x_addr_out2_carry__7_i_3_n_0\ ); \x_addr_out2_carry__7_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_90\, I1 => \x_addr_out3__0_n_90\, O => \x_addr_out2_carry__7_i_4_n_0\ ); \x_addr_out2_carry__8\: unisim.vcomponents.CARRY4 port map ( CI => \x_addr_out2_carry__7_n_0\, CO(3 downto 1) => \NLW_x_addr_out2_carry__8_CO_UNCONNECTED\(3 downto 1), CO(0) => \x_addr_out2_carry__8_n_3\, CYINIT => '0', DI(3 downto 1) => B"000", DI(0) => \x_addr_out3__2_n_86\, O(3 downto 2) => \NLW_x_addr_out2_carry__8_O_UNCONNECTED\(3 downto 2), O(1 downto 0) => p_1_in(23 downto 22), S(3 downto 2) => B"00", S(1) => \x_addr_out2_carry__8_i_1_n_0\, S(0) => \x_addr_out2_carry__8_i_2_n_0\ ); \x_addr_out2_carry__8_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_85\, I1 => \x_addr_out3__0_n_85\, O => \x_addr_out2_carry__8_i_1_n_0\ ); \x_addr_out2_carry__8_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__2_n_86\, I1 => \x_addr_out3__0_n_86\, O => \x_addr_out2_carry__8_i_2_n_0\ ); x_addr_out2_carry_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_102\, I1 => x_addr_out3_n_102, O => x_addr_out2_carry_i_1_n_0 ); x_addr_out2_carry_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_103\, I1 => x_addr_out3_n_103, O => x_addr_out2_carry_i_2_n_0 ); x_addr_out2_carry_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_104\, I1 => x_addr_out3_n_104, O => x_addr_out2_carry_i_3_n_0 ); x_addr_out2_carry_i_4: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \x_addr_out3__1_n_105\, I1 => x_addr_out3_n_105, O => x_addr_out2_carry_i_4_n_0 ); x_addr_out3: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29 downto 17) => B"0000000000000", A(16 downto 14) => y_addr_in(2 downto 0), A(13 downto 0) => B"00000000000000", ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => NLW_x_addr_out3_ACOUT_UNCONNECTED(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17) => rot_m01(15), B(16) => rot_m01(15), B(15 downto 0) => rot_m01(15 downto 0), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => NLW_x_addr_out3_BCOUT_UNCONNECTED(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => NLW_x_addr_out3_CARRYCASCOUT_UNCONNECTED, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => NLW_x_addr_out3_CARRYOUT_UNCONNECTED(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => NLW_x_addr_out3_MULTSIGNOUT_UNCONNECTED, OPMODE(6 downto 0) => B"0000101", OVERFLOW => NLW_x_addr_out3_OVERFLOW_UNCONNECTED, P(47) => x_addr_out3_n_58, P(46) => x_addr_out3_n_59, P(45) => x_addr_out3_n_60, P(44) => x_addr_out3_n_61, P(43) => x_addr_out3_n_62, P(42) => x_addr_out3_n_63, P(41) => x_addr_out3_n_64, P(40) => x_addr_out3_n_65, P(39) => x_addr_out3_n_66, P(38) => x_addr_out3_n_67, P(37) => x_addr_out3_n_68, P(36) => x_addr_out3_n_69, P(35) => x_addr_out3_n_70, P(34) => x_addr_out3_n_71, P(33) => x_addr_out3_n_72, P(32) => x_addr_out3_n_73, P(31) => x_addr_out3_n_74, P(30) => x_addr_out3_n_75, P(29) => x_addr_out3_n_76, P(28) => x_addr_out3_n_77, P(27) => x_addr_out3_n_78, P(26) => x_addr_out3_n_79, P(25) => x_addr_out3_n_80, P(24) => x_addr_out3_n_81, P(23) => x_addr_out3_n_82, P(22) => x_addr_out3_n_83, P(21) => x_addr_out3_n_84, P(20) => x_addr_out3_n_85, P(19) => x_addr_out3_n_86, P(18) => x_addr_out3_n_87, P(17) => x_addr_out3_n_88, P(16) => x_addr_out3_n_89, P(15) => x_addr_out3_n_90, P(14) => x_addr_out3_n_91, P(13) => x_addr_out3_n_92, P(12) => x_addr_out3_n_93, P(11) => x_addr_out3_n_94, P(10) => x_addr_out3_n_95, P(9) => x_addr_out3_n_96, P(8) => x_addr_out3_n_97, P(7) => x_addr_out3_n_98, P(6) => x_addr_out3_n_99, P(5) => x_addr_out3_n_100, P(4) => x_addr_out3_n_101, P(3) => x_addr_out3_n_102, P(2) => x_addr_out3_n_103, P(1) => x_addr_out3_n_104, P(0) => x_addr_out3_n_105, PATTERNBDETECT => NLW_x_addr_out3_PATTERNBDETECT_UNCONNECTED, PATTERNDETECT => NLW_x_addr_out3_PATTERNDETECT_UNCONNECTED, PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", PCOUT(47) => x_addr_out3_n_106, PCOUT(46) => x_addr_out3_n_107, PCOUT(45) => x_addr_out3_n_108, PCOUT(44) => x_addr_out3_n_109, PCOUT(43) => x_addr_out3_n_110, PCOUT(42) => x_addr_out3_n_111, PCOUT(41) => x_addr_out3_n_112, PCOUT(40) => x_addr_out3_n_113, PCOUT(39) => x_addr_out3_n_114, PCOUT(38) => x_addr_out3_n_115, PCOUT(37) => x_addr_out3_n_116, PCOUT(36) => x_addr_out3_n_117, PCOUT(35) => x_addr_out3_n_118, PCOUT(34) => x_addr_out3_n_119, PCOUT(33) => x_addr_out3_n_120, PCOUT(32) => x_addr_out3_n_121, PCOUT(31) => x_addr_out3_n_122, PCOUT(30) => x_addr_out3_n_123, PCOUT(29) => x_addr_out3_n_124, PCOUT(28) => x_addr_out3_n_125, PCOUT(27) => x_addr_out3_n_126, PCOUT(26) => x_addr_out3_n_127, PCOUT(25) => x_addr_out3_n_128, PCOUT(24) => x_addr_out3_n_129, PCOUT(23) => x_addr_out3_n_130, PCOUT(22) => x_addr_out3_n_131, PCOUT(21) => x_addr_out3_n_132, PCOUT(20) => x_addr_out3_n_133, PCOUT(19) => x_addr_out3_n_134, PCOUT(18) => x_addr_out3_n_135, PCOUT(17) => x_addr_out3_n_136, PCOUT(16) => x_addr_out3_n_137, PCOUT(15) => x_addr_out3_n_138, PCOUT(14) => x_addr_out3_n_139, PCOUT(13) => x_addr_out3_n_140, PCOUT(12) => x_addr_out3_n_141, PCOUT(11) => x_addr_out3_n_142, PCOUT(10) => x_addr_out3_n_143, PCOUT(9) => x_addr_out3_n_144, PCOUT(8) => x_addr_out3_n_145, PCOUT(7) => x_addr_out3_n_146, PCOUT(6) => x_addr_out3_n_147, PCOUT(5) => x_addr_out3_n_148, PCOUT(4) => x_addr_out3_n_149, PCOUT(3) => x_addr_out3_n_150, PCOUT(2) => x_addr_out3_n_151, PCOUT(1) => x_addr_out3_n_152, PCOUT(0) => x_addr_out3_n_153, RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => NLW_x_addr_out3_UNDERFLOW_UNCONNECTED ); \x_addr_out3__0\: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29) => rot_m01(15), A(28) => rot_m01(15), A(27) => rot_m01(15), A(26) => rot_m01(15), A(25) => rot_m01(15), A(24) => rot_m01(15), A(23) => rot_m01(15), A(22) => rot_m01(15), A(21) => rot_m01(15), A(20) => rot_m01(15), A(19) => rot_m01(15), A(18) => rot_m01(15), A(17) => rot_m01(15), A(16) => rot_m01(15), A(15 downto 0) => rot_m01(15 downto 0), ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => \NLW_x_addr_out3__0_ACOUT_UNCONNECTED\(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17 downto 7) => B"00000000000", B(6 downto 0) => y_addr_in(9 downto 3), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => \NLW_x_addr_out3__0_BCOUT_UNCONNECTED\(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => \NLW_x_addr_out3__0_CARRYCASCOUT_UNCONNECTED\, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => \NLW_x_addr_out3__0_CARRYOUT_UNCONNECTED\(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => \NLW_x_addr_out3__0_MULTSIGNOUT_UNCONNECTED\, OPMODE(6 downto 0) => B"1010101", OVERFLOW => \NLW_x_addr_out3__0_OVERFLOW_UNCONNECTED\, P(47) => \x_addr_out3__0_n_58\, P(46) => \x_addr_out3__0_n_59\, P(45) => \x_addr_out3__0_n_60\, P(44) => \x_addr_out3__0_n_61\, P(43) => \x_addr_out3__0_n_62\, P(42) => \x_addr_out3__0_n_63\, P(41) => \x_addr_out3__0_n_64\, P(40) => \x_addr_out3__0_n_65\, P(39) => \x_addr_out3__0_n_66\, P(38) => \x_addr_out3__0_n_67\, P(37) => \x_addr_out3__0_n_68\, P(36) => \x_addr_out3__0_n_69\, P(35) => \x_addr_out3__0_n_70\, P(34) => \x_addr_out3__0_n_71\, P(33) => \x_addr_out3__0_n_72\, P(32) => \x_addr_out3__0_n_73\, P(31) => \x_addr_out3__0_n_74\, P(30) => \x_addr_out3__0_n_75\, P(29) => \x_addr_out3__0_n_76\, P(28) => \x_addr_out3__0_n_77\, P(27) => \x_addr_out3__0_n_78\, P(26) => \x_addr_out3__0_n_79\, P(25) => \x_addr_out3__0_n_80\, P(24) => \x_addr_out3__0_n_81\, P(23) => \x_addr_out3__0_n_82\, P(22) => \x_addr_out3__0_n_83\, P(21) => \x_addr_out3__0_n_84\, P(20) => \x_addr_out3__0_n_85\, P(19) => \x_addr_out3__0_n_86\, P(18) => \x_addr_out3__0_n_87\, P(17) => \x_addr_out3__0_n_88\, P(16) => \x_addr_out3__0_n_89\, P(15) => \x_addr_out3__0_n_90\, P(14) => \x_addr_out3__0_n_91\, P(13) => \x_addr_out3__0_n_92\, P(12) => \x_addr_out3__0_n_93\, P(11) => \x_addr_out3__0_n_94\, P(10) => \x_addr_out3__0_n_95\, P(9) => \x_addr_out3__0_n_96\, P(8) => \x_addr_out3__0_n_97\, P(7) => \x_addr_out3__0_n_98\, P(6) => \x_addr_out3__0_n_99\, P(5) => \x_addr_out3__0_n_100\, P(4) => \x_addr_out3__0_n_101\, P(3) => \x_addr_out3__0_n_102\, P(2) => \x_addr_out3__0_n_103\, P(1) => \x_addr_out3__0_n_104\, P(0) => \x_addr_out3__0_n_105\, PATTERNBDETECT => \NLW_x_addr_out3__0_PATTERNBDETECT_UNCONNECTED\, PATTERNDETECT => \NLW_x_addr_out3__0_PATTERNDETECT_UNCONNECTED\, PCIN(47) => x_addr_out3_n_106, PCIN(46) => x_addr_out3_n_107, PCIN(45) => x_addr_out3_n_108, PCIN(44) => x_addr_out3_n_109, PCIN(43) => x_addr_out3_n_110, PCIN(42) => x_addr_out3_n_111, PCIN(41) => x_addr_out3_n_112, PCIN(40) => x_addr_out3_n_113, PCIN(39) => x_addr_out3_n_114, PCIN(38) => x_addr_out3_n_115, PCIN(37) => x_addr_out3_n_116, PCIN(36) => x_addr_out3_n_117, PCIN(35) => x_addr_out3_n_118, PCIN(34) => x_addr_out3_n_119, PCIN(33) => x_addr_out3_n_120, PCIN(32) => x_addr_out3_n_121, PCIN(31) => x_addr_out3_n_122, PCIN(30) => x_addr_out3_n_123, PCIN(29) => x_addr_out3_n_124, PCIN(28) => x_addr_out3_n_125, PCIN(27) => x_addr_out3_n_126, PCIN(26) => x_addr_out3_n_127, PCIN(25) => x_addr_out3_n_128, PCIN(24) => x_addr_out3_n_129, PCIN(23) => x_addr_out3_n_130, PCIN(22) => x_addr_out3_n_131, PCIN(21) => x_addr_out3_n_132, PCIN(20) => x_addr_out3_n_133, PCIN(19) => x_addr_out3_n_134, PCIN(18) => x_addr_out3_n_135, PCIN(17) => x_addr_out3_n_136, PCIN(16) => x_addr_out3_n_137, PCIN(15) => x_addr_out3_n_138, PCIN(14) => x_addr_out3_n_139, PCIN(13) => x_addr_out3_n_140, PCIN(12) => x_addr_out3_n_141, PCIN(11) => x_addr_out3_n_142, PCIN(10) => x_addr_out3_n_143, PCIN(9) => x_addr_out3_n_144, PCIN(8) => x_addr_out3_n_145, PCIN(7) => x_addr_out3_n_146, PCIN(6) => x_addr_out3_n_147, PCIN(5) => x_addr_out3_n_148, PCIN(4) => x_addr_out3_n_149, PCIN(3) => x_addr_out3_n_150, PCIN(2) => x_addr_out3_n_151, PCIN(1) => x_addr_out3_n_152, PCIN(0) => x_addr_out3_n_153, PCOUT(47 downto 0) => \NLW_x_addr_out3__0_PCOUT_UNCONNECTED\(47 downto 0), RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => \NLW_x_addr_out3__0_UNDERFLOW_UNCONNECTED\ ); \x_addr_out3__1\: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29 downto 17) => B"0000000000000", A(16 downto 14) => x_addr_in(2 downto 0), A(13 downto 0) => B"00000000000000", ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => \NLW_x_addr_out3__1_ACOUT_UNCONNECTED\(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17) => rot_m00(15), B(16) => rot_m00(15), B(15 downto 0) => rot_m00(15 downto 0), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => \NLW_x_addr_out3__1_BCOUT_UNCONNECTED\(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => \NLW_x_addr_out3__1_CARRYCASCOUT_UNCONNECTED\, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => \NLW_x_addr_out3__1_CARRYOUT_UNCONNECTED\(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => \NLW_x_addr_out3__1_MULTSIGNOUT_UNCONNECTED\, OPMODE(6 downto 0) => B"0000101", OVERFLOW => \NLW_x_addr_out3__1_OVERFLOW_UNCONNECTED\, P(47) => \x_addr_out3__1_n_58\, P(46) => \x_addr_out3__1_n_59\, P(45) => \x_addr_out3__1_n_60\, P(44) => \x_addr_out3__1_n_61\, P(43) => \x_addr_out3__1_n_62\, P(42) => \x_addr_out3__1_n_63\, P(41) => \x_addr_out3__1_n_64\, P(40) => \x_addr_out3__1_n_65\, P(39) => \x_addr_out3__1_n_66\, P(38) => \x_addr_out3__1_n_67\, P(37) => \x_addr_out3__1_n_68\, P(36) => \x_addr_out3__1_n_69\, P(35) => \x_addr_out3__1_n_70\, P(34) => \x_addr_out3__1_n_71\, P(33) => \x_addr_out3__1_n_72\, P(32) => \x_addr_out3__1_n_73\, P(31) => \x_addr_out3__1_n_74\, P(30) => \x_addr_out3__1_n_75\, P(29) => \x_addr_out3__1_n_76\, P(28) => \x_addr_out3__1_n_77\, P(27) => \x_addr_out3__1_n_78\, P(26) => \x_addr_out3__1_n_79\, P(25) => \x_addr_out3__1_n_80\, P(24) => \x_addr_out3__1_n_81\, P(23) => \x_addr_out3__1_n_82\, P(22) => \x_addr_out3__1_n_83\, P(21) => \x_addr_out3__1_n_84\, P(20) => \x_addr_out3__1_n_85\, P(19) => \x_addr_out3__1_n_86\, P(18) => \x_addr_out3__1_n_87\, P(17) => \x_addr_out3__1_n_88\, P(16) => \x_addr_out3__1_n_89\, P(15) => \x_addr_out3__1_n_90\, P(14) => \x_addr_out3__1_n_91\, P(13) => \x_addr_out3__1_n_92\, P(12) => \x_addr_out3__1_n_93\, P(11) => \x_addr_out3__1_n_94\, P(10) => \x_addr_out3__1_n_95\, P(9) => \x_addr_out3__1_n_96\, P(8) => \x_addr_out3__1_n_97\, P(7) => \x_addr_out3__1_n_98\, P(6) => \x_addr_out3__1_n_99\, P(5) => \x_addr_out3__1_n_100\, P(4) => \x_addr_out3__1_n_101\, P(3) => \x_addr_out3__1_n_102\, P(2) => \x_addr_out3__1_n_103\, P(1) => \x_addr_out3__1_n_104\, P(0) => \x_addr_out3__1_n_105\, PATTERNBDETECT => \NLW_x_addr_out3__1_PATTERNBDETECT_UNCONNECTED\, PATTERNDETECT => \NLW_x_addr_out3__1_PATTERNDETECT_UNCONNECTED\, PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", PCOUT(47) => \x_addr_out3__1_n_106\, PCOUT(46) => \x_addr_out3__1_n_107\, PCOUT(45) => \x_addr_out3__1_n_108\, PCOUT(44) => \x_addr_out3__1_n_109\, PCOUT(43) => \x_addr_out3__1_n_110\, PCOUT(42) => \x_addr_out3__1_n_111\, PCOUT(41) => \x_addr_out3__1_n_112\, PCOUT(40) => \x_addr_out3__1_n_113\, PCOUT(39) => \x_addr_out3__1_n_114\, PCOUT(38) => \x_addr_out3__1_n_115\, PCOUT(37) => \x_addr_out3__1_n_116\, PCOUT(36) => \x_addr_out3__1_n_117\, PCOUT(35) => \x_addr_out3__1_n_118\, PCOUT(34) => \x_addr_out3__1_n_119\, PCOUT(33) => \x_addr_out3__1_n_120\, PCOUT(32) => \x_addr_out3__1_n_121\, PCOUT(31) => \x_addr_out3__1_n_122\, PCOUT(30) => \x_addr_out3__1_n_123\, PCOUT(29) => \x_addr_out3__1_n_124\, PCOUT(28) => \x_addr_out3__1_n_125\, PCOUT(27) => \x_addr_out3__1_n_126\, PCOUT(26) => \x_addr_out3__1_n_127\, PCOUT(25) => \x_addr_out3__1_n_128\, PCOUT(24) => \x_addr_out3__1_n_129\, PCOUT(23) => \x_addr_out3__1_n_130\, PCOUT(22) => \x_addr_out3__1_n_131\, PCOUT(21) => \x_addr_out3__1_n_132\, PCOUT(20) => \x_addr_out3__1_n_133\, PCOUT(19) => \x_addr_out3__1_n_134\, PCOUT(18) => \x_addr_out3__1_n_135\, PCOUT(17) => \x_addr_out3__1_n_136\, PCOUT(16) => \x_addr_out3__1_n_137\, PCOUT(15) => \x_addr_out3__1_n_138\, PCOUT(14) => \x_addr_out3__1_n_139\, PCOUT(13) => \x_addr_out3__1_n_140\, PCOUT(12) => \x_addr_out3__1_n_141\, PCOUT(11) => \x_addr_out3__1_n_142\, PCOUT(10) => \x_addr_out3__1_n_143\, PCOUT(9) => \x_addr_out3__1_n_144\, PCOUT(8) => \x_addr_out3__1_n_145\, PCOUT(7) => \x_addr_out3__1_n_146\, PCOUT(6) => \x_addr_out3__1_n_147\, PCOUT(5) => \x_addr_out3__1_n_148\, PCOUT(4) => \x_addr_out3__1_n_149\, PCOUT(3) => \x_addr_out3__1_n_150\, PCOUT(2) => \x_addr_out3__1_n_151\, PCOUT(1) => \x_addr_out3__1_n_152\, PCOUT(0) => \x_addr_out3__1_n_153\, RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => \NLW_x_addr_out3__1_UNDERFLOW_UNCONNECTED\ ); \x_addr_out3__2\: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29) => rot_m00(15), A(28) => rot_m00(15), A(27) => rot_m00(15), A(26) => rot_m00(15), A(25) => rot_m00(15), A(24) => rot_m00(15), A(23) => rot_m00(15), A(22) => rot_m00(15), A(21) => rot_m00(15), A(20) => rot_m00(15), A(19) => rot_m00(15), A(18) => rot_m00(15), A(17) => rot_m00(15), A(16) => rot_m00(15), A(15 downto 0) => rot_m00(15 downto 0), ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => \NLW_x_addr_out3__2_ACOUT_UNCONNECTED\(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17 downto 7) => B"00000000000", B(6 downto 0) => x_addr_in(9 downto 3), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => \NLW_x_addr_out3__2_BCOUT_UNCONNECTED\(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => \NLW_x_addr_out3__2_CARRYCASCOUT_UNCONNECTED\, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => \NLW_x_addr_out3__2_CARRYOUT_UNCONNECTED\(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => \NLW_x_addr_out3__2_MULTSIGNOUT_UNCONNECTED\, OPMODE(6 downto 0) => B"1010101", OVERFLOW => \NLW_x_addr_out3__2_OVERFLOW_UNCONNECTED\, P(47) => \x_addr_out3__2_n_58\, P(46) => \x_addr_out3__2_n_59\, P(45) => \x_addr_out3__2_n_60\, P(44) => \x_addr_out3__2_n_61\, P(43) => \x_addr_out3__2_n_62\, P(42) => \x_addr_out3__2_n_63\, P(41) => \x_addr_out3__2_n_64\, P(40) => \x_addr_out3__2_n_65\, P(39) => \x_addr_out3__2_n_66\, P(38) => \x_addr_out3__2_n_67\, P(37) => \x_addr_out3__2_n_68\, P(36) => \x_addr_out3__2_n_69\, P(35) => \x_addr_out3__2_n_70\, P(34) => \x_addr_out3__2_n_71\, P(33) => \x_addr_out3__2_n_72\, P(32) => \x_addr_out3__2_n_73\, P(31) => \x_addr_out3__2_n_74\, P(30) => \x_addr_out3__2_n_75\, P(29) => \x_addr_out3__2_n_76\, P(28) => \x_addr_out3__2_n_77\, P(27) => \x_addr_out3__2_n_78\, P(26) => \x_addr_out3__2_n_79\, P(25) => \x_addr_out3__2_n_80\, P(24) => \x_addr_out3__2_n_81\, P(23) => \x_addr_out3__2_n_82\, P(22) => \x_addr_out3__2_n_83\, P(21) => \x_addr_out3__2_n_84\, P(20) => \x_addr_out3__2_n_85\, P(19) => \x_addr_out3__2_n_86\, P(18) => \x_addr_out3__2_n_87\, P(17) => \x_addr_out3__2_n_88\, P(16) => \x_addr_out3__2_n_89\, P(15) => \x_addr_out3__2_n_90\, P(14) => \x_addr_out3__2_n_91\, P(13) => \x_addr_out3__2_n_92\, P(12) => \x_addr_out3__2_n_93\, P(11) => \x_addr_out3__2_n_94\, P(10) => \x_addr_out3__2_n_95\, P(9) => \x_addr_out3__2_n_96\, P(8) => \x_addr_out3__2_n_97\, P(7) => \x_addr_out3__2_n_98\, P(6) => \x_addr_out3__2_n_99\, P(5) => \x_addr_out3__2_n_100\, P(4) => \x_addr_out3__2_n_101\, P(3) => \x_addr_out3__2_n_102\, P(2) => \x_addr_out3__2_n_103\, P(1) => \x_addr_out3__2_n_104\, P(0) => \x_addr_out3__2_n_105\, PATTERNBDETECT => \NLW_x_addr_out3__2_PATTERNBDETECT_UNCONNECTED\, PATTERNDETECT => \NLW_x_addr_out3__2_PATTERNDETECT_UNCONNECTED\, PCIN(47) => \x_addr_out3__1_n_106\, PCIN(46) => \x_addr_out3__1_n_107\, PCIN(45) => \x_addr_out3__1_n_108\, PCIN(44) => \x_addr_out3__1_n_109\, PCIN(43) => \x_addr_out3__1_n_110\, PCIN(42) => \x_addr_out3__1_n_111\, PCIN(41) => \x_addr_out3__1_n_112\, PCIN(40) => \x_addr_out3__1_n_113\, PCIN(39) => \x_addr_out3__1_n_114\, PCIN(38) => \x_addr_out3__1_n_115\, PCIN(37) => \x_addr_out3__1_n_116\, PCIN(36) => \x_addr_out3__1_n_117\, PCIN(35) => \x_addr_out3__1_n_118\, PCIN(34) => \x_addr_out3__1_n_119\, PCIN(33) => \x_addr_out3__1_n_120\, PCIN(32) => \x_addr_out3__1_n_121\, PCIN(31) => \x_addr_out3__1_n_122\, PCIN(30) => \x_addr_out3__1_n_123\, PCIN(29) => \x_addr_out3__1_n_124\, PCIN(28) => \x_addr_out3__1_n_125\, PCIN(27) => \x_addr_out3__1_n_126\, PCIN(26) => \x_addr_out3__1_n_127\, PCIN(25) => \x_addr_out3__1_n_128\, PCIN(24) => \x_addr_out3__1_n_129\, PCIN(23) => \x_addr_out3__1_n_130\, PCIN(22) => \x_addr_out3__1_n_131\, PCIN(21) => \x_addr_out3__1_n_132\, PCIN(20) => \x_addr_out3__1_n_133\, PCIN(19) => \x_addr_out3__1_n_134\, PCIN(18) => \x_addr_out3__1_n_135\, PCIN(17) => \x_addr_out3__1_n_136\, PCIN(16) => \x_addr_out3__1_n_137\, PCIN(15) => \x_addr_out3__1_n_138\, PCIN(14) => \x_addr_out3__1_n_139\, PCIN(13) => \x_addr_out3__1_n_140\, PCIN(12) => \x_addr_out3__1_n_141\, PCIN(11) => \x_addr_out3__1_n_142\, PCIN(10) => \x_addr_out3__1_n_143\, PCIN(9) => \x_addr_out3__1_n_144\, PCIN(8) => \x_addr_out3__1_n_145\, PCIN(7) => \x_addr_out3__1_n_146\, PCIN(6) => \x_addr_out3__1_n_147\, PCIN(5) => \x_addr_out3__1_n_148\, PCIN(4) => \x_addr_out3__1_n_149\, PCIN(3) => \x_addr_out3__1_n_150\, PCIN(2) => \x_addr_out3__1_n_151\, PCIN(1) => \x_addr_out3__1_n_152\, PCIN(0) => \x_addr_out3__1_n_153\, PCOUT(47 downto 0) => \NLW_x_addr_out3__2_PCOUT_UNCONNECTED\(47 downto 0), RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => \NLW_x_addr_out3__2_UNDERFLOW_UNCONNECTED\ ); \x_addr_out[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"66F0" ) port map ( I0 => p_1_in(14), I1 => t_x(0), I2 => x_addr_in(0), I3 => enable, O => \x_addr_out[0]_i_1_n_0\ ); \x_addr_out[1]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(15), I1 => x_addr_in(1), I2 => enable, O => \x_addr_out[1]_i_1_n_0\ ); \x_addr_out[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(16), I1 => x_addr_in(2), I2 => enable, O => \x_addr_out[2]_i_1_n_0\ ); \x_addr_out[3]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(17), I1 => x_addr_in(3), I2 => enable, O => \x_addr_out[3]_i_1_n_0\ ); \x_addr_out[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(18), I1 => x_addr_in(4), I2 => enable, O => \x_addr_out[4]_i_1_n_0\ ); \x_addr_out[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(19), I1 => x_addr_in(5), I2 => enable, O => \x_addr_out[5]_i_1_n_0\ ); \x_addr_out[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(20), I1 => x_addr_in(6), I2 => enable, O => \x_addr_out[6]_i_1_n_0\ ); \x_addr_out[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(21), I1 => x_addr_in(7), I2 => enable, O => \x_addr_out[7]_i_1_n_0\ ); \x_addr_out[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(22), I1 => x_addr_in(8), I2 => enable, O => \x_addr_out[8]_i_1_n_0\ ); \x_addr_out[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AC" ) port map ( I0 => x_addr_out0(23), I1 => x_addr_in(9), I2 => enable, O => \x_addr_out[9]_i_1_n_0\ ); \x_addr_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[0]_i_1_n_0\, Q => x_addr_out(0), R => '0' ); \x_addr_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[1]_i_1_n_0\, Q => x_addr_out(1), R => '0' ); \x_addr_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[2]_i_1_n_0\, Q => x_addr_out(2), R => '0' ); \x_addr_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[3]_i_1_n_0\, Q => x_addr_out(3), R => '0' ); \x_addr_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[4]_i_1_n_0\, Q => x_addr_out(4), R => '0' ); \x_addr_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[5]_i_1_n_0\, Q => x_addr_out(5), R => '0' ); \x_addr_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[6]_i_1_n_0\, Q => x_addr_out(6), R => '0' ); \x_addr_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[7]_i_1_n_0\, Q => x_addr_out(7), R => '0' ); \x_addr_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[8]_i_1_n_0\, Q => x_addr_out(8), R => '0' ); \x_addr_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => '1', D => \x_addr_out[9]_i_1_n_0\, Q => x_addr_out(9), R => '0' ); y_addr_out0_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => y_addr_out0_carry_n_0, CO(2) => y_addr_out0_carry_n_1, CO(1) => y_addr_out0_carry_n_2, CO(0) => y_addr_out0_carry_n_3, CYINIT => '0', DI(3 downto 0) => y_addr_out2(31 downto 28), O(3 downto 1) => p_0_in(3 downto 1), O(0) => NLW_y_addr_out0_carry_O_UNCONNECTED(0), S(3) => y_addr_out0_carry_i_1_n_0, S(2) => y_addr_out0_carry_i_2_n_0, S(1) => y_addr_out0_carry_i_3_n_0, S(0) => y_addr_out0_carry_i_4_n_0 ); \y_addr_out0_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => y_addr_out0_carry_n_0, CO(3) => \y_addr_out0_carry__0_n_0\, CO(2) => \y_addr_out0_carry__0_n_1\, CO(1) => \y_addr_out0_carry__0_n_2\, CO(0) => \y_addr_out0_carry__0_n_3\, CYINIT => '0', DI(3 downto 0) => y_addr_out2(35 downto 32), O(3 downto 0) => p_0_in(7 downto 4), S(3) => \y_addr_out0_carry__0_i_1_n_0\, S(2) => \y_addr_out0_carry__0_i_2_n_0\, S(1) => \y_addr_out0_carry__0_i_3_n_0\, S(0) => \y_addr_out0_carry__0_i_4_n_0\ ); \y_addr_out0_carry__0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(35), I1 => t_y(7), O => \y_addr_out0_carry__0_i_1_n_0\ ); \y_addr_out0_carry__0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(34), I1 => t_y(6), O => \y_addr_out0_carry__0_i_2_n_0\ ); \y_addr_out0_carry__0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(33), I1 => t_y(5), O => \y_addr_out0_carry__0_i_3_n_0\ ); \y_addr_out0_carry__0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(32), I1 => t_y(4), O => \y_addr_out0_carry__0_i_4_n_0\ ); \y_addr_out0_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out0_carry__0_n_0\, CO(3 downto 1) => \NLW_y_addr_out0_carry__1_CO_UNCONNECTED\(3 downto 1), CO(0) => \y_addr_out0_carry__1_n_3\, CYINIT => '0', DI(3 downto 1) => B"000", DI(0) => y_addr_out2(36), O(3 downto 2) => \NLW_y_addr_out0_carry__1_O_UNCONNECTED\(3 downto 2), O(1 downto 0) => p_0_in(9 downto 8), S(3 downto 2) => B"00", S(1) => \y_addr_out0_carry__1_i_1_n_0\, S(0) => \y_addr_out0_carry__1_i_2_n_0\ ); \y_addr_out0_carry__1_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(37), I1 => t_y(9), O => \y_addr_out0_carry__1_i_1_n_0\ ); \y_addr_out0_carry__1_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(36), I1 => t_y(8), O => \y_addr_out0_carry__1_i_2_n_0\ ); y_addr_out0_carry_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(31), I1 => t_y(3), O => y_addr_out0_carry_i_1_n_0 ); y_addr_out0_carry_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(30), I1 => t_y(2), O => y_addr_out0_carry_i_2_n_0 ); y_addr_out0_carry_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(29), I1 => t_y(1), O => y_addr_out0_carry_i_3_n_0 ); y_addr_out0_carry_i_4: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(28), I1 => t_y(0), O => y_addr_out0_carry_i_4_n_0 ); y_addr_out2_carry: unisim.vcomponents.CARRY4 port map ( CI => '0', CO(3) => y_addr_out2_carry_n_0, CO(2) => y_addr_out2_carry_n_1, CO(1) => y_addr_out2_carry_n_2, CO(0) => y_addr_out2_carry_n_3, CYINIT => '0', DI(3) => \y_addr_out3__1_n_102\, DI(2) => \y_addr_out3__1_n_103\, DI(1) => \y_addr_out3__1_n_104\, DI(0) => \y_addr_out3__1_n_105\, O(3 downto 0) => NLW_y_addr_out2_carry_O_UNCONNECTED(3 downto 0), S(3) => y_addr_out2_carry_i_1_n_0, S(2) => y_addr_out2_carry_i_2_n_0, S(1) => y_addr_out2_carry_i_3_n_0, S(0) => y_addr_out2_carry_i_4_n_0 ); \y_addr_out2_carry__0\: unisim.vcomponents.CARRY4 port map ( CI => y_addr_out2_carry_n_0, CO(3) => \y_addr_out2_carry__0_n_0\, CO(2) => \y_addr_out2_carry__0_n_1\, CO(1) => \y_addr_out2_carry__0_n_2\, CO(0) => \y_addr_out2_carry__0_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__1_n_98\, DI(2) => \y_addr_out3__1_n_99\, DI(1) => \y_addr_out3__1_n_100\, DI(0) => \y_addr_out3__1_n_101\, O(3 downto 0) => \NLW_y_addr_out2_carry__0_O_UNCONNECTED\(3 downto 0), S(3) => \y_addr_out2_carry__0_i_1_n_0\, S(2) => \y_addr_out2_carry__0_i_2_n_0\, S(1) => \y_addr_out2_carry__0_i_3_n_0\, S(0) => \y_addr_out2_carry__0_i_4_n_0\ ); \y_addr_out2_carry__0_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_98\, I1 => y_addr_out3_n_98, O => \y_addr_out2_carry__0_i_1_n_0\ ); \y_addr_out2_carry__0_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_99\, I1 => y_addr_out3_n_99, O => \y_addr_out2_carry__0_i_2_n_0\ ); \y_addr_out2_carry__0_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_100\, I1 => y_addr_out3_n_100, O => \y_addr_out2_carry__0_i_3_n_0\ ); \y_addr_out2_carry__0_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_101\, I1 => y_addr_out3_n_101, O => \y_addr_out2_carry__0_i_4_n_0\ ); \y_addr_out2_carry__1\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__0_n_0\, CO(3) => \y_addr_out2_carry__1_n_0\, CO(2) => \y_addr_out2_carry__1_n_1\, CO(1) => \y_addr_out2_carry__1_n_2\, CO(0) => \y_addr_out2_carry__1_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__1_n_94\, DI(2) => \y_addr_out3__1_n_95\, DI(1) => \y_addr_out3__1_n_96\, DI(0) => \y_addr_out3__1_n_97\, O(3 downto 0) => \NLW_y_addr_out2_carry__1_O_UNCONNECTED\(3 downto 0), S(3) => \y_addr_out2_carry__1_i_1_n_0\, S(2) => \y_addr_out2_carry__1_i_2_n_0\, S(1) => \y_addr_out2_carry__1_i_3_n_0\, S(0) => \y_addr_out2_carry__1_i_4_n_0\ ); \y_addr_out2_carry__1_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_94\, I1 => y_addr_out3_n_94, O => \y_addr_out2_carry__1_i_1_n_0\ ); \y_addr_out2_carry__1_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_95\, I1 => y_addr_out3_n_95, O => \y_addr_out2_carry__1_i_2_n_0\ ); \y_addr_out2_carry__1_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_96\, I1 => y_addr_out3_n_96, O => \y_addr_out2_carry__1_i_3_n_0\ ); \y_addr_out2_carry__1_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_97\, I1 => y_addr_out3_n_97, O => \y_addr_out2_carry__1_i_4_n_0\ ); \y_addr_out2_carry__2\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__1_n_0\, CO(3) => \y_addr_out2_carry__2_n_0\, CO(2) => \y_addr_out2_carry__2_n_1\, CO(1) => \y_addr_out2_carry__2_n_2\, CO(0) => \y_addr_out2_carry__2_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__1_n_90\, DI(2) => \y_addr_out3__1_n_91\, DI(1) => \y_addr_out3__1_n_92\, DI(0) => \y_addr_out3__1_n_93\, O(3 downto 0) => \NLW_y_addr_out2_carry__2_O_UNCONNECTED\(3 downto 0), S(3) => \y_addr_out2_carry__2_i_1_n_0\, S(2) => \y_addr_out2_carry__2_i_2_n_0\, S(1) => \y_addr_out2_carry__2_i_3_n_0\, S(0) => \y_addr_out2_carry__2_i_4_n_0\ ); \y_addr_out2_carry__2_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_90\, I1 => y_addr_out3_n_90, O => \y_addr_out2_carry__2_i_1_n_0\ ); \y_addr_out2_carry__2_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_91\, I1 => y_addr_out3_n_91, O => \y_addr_out2_carry__2_i_2_n_0\ ); \y_addr_out2_carry__2_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_92\, I1 => y_addr_out3_n_92, O => \y_addr_out2_carry__2_i_3_n_0\ ); \y_addr_out2_carry__2_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_93\, I1 => y_addr_out3_n_93, O => \y_addr_out2_carry__2_i_4_n_0\ ); \y_addr_out2_carry__3\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__2_n_0\, CO(3) => \y_addr_out2_carry__3_n_0\, CO(2) => \y_addr_out2_carry__3_n_1\, CO(1) => \y_addr_out2_carry__3_n_2\, CO(0) => \y_addr_out2_carry__3_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__2_n_103\, DI(2) => \y_addr_out3__2_n_104\, DI(1) => \y_addr_out3__2_n_105\, DI(0) => \y_addr_out3__1_n_89\, O(3 downto 0) => \NLW_y_addr_out2_carry__3_O_UNCONNECTED\(3 downto 0), S(3) => \y_addr_out2_carry__3_i_1_n_0\, S(2) => \y_addr_out2_carry__3_i_2_n_0\, S(1) => \y_addr_out2_carry__3_i_3_n_0\, S(0) => \y_addr_out2_carry__3_i_4_n_0\ ); \y_addr_out2_carry__3_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_103\, I1 => \y_addr_out3__0_n_103\, O => \y_addr_out2_carry__3_i_1_n_0\ ); \y_addr_out2_carry__3_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_104\, I1 => \y_addr_out3__0_n_104\, O => \y_addr_out2_carry__3_i_2_n_0\ ); \y_addr_out2_carry__3_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_105\, I1 => \y_addr_out3__0_n_105\, O => \y_addr_out2_carry__3_i_3_n_0\ ); \y_addr_out2_carry__3_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_89\, I1 => y_addr_out3_n_89, O => \y_addr_out2_carry__3_i_4_n_0\ ); \y_addr_out2_carry__4\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__3_n_0\, CO(3) => \y_addr_out2_carry__4_n_0\, CO(2) => \y_addr_out2_carry__4_n_1\, CO(1) => \y_addr_out2_carry__4_n_2\, CO(0) => \y_addr_out2_carry__4_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__2_n_99\, DI(2) => \y_addr_out3__2_n_100\, DI(1) => \y_addr_out3__2_n_101\, DI(0) => \y_addr_out3__2_n_102\, O(3 downto 0) => \NLW_y_addr_out2_carry__4_O_UNCONNECTED\(3 downto 0), S(3) => \y_addr_out2_carry__4_i_1_n_0\, S(2) => \y_addr_out2_carry__4_i_2_n_0\, S(1) => \y_addr_out2_carry__4_i_3_n_0\, S(0) => \y_addr_out2_carry__4_i_4_n_0\ ); \y_addr_out2_carry__4_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_99\, I1 => \y_addr_out3__0_n_99\, O => \y_addr_out2_carry__4_i_1_n_0\ ); \y_addr_out2_carry__4_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_100\, I1 => \y_addr_out3__0_n_100\, O => \y_addr_out2_carry__4_i_2_n_0\ ); \y_addr_out2_carry__4_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_101\, I1 => \y_addr_out3__0_n_101\, O => \y_addr_out2_carry__4_i_3_n_0\ ); \y_addr_out2_carry__4_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_102\, I1 => \y_addr_out3__0_n_102\, O => \y_addr_out2_carry__4_i_4_n_0\ ); \y_addr_out2_carry__5\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__4_n_0\, CO(3) => \y_addr_out2_carry__5_n_0\, CO(2) => \y_addr_out2_carry__5_n_1\, CO(1) => \y_addr_out2_carry__5_n_2\, CO(0) => \y_addr_out2_carry__5_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__2_n_95\, DI(2) => \y_addr_out3__2_n_96\, DI(1) => \y_addr_out3__2_n_97\, DI(0) => \y_addr_out3__2_n_98\, O(3 downto 0) => \NLW_y_addr_out2_carry__5_O_UNCONNECTED\(3 downto 0), S(3) => \y_addr_out2_carry__5_i_1_n_0\, S(2) => \y_addr_out2_carry__5_i_2_n_0\, S(1) => \y_addr_out2_carry__5_i_3_n_0\, S(0) => \y_addr_out2_carry__5_i_4_n_0\ ); \y_addr_out2_carry__5_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_95\, I1 => \y_addr_out3__0_n_95\, O => \y_addr_out2_carry__5_i_1_n_0\ ); \y_addr_out2_carry__5_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_96\, I1 => \y_addr_out3__0_n_96\, O => \y_addr_out2_carry__5_i_2_n_0\ ); \y_addr_out2_carry__5_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_97\, I1 => \y_addr_out3__0_n_97\, O => \y_addr_out2_carry__5_i_3_n_0\ ); \y_addr_out2_carry__5_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_98\, I1 => \y_addr_out3__0_n_98\, O => \y_addr_out2_carry__5_i_4_n_0\ ); \y_addr_out2_carry__6\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__5_n_0\, CO(3) => \y_addr_out2_carry__6_n_0\, CO(2) => \y_addr_out2_carry__6_n_1\, CO(1) => \y_addr_out2_carry__6_n_2\, CO(0) => \y_addr_out2_carry__6_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__2_n_91\, DI(2) => \y_addr_out3__2_n_92\, DI(1) => \y_addr_out3__2_n_93\, DI(0) => \y_addr_out3__2_n_94\, O(3 downto 0) => y_addr_out2(31 downto 28), S(3) => \y_addr_out2_carry__6_i_1_n_0\, S(2) => \y_addr_out2_carry__6_i_2_n_0\, S(1) => \y_addr_out2_carry__6_i_3_n_0\, S(0) => \y_addr_out2_carry__6_i_4_n_0\ ); \y_addr_out2_carry__6_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_91\, I1 => \y_addr_out3__0_n_91\, O => \y_addr_out2_carry__6_i_1_n_0\ ); \y_addr_out2_carry__6_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_92\, I1 => \y_addr_out3__0_n_92\, O => \y_addr_out2_carry__6_i_2_n_0\ ); \y_addr_out2_carry__6_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_93\, I1 => \y_addr_out3__0_n_93\, O => \y_addr_out2_carry__6_i_3_n_0\ ); \y_addr_out2_carry__6_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_94\, I1 => \y_addr_out3__0_n_94\, O => \y_addr_out2_carry__6_i_4_n_0\ ); \y_addr_out2_carry__7\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__6_n_0\, CO(3) => \y_addr_out2_carry__7_n_0\, CO(2) => \y_addr_out2_carry__7_n_1\, CO(1) => \y_addr_out2_carry__7_n_2\, CO(0) => \y_addr_out2_carry__7_n_3\, CYINIT => '0', DI(3) => \y_addr_out3__2_n_87\, DI(2) => \y_addr_out3__2_n_88\, DI(1) => \y_addr_out3__2_n_89\, DI(0) => \y_addr_out3__2_n_90\, O(3 downto 0) => y_addr_out2(35 downto 32), S(3) => \y_addr_out2_carry__7_i_1_n_0\, S(2) => \y_addr_out2_carry__7_i_2_n_0\, S(1) => \y_addr_out2_carry__7_i_3_n_0\, S(0) => \y_addr_out2_carry__7_i_4_n_0\ ); \y_addr_out2_carry__7_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_87\, I1 => \y_addr_out3__0_n_87\, O => \y_addr_out2_carry__7_i_1_n_0\ ); \y_addr_out2_carry__7_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_88\, I1 => \y_addr_out3__0_n_88\, O => \y_addr_out2_carry__7_i_2_n_0\ ); \y_addr_out2_carry__7_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_89\, I1 => \y_addr_out3__0_n_89\, O => \y_addr_out2_carry__7_i_3_n_0\ ); \y_addr_out2_carry__7_i_4\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_90\, I1 => \y_addr_out3__0_n_90\, O => \y_addr_out2_carry__7_i_4_n_0\ ); \y_addr_out2_carry__8\: unisim.vcomponents.CARRY4 port map ( CI => \y_addr_out2_carry__7_n_0\, CO(3 downto 1) => \NLW_y_addr_out2_carry__8_CO_UNCONNECTED\(3 downto 1), CO(0) => \y_addr_out2_carry__8_n_3\, CYINIT => '0', DI(3 downto 1) => B"000", DI(0) => \y_addr_out3__2_n_86\, O(3 downto 2) => \NLW_y_addr_out2_carry__8_O_UNCONNECTED\(3 downto 2), O(1 downto 0) => y_addr_out2(37 downto 36), S(3 downto 2) => B"00", S(1) => \y_addr_out2_carry__8_i_1_n_0\, S(0) => \y_addr_out2_carry__8_i_2_n_0\ ); \y_addr_out2_carry__8_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_85\, I1 => \y_addr_out3__0_n_85\, O => \y_addr_out2_carry__8_i_1_n_0\ ); \y_addr_out2_carry__8_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__2_n_86\, I1 => \y_addr_out3__0_n_86\, O => \y_addr_out2_carry__8_i_2_n_0\ ); y_addr_out2_carry_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_102\, I1 => y_addr_out3_n_102, O => y_addr_out2_carry_i_1_n_0 ); y_addr_out2_carry_i_2: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_103\, I1 => y_addr_out3_n_103, O => y_addr_out2_carry_i_2_n_0 ); y_addr_out2_carry_i_3: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_104\, I1 => y_addr_out3_n_104, O => y_addr_out2_carry_i_3_n_0 ); y_addr_out2_carry_i_4: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \y_addr_out3__1_n_105\, I1 => y_addr_out3_n_105, O => y_addr_out2_carry_i_4_n_0 ); y_addr_out3: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29 downto 17) => B"0000000000000", A(16 downto 14) => y_addr_in(2 downto 0), A(13 downto 0) => B"00000000000000", ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => NLW_y_addr_out3_ACOUT_UNCONNECTED(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17) => rot_m11(15), B(16) => rot_m11(15), B(15 downto 0) => rot_m11(15 downto 0), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => NLW_y_addr_out3_BCOUT_UNCONNECTED(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => NLW_y_addr_out3_CARRYCASCOUT_UNCONNECTED, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => NLW_y_addr_out3_CARRYOUT_UNCONNECTED(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => NLW_y_addr_out3_MULTSIGNOUT_UNCONNECTED, OPMODE(6 downto 0) => B"0000101", OVERFLOW => NLW_y_addr_out3_OVERFLOW_UNCONNECTED, P(47) => y_addr_out3_n_58, P(46) => y_addr_out3_n_59, P(45) => y_addr_out3_n_60, P(44) => y_addr_out3_n_61, P(43) => y_addr_out3_n_62, P(42) => y_addr_out3_n_63, P(41) => y_addr_out3_n_64, P(40) => y_addr_out3_n_65, P(39) => y_addr_out3_n_66, P(38) => y_addr_out3_n_67, P(37) => y_addr_out3_n_68, P(36) => y_addr_out3_n_69, P(35) => y_addr_out3_n_70, P(34) => y_addr_out3_n_71, P(33) => y_addr_out3_n_72, P(32) => y_addr_out3_n_73, P(31) => y_addr_out3_n_74, P(30) => y_addr_out3_n_75, P(29) => y_addr_out3_n_76, P(28) => y_addr_out3_n_77, P(27) => y_addr_out3_n_78, P(26) => y_addr_out3_n_79, P(25) => y_addr_out3_n_80, P(24) => y_addr_out3_n_81, P(23) => y_addr_out3_n_82, P(22) => y_addr_out3_n_83, P(21) => y_addr_out3_n_84, P(20) => y_addr_out3_n_85, P(19) => y_addr_out3_n_86, P(18) => y_addr_out3_n_87, P(17) => y_addr_out3_n_88, P(16) => y_addr_out3_n_89, P(15) => y_addr_out3_n_90, P(14) => y_addr_out3_n_91, P(13) => y_addr_out3_n_92, P(12) => y_addr_out3_n_93, P(11) => y_addr_out3_n_94, P(10) => y_addr_out3_n_95, P(9) => y_addr_out3_n_96, P(8) => y_addr_out3_n_97, P(7) => y_addr_out3_n_98, P(6) => y_addr_out3_n_99, P(5) => y_addr_out3_n_100, P(4) => y_addr_out3_n_101, P(3) => y_addr_out3_n_102, P(2) => y_addr_out3_n_103, P(1) => y_addr_out3_n_104, P(0) => y_addr_out3_n_105, PATTERNBDETECT => NLW_y_addr_out3_PATTERNBDETECT_UNCONNECTED, PATTERNDETECT => NLW_y_addr_out3_PATTERNDETECT_UNCONNECTED, PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", PCOUT(47) => y_addr_out3_n_106, PCOUT(46) => y_addr_out3_n_107, PCOUT(45) => y_addr_out3_n_108, PCOUT(44) => y_addr_out3_n_109, PCOUT(43) => y_addr_out3_n_110, PCOUT(42) => y_addr_out3_n_111, PCOUT(41) => y_addr_out3_n_112, PCOUT(40) => y_addr_out3_n_113, PCOUT(39) => y_addr_out3_n_114, PCOUT(38) => y_addr_out3_n_115, PCOUT(37) => y_addr_out3_n_116, PCOUT(36) => y_addr_out3_n_117, PCOUT(35) => y_addr_out3_n_118, PCOUT(34) => y_addr_out3_n_119, PCOUT(33) => y_addr_out3_n_120, PCOUT(32) => y_addr_out3_n_121, PCOUT(31) => y_addr_out3_n_122, PCOUT(30) => y_addr_out3_n_123, PCOUT(29) => y_addr_out3_n_124, PCOUT(28) => y_addr_out3_n_125, PCOUT(27) => y_addr_out3_n_126, PCOUT(26) => y_addr_out3_n_127, PCOUT(25) => y_addr_out3_n_128, PCOUT(24) => y_addr_out3_n_129, PCOUT(23) => y_addr_out3_n_130, PCOUT(22) => y_addr_out3_n_131, PCOUT(21) => y_addr_out3_n_132, PCOUT(20) => y_addr_out3_n_133, PCOUT(19) => y_addr_out3_n_134, PCOUT(18) => y_addr_out3_n_135, PCOUT(17) => y_addr_out3_n_136, PCOUT(16) => y_addr_out3_n_137, PCOUT(15) => y_addr_out3_n_138, PCOUT(14) => y_addr_out3_n_139, PCOUT(13) => y_addr_out3_n_140, PCOUT(12) => y_addr_out3_n_141, PCOUT(11) => y_addr_out3_n_142, PCOUT(10) => y_addr_out3_n_143, PCOUT(9) => y_addr_out3_n_144, PCOUT(8) => y_addr_out3_n_145, PCOUT(7) => y_addr_out3_n_146, PCOUT(6) => y_addr_out3_n_147, PCOUT(5) => y_addr_out3_n_148, PCOUT(4) => y_addr_out3_n_149, PCOUT(3) => y_addr_out3_n_150, PCOUT(2) => y_addr_out3_n_151, PCOUT(1) => y_addr_out3_n_152, PCOUT(0) => y_addr_out3_n_153, RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => NLW_y_addr_out3_UNDERFLOW_UNCONNECTED ); \y_addr_out3__0\: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29) => rot_m11(15), A(28) => rot_m11(15), A(27) => rot_m11(15), A(26) => rot_m11(15), A(25) => rot_m11(15), A(24) => rot_m11(15), A(23) => rot_m11(15), A(22) => rot_m11(15), A(21) => rot_m11(15), A(20) => rot_m11(15), A(19) => rot_m11(15), A(18) => rot_m11(15), A(17) => rot_m11(15), A(16) => rot_m11(15), A(15 downto 0) => rot_m11(15 downto 0), ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => \NLW_y_addr_out3__0_ACOUT_UNCONNECTED\(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17 downto 7) => B"00000000000", B(6 downto 0) => y_addr_in(9 downto 3), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => \NLW_y_addr_out3__0_BCOUT_UNCONNECTED\(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => \NLW_y_addr_out3__0_CARRYCASCOUT_UNCONNECTED\, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => \NLW_y_addr_out3__0_CARRYOUT_UNCONNECTED\(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => \NLW_y_addr_out3__0_MULTSIGNOUT_UNCONNECTED\, OPMODE(6 downto 0) => B"1010101", OVERFLOW => \NLW_y_addr_out3__0_OVERFLOW_UNCONNECTED\, P(47) => \y_addr_out3__0_n_58\, P(46) => \y_addr_out3__0_n_59\, P(45) => \y_addr_out3__0_n_60\, P(44) => \y_addr_out3__0_n_61\, P(43) => \y_addr_out3__0_n_62\, P(42) => \y_addr_out3__0_n_63\, P(41) => \y_addr_out3__0_n_64\, P(40) => \y_addr_out3__0_n_65\, P(39) => \y_addr_out3__0_n_66\, P(38) => \y_addr_out3__0_n_67\, P(37) => \y_addr_out3__0_n_68\, P(36) => \y_addr_out3__0_n_69\, P(35) => \y_addr_out3__0_n_70\, P(34) => \y_addr_out3__0_n_71\, P(33) => \y_addr_out3__0_n_72\, P(32) => \y_addr_out3__0_n_73\, P(31) => \y_addr_out3__0_n_74\, P(30) => \y_addr_out3__0_n_75\, P(29) => \y_addr_out3__0_n_76\, P(28) => \y_addr_out3__0_n_77\, P(27) => \y_addr_out3__0_n_78\, P(26) => \y_addr_out3__0_n_79\, P(25) => \y_addr_out3__0_n_80\, P(24) => \y_addr_out3__0_n_81\, P(23) => \y_addr_out3__0_n_82\, P(22) => \y_addr_out3__0_n_83\, P(21) => \y_addr_out3__0_n_84\, P(20) => \y_addr_out3__0_n_85\, P(19) => \y_addr_out3__0_n_86\, P(18) => \y_addr_out3__0_n_87\, P(17) => \y_addr_out3__0_n_88\, P(16) => \y_addr_out3__0_n_89\, P(15) => \y_addr_out3__0_n_90\, P(14) => \y_addr_out3__0_n_91\, P(13) => \y_addr_out3__0_n_92\, P(12) => \y_addr_out3__0_n_93\, P(11) => \y_addr_out3__0_n_94\, P(10) => \y_addr_out3__0_n_95\, P(9) => \y_addr_out3__0_n_96\, P(8) => \y_addr_out3__0_n_97\, P(7) => \y_addr_out3__0_n_98\, P(6) => \y_addr_out3__0_n_99\, P(5) => \y_addr_out3__0_n_100\, P(4) => \y_addr_out3__0_n_101\, P(3) => \y_addr_out3__0_n_102\, P(2) => \y_addr_out3__0_n_103\, P(1) => \y_addr_out3__0_n_104\, P(0) => \y_addr_out3__0_n_105\, PATTERNBDETECT => \NLW_y_addr_out3__0_PATTERNBDETECT_UNCONNECTED\, PATTERNDETECT => \NLW_y_addr_out3__0_PATTERNDETECT_UNCONNECTED\, PCIN(47) => y_addr_out3_n_106, PCIN(46) => y_addr_out3_n_107, PCIN(45) => y_addr_out3_n_108, PCIN(44) => y_addr_out3_n_109, PCIN(43) => y_addr_out3_n_110, PCIN(42) => y_addr_out3_n_111, PCIN(41) => y_addr_out3_n_112, PCIN(40) => y_addr_out3_n_113, PCIN(39) => y_addr_out3_n_114, PCIN(38) => y_addr_out3_n_115, PCIN(37) => y_addr_out3_n_116, PCIN(36) => y_addr_out3_n_117, PCIN(35) => y_addr_out3_n_118, PCIN(34) => y_addr_out3_n_119, PCIN(33) => y_addr_out3_n_120, PCIN(32) => y_addr_out3_n_121, PCIN(31) => y_addr_out3_n_122, PCIN(30) => y_addr_out3_n_123, PCIN(29) => y_addr_out3_n_124, PCIN(28) => y_addr_out3_n_125, PCIN(27) => y_addr_out3_n_126, PCIN(26) => y_addr_out3_n_127, PCIN(25) => y_addr_out3_n_128, PCIN(24) => y_addr_out3_n_129, PCIN(23) => y_addr_out3_n_130, PCIN(22) => y_addr_out3_n_131, PCIN(21) => y_addr_out3_n_132, PCIN(20) => y_addr_out3_n_133, PCIN(19) => y_addr_out3_n_134, PCIN(18) => y_addr_out3_n_135, PCIN(17) => y_addr_out3_n_136, PCIN(16) => y_addr_out3_n_137, PCIN(15) => y_addr_out3_n_138, PCIN(14) => y_addr_out3_n_139, PCIN(13) => y_addr_out3_n_140, PCIN(12) => y_addr_out3_n_141, PCIN(11) => y_addr_out3_n_142, PCIN(10) => y_addr_out3_n_143, PCIN(9) => y_addr_out3_n_144, PCIN(8) => y_addr_out3_n_145, PCIN(7) => y_addr_out3_n_146, PCIN(6) => y_addr_out3_n_147, PCIN(5) => y_addr_out3_n_148, PCIN(4) => y_addr_out3_n_149, PCIN(3) => y_addr_out3_n_150, PCIN(2) => y_addr_out3_n_151, PCIN(1) => y_addr_out3_n_152, PCIN(0) => y_addr_out3_n_153, PCOUT(47 downto 0) => \NLW_y_addr_out3__0_PCOUT_UNCONNECTED\(47 downto 0), RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => \NLW_y_addr_out3__0_UNDERFLOW_UNCONNECTED\ ); \y_addr_out3__1\: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29 downto 17) => B"0000000000000", A(16 downto 14) => x_addr_in(2 downto 0), A(13 downto 0) => B"00000000000000", ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => \NLW_y_addr_out3__1_ACOUT_UNCONNECTED\(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17) => rot_m10(15), B(16) => rot_m10(15), B(15 downto 0) => rot_m10(15 downto 0), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => \NLW_y_addr_out3__1_BCOUT_UNCONNECTED\(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => \NLW_y_addr_out3__1_CARRYCASCOUT_UNCONNECTED\, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => \NLW_y_addr_out3__1_CARRYOUT_UNCONNECTED\(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => \NLW_y_addr_out3__1_MULTSIGNOUT_UNCONNECTED\, OPMODE(6 downto 0) => B"0000101", OVERFLOW => \NLW_y_addr_out3__1_OVERFLOW_UNCONNECTED\, P(47) => \y_addr_out3__1_n_58\, P(46) => \y_addr_out3__1_n_59\, P(45) => \y_addr_out3__1_n_60\, P(44) => \y_addr_out3__1_n_61\, P(43) => \y_addr_out3__1_n_62\, P(42) => \y_addr_out3__1_n_63\, P(41) => \y_addr_out3__1_n_64\, P(40) => \y_addr_out3__1_n_65\, P(39) => \y_addr_out3__1_n_66\, P(38) => \y_addr_out3__1_n_67\, P(37) => \y_addr_out3__1_n_68\, P(36) => \y_addr_out3__1_n_69\, P(35) => \y_addr_out3__1_n_70\, P(34) => \y_addr_out3__1_n_71\, P(33) => \y_addr_out3__1_n_72\, P(32) => \y_addr_out3__1_n_73\, P(31) => \y_addr_out3__1_n_74\, P(30) => \y_addr_out3__1_n_75\, P(29) => \y_addr_out3__1_n_76\, P(28) => \y_addr_out3__1_n_77\, P(27) => \y_addr_out3__1_n_78\, P(26) => \y_addr_out3__1_n_79\, P(25) => \y_addr_out3__1_n_80\, P(24) => \y_addr_out3__1_n_81\, P(23) => \y_addr_out3__1_n_82\, P(22) => \y_addr_out3__1_n_83\, P(21) => \y_addr_out3__1_n_84\, P(20) => \y_addr_out3__1_n_85\, P(19) => \y_addr_out3__1_n_86\, P(18) => \y_addr_out3__1_n_87\, P(17) => \y_addr_out3__1_n_88\, P(16) => \y_addr_out3__1_n_89\, P(15) => \y_addr_out3__1_n_90\, P(14) => \y_addr_out3__1_n_91\, P(13) => \y_addr_out3__1_n_92\, P(12) => \y_addr_out3__1_n_93\, P(11) => \y_addr_out3__1_n_94\, P(10) => \y_addr_out3__1_n_95\, P(9) => \y_addr_out3__1_n_96\, P(8) => \y_addr_out3__1_n_97\, P(7) => \y_addr_out3__1_n_98\, P(6) => \y_addr_out3__1_n_99\, P(5) => \y_addr_out3__1_n_100\, P(4) => \y_addr_out3__1_n_101\, P(3) => \y_addr_out3__1_n_102\, P(2) => \y_addr_out3__1_n_103\, P(1) => \y_addr_out3__1_n_104\, P(0) => \y_addr_out3__1_n_105\, PATTERNBDETECT => \NLW_y_addr_out3__1_PATTERNBDETECT_UNCONNECTED\, PATTERNDETECT => \NLW_y_addr_out3__1_PATTERNDETECT_UNCONNECTED\, PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000", PCOUT(47) => \y_addr_out3__1_n_106\, PCOUT(46) => \y_addr_out3__1_n_107\, PCOUT(45) => \y_addr_out3__1_n_108\, PCOUT(44) => \y_addr_out3__1_n_109\, PCOUT(43) => \y_addr_out3__1_n_110\, PCOUT(42) => \y_addr_out3__1_n_111\, PCOUT(41) => \y_addr_out3__1_n_112\, PCOUT(40) => \y_addr_out3__1_n_113\, PCOUT(39) => \y_addr_out3__1_n_114\, PCOUT(38) => \y_addr_out3__1_n_115\, PCOUT(37) => \y_addr_out3__1_n_116\, PCOUT(36) => \y_addr_out3__1_n_117\, PCOUT(35) => \y_addr_out3__1_n_118\, PCOUT(34) => \y_addr_out3__1_n_119\, PCOUT(33) => \y_addr_out3__1_n_120\, PCOUT(32) => \y_addr_out3__1_n_121\, PCOUT(31) => \y_addr_out3__1_n_122\, PCOUT(30) => \y_addr_out3__1_n_123\, PCOUT(29) => \y_addr_out3__1_n_124\, PCOUT(28) => \y_addr_out3__1_n_125\, PCOUT(27) => \y_addr_out3__1_n_126\, PCOUT(26) => \y_addr_out3__1_n_127\, PCOUT(25) => \y_addr_out3__1_n_128\, PCOUT(24) => \y_addr_out3__1_n_129\, PCOUT(23) => \y_addr_out3__1_n_130\, PCOUT(22) => \y_addr_out3__1_n_131\, PCOUT(21) => \y_addr_out3__1_n_132\, PCOUT(20) => \y_addr_out3__1_n_133\, PCOUT(19) => \y_addr_out3__1_n_134\, PCOUT(18) => \y_addr_out3__1_n_135\, PCOUT(17) => \y_addr_out3__1_n_136\, PCOUT(16) => \y_addr_out3__1_n_137\, PCOUT(15) => \y_addr_out3__1_n_138\, PCOUT(14) => \y_addr_out3__1_n_139\, PCOUT(13) => \y_addr_out3__1_n_140\, PCOUT(12) => \y_addr_out3__1_n_141\, PCOUT(11) => \y_addr_out3__1_n_142\, PCOUT(10) => \y_addr_out3__1_n_143\, PCOUT(9) => \y_addr_out3__1_n_144\, PCOUT(8) => \y_addr_out3__1_n_145\, PCOUT(7) => \y_addr_out3__1_n_146\, PCOUT(6) => \y_addr_out3__1_n_147\, PCOUT(5) => \y_addr_out3__1_n_148\, PCOUT(4) => \y_addr_out3__1_n_149\, PCOUT(3) => \y_addr_out3__1_n_150\, PCOUT(2) => \y_addr_out3__1_n_151\, PCOUT(1) => \y_addr_out3__1_n_152\, PCOUT(0) => \y_addr_out3__1_n_153\, RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => \NLW_y_addr_out3__1_UNDERFLOW_UNCONNECTED\ ); \y_addr_out3__2\: unisim.vcomponents.DSP48E1 generic map( ACASCREG => 0, ADREG => 1, ALUMODEREG => 0, AREG => 0, AUTORESET_PATDET => "NO_RESET", A_INPUT => "DIRECT", BCASCREG => 0, BREG => 0, B_INPUT => "DIRECT", CARRYINREG => 0, CARRYINSELREG => 0, CREG => 1, DREG => 1, INMODEREG => 0, MASK => X"3FFFFFFFFFFF", MREG => 0, OPMODEREG => 0, PATTERN => X"000000000000", PREG => 0, SEL_MASK => "MASK", SEL_PATTERN => "PATTERN", USE_DPORT => false, USE_MULT => "MULTIPLY", USE_PATTERN_DETECT => "NO_PATDET", USE_SIMD => "ONE48" ) port map ( A(29) => rot_m10(15), A(28) => rot_m10(15), A(27) => rot_m10(15), A(26) => rot_m10(15), A(25) => rot_m10(15), A(24) => rot_m10(15), A(23) => rot_m10(15), A(22) => rot_m10(15), A(21) => rot_m10(15), A(20) => rot_m10(15), A(19) => rot_m10(15), A(18) => rot_m10(15), A(17) => rot_m10(15), A(16) => rot_m10(15), A(15 downto 0) => rot_m10(15 downto 0), ACIN(29 downto 0) => B"000000000000000000000000000000", ACOUT(29 downto 0) => \NLW_y_addr_out3__2_ACOUT_UNCONNECTED\(29 downto 0), ALUMODE(3 downto 0) => B"0000", B(17 downto 7) => B"00000000000", B(6 downto 0) => x_addr_in(9 downto 3), BCIN(17 downto 0) => B"000000000000000000", BCOUT(17 downto 0) => \NLW_y_addr_out3__2_BCOUT_UNCONNECTED\(17 downto 0), C(47 downto 0) => B"111111111111111111111111111111111111111111111111", CARRYCASCIN => '0', CARRYCASCOUT => \NLW_y_addr_out3__2_CARRYCASCOUT_UNCONNECTED\, CARRYIN => '0', CARRYINSEL(2 downto 0) => B"000", CARRYOUT(3 downto 0) => \NLW_y_addr_out3__2_CARRYOUT_UNCONNECTED\(3 downto 0), CEA1 => '0', CEA2 => '0', CEAD => '0', CEALUMODE => '0', CEB1 => '0', CEB2 => '0', CEC => '0', CECARRYIN => '0', CECTRL => '0', CED => '0', CEINMODE => '0', CEM => '0', CEP => '0', CLK => '0', D(24 downto 0) => B"0000000000000000000000000", INMODE(4 downto 0) => B"00000", MULTSIGNIN => '0', MULTSIGNOUT => \NLW_y_addr_out3__2_MULTSIGNOUT_UNCONNECTED\, OPMODE(6 downto 0) => B"1010101", OVERFLOW => \NLW_y_addr_out3__2_OVERFLOW_UNCONNECTED\, P(47) => \y_addr_out3__2_n_58\, P(46) => \y_addr_out3__2_n_59\, P(45) => \y_addr_out3__2_n_60\, P(44) => \y_addr_out3__2_n_61\, P(43) => \y_addr_out3__2_n_62\, P(42) => \y_addr_out3__2_n_63\, P(41) => \y_addr_out3__2_n_64\, P(40) => \y_addr_out3__2_n_65\, P(39) => \y_addr_out3__2_n_66\, P(38) => \y_addr_out3__2_n_67\, P(37) => \y_addr_out3__2_n_68\, P(36) => \y_addr_out3__2_n_69\, P(35) => \y_addr_out3__2_n_70\, P(34) => \y_addr_out3__2_n_71\, P(33) => \y_addr_out3__2_n_72\, P(32) => \y_addr_out3__2_n_73\, P(31) => \y_addr_out3__2_n_74\, P(30) => \y_addr_out3__2_n_75\, P(29) => \y_addr_out3__2_n_76\, P(28) => \y_addr_out3__2_n_77\, P(27) => \y_addr_out3__2_n_78\, P(26) => \y_addr_out3__2_n_79\, P(25) => \y_addr_out3__2_n_80\, P(24) => \y_addr_out3__2_n_81\, P(23) => \y_addr_out3__2_n_82\, P(22) => \y_addr_out3__2_n_83\, P(21) => \y_addr_out3__2_n_84\, P(20) => \y_addr_out3__2_n_85\, P(19) => \y_addr_out3__2_n_86\, P(18) => \y_addr_out3__2_n_87\, P(17) => \y_addr_out3__2_n_88\, P(16) => \y_addr_out3__2_n_89\, P(15) => \y_addr_out3__2_n_90\, P(14) => \y_addr_out3__2_n_91\, P(13) => \y_addr_out3__2_n_92\, P(12) => \y_addr_out3__2_n_93\, P(11) => \y_addr_out3__2_n_94\, P(10) => \y_addr_out3__2_n_95\, P(9) => \y_addr_out3__2_n_96\, P(8) => \y_addr_out3__2_n_97\, P(7) => \y_addr_out3__2_n_98\, P(6) => \y_addr_out3__2_n_99\, P(5) => \y_addr_out3__2_n_100\, P(4) => \y_addr_out3__2_n_101\, P(3) => \y_addr_out3__2_n_102\, P(2) => \y_addr_out3__2_n_103\, P(1) => \y_addr_out3__2_n_104\, P(0) => \y_addr_out3__2_n_105\, PATTERNBDETECT => \NLW_y_addr_out3__2_PATTERNBDETECT_UNCONNECTED\, PATTERNDETECT => \NLW_y_addr_out3__2_PATTERNDETECT_UNCONNECTED\, PCIN(47) => \y_addr_out3__1_n_106\, PCIN(46) => \y_addr_out3__1_n_107\, PCIN(45) => \y_addr_out3__1_n_108\, PCIN(44) => \y_addr_out3__1_n_109\, PCIN(43) => \y_addr_out3__1_n_110\, PCIN(42) => \y_addr_out3__1_n_111\, PCIN(41) => \y_addr_out3__1_n_112\, PCIN(40) => \y_addr_out3__1_n_113\, PCIN(39) => \y_addr_out3__1_n_114\, PCIN(38) => \y_addr_out3__1_n_115\, PCIN(37) => \y_addr_out3__1_n_116\, PCIN(36) => \y_addr_out3__1_n_117\, PCIN(35) => \y_addr_out3__1_n_118\, PCIN(34) => \y_addr_out3__1_n_119\, PCIN(33) => \y_addr_out3__1_n_120\, PCIN(32) => \y_addr_out3__1_n_121\, PCIN(31) => \y_addr_out3__1_n_122\, PCIN(30) => \y_addr_out3__1_n_123\, PCIN(29) => \y_addr_out3__1_n_124\, PCIN(28) => \y_addr_out3__1_n_125\, PCIN(27) => \y_addr_out3__1_n_126\, PCIN(26) => \y_addr_out3__1_n_127\, PCIN(25) => \y_addr_out3__1_n_128\, PCIN(24) => \y_addr_out3__1_n_129\, PCIN(23) => \y_addr_out3__1_n_130\, PCIN(22) => \y_addr_out3__1_n_131\, PCIN(21) => \y_addr_out3__1_n_132\, PCIN(20) => \y_addr_out3__1_n_133\, PCIN(19) => \y_addr_out3__1_n_134\, PCIN(18) => \y_addr_out3__1_n_135\, PCIN(17) => \y_addr_out3__1_n_136\, PCIN(16) => \y_addr_out3__1_n_137\, PCIN(15) => \y_addr_out3__1_n_138\, PCIN(14) => \y_addr_out3__1_n_139\, PCIN(13) => \y_addr_out3__1_n_140\, PCIN(12) => \y_addr_out3__1_n_141\, PCIN(11) => \y_addr_out3__1_n_142\, PCIN(10) => \y_addr_out3__1_n_143\, PCIN(9) => \y_addr_out3__1_n_144\, PCIN(8) => \y_addr_out3__1_n_145\, PCIN(7) => \y_addr_out3__1_n_146\, PCIN(6) => \y_addr_out3__1_n_147\, PCIN(5) => \y_addr_out3__1_n_148\, PCIN(4) => \y_addr_out3__1_n_149\, PCIN(3) => \y_addr_out3__1_n_150\, PCIN(2) => \y_addr_out3__1_n_151\, PCIN(1) => \y_addr_out3__1_n_152\, PCIN(0) => \y_addr_out3__1_n_153\, PCOUT(47 downto 0) => \NLW_y_addr_out3__2_PCOUT_UNCONNECTED\(47 downto 0), RSTA => '0', RSTALLCARRYIN => '0', RSTALUMODE => '0', RSTB => '0', RSTC => '0', RSTCTRL => '0', RSTD => '0', RSTINMODE => '0', RSTM => '0', RSTP => '0', UNDERFLOW => \NLW_y_addr_out3__2_UNDERFLOW_UNCONNECTED\ ); \y_addr_out[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => y_addr_out2(28), I1 => t_y(0), O => p_0_in(0) ); \y_addr_out_reg[0]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(0), Q => y_addr_out(0), R => '0' ); \y_addr_out_reg[1]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(1), Q => y_addr_out(1), R => '0' ); \y_addr_out_reg[2]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(2), Q => y_addr_out(2), R => '0' ); \y_addr_out_reg[3]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(3), Q => y_addr_out(3), R => '0' ); \y_addr_out_reg[4]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(4), Q => y_addr_out(4), R => '0' ); \y_addr_out_reg[5]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(5), Q => y_addr_out(5), R => '0' ); \y_addr_out_reg[6]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(6), Q => y_addr_out(6), R => '0' ); \y_addr_out_reg[7]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(7), Q => y_addr_out(7), R => '0' ); \y_addr_out_reg[8]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(8), Q => y_addr_out(8), R => '0' ); \y_addr_out_reg[9]\: unisim.vcomponents.FDRE port map ( C => clk, CE => enable, D => p_0_in(9), Q => y_addr_out(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_transform_0_1 is port ( clk : in STD_LOGIC; enable : in STD_LOGIC; x_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_in : in STD_LOGIC_VECTOR ( 9 downto 0 ); rot_m00 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rot_m01 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rot_m10 : in STD_LOGIC_VECTOR ( 15 downto 0 ); rot_m11 : in STD_LOGIC_VECTOR ( 15 downto 0 ); t_x : in STD_LOGIC_VECTOR ( 9 downto 0 ); t_y : in STD_LOGIC_VECTOR ( 9 downto 0 ); x_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ); y_addr_out : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_vga_transform_0_1 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_vga_transform_0_1 : entity is "system_vga_transform_0_1,vga_transform,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_vga_transform_0_1 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_vga_transform_0_1 : entity is "vga_transform,Vivado 2016.4"; end system_vga_transform_0_1; architecture STRUCTURE of system_vga_transform_0_1 is begin U0: entity work.system_vga_transform_0_1_vga_transform port map ( clk => clk, enable => enable, rot_m00(15 downto 0) => rot_m00(15 downto 0), rot_m01(15 downto 0) => rot_m01(15 downto 0), rot_m10(15 downto 0) => rot_m10(15 downto 0), rot_m11(15 downto 0) => rot_m11(15 downto 0), t_x(9 downto 0) => t_x(9 downto 0), t_y(9 downto 0) => t_y(9 downto 0), x_addr_in(9 downto 0) => x_addr_in(9 downto 0), x_addr_out(9 downto 0) => x_addr_out(9 downto 0), y_addr_in(9 downto 0) => y_addr_in(9 downto 0), y_addr_out(9 downto 0) => y_addr_out(9 downto 0) ); end STRUCTURE;
mit
CampbellGroup/fpga
ltc1450/clock/clock.vhd
1
805
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY signal_generator IS PORT (clk : IN STD_LOGIC; reset : IN STD_LOGIC; --unused led: OUT STD_LOGIC; clock_out : OUT STD_LOGIC); END signal_generator; ARCHITECTURE behavior of signal_generator IS SIGNAL clk_sig : std_logic; SIGNAL led_sig : std_logic; BEGIN PROCESS(clk) VARIABLE count1 : integer; VARIABLE count2 : integer; BEGIN IF rising_edge(clk) then IF (count1=5) THEN clk_sig<=NOT(clk_sig); count1:=0; ELSE count1:=count1+1; END IF; IF (count2=24999999) THEN --((input clock)/2-1) led_sig<=NOT(led_sig); count2:=0; ELSE count2:=count2+1; END IF; END IF; END PROCESS; clock_out <= clk_sig; led <= led_sig; END behavior;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
general_ip/svd_2x2/svd_2x2.srcs/sources_1/ip/arctan/arctan_stub.vhdl
1
1454
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Tue May 30 11:58:28 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/general_ip/svd_2x2/svd_2x2.runs/arctan_synth_1/arctan_stub.vhdl -- Design : arctan -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity arctan is Port ( aclk : in STD_LOGIC; s_axis_cartesian_tvalid : in STD_LOGIC; s_axis_cartesian_tdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axis_dout_tvalid : out STD_LOGIC; m_axis_dout_tdata : out STD_LOGIC_VECTOR ( 15 downto 0 ) ); end arctan; architecture stub of arctan is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "aclk,s_axis_cartesian_tvalid,s_axis_cartesian_tdata[31:0],m_axis_dout_tvalid,m_axis_dout_tdata[15:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "cordic_v6_0_11,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_ov7670_controller_1_0/system_ov7670_controller_1_0_stub.vhdl
1
1525
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Thu May 25 15:29:18 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_ov7670_controller_1_0 -prefix -- system_ov7670_controller_1_0_ system_ov7670_controller_1_0_stub.vhdl -- Design : system_ov7670_controller_1_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_ov7670_controller_1_0 is Port ( clk : in STD_LOGIC; resend : in STD_LOGIC; config_finished : out STD_LOGIC; sioc : out STD_LOGIC; siod : inout STD_LOGIC; reset : out STD_LOGIC; pwdn : out STD_LOGIC; xclk : out STD_LOGIC ); end system_ov7670_controller_1_0; architecture stub of system_ov7670_controller_1_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,resend,config_finished,sioc,siod,reset,pwdn,xclk"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "ov7670_controller,Vivado 2016.4"; begin end;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_hessian/zed_camera_hessian.srcs/sources_1/bd/system/ipshared/0b31/zed_hdmi.vhd
7
6019
---------------------------------------------------------------------------------- -- Authors: Mike Field <[email protected]> -- Rob Taglang <[email protected]> -- -- Create Date: 06:01:06 01/23/2013 -- Modified: 5/20/2017 -- -- Description: -- Drive the ADV7511 HDMI encoder directly from the PL fabric. -- Modified to fit modularly with other designs -- -- Notes: -- Technically, the ADV7511 supports rgb input formats, and it would -- be really nice to be able to just drive that straight through. -- Unfortunately, the pin mapping for hdmi_d maps to the [23-8] input -- pins on the IC, and there is not rgb format that lies only in that -- range of pins. -- -- http://www.analog.com/media/en/technical-documentation/user-guides/ADV7511_Programming_Guide.pdf ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity zed_hdmi is port( clk : in std_logic; clk_x2 : in std_logic; clk_100 : in std_logic; active : in std_logic; hsync : in std_logic; vsync : in std_logic; rgb888 : in std_logic_vector(23 downto 0); hdmi_clk : out std_logic; hdmi_hsync : out std_logic; hdmi_vsync : out std_logic; hdmi_d : out std_logic_vector(15 downto 0); hdmi_de : out std_logic; hdmi_scl : out std_logic; hdmi_sda : inout std_logic ); end zed_hdmi; architecture Behavioral of zed_hdmi is component i2c_sender port( clk : IN std_logic; resend : IN std_logic; siod : INOUT std_logic; sioc : OUT std_logic ); end component; signal hdmi_clk_bits : STD_LOGIC_VECTOR (1 downto 0); signal edge : std_logic := '0'; signal edge_rb : std_logic := '0'; signal r, g, b : std_logic_vector(7 downto 0); signal y, cr, cb : std_logic_vector(7 downto 0); begin -- there is a 16 bit interface into the HDMI transmitter, although I only use 8 bits r <= rgb888(23 downto 16); g <= rgb888(15 downto 8); b <= rgb888(7 downto 0); hdmi_d(7 downto 0) <= x"00"; process(clk_x2) variable y_hold, cr_hold, cb_hold : std_logic_vector(7 downto 0); begin --------------------------------------------------------------------------- -- signal generation for the HDMI encoder -- -- Transfer on rising edge of clock Y -- on falling edge of clock Either Cr or Cb ---------------------------------------------------------------------------- if rising_edge(clk_x2) then if edge = '0' then edge <= '1'; hdmi_clk_bits <= "11"; if edge_rb = '0' then -- lock in value from conversion y_hold := y; cr_hold := cr; cb_hold := cb; end if; if active = '0' then hdmi_d(15 downto 8) <= (others => '0'); hdmi_de <= '0'; edge_rb <= '0'; else hdmi_d(15 downto 8) <= y_hold; hdmi_de <= '1'; end if; else edge <= '0'; hdmi_clk_bits <= "00"; if active = '0' then hdmi_d(15 downto 8) <= (others => '0'); hdmi_de <= '0'; edge_rb <= '0'; else if edge_rb = '0' then hdmi_d(15 downto 8) <= cr_hold; edge_rb <= '1'; else hdmi_d(15 downto 8) <= cb_hold; edge_rb <= '0'; end if; hdmi_de <= '1'; end if; end if; hdmi_hsync <= not hsync; hdmi_vsync <= not vsync; end if; end process; process (clk) variable r_int, g_int, b_int, y_int, cr_int, cb_int : integer; begin if rising_edge(clk) then -- color space conversion and clamping r_int := to_integer(unsigned(r)); g_int := to_integer(unsigned(g)); b_int := to_integer(unsigned(b)); y_int := ((r_int * 77) / 256) + ((g_int * 150) / 256) + ((b_int * 29) / 256); cr_int := ((r_int * 131) / 256) - ((g_int * 110) / 256) - ((b_int * 21) / 256) + 128; cb_int := -((r_int * 44) / 256) - ((g_int * 87) / 256) + ((b_int * 131) / 256) + 128; end if; if falling_edge(clk) then if y_int > 255 then y <= (others => '1'); elsif y_int < 0 then y <= (others => '0'); else y <= std_logic_vector(to_unsigned(y_int, 8)); end if; if cr_int > 255 then cr <= (others => '1'); elsif cr_int < 0 then cr <= (others => '0'); else cr <= std_logic_vector(to_unsigned(cr_int, 8)); end if; if cb_int > 255 then cb <= (others => '1'); elsif cb_int < 0 then cb <= (others => '0'); else cb <= std_logic_vector(to_unsigned(cb_int, 8)); end if; end if; end process; ODDR_inst : ODDR generic map( DDR_CLK_EDGE => "OPPOSITE_EDGE", INIT => '0',SRTYPE => "SYNC") port map ( Q => hdmi_clk, C => clk_x2, D1 => hdmi_clk_bits(0), D2 => hdmi_clk_bits(1), CE => '1', R => '0', S => '0' ); Inst_i2c_sender: i2c_sender PORT MAP( clk => clk_100, resend => '0', sioc => hdmi_scl, siod => hdmi_sda ); end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ipshared/0b31/zed_hdmi.vhd
7
6019
---------------------------------------------------------------------------------- -- Authors: Mike Field <[email protected]> -- Rob Taglang <[email protected]> -- -- Create Date: 06:01:06 01/23/2013 -- Modified: 5/20/2017 -- -- Description: -- Drive the ADV7511 HDMI encoder directly from the PL fabric. -- Modified to fit modularly with other designs -- -- Notes: -- Technically, the ADV7511 supports rgb input formats, and it would -- be really nice to be able to just drive that straight through. -- Unfortunately, the pin mapping for hdmi_d maps to the [23-8] input -- pins on the IC, and there is not rgb format that lies only in that -- range of pins. -- -- http://www.analog.com/media/en/technical-documentation/user-guides/ADV7511_Programming_Guide.pdf ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity zed_hdmi is port( clk : in std_logic; clk_x2 : in std_logic; clk_100 : in std_logic; active : in std_logic; hsync : in std_logic; vsync : in std_logic; rgb888 : in std_logic_vector(23 downto 0); hdmi_clk : out std_logic; hdmi_hsync : out std_logic; hdmi_vsync : out std_logic; hdmi_d : out std_logic_vector(15 downto 0); hdmi_de : out std_logic; hdmi_scl : out std_logic; hdmi_sda : inout std_logic ); end zed_hdmi; architecture Behavioral of zed_hdmi is component i2c_sender port( clk : IN std_logic; resend : IN std_logic; siod : INOUT std_logic; sioc : OUT std_logic ); end component; signal hdmi_clk_bits : STD_LOGIC_VECTOR (1 downto 0); signal edge : std_logic := '0'; signal edge_rb : std_logic := '0'; signal r, g, b : std_logic_vector(7 downto 0); signal y, cr, cb : std_logic_vector(7 downto 0); begin -- there is a 16 bit interface into the HDMI transmitter, although I only use 8 bits r <= rgb888(23 downto 16); g <= rgb888(15 downto 8); b <= rgb888(7 downto 0); hdmi_d(7 downto 0) <= x"00"; process(clk_x2) variable y_hold, cr_hold, cb_hold : std_logic_vector(7 downto 0); begin --------------------------------------------------------------------------- -- signal generation for the HDMI encoder -- -- Transfer on rising edge of clock Y -- on falling edge of clock Either Cr or Cb ---------------------------------------------------------------------------- if rising_edge(clk_x2) then if edge = '0' then edge <= '1'; hdmi_clk_bits <= "11"; if edge_rb = '0' then -- lock in value from conversion y_hold := y; cr_hold := cr; cb_hold := cb; end if; if active = '0' then hdmi_d(15 downto 8) <= (others => '0'); hdmi_de <= '0'; edge_rb <= '0'; else hdmi_d(15 downto 8) <= y_hold; hdmi_de <= '1'; end if; else edge <= '0'; hdmi_clk_bits <= "00"; if active = '0' then hdmi_d(15 downto 8) <= (others => '0'); hdmi_de <= '0'; edge_rb <= '0'; else if edge_rb = '0' then hdmi_d(15 downto 8) <= cr_hold; edge_rb <= '1'; else hdmi_d(15 downto 8) <= cb_hold; edge_rb <= '0'; end if; hdmi_de <= '1'; end if; end if; hdmi_hsync <= not hsync; hdmi_vsync <= not vsync; end if; end process; process (clk) variable r_int, g_int, b_int, y_int, cr_int, cb_int : integer; begin if rising_edge(clk) then -- color space conversion and clamping r_int := to_integer(unsigned(r)); g_int := to_integer(unsigned(g)); b_int := to_integer(unsigned(b)); y_int := ((r_int * 77) / 256) + ((g_int * 150) / 256) + ((b_int * 29) / 256); cr_int := ((r_int * 131) / 256) - ((g_int * 110) / 256) - ((b_int * 21) / 256) + 128; cb_int := -((r_int * 44) / 256) - ((g_int * 87) / 256) + ((b_int * 131) / 256) + 128; end if; if falling_edge(clk) then if y_int > 255 then y <= (others => '1'); elsif y_int < 0 then y <= (others => '0'); else y <= std_logic_vector(to_unsigned(y_int, 8)); end if; if cr_int > 255 then cr <= (others => '1'); elsif cr_int < 0 then cr <= (others => '0'); else cr <= std_logic_vector(to_unsigned(cr_int, 8)); end if; if cb_int > 255 then cb <= (others => '1'); elsif cb_int < 0 then cb <= (others => '0'); else cb <= std_logic_vector(to_unsigned(cb_int, 8)); end if; end if; end process; ODDR_inst : ODDR generic map( DDR_CLK_EDGE => "OPPOSITE_EDGE", INIT => '0',SRTYPE => "SYNC") port map ( Q => hdmi_clk, C => clk_x2, D1 => hdmi_clk_bits(0), D2 => hdmi_clk_bits(1), CE => '1', R => '0', S => '0' ); Inst_i2c_sender: i2c_sender PORT MAP( clk => clk_100, resend => '0', sioc => hdmi_scl, siod => hdmi_sda ); end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
video_ip/zed_hdmi/zed_hdmi.srcs/sources_1/new/src/colour_space_conversion.vhd
1
43843
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- Module Name: colour_space_conversion - Behavioral -- -- Description: Convert the input pixel data into YCbCr 422 values -- -- Feel free to use this how you see fit, and fix any errors you find :-) ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity colour_space_conversion is Port ( clk : in STD_LOGIC; r1_in : IN std_logic_vector(8 downto 0); g1_in : IN std_logic_vector(8 downto 0); b1_in : IN std_logic_vector(8 downto 0); r2_in : IN std_logic_vector(8 downto 0); g2_in : IN std_logic_vector(8 downto 0); b2_in : IN std_logic_vector(8 downto 0); pair_start_in: IN std_logic; de_in : IN std_logic; vsync_in : IN std_logic; hsync_in : IN std_logic; y_out : OUT std_logic_vector(7 downto 0); c_out : OUT std_logic_vector(7 downto 0); de_out : OUT std_logic; hsync_out : OUT std_logic; vsync_out : OUT std_logic ); end colour_space_conversion; architecture Behavioral of colour_space_conversion is signal d_a : std_logic; signal h_a : std_logic; signal v_a : std_logic; signal c1 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal a_r1, a_g1, a_b1 : STD_LOGIC_VECTOR(29 DOWNTO 0); signal b_r1, b_g1, b_b1 : STD_LOGIC_VECTOR(17 DOWNTO 0); signal pc_r1, pc_g1, p_b1 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal c2 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal a_r2, a_g2 , a_b2 : STD_LOGIC_VECTOR(29 DOWNTO 0); signal b_r2, b_g2, b_b2 : STD_LOGIC_VECTOR(17 DOWNTO 0); signal pc_r2, pc_g2, p_b2 : STD_LOGIC_VECTOR(47 DOWNTO 0); signal hs_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0'); signal vs_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0'); signal de_delay : STD_LOGIC_VECTOR(3 DOWNTO 0) := (others => '0'); begin -- y = ( 8432 * r + 16425 * g + 3176 * B) / 32768 + 16; -- cb = (-4818 * r - 9527 * g + 14345 * B) / 32768 + 128; -- cr = (14345 * r - 12045 * g - 2300 * B) / 32768 + 128; c1 <= x"002000000000"; a_r1 <= "000000" & r1_in & x"000" & "000"; a_g1 <= "000000" & g1_in & x"000" & "000"; a_b1 <= "000000" & b1_in & x"000" & "000"; c2 <= x"010000000000"; a_r2 <= "000000" & r2_in & x"000" & "000"; a_g2 <= "000000" & g2_in & x"000" & "000"; a_b2 <= "000000" & b2_in & x"000" & "000"; b_r1 <= x"20F0"&"00"; b_g1 <= x"4029"&"00"; b_b1 <= x"0C68"&"00"; b_r2 <= x"ED2E"&"00" when pair_start_in = '1' else x"3809"&"00"; b_g2 <= x"DAC9"&"00" when pair_start_in = '1' else x"D0F3"&"00"; b_b2 <= x"3809"&"00" when pair_start_in = '1' else x"F704"&"00"; process(clk) begin if rising_edge(clk) then hsync_out <= hs_delay(hs_delay'high); vsync_out <= vs_delay(vs_delay'high); de_out <= de_delay(de_delay'high); de_delay <= de_delay(de_delay'high-1 downto 0) & de_in; vs_delay <= vs_delay(de_delay'high-1 downto 0) & vsync_in; hs_delay <= hs_delay(de_delay'high-1 downto 0) & hsync_in; y_out <= p_b1(40 downto 33); c_out <= p_b2(40 downto 33); end if; end process; mult_r1 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 0, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 0, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 0, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 0, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_r1, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others => '0'), -- 30-bit input: A cascade data input BCIN => (others => '0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => (others => '0'), -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0110101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_r1, -- 30-bit input: A data input B => b_r1, -- 18-bit input: B data input C => c1, -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '0', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '0', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_g1 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 1, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 1, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 1, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) input: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_g1, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others => '0'), -- 30-bit input: A cascade data input BCIN => (others => '0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_r1, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_g1, -- 30-bit input: A data input B => b_g1, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '1', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_b1 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 2, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 2, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 1, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => open, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => P_b1, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others =>'0'), -- 30-bit input: A cascade data input BCIN => (others =>'0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_g1, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_b1, -- 30-bit input: A data input B => b_b1, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '1', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); ----------------------------------------- mult_r2 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 0, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 0, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 0, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 0, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_r2, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others => '0'), -- 30-bit input: A cascade data input BCIN => (others => '0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => (others => '0'), -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0110101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_r2, -- 30-bit input: A data input B => b_r2, -- 18-bit input: B data input C => c2, -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '0', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '0', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_g2 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 1, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 1, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 1, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 1, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => PC_g2, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => open, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others=>'0'), -- 30-bit input: A cascade data input BCIN => (others=>'0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_r2, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_g2, -- 30-bit input: A data input B => b_g2, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '0', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '0', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); mult_b2 : DSP48E1 generic map ( -- Feature Control Attributes: Data Path Selection A_INPUT => "DIRECT", -- Selects A input source, "DIRECT" (A port) or "CASCADE" (ACIN port) B_INPUT => "DIRECT", -- Selects B input source, "DIRECT" (B port) or "CASCADE" (BCIN port) USE_DPORT => FALSE, -- Select D port usage (TRUE or FALSE) USE_MULT => "MULTIPLY", -- Select multiplier usage ("MULTIPLY", "DYNAMIC", or "NONE") USE_SIMD => "ONE48", -- SIMD selection ("ONE48", "TWO24", "FOUR12") -- Pattern Detector Attributes: Pattern Detection Configuration AUTORESET_PATDET => "NO_RESET", -- "NO_RESET", "RESET_MATCH", "RESET_NOT_MATCH" MASK => X"3fffffffffff", -- 48-bit mask value for pattern detect (1=ignore) PATTERN => X"000000000000", -- 48-bit pattern match for pattern detect SEL_MASK => "MASK", -- "C", "MASK", "ROUNDING_MODE1", "ROUNDING_MODE2" SEL_PATTERN => "PATTERN", -- Select pattern value ("PATTERN" or "C") USE_PATTERN_DETECT => "NO_PATDET", -- Enable pattern detect ("PATDET" or "NO_PATDET") -- Register Control Attributes: Pipeline Register Configuration ACASCREG => 2, -- Number of pipeline stages between A/ACIN and ACOUT (0, 1 or 2) ADREG => 0, -- Number of pipeline stages for pre-adder (0 or 1) ALUMODEREG => 1, -- Number of pipeline stages for ALUMODE (0 or 1) AREG => 2, -- Number of pipeline stages for A (0, 1 or 2) BCASCREG => 2, -- Number of pipeline stages between B/BCIN and BCOUT (0, 1 or 2) BREG => 2, -- Number of pipeline stages for B (0, 1 or 2) CARRYINREG => 1, -- Number of pipeline stages for CARRYIN (0 or 1) CARRYINSELREG => 1, -- Number of pipeline stages for CARRYINSEL (0 or 1) CREG => 0, -- Number of pipeline stages for C (0 or 1) DREG => 0, -- Number of pipeline stages for D (0 or 1) INMODEREG => 1, -- Number of pipeline stages for INMODE (0 or 1) MREG => 1, -- Number of multiplier pipeline stages (0 or 1) OPMODEREG => 1, -- Number of pipeline stages for OPMODE (0 or 1) PREG => 1 -- Number of pipeline stages for P (0 or 1) ) port map ( -- Cascade: 30-bit (each) output: Cascade Ports ACOUT => open, -- 30-bit output: A port cascade output BCOUT => open, -- 18-bit output: B port cascade output CARRYCASCOUT => open, -- 1-bit output: Cascade carry output MULTSIGNOUT => open, -- 1-bit output: Multiplier sign cascade output PCOUT => open, -- 48-bit output: Cascade output -- Control: 1-bit (each) output: Control Inputs/Status Bits OVERFLOW => open, -- 1-bit output: Overflow in add/acc output PATTERNBDETECT => open, -- 1-bit output: Pattern bar detect output PATTERNDETECT => open, -- 1-bit output: Pattern detect output UNDERFLOW => open, -- 1-bit output: Underflow in add/acc output -- Data: 4-bit (each) output: Data Ports CARRYOUT => open, -- 4-bit output: Carry output P => P_b2, -- 48-bit output: Primary data output -- Cascade: 30-bit (each) input: Cascade Ports ACIN => (others =>'0'), -- 30-bit input: A cascade data input BCIN => (others =>'0'), -- 18-bit input: B cascade input CARRYCASCIN => '0', -- 1-bit input: Cascade carry input MULTSIGNIN => '0', -- 1-bit input: Multiplier sign input PCIN => pc_g2, -- 48-bit input: P cascade input -- Control: 4-bit (each) input: Control Inputs/Status Bits CLK => CLK, -- 1-bit input: Clock input ALUMODE => "0000", -- 4-bit input: ALU control input CARRYINSEL => "000", -- 3-bit input: Carry select input CEINMODE => '1', -- 1-bit input: Clock enable input for INMODEREG INMODE => "00000", -- 5-bit input: INMODE control input OPMODE => "0010101", -- 7-bit input: Operation mode input RSTINMODE => '0', -- 1-bit input: Reset input for INMODEREG -- Data: 30-bit (each) input: Data Ports A => a_b2, -- 30-bit input: A data input B => b_b2, -- 18-bit input: B data input C => (others =>'0'), -- 48-bit input: C data input CARRYIN => '0', -- 1-bit input: Carry input signal D => (others =>'0'), -- 25-bit input: D data input -- Reset/Clock Enable: 1-bit (each) input: Reset/Clock Enable Inputs CEA1 => '1', -- 1-bit input: Clock enable input for 1st stage AREG CEA2 => '1', -- 1-bit input: Clock enable input for 2nd stage AREG CEAD => '0', -- 1-bit input: Clock enable input for ADREG CEALUMODE => '1', -- 1-bit input: Clock enable input for ALUMODE CEB1 => '1', -- 1-bit input: Clock enable input for 1st stage BREG CEB2 => '1', -- 1-bit input: Clock enable input for 2nd stage BREG CEC => '0', -- 1-bit input: Clock enable input for CREG CECARRYIN => '1', -- 1-bit input: Clock enable input for CARRYINREG CECTRL => '1', -- 1-bit input: Clock enable input for OPMODEREG and CARRYINSELREG CED => '0', -- 1-bit input: Clock enable input for DREG CEM => '1', -- 1-bit input: Clock enable input for MREG CEP => '1', -- 1-bit input: Clock enable input for PREG RSTA => '0', -- 1-bit input: Reset input for AREG RSTALLCARRYIN => '0', -- 1-bit input: Reset input for CARRYINREG RSTALUMODE => '0', -- 1-bit input: Reset input for ALUMODEREG RSTB => '0', -- 1-bit input: Reset input for BREG RSTC => '0', -- 1-bit input: Reset input for CREG RSTCTRL => '0', -- 1-bit input: Reset input for OPMODEREG and CARRYINSELREG RSTD => '0', -- 1-bit input: Reset input for DREG and ADREG RSTM => '0', -- 1-bit input: Reset input for MREG RSTP => '0' -- 1-bit input: Reset input for PREG ); end Behavioral;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_buffer_register_1_0/system_buffer_register_1_0_stub.vhdl
1
1392
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Jun 04 17:33:00 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top system_buffer_register_1_0 -prefix -- system_buffer_register_1_0_ system_buffer_register_0_0_stub.vhdl -- Design : system_buffer_register_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_buffer_register_1_0 is Port ( clk : in STD_LOGIC; val_in : in STD_LOGIC_VECTOR ( 31 downto 0 ); val_out : out STD_LOGIC_VECTOR ( 31 downto 0 ) ); end system_buffer_register_1_0; architecture stub of system_buffer_register_1_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,val_in[31:0],val_out[31:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "buffer_register,Vivado 2016.4"; begin end;
mit
ashikpoojari/Hardware-Security
DES CryptoCore/src/desxor1.vhd
2
475
library ieee; use ieee.std_logic_1164.all; entity desxor1 is port ( e : in std_logic_vector(1 TO 48); b1x,b2x,b3x,b4x,b5x,b6x,b7x,b8x : out std_logic_vector (1 TO 6); k : in std_logic_vector (1 TO 48) ); end desxor1; architecture behavior of desxor1 is signal XX : std_logic_vector( 1 to 48); begin XX<=k xor e; b1x<=XX(1 to 6); b2x<=XX(7 to 12); b3x<=XX(13 to 18); b4x<=XX(19 to 24); b5x<=XX(25 to 30); b6x<=XX(31 to 36); b7x<=XX(37 to 42); b8x<=XX(43 to 48); end behavior;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_camera_test/zed_camera_test.srcs/sources_1/bd/system/ipshared/8e0d/rgb565_to_rgb888.vhd
6
1494
---------------------------------------------------------------------------------- -- Company: Drexel University -- Engineer: Rob Taglang -- -- Module Name: rgb565_to_rgb888 - Structural -- Description: Convert 16-bit rgb565 to 24-bit rgb888 ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity rgb565_to_rgb888 is port( clk: in std_logic; rgb_565: in std_logic_vector(15 downto 0); rgb_888: out std_logic_vector(23 downto 0) ); end rgb565_to_rgb888; architecture Structural of rgb565_to_rgb888 is signal red, green, blue: std_logic_vector(7 downto 0) := "00000000"; begin red(4 downto 0) <= rgb_565(15 downto 11); green(5 downto 0) <= rgb_565(10 downto 5); blue(4 downto 0) <= rgb_565(4 downto 0); process(clk) variable r_1, r_2, g_1, g_2, b_1, b_2: unsigned(7 downto 0); begin if rising_edge(clk) then r_1 := unsigned(red) sll 3; r_2 := unsigned(red) srl 2; g_1 := unsigned(green) sll 2; g_2 := unsigned(green) srl 4; b_1 := unsigned(blue) sll 3; b_2 := unsigned(blue) sll 2; rgb_888(23 downto 16) <= std_logic_vector(r_1 or r_2); rgb_888(15 downto 8) <= std_logic_vector(g_1 or g_2); rgb_888(7 downto 0) <= std_logic_vector(b_1 or b_1); end if; end process; end Structural;
mit
lasalvavida/Zybo-Open-Source-Video-IP-Toolbox
examples/zed_vga_test/zed_vga_test.srcs/sources_1/bd/system/ip/system_vga_sync_0_0/system_vga_sync_0_0_sim_netlist.vhdl
1
17575
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon May 08 23:35:07 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/ZyboIP/examples/zed_vga_test/zed_vga_test.srcs/sources_1/bd/system/ip/system_vga_sync_0_0/system_vga_sync_0_0_sim_netlist.vhdl -- Design : system_vga_sync_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_sync_0_0_vga_sync is port ( xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); active : out STD_LOGIC; hsync : out STD_LOGIC; vsync : out STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_vga_sync_0_0_vga_sync : entity is "vga_sync"; end system_vga_sync_0_0_vga_sync; architecture STRUCTURE of system_vga_sync_0_0_vga_sync is signal active0 : STD_LOGIC; signal active_i_3_n_0 : STD_LOGIC; signal clear : STD_LOGIC; signal \h_count_reg[8]_i_1_n_0\ : STD_LOGIC; signal \h_count_reg[9]_i_2_n_0\ : STD_LOGIC; signal hsync_i_1_n_0 : STD_LOGIC; signal hsync_i_2_n_0 : STD_LOGIC; signal p_0_in : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal sel : STD_LOGIC; signal \v_count_reg[3]_i_2_n_0\ : STD_LOGIC; signal \v_count_reg[6]_i_1_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_3_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_4_n_0\ : STD_LOGIC; signal \v_count_reg[9]_i_5_n_0\ : STD_LOGIC; signal \^vsync\ : STD_LOGIC; signal vsync_i_1_n_0 : STD_LOGIC; signal vsync_i_2_n_0 : STD_LOGIC; signal \^xaddr\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \^yaddr\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of active_i_3 : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \h_count_reg[1]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \h_count_reg[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \h_count_reg[3]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \h_count_reg[4]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \h_count_reg[6]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \h_count_reg[7]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \h_count_reg[9]_i_2\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of hsync_i_2 : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \v_count_reg[1]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \v_count_reg[3]_i_2\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \v_count_reg[4]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \v_count_reg[6]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \v_count_reg[7]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \v_count_reg[8]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \v_count_reg[9]_i_5\ : label is "soft_lutpair0"; begin vsync <= \^vsync\; xaddr(9 downto 0) <= \^xaddr\(9 downto 0); yaddr(9 downto 0) <= \^yaddr\(9 downto 0); active_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"0022002A" ) port map ( I0 => active_i_3_n_0, I1 => \^xaddr\(9), I2 => \^xaddr\(7), I3 => \^yaddr\(9), I4 => \^xaddr\(8), O => active0 ); active_i_2: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => rst, O => clear ); active_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"7FFF" ) port map ( I0 => \^yaddr\(6), I1 => \^yaddr\(5), I2 => \^yaddr\(7), I3 => \^yaddr\(8), O => active_i_3_n_0 ); active_reg: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => clk, CE => '1', CLR => clear, D => active0, Q => active ); \h_count_reg[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^xaddr\(0), O => p_0_in(0) ); \h_count_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^xaddr\(1), I1 => \^xaddr\(0), O => p_0_in(1) ); \h_count_reg[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^xaddr\(1), I1 => \^xaddr\(0), I2 => \^xaddr\(2), O => p_0_in(2) ); \h_count_reg[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \^xaddr\(3), I1 => \^xaddr\(1), I2 => \^xaddr\(0), I3 => \^xaddr\(2), O => p_0_in(3) ); \h_count_reg[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^xaddr\(4), I1 => \^xaddr\(2), I2 => \^xaddr\(0), I3 => \^xaddr\(1), I4 => \^xaddr\(3), O => p_0_in(4) ); \h_count_reg[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"33332333CCCCCCCC" ) port map ( I0 => \^xaddr\(6), I1 => \^xaddr\(5), I2 => \^xaddr\(8), I3 => \^xaddr\(9), I4 => \^xaddr\(7), I5 => \h_count_reg[9]_i_2_n_0\, O => p_0_in(5) ); \h_count_reg[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \^xaddr\(6), I1 => \^xaddr\(5), I2 => \h_count_reg[9]_i_2_n_0\, O => p_0_in(6) ); \h_count_reg[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \^xaddr\(7), I1 => \h_count_reg[9]_i_2_n_0\, I2 => \^xaddr\(5), I3 => \^xaddr\(6), O => p_0_in(7) ); \h_count_reg[8]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"3FFFFFF7C0000000" ) port map ( I0 => \^xaddr\(9), I1 => \h_count_reg[9]_i_2_n_0\, I2 => \^xaddr\(5), I3 => \^xaddr\(7), I4 => \^xaddr\(6), I5 => \^xaddr\(8), O => \h_count_reg[8]_i_1_n_0\ ); \h_count_reg[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"7F80EF00FF00FF00" ) port map ( I0 => \^xaddr\(6), I1 => \^xaddr\(5), I2 => \^xaddr\(8), I3 => \^xaddr\(9), I4 => \^xaddr\(7), I5 => \h_count_reg[9]_i_2_n_0\, O => p_0_in(9) ); \h_count_reg[9]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"80000000" ) port map ( I0 => \^xaddr\(1), I1 => \^xaddr\(0), I2 => \^xaddr\(2), I3 => \^xaddr\(4), I4 => \^xaddr\(3), O => \h_count_reg[9]_i_2_n_0\ ); \h_count_reg_reg[0]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(0), Q => \^xaddr\(0) ); \h_count_reg_reg[1]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(1), Q => \^xaddr\(1) ); \h_count_reg_reg[2]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(2), Q => \^xaddr\(2) ); \h_count_reg_reg[3]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(3), Q => \^xaddr\(3) ); \h_count_reg_reg[4]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(4), Q => \^xaddr\(4) ); \h_count_reg_reg[5]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(5), Q => \^xaddr\(5) ); \h_count_reg_reg[6]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(6), Q => \^xaddr\(6) ); \h_count_reg_reg[7]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(7), Q => \^xaddr\(7) ); \h_count_reg_reg[8]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => \h_count_reg[8]_i_1_n_0\, Q => \^xaddr\(8) ); \h_count_reg_reg[9]\: unisim.vcomponents.FDCE port map ( C => clk, CE => '1', CLR => clear, D => p_0_in(9), Q => \^xaddr\(9) ); hsync_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"FFBFBFBFBFBFBFFF" ) port map ( I0 => \^xaddr\(8), I1 => \^xaddr\(9), I2 => \^xaddr\(7), I3 => hsync_i_2_n_0, I4 => \^xaddr\(5), I5 => \^xaddr\(6), O => hsync_i_1_n_0 ); hsync_i_2: unisim.vcomponents.LUT5 generic map( INIT => X"AAAAAAA8" ) port map ( I0 => \^xaddr\(4), I1 => \^xaddr\(2), I2 => \^xaddr\(3), I3 => \^xaddr\(1), I4 => \^xaddr\(0), O => hsync_i_2_n_0 ); hsync_reg: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => hsync_i_1_n_0, PRE => clear, Q => hsync ); \v_count_reg[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"5555555545555555" ) port map ( I0 => \^yaddr\(0), I1 => \v_count_reg[9]_i_4_n_0\, I2 => \^yaddr\(9), I3 => \^yaddr\(2), I4 => \^yaddr\(3), I5 => \^yaddr\(7), O => \p_0_in__0\(0) ); \v_count_reg[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^yaddr\(0), I1 => \^yaddr\(1), O => \p_0_in__0\(1) ); \v_count_reg[2]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"55AA55AA45AA55AA" ) port map ( I0 => \v_count_reg[3]_i_2_n_0\, I1 => \v_count_reg[9]_i_4_n_0\, I2 => \^yaddr\(9), I3 => \^yaddr\(2), I4 => \^yaddr\(3), I5 => \^yaddr\(7), O => \p_0_in__0\(2) ); \v_count_reg[3]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"55FFAA0045FFAA00" ) port map ( I0 => \v_count_reg[3]_i_2_n_0\, I1 => \v_count_reg[9]_i_4_n_0\, I2 => \^yaddr\(9), I3 => \^yaddr\(2), I4 => \^yaddr\(3), I5 => \^yaddr\(7), O => \p_0_in__0\(3) ); \v_count_reg[3]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^yaddr\(0), I1 => \^yaddr\(1), O => \v_count_reg[3]_i_2_n_0\ ); \v_count_reg[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^yaddr\(4), I1 => \^yaddr\(2), I2 => \^yaddr\(3), I3 => \^yaddr\(0), I4 => \^yaddr\(1), O => \p_0_in__0\(4) ); \v_count_reg[5]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"6AAAAAAAAAAAAAAA" ) port map ( I0 => \^yaddr\(5), I1 => \^yaddr\(1), I2 => \^yaddr\(0), I3 => \^yaddr\(3), I4 => \^yaddr\(2), I5 => \^yaddr\(4), O => \p_0_in__0\(5) ); \v_count_reg[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"6A" ) port map ( I0 => \^yaddr\(6), I1 => \v_count_reg[9]_i_5_n_0\, I2 => \^yaddr\(5), O => \v_count_reg[6]_i_1_n_0\ ); \v_count_reg[7]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"6AAA" ) port map ( I0 => \^yaddr\(7), I1 => \^yaddr\(5), I2 => \v_count_reg[9]_i_5_n_0\, I3 => \^yaddr\(6), O => \p_0_in__0\(7) ); \v_count_reg[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"6AAAAAAA" ) port map ( I0 => \^yaddr\(8), I1 => \^yaddr\(6), I2 => \v_count_reg[9]_i_5_n_0\, I3 => \^yaddr\(5), I4 => \^yaddr\(7), O => \p_0_in__0\(8) ); \v_count_reg[9]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000000000002000" ) port map ( I0 => \h_count_reg[9]_i_2_n_0\, I1 => \^xaddr\(7), I2 => \^xaddr\(9), I3 => \^xaddr\(8), I4 => \^xaddr\(5), I5 => \^xaddr\(6), O => sel ); \v_count_reg[9]_i_2\: unisim.vcomponents.LUT5 generic map( INIT => X"D0D00DD0" ) port map ( I0 => \v_count_reg[9]_i_3_n_0\, I1 => \v_count_reg[9]_i_4_n_0\, I2 => \^yaddr\(9), I3 => \v_count_reg[9]_i_5_n_0\, I4 => active_i_3_n_0, O => \p_0_in__0\(9) ); \v_count_reg[9]_i_3\: unisim.vcomponents.LUT4 generic map( INIT => X"0080" ) port map ( I0 => \^yaddr\(9), I1 => \^yaddr\(2), I2 => \^yaddr\(3), I3 => \^yaddr\(7), O => \v_count_reg[9]_i_3_n_0\ ); \v_count_reg[9]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) port map ( I0 => \^yaddr\(1), I1 => \^yaddr\(0), I2 => \^yaddr\(6), I3 => \^yaddr\(8), I4 => \^yaddr\(4), I5 => \^yaddr\(5), O => \v_count_reg[9]_i_4_n_0\ ); \v_count_reg[9]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"80000000" ) port map ( I0 => \^yaddr\(4), I1 => \^yaddr\(2), I2 => \^yaddr\(3), I3 => \^yaddr\(0), I4 => \^yaddr\(1), O => \v_count_reg[9]_i_5_n_0\ ); \v_count_reg_reg[0]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(0), Q => \^yaddr\(0) ); \v_count_reg_reg[1]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(1), Q => \^yaddr\(1) ); \v_count_reg_reg[2]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(2), Q => \^yaddr\(2) ); \v_count_reg_reg[3]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(3), Q => \^yaddr\(3) ); \v_count_reg_reg[4]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(4), Q => \^yaddr\(4) ); \v_count_reg_reg[5]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(5), Q => \^yaddr\(5) ); \v_count_reg_reg[6]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \v_count_reg[6]_i_1_n_0\, Q => \^yaddr\(6) ); \v_count_reg_reg[7]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(7), Q => \^yaddr\(7) ); \v_count_reg_reg[8]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(8), Q => \^yaddr\(8) ); \v_count_reg_reg[9]\: unisim.vcomponents.FDCE port map ( C => clk, CE => sel, CLR => clear, D => \p_0_in__0\(9), Q => \^yaddr\(9) ); vsync_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"E0EE" ) port map ( I0 => \^vsync\, I1 => rst, I2 => active_i_3_n_0, I3 => vsync_i_2_n_0, O => vsync_i_1_n_0 ); vsync_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"0002000000000000" ) port map ( I0 => \^yaddr\(1), I1 => \^yaddr\(2), I2 => \^yaddr\(4), I3 => \^yaddr\(9), I4 => rst, I5 => \^yaddr\(3), O => vsync_i_2_n_0 ); vsync_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => clk, CE => '1', D => vsync_i_1_n_0, Q => \^vsync\, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_vga_sync_0_0 is port ( clk : in STD_LOGIC; rst : in STD_LOGIC; active : out STD_LOGIC; hsync : out STD_LOGIC; vsync : out STD_LOGIC; xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_vga_sync_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_vga_sync_0_0 : entity is "system_vga_sync_0_0,vga_sync,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_vga_sync_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_vga_sync_0_0 : entity is "vga_sync,Vivado 2016.4"; end system_vga_sync_0_0; architecture STRUCTURE of system_vga_sync_0_0 is begin U0: entity work.system_vga_sync_0_0_vga_sync port map ( active => active, clk => clk, hsync => hsync, rst => rst, vsync => vsync, xaddr(9 downto 0) => xaddr(9 downto 0), yaddr(9 downto 0) => yaddr(9 downto 0) ); end STRUCTURE;
mit