repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_status_flags_as.vhd
|
19
|
15251
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
iEtOB5S3Q/0nxxj3yhZWc1e9CYVNx9kxE38Uvw9Q5GTpbeWA/PaP7MHi1hZ25jWcWTCQq2m6lqXe
j4/ejpW9UA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xuau91ineWkILAnXNctj7ghjv8v9lVNvmGeO8/qKPRA098IIoEEWbPkQsDw9y8PN0Kc6j93b9RA3
24AkaGw7vS3twv084InDNHpEnlN63djkx5ZcyOiUohe4xecSmu6QA9TFBRDs0Woq2jQD5/qd0oJL
/BaRHEN9wihMkCnRmi4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DukDx60lt5tRoBa9fYOjxQXcMx39PTzSzi3mfBKPNtGRH42SBSoh47iSUDQLozXc9RVtQC3PW07a
TdEl+U9LI0QpSHNQLVojqhahZCfYOg99dtV1mWPojzxtpV99k2zYX2J3PXN/YbIzV8ZxTpLcq1Jp
CAIcrPJ/34KYVzvzXFRsvxEfk+CxS8lIGg/nVz9ZI/SFfi31TG5Gc9nsiydQV6NxDLfMTIZ9geQt
WjMt/ZdcVbixfIDM01Blr6PmvrTG06LX8uxL31TQuw5SZfsZBAh/PoXSzsMleljAYXIhMhdSUOnh
qfkHi0I/YHOxbZGvwoECi6yzPk1O8e4p+mbfJg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JfFouWl9C67kV59ngW+xbX0i0eu6h0roaptqFtm5oV4WYkqMJEDqBwmHay9e7sJ9CO+K40RDFIJe
/eeImbz2XS0Q6PwgmMgPAHRoOg4fHkGIAEugmb7hj+mXvk7iQo09CaB7HocKsvGcx4nu5U5a1pLQ
6UjYczksNjCCieDaJQc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RNy6OyrkxjF2nMK7NTVKf+mkYRQZVhnkvdhxFI69h+pJImlNAm3GMG9cNkr/rYPBFr0KpngtSqYa
zub6qdQpsLCoZ7qDFdEc1+wws1xQHHeB7VAyyByyPc8Chu9XZcfd6cEAYC55a9lNvtmKoAjppEfF
hj3OtTTwZQDicoWmteMIzi2n5YcjhwpDSzFHpmKq+NQje013CABovpP0/TVMHv74ZpkyX30HW4tb
0iH2SzLvUD7U/AR0ul2kht6wcMaLE9E6bQipSYn1DEnfUpMfQgGpPJCWjykHayljMFWfI9ucuNXK
1XTo7EI77uCstdWwv1uP3ZSQ8pFNDP7NXG8mpg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_sys_reset_v5_0/hdl/src/vhdl/lpf.vhd
|
7
|
15991
|
-------------------------------------------------------------------------------
-- lpf - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2012 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: lpf.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/08/01 -- First Release
--
-- KC 02/25/2002 -- Added Dcm_locked as an input
-- -- Added Power on reset srl_time_out
--
-- KC 08/26/2003 -- Added attribute statements for power on
-- reset SRL
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting
-- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting
-- C_EXT_RESET_HIGH -- External Reset Active High or Active Low
-- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low
--
-- Definition of Ports:
-- Slowest_sync_clk -- Clock
-- External_System_Reset -- External Reset Input
-- Auxiliary_System_Reset -- Auxiliary Reset Input
-- Dcm_locked -- DCM Locked, hold system in reset until 1
-- Lpf_reset -- Low Pass Filtered Output
--
-------------------------------------------------------------------------------
entity lpf is
generic(
C_EXT_RST_WIDTH : Integer;
C_AUX_RST_WIDTH : Integer;
C_EXT_RESET_HIGH : std_logic;
C_AUX_RESET_HIGH : std_logic
);
port(
MB_Debug_Sys_Rst : in std_logic;
Dcm_locked : in std_logic;
External_System_Reset : in std_logic;
Auxiliary_System_Reset : in std_logic;
Slowest_Sync_Clk : in std_logic;
Lpf_reset : out std_logic
);
end lpf;
architecture imp of lpf is
component SRL16 is
-- synthesis translate_off
generic (
INIT : bit_vector );
-- synthesis translate_on
port (D : in std_logic;
CLK : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16;
constant CLEAR : std_logic := '0';
signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset
signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset
signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1)
:= (others => '0'); -- LPF DFF
signal exr_and : std_logic := '0'; -- varible input width "and" gate
signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal asr_and : std_logic := '0'; -- varible input width "and" gate
signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate
signal lpf_int : std_logic := '0'; -- internal Lpf_reset
signal lpf_exr : std_logic := '0';
signal lpf_asr : std_logic := '0';
signal srl_time_out : std_logic;
attribute INIT : string;
attribute INIT of POR_SRL_I: label is "FFFF";
begin
Lpf_reset <= lpf_int;
-------------------------------------------------------------------------------
-- Power On Reset Generation
-------------------------------------------------------------------------------
-- This generates a reset for the first 16 clocks after a power up
-------------------------------------------------------------------------------
POR_SRL_I: SRL16
-- synthesis translate_off
generic map (
INIT => X"FFFF")
-- synthesis translate_on
port map (
D => '0',
CLK => Slowest_sync_clk,
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
Q => srl_time_out);
-------------------------------------------------------------------------------
-- LPF_OUTPUT_PROCESS
-------------------------------------------------------------------------------
-- This generates the reset pulse and the count enable to core reset counter
--
--ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate
--begin
LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked;
end if;
end process LPF_OUTPUT_PROCESS;
--end generate ACTIVE_HIGH_LPF_EXT;
--ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate
--begin
--LPF_OUTPUT_PROCESS: process (Slowest_sync_clk)
-- begin
-- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
-- lpf_int <= not (lpf_exr or
-- lpf_asr or
-- srl_time_out)or
-- not Dcm_locked;
-- end if;
-- end process;
--end generate ACTIVE_LOW_LPF_EXT;
EXR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if exr_and = '1' then
lpf_exr <= '1';
elsif (exr_and = '0' and exr_nand = '1') then
lpf_exr <= '0';
end if;
end if;
end process EXR_OUTPUT_PROCESS;
ASR_OUTPUT_PROCESS: process (Slowest_sync_clk)
begin
if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then
if asr_and = '1' then
lpf_asr <= '1';
elsif (asr_and = '0' and asr_nand = '1') then
lpf_asr <= '0';
end if;
end if;
end process ASR_OUTPUT_PROCESS;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate
begin
-----------------------------------
ACT_HI_EXT:process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event and Slowest_Sync_Clk = '1') then
exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst;
exr_lpf(0) <= exr_d1;
end if;
end process;
-----------------------------------
end generate ACTIVE_HIGH_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for External System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate
begin
-------------------------------------
ACT_LO_EXT: process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst;
exr_lpf(0) <= exr_d1;
end if;
end process;
-------------------------------------
end generate ACTIVE_LOW_EXT;
-------------------------------------------------------------------------------
-- This If-generate selects an active high input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate
begin
-------------------------------------
ACT_HI_AUX: process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_d1 <= Auxiliary_System_Reset;
asr_lpf(0) <= asr_d1;
end if;
end process;
-------------------------------------
end generate ACTIVE_HIGH_AUX;
-------------------------------------------------------------------------------
-- This If-generate selects an active low input for Auxiliary System Reset
-------------------------------------------------------------------------------
ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate
begin
-------------------------------------
ACT_LO_AUX: process(Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_d1 <= not Auxiliary_System_Reset;
asr_lpf(0) <= asr_d1;
end if;
end process;
-------------------------------------
end generate ACTIVE_LOW_AUX;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate
begin
----------------------------------------
EXT_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
exr_lpf(i) <= exr_lpf(i-1);
end if;
end process;
----------------------------------------
end generate EXT_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
EXT_LPF_AND : process (exr_lpf)
Variable loop_and : std_logic;
Variable loop_nand : std_logic;
Begin
loop_and := '1';
loop_nand := '1';
for j in 0 to C_EXT_RST_WIDTH - 1 loop
loop_and := loop_and and exr_lpf(j);
loop_nand := loop_nand and not exr_lpf(j);
End loop;
exr_and <= loop_and;
exr_nand <= loop_nand;
end process;
-------------------------------------------------------------------------------
-- This For-generate creates the low pass filter D-Flip Flops
-------------------------------------------------------------------------------
AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate
begin
----------------------------------------
AUX_LPF_DFF : process (Slowest_Sync_Clk)
begin
if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then
asr_lpf(k) <= asr_lpf(k-1);
end if;
end process;
----------------------------------------
end generate AUX_LPF;
------------------------------------------------------------------------------------------
-- Implement the 'AND' function on the for the LPF
------------------------------------------------------------------------------------------
AUX_LPF_AND : process (asr_lpf)
Variable aux_loop_and : std_logic;
Variable aux_loop_nand : std_logic;
Begin
aux_loop_and := '1';
aux_loop_nand := '1';
for m in 0 to C_AUX_RST_WIDTH - 1 loop
aux_loop_and := aux_loop_and and asr_lpf(m);
aux_loop_nand := aux_loop_nand and not asr_lpf(m);
End loop;
asr_and <= aux_loop_and;
asr_nand <= aux_loop_nand;
end process;
end imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/mux_onehot_f.vhd
|
15
|
12692
|
-------------------------------------------------------------------------------
-- $Id: mux_onehot_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- mux_onehot_f - arch and entity
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: mux_onehot_f.vhd
--
-- Description: Parameterizable multiplexer with one hot select lines.
--
-- Please refer to the entity interface while reading the
-- remainder of this description.
--
-- If n is the index of the single select line of S(0 to C_NB-1)
-- that is asserted, then
--
-- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1)
--
-- That is, Y selects the nth group of C_DW consecutive
-- bits of D.
--
-- Note that C_NB = 1 is handled as a special case in which
-- Y <= D, without regard to the select line, S.
--
-- The Implementation depends on the C_FAMILY parameter.
-- If the target family supports the needed primitives,
-- a carry-chain structure will be implemented. Otherwise,
-- an implementation dependent on synthesis inferral will
-- be generated.
--
-------------------------------------------------------------------------------
-- Structure:
-- mux_onehot_f
-- family_support
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 11/30/05 -- First version derived from mux_onehot.vhd
-- -- by BLT and ALS.
--
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
---------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Generic and Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics and Ports
--
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- input D -- input data bus
-- input S -- input select bus
-- output Y -- output bus
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else
-- (Bus1Data0, Bus1Data1) if S(1)=1 else
-- (Bus2Data0, Bus2Data1) if S(2)=1 else
-- (Bus3Data0, Bus3Data1) if S(3)=1
--
-- Only one bit of S should be asserted at a time.
--
-------------------------------------------------------------------------------
library proc_common_v4_0;
use proc_common_v4_0.family_support.all; -- 'supported' function, etc.
--
entity mux_onehot_f is
generic( C_DW: integer := 32;
C_NB: integer := 5;
C_FAMILY : string := "virtexe");
port(
D: in std_logic_vector(0 to C_DW*C_NB-1);
S: in std_logic_vector(0 to C_NB-1);
Y: out std_logic_vector(0 to C_DW-1));
end mux_onehot_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture imp of mux_onehot_f is
constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY,
no_lut_return_val => 2*C_NB);
function lut_val(D, S : std_logic_vector) return std_logic is
variable rn : std_logic := '0';
begin
for i in D'range loop
rn := rn or (S(i) and D(i));
end loop;
return not rn;
end;
function min(i, j : integer) return integer is
begin
if i < j then return i; else return j; end if;
end;
-----------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal Dreord: std_logic_vector(0 to C_DW*C_NB-1);
signal sel: std_logic_vector(0 to C_DW*C_NB-1);
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
-- Reorder data buses
WA_GEN : if C_DW > 0 generate -- XST WA
REORD: process( D )
variable m,n: integer;
begin
for m in 0 to C_DW-1 loop
for n in 0 to C_NB-1 loop
Dreord( m*C_NB+n) <= D( n*C_DW+m );
end loop;
end loop;
end process REORD;
end generate;
-------------------------------------------------------------------------------
-- REPSELS_PROCESS
-------------------------------------------------------------------------------
-- The one-hot select bus contains 1-bit for each bus. To more easily
-- parameterize the carry chains and reduce loading on the select bus, these
-- signals are replicated into a bus that replicates the select bits for the
-- data width of the busses
-------------------------------------------------------------------------------
REPSELS_PROCESS : process ( S )
variable i, j : integer;
begin
-- loop through all data bits and busses
for i in 0 to C_DW-1 loop
for j in 0 to C_NB-1 loop
sel(i*C_NB+j) <= S(j);
end loop;
end loop;
end process REPSELS_PROCESS;
GEN: if C_NB > 1 generate
constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut
-- size divided by two.signals per bus.
constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL;
begin
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
signal cyout : std_logic_vector(0 to NUMLUTS);
signal lutout : std_logic_vector(0 to NUMLUTS-1);
begin
cyout(0) <= '0';
NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate
constant BTL : positive := min(BPL, C_NB - j*BPL);
-- Number of Buses This Lut (for last LUT this may be less than BPL)
begin
lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1),
S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1)
);
MUXCY_GEN : if NUMLUTS > 1 generate
MUXCY_I : component MUXCY
port map (CI=>cyout(j),
DI=> '1',
S=>lutout(j),
O=>cyout(j+1));
end generate;
end generate;
Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one
-- LUT, then take value from
-- lutout rather than cyout.
end generate;
end generate;
ONE_GEN: if C_NB = 1 generate
Y <= D;
end generate;
end imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_top.vhd
|
27
|
71839
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UXXDHK9d3YtwspCksVg3cn1OQkWFk3QQ1bnN8kcpv130B5dMgVD8+qx+9EwjTR0JFb8FYrcL/7dg
lIwdmlKGHQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
lGlirTrah5ntgtsTqcFN8kWYeCxRHbehSLZqyiEvescJE+ORKShYIOu42/ExCc8hSawNVl9qCirT
UlThiM+Fc1evKMQYzaFIzbKiio/Xw8rjRfhTJKjaxdK3T87LnrHcsuSrci+tl+anpBCM3X47tPxD
oNmgZzATBY/NVtZsbvA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UAOAU0ylQuQrszr15mLZsCg4shnqFlxQBAKcqwUoJfM+lTESkAcOosPqKsRH4IbbLlaKiP2HCFU1
aKEFZccPWIgd9WlvneNU3oFbpPCOyV9eZTCX4e5jNTf/7OwRRATKc0mjpd4lxBL9xFrSwNaUKgs1
3vjH77tdesEDAIn5GZ1C/7l3wjwnB4tAiaRNqLY90lB834tlc4mPcP6x8L3rhv5EXfqU4jyJC8B1
4zsO/vH5+VVa1595cRZ3xWXEGVMvmWhY+6TDUJCMhztjp+p4kbQ87UqJz9ddvZWB4hRfjo99Os6I
PqyD9P7zikHIa7jafFMtZu0Vj7u4HDelVYnPyw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qRFhWXCy25iIpt8SG9Mt+xW3HRp/MFye1jJpn72azeuP+g/A4uHCFxvcKVhzcuE8lYDqFZ9IBM4P
ZjcyPOhURivBaWk0KosUyfzbkORd8yS5XcayTSj5/d+90PPk5PXVCLjTrcMbg0+NO3tiyKtPpLQJ
f+Ih38e2az80fHBgiqo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tjh0p4bhQQ++Enuq/zxHJnIk+bY5nNzFWlWKnTVXUtnLIlVGko6ShpeQRaCrGzeMC58aHThmj0Rv
eUmPmT2uqc307TRbbuUeFDYMANj1kcC6Ygs+bdXnSkWnOQFu5reSEq5SE7OMIvzdCIaR/FDvSj26
cuj56WGV7WVTg7EZvTcQQsjBPGe7MBQPj6gVbjkHGUTFOQ09cS9h1BaC9UWWfJNQjyJE48PH9w0J
tqmbE8H5AkyiSVZzE1dyYA/E3WjYX0ib/4FRIxCW96Qs02ypuSbfnvJpIyeRwyQL7ko2qezd2p0h
VgIw3omrmALcnzzjpdcOgkkF7sgouCeIApSqBQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 51440)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/basic_sfifo_fg.vhd
|
12
|
55233
|
-------------------------------------------------------------------------------
-- basic_sfifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: basic_sfifo_fg.vhd
--
-- Description:
-- This HDL file implements a basic synchronous (single clock) fifo using the
-- FIFO Generator tool. It is intended to offer a simple interface to the user
-- with the complexity of the FIFO Generator interface hidden from the user.
--
-- Note that in normal op mode (not First Word Fall Through FWFT) the data count
-- output goes to zero when the FIFO goes full. This the way FIFO Generator works.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- basic_sfifo_fg.vhd
-- |
-- |-- fifo_generator_v8_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $3/07/2011$
--
-- History:
-- DET 3/07/2011 Initial Version
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v11_0;
use fifo_generator_v11_0.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.log2;
--use proc_common_v4_0.coregen_comp_defs.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
-------------------------------------------------------------------------------
entity basic_sfifo_fg is
generic (
C_DWIDTH : Integer := 32 ;
-- FIFO data Width (Read and write data ports are symetric)
C_DEPTH : Integer := 512 ;
-- FIFO Depth (set to power of 2)
C_HAS_DATA_COUNT : integer := 1 ;
-- 0 = Data Count output not needed
-- 1 = Data Count output needed
C_DATA_COUNT_WIDTH : integer := 10 ;
-- Data Count bit width (Max value is log2(C_DEPTH))
C_IMPLEMENTATION_TYPE : integer range 0 to 1 := 0;
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
C_MEMORY_TYPE : integer := 1;
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
C_PRELOAD_REGS : integer := 1;
-- 0 = normal
-- 1 = FWFT
C_PRELOAD_LATENCY : integer := 0;
-- 0 = FWFT
-- 1 = normal
C_USE_FWFT_DATA_COUNT : integer := 0;
-- 0 = normal
-- 1 for FWFT
C_SYNCHRONIZER_STAGE : integer := 2; -- valid values are 0 to 8;
C_FAMILY : string := "virtex6"
);
port (
CLK : IN std_logic := '0';
DIN : IN std_logic_vector(C_DWIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_EN : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_EN : IN std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(C_DWIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic
);
end entity basic_sfifo_fg;
architecture implementation of basic_sfifo_fg is
-- Constant Declarations ----------------------------------------------
Constant POINTER_WIDTH : integer := log2(C_DEPTH);
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a basic Sync FIFO
--
-------------------------------------------------------------------------------
I_BASIC_SFIFO : entity fifo_generator_v11_0.fifo_generator_v11_0
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => C_DWIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_DWIDTH,
C_ENABLE_RLOCS => 0, -- n0
C_FAMILY => C_FAMILY,
C_HAS_ALMOST_EMPTY => 0, -- n0
C_HAS_ALMOST_FULL => 0, -- n0
C_HAS_BACKUP => 0, -- n0
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_MEMINIT_FILE => 0, -- n0
C_HAS_OVERFLOW => 0, -- n0
C_HAS_RD_DATA_COUNT => 0, -- n0
C_HAS_RD_RST => 0, -- n0
C_HAS_RST => 0, -- n0
C_HAS_SRST => 1, -- yes
C_HAS_UNDERFLOW => 0, -- n0
C_HAS_VALID => 0, -- n0
C_HAS_WR_ACK => 0, -- n0
C_HAS_WR_DATA_COUNT => 0, -- n0
C_HAS_WR_RST => 0, -- n0
C_IMPLEMENTATION_TYPE => 0, -- Common clock BRAM
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 0,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 0,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 0,
C_PROG_FULL_THRESH_NEGATE_VAL => 0,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => POINTER_WIDTH,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => POINTER_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_USE_ECC => 0,
C_FULL_FLAGS_RST_VAL => 0,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_HAS_INT_CLK => 0,
C_MSGON_VAL => 1,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => CLK,
rst => '0',
srst => SRST,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => DIN, -- uses this one
wr_en => WR_EN, -- uses this one
rd_en => RD_EN, -- uses this one
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
dout => DOUT, -- uses this one
full => FULL, -- uses this one
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
empty => EMPTY, -- uses this one
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => DATA_COUNT, -- uses this one
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_gen_ecc_encoder.vhd
|
27
|
20893
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Cqo+FjfIOIw/0Kghh877RN5JtWmUPj/KfIaTRt94dXWp8zshF20HfBCWrK0/KjFcQ6xaC5bYfJZ4
kTgDE7VoLA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P76DAxdsqqBm7Dhm+Xv4UBWtxeM3n7VV0uwUkGrQnJyruFJEvMXWtTIk68wS1svCurmxJblglPTM
AUuHl8lZTHelg/xsbfqIjFFpkYurRbfQPaEBBncWEUkGXitk2MsCEJd1XKoy7X9zf5gkivM+Dtc/
HmQtcrnx7yMmBEFf0wU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TS87/wLvg3wp8BEZbJFwjKct5crsKQKmGgle2kFCdS51Fi9lA3booRtYf7PKimLYtiDNKzFnNmDB
yS/M5Wwp3OXdwvzTqi7m8nPDGJzv9CPlgJYl97xwwfb/xlITgLx+mE3FLNjQYh1k2fW/YeWIYcJ6
dHaLGRiPpSzATplaiEnfWr4z9y5Zgw529sAAgbJqopXb1oauD9xMSn+2U51TKQlk6QzJOyaBGs0Z
cYN8i3mMrSJtz9+1CorRnx9v0S2lY1WHtTTmGGV3GXP4WDMI7lTnhoLYTdqSlyv31x9qhFidZzgn
WXAPS6oNxDavoZXEycPxfYnQwSx2gi0tzG/NZw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NpAOviX6Xvaq+L0foSrleTOrW/NGnS56aJ5rqqn2Dmt6YUNEPYGn9LoXqfbnr2nu7OxEo+FueCzR
GTO3m2J9405e67h9qARcSi/hF0VUlC6bqx3PVbV+Lg35W+tGaz80NE2OUHws+A7UXDQk1Cp7m/EC
XxMS909JUlXKjJHNQPk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
P7klUwNMTreRZK7TaA1WE7CMMEOTtEjomJfZ7pHl1XNp0UR69ZqgBrqFP7D39H55daou+YH1hnHn
RPI1HarNWCxtLMV4hOqf8NjoCFBgrnnB0U1fZ2Lr4Pjyi28WQhnjcgxXDHuFaQlXuyVOq9XUsvMJ
ssrZQdiUjtMyy3njm+Pnbmk63891Ob2bUkQGGCsGTzQYYho8qCUxVS8K3X2BjFQusmuscPspGR3O
NvboEcmhCLzlJh3n01BooLiI/MFAc4YbNKfLIovvQV4EihZ5noxjjP5wWP91DT3v8RKOECGo+vl0
XfgG1PKzgtiiXSw82pyP+WwelLF2xj1qh8+H/w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
|
mit
|
djmatt/VHDL-Lib
|
VHDL/Muxer/muxer.vhd
|
1
|
3482
|
----------------------------------------------------------------------------------------------------
-- muxer
----------------------------------------------------------------------------------------------------
-- Matthew Dallmeyer - [email protected]
----------------------------------------------------------------------------------------------------
-- PACKAGE
----------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
package muxer_pkg is
--muxer componenet declaration
component muxer is
generic( INIT_SEL : std_logic_vector(1 downto 0) := b"10");
port( clk : in std_logic;
clk_2x : in std_logic;
rst : in std_logic;
sig1 : in std_logic_vector;
sig2 : in std_logic_vector;
sigs : out std_logic_vector);
end component;
end package;
----------------------------------------------------------------------------------------------------
-- ENTITY
----------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
--This entity takes 2 input signals and interlaces them into 1 output signal. During development
--it was determined that the clock inputs must be phase aligned for best results
entity muxer is
generic( INIT_SEL : std_logic_vector(1 downto 0) := b"10");
port( clk : in std_logic;
clk_2x : in std_logic;
rst : in std_logic;
sig1 : in std_logic_vector;
sig2 : in std_logic_vector;
sigs : out std_logic_vector);
end muxer;
----------------------------------------------------------------------------------------------------
-- ARCHITECTURE
----------------------------------------------------------------------------------------------------
architecture behave of muxer is
signal sig1_reg : std_logic_vector(sig1'range) := (others => '0');
signal sig2_reg : std_logic_vector(sig2'range) := (others => '0');
signal selector : std_logic_vector(1 downto 0) := INIT_SEL;
signal sigs_reg : std_logic_vector(sigs'range) := (others => '0');
begin
--Register the inputs
reg_in : process(clk)
begin
if(rising_edge(clk)) then
if(rst = '1') then
sig1_reg <= (others => '0');
sig2_reg <= (others => '0');
else
sig1_reg <= sig1;
sig2_reg <= sig2;
end if;
end if;
end process;
--Selection
update_selection : process(clk_2x)
begin
if(rising_edge(clk_2x)) then
if(rst = '1') then
selector <= INIT_SEL;
else
selector <= std_logic_vector(rotate_left(unsigned(selector), 1));
end if;
end if;
end process;
--Register the output
reg_out : process(clk_2x)
begin
if(rising_edge(clk_2x)) then
if(rst = '1') then
sigs_reg <= (others => '0');
else
case selector is
when b"01" => sigs_reg <= sig1;
when b"10" => sigs_reg <= sig2;
when others => sigs_reg <= (others => '-');
end case;
end if;
end if;
end process;
sigs <= sigs_reg;
end behave;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/pf_counter_top.vhd
|
15
|
8369
|
-------------------------------------------------------------------------------
-- $Id: pf_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_counter_top - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter_top.vhd
--
-- Description: Implements parameterized up/down counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter_top.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET 2001-08-30 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
--Use IEEE.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_counter;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter_top is
generic (
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_Enable : in std_logic;
Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Down : in std_logic;
Count_Up : in std_logic;
--Carry_Out : out std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter_top;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter_top is
Signal sig_cnt_enable : std_logic;
Signal sig_cnt_up_n_dwn : std_logic;
Signal sig_carry_out : std_logic;
Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
begin -- VHDL_RTL
-- Misc signal assignments
Count_Out <= sig_count_out;
--Carry_Out <= sig_carry_Out;
sig_cnt_enable <= Count_Up xor Count_Down;
sig_cnt_up_n_dwn <= not(Count_Up);
I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_counter
generic map (
C_COUNT_WIDTH => C_COUNT_WIDTH
)
port map(
Clk => Clk, -- : in std_logic;
Rst => Rst, -- : in std_logic;
Carry_Out => sig_carry_out, -- : out std_logic;
Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable => sig_cnt_enable, -- : in std_logic;
Count_Load => Load_Enable, -- : in std_logic;
Count_Down => sig_cnt_up_n_dwn,-- : in std_logic;
Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end architecture implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/blk_mem_gen_v8_1/blk_mem_gen_prim_width.vhd
|
27
|
70652
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MPaacDM0TWg8wcifAVW4jEGylx4PKrqc4CLboKEk0r6t7KyfUnirQwQAphZDsR83L059CNEzB4wD
M8AKmBfOkw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XUT3zAfEi3anHP5UZ9Q64SRw1RnMtcFX7nJsXqsc+jcNnlmbg5PdhmwV7UaFs/PrWKFdgim7UZCy
o9NtHbXd3iHyUEXXZiWfkC6NC5Dndoi/rfKSxw5AtxtcCSaJ3/cb/i40IG38fEOD0mldCmJ0WOZD
xOW9J2aHwV12uWmmUBs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
5hB2z6qFvCHrfde+xOJHAAm9Y4Zd5X0rYu4ngUzTSYyHrr6WAc0PuLxe2Zog3gNAv7DFoV1y/Y4U
F6T4flnTjzAqIUvyAW8+maZzCAeWDi8VgmeKHRbLydt/JWB9Ri7GcOoofnS5/hxq8wRCMMkoHbQF
kNzxfXz2j2QXU8RR6+E7pvqcJkK5H/P2HIhS88SnGwppr+eD2lVT18h0s/QB43BH12kpY1JIkQU4
LOR3Ej9QoPTxmx24xAodMjc6qGME333306vLcWETw7evLQ7fHCoyGS8qVr9xvwEOuA+HtAnx7p26
Z/azE34tKzoImCmpb36r638Bv/NLBk+b7agF9w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
n2iw7CqdgxuZ5kdEH+pm9NjU5keAcvOSKkOt8pim3KzIVtdYby3hWhnEsC/F1aUQ3kkgfoeHTv/o
nwfMP+AVXxDoH7hATDu0iX0A8s8avaGhFp6novk5xXzwMVnGP5Rbk3GwwADpRNWqzKN80je+JhyS
o3J4z9hQTmce/KBAfWo=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sR/mTVuOveJs41YLuqwkxNe6mc/KV56Pt/6c0cIYmcRhmwLHOU3+/VfoPpEClea5ISswKcgmSmEA
91cZp5XMe9E1MxpJldN5YBxK+3XVJrpKIG8b4LM2yC+ZTp/81AZ6CpAKQXOcZAota3bpWOVB7WQt
kPn3pALJ48nc4gaIOk2j5GO0g6BLITkCLwe8Z4XOzYZAEaEB+5dJ58Q/7AbNKHr5UdGO2UVVG5Oo
7GIt9ETizL/sKscnCI3CshbxwDQPtnh9/CAQY2Ci2Oqc2ptOmylUrV0jpazJ/ulKvyLMe7D7sjb1
BOUUkYAI7NZU4AkYW+pW9jcllm96HEkuSjkTDQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50560)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/wr_dc_fwft_ext_as.vhd
|
19
|
13630
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pabZO1I/O5UlEfYaQEPwd4l9eUai0bqYoMxFZDUmBPXyS95K3GW98Ld97MzJKAXXnSlf1PewGW2v
0RIeWd32HQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MnYS98CLv6GUlLtXXj0MDq/aXJWBamrEeFXZFkhzX7OjMU68I3JzEc2/1UN3CHInfTII6cQBis+f
MSPPkhHYfjWA/UnlZNCfIbUjCA7v4zzzEDOXLdUwHhey61M2PDbtjo4F0M+PSYsHQUE61FCJYZr6
+aBOwyo0CpKkCUVEbxg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qncW/Cwz6DQ02ZtEcvyp5WdAA4sItotGPpP0REUtLyqefQhCtJmFILcg4T0iyRUg7VuYEwIANO5+
QvHNNc39qIJv9lOesalgHBZQgvNRJnIdYWaRfS0GyacwI/2JQRwAkuAQstvDCp4RTc3l8lwP6/ls
9Kgq/wnF0FIDD2zIsqBFYPVau5gOg+E2Yv8daLhsLbgUNkGI+w4/OZjRbQGSUjwZLuzAjcC7dEzW
IiD8iCe2E3P5aTpTA2tXeuvseQy8KOwVCxJQuur+f/bmnE2QrPi5PPQMRcOyc4ok7k5U/64SCKlJ
oITfL/xIL/xwZa26tMPcLgkkx7p0G3RLvL/tVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Dnf6vaqe/V3pNaiPDsCpL4mEkUhuRTF8jsptuAsYR5QlsF0hNdnCfK2+aKM5H69faCvd5mpbM0GP
Pqz+qhNmOYPHdckgaTUGR5o/7QyV8YKLvzwfyDMqTu2isTv6FP6Q6welH2CNBnmC1/h5T7i+fy/Q
rlaoXYJxfrB3B6n9clU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IMf8iBP4Q72XIQn7cHjsTbT2wNsnwrpqWy35OTpGthg9IgmIl2PQf4/c9imtaZPdkPVpIBywT+vW
p0seCgJeCim8uHSlCA4Yuvzi7NiJqnEZtjEX9xSzaDj4EflUudOJTsvuYMqv/3kxvUgkIK0AS+U7
CWRV3RwJIjyzXaV3SkeD5i2xf0d/bezTocOrvt7wO8hz1n7ziicW5bgdFMZpO18+84bLDi0MzKYQ
Ad5OLz8QJgoCqRTe+B2lLXuByvKd2+XBYArz50J0pDfy4RubYe7FYpZdW50ze6dgBWVP0HOw0tLX
Pt7eQrmsKxnIhjnIQBRBht+Bb5QLkHSbaJnGbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block
3Txl86gxySTjspY1bX6mjaEtHzHyJ6PEwV30HseHtq4UpP2jRFV0RDcUeckeAq3gEZ5Qu030LNT9
bbOM++HUbE1I8N7rcDRyyG1/zAJa57yK2mi3blq8tQKZ32YObDq6jHS9U2gM3rYjhBhVr2aKK7qQ
c8X7nouwkJNW94GkZ7OhWlUV608zX7vk9Ytc1anxwA7VNM5uWs0oTqvG08wzxEO/Wp7eKojoSXwO
3Xhea7t/apLpI0orOHVPwHMzsjaxq56UlxouX+wqfNeT7gOjE86Icz9Kv6qJveIr35SBEOvmKTEu
XXSNT6K9tm6q88SyQn3Fynw4R45InLxk96cdtyVOQFxzvDOOUUpt48giFXLbSh2J5eWLkh7wKkBY
NwQFlaCkJdKCsT643SDourT31zKF+Ez8++L0sOmdPaVStcet+0/LOBpXifaCvmNLeKxnE44uDown
kBMOgjRQlDv9IFqs6Wo034TMX/2IlRfFbca54S7HNhd4DqEOj/dLkdbi3hQqvKlUQLxGd/aByX1b
hgEkVsmNNotqvCZpPQ9UsFsRcys6l++qYUPYLNf+xf+zP1lV2cgnRS4RT1iwGyboNFn3K77Tpsb7
9OpYXIW92RPDYHYOVluUlhGfDVUg0MYat/q2HEJQJrXI453QNfUDhUynqjNMtUc0dMa31quPvRVZ
hHf+2/bR4Q7cjHspoRtCLGojgvnSf247q4ctuo7nbrdhYUuAa4Bjj5ju4myg9nxVU5ukJQzguBJL
3sQ6JJNrie8SnHcaAMftHxFvfSWwly88oe57Wp/UEarxKXac3A5nc41pt8Mv9Xgpu9v9VOJTxxUh
0lyGcjzLUm2XesldVnJ/PnO7FAjtpxgucH+XMQf+g2wsb59I8+ybUbOrYNQOqWYSwYCOn++p4OTm
z6+e0PpDeW3xZTDe3cwHEBvM7k9KPgY+hpev11RMc+0TNT+raUGL3kNBmFiuUKDLoo9/RyEjagUr
0XsfKNMC2ZuGcJCLV4GR9MBQAHlIYRgt8pMqwpUU0tmvh50d1NgrWHgYQL1vhVQUjl2fL8jrCp0B
+68ca7YQ1jwOO06mDdlvVYQjZYG/4HCGLQDVssbaag2EjF7zECcmS20NvYP6RjiCxeFLTts4nWNX
QI9Rm6NxhHHcmzOEqLUcCSEhySlQwHac/hU0wa46OGSKe0mrmjph7yshq6QOx92ErIUyvhcBeJZo
CYY1tCy8lK7N1pab+wcy1j3BbgC4h+YmgjRF6QzhsIcO0Gm9AWLAMvRIrWOPp/V66F7b53uZGfZg
hBu915yc5M5CCeRHSBRqwlOTQ8b+GpsV2+8NdhIVSdXpGCVYKQExROd9Zd2r2RFpyx4WC8C9uISU
ICBHRlp14RuEKS1tE3agqqBEQL8G83cM487JmgJrWO8alKV+Q5R/Y8cvNDq7FRMhEiPSO1OEMab0
xFA+efs/Tcf+HHo0OesKsFCjVlqwwpgbNSNTgtlDcfxD/icoQHkm8ZiY89TmjfvR0b0cnzwpHcDj
RMaiCRYxWD1x6Iy8HAcn9ETbZjNvz/AykS/6e5fMYkeHvaSs0iTZgt6FMmApO8MaDCaUZJEzLv0U
z5mb4bxB2IUUrSSt79+9IGbvbS7SphLSQpuyhmnr4JnQmDNj7qbKUz1unir19crnVKkDO6zxigd/
lR8zPfNWeXOCuLklXc3IcDDhBD+ysKGtw2idvIUOTMC0Wa4g2d1zmnUwx/0x0HNEwmXy5XyZpQpe
MqREqJVr/i8Oed0hI1JR2OE/D9xvGQmy67OWwqOQtB58ZoJP8JmdoWCJKsQhk9569I+XRRmtvLCC
d7m3tMoqYsWVMFY16sa1q0QO8R7PijrjPovnzqr48ZYLKmswIDxTakNM2zHM4zbqta7faU1m1++I
nKYMlqUtnps/uGB8XKS5fnRFmB2KPBoCh3xddVUq2bbcUnb3pSgykrT+6T0Cy+V9trIv2IJ0c7l3
NaV+KvRYH0dgbBFOT5DsqIj0jiaAiwSrTgUsdI/LQ3cKu9M2/ExRpY3onDoKZc2dAD3GGb69SXAr
w7p9Q18HYjcJHWpaItgzAqnpDNOsS8ZuVMmHOKqCAjG/8Krvw+TQI/+VL6o0RdDz23z6HmqTItKc
1lRdvoub1qnfL3uVJ4X9TsXy8cpZ6h0FbILRMnFsk5Vaf93/svpVrMIzYjt2Hpf62IKO5lipMuhh
KPKesXaVK+Mwu/rkP45ABgmsuu4nNKVY2VnFSymuk2zHpmeeLL0v5PGSAq+qfBEAeH3EcIU0DUjF
f/DZY0t+qDbjr8kuA9krOgPacrVu8+C08lCQKNB8H4zDq3aJkXYG2WmPI7/NCl6RpCTTp2ftZmHz
uAg74PMVp7v+9dLBbb78ogz44Ue4t7HGbnLbVvygeth05fSmOhFEQLID3JaITPTsljDVOebzOEuB
r/7Z54pRWs4eOGVJ6BTWU+2iR68Mp3+I0SipaTCSLWjdOaQxegyCEXlLXS2pP9fovqy6ohwKp4r8
SbQ+fQEXJR2Nf9iy+UBtxjz6TMTym7rgS/O9I89iDLJGFuP3z0VNGVQklauC3+Yp4gcMd0xnupu3
w27OKqWBGciRpZM6Fgrsy0mBGWFfxkKu86nJyiwgxtCocvKy5jpk7yiTaHgWOA7BrgEBHk5Nz5FL
NHVIuZN7j1eFV4JAQzvPN9dsohwgB+k6T+bz8fxTf+e8RFUnDL43dOjicvnkIvfEQzwM0+JkeNvQ
ta5xGpqaH1JD0qyIkJvzScWkIVGrzWzMMvxN+DtabQBIeE2j5DTmfMky0f63cNgmFWP6/SP8aELt
kt38bI3RslECUinVEQnYbU2DhgBl2Bp2E7uq/YG8V1sM+J132SjhghxqJ6T1iaGzRtJrzV8uZJUI
QV78YPB8Sw2WfIKPXHMOo8Wcuiq7hWP2gnMzlEhTruTfy43iOBynsEQiXlpz5MtA7mEni8hCK/ju
wEgP4JhZ2tuIpn3vt//Hes8u0qpLAT4bL03JKYgTMtYLwgU/cKQ7mMqCQ6JazkTKGN1vdrb1P6FI
jm7sXfEx1FtTOtiyoXtAyMhUgg+nYckjfJRB+Upgs+B8ajgnnZ4zZWZg9nXh4NOTgJqwSZoz3mYj
0YEoTAZoU5V/kM8lWYqbfC7ss3tgwGjQhmamh1yG2IBAFfbbm+1eaGzWKhnMkIe/ThTInM2B1954
qhG2i2RrFeFBjYacocDQaSbMgsDSx3bO0wRodxUv6ITBJWBtUXQ84psaazwKrD/48/Wk9SiEOiD1
bHUKJFcMGiEDXRQo6c2MPJQ6+AASb+mkg4r+ZMnCpAgNGWBSRtJ3JaJz3fpIua/0GcoKl2yUtmou
QnC/LB3DfIirdC5fp4Ar2PTLUq41yjWqn49wmeFrni0Fyh6cBfPplgLIt5GduGYDHqCMzXsq7jMB
J4K0ObKnDEOvNDZE0aYW1ePxQNtm2WgNAE5G1Bb4zoziBHf8WCtWuwOZD72tS7ZLwU0SRI/H1rgO
NqpZtEe8PbhFF3Mu945oZP/D65wwWYbxbCefnwh7a9hurvKB+YX4xWg7Bd+gnzSBzDNtoULsaGaC
Vl5fRhumkx+BwqRdeIvZbEBGKEAuT9fwLuBWbqk9HBZDRGqcse0evcpEJwwj3bvVq9LzXkuUYR1z
Rj+rkr1VIOBvD406n/kA7zybInjl+abePxrvEczIc+9nNyt4olcCdlOPbnfijOTwpQ8D26mPfsYD
i9O3VvkG9+NJIYW+QgS2AKJXFvHQptmkEqXIaW5nI1pxbps2GKYt7UeBwd3OGuNFPUwtXN28qSnG
EkOYzBRsWNCHT6Er1YEX4gIcUpwGuzYkItG+yZY6/dH49QZnIuVWqiFNU6F/5rfoOf81SF+rcgn4
OJPqnzNuGtn0dwUAWA17Bc6BzZ407CNs/QiW5tcgtLCQ0OQSvgN4gNMgvig9LHofpmNnlTQAvYYe
BVMg4B3jAZj23PTEw7AVpjHMYUWAHgiPZG4vsf+KQIkXE2LwvfDjNzHLr2iLhAHJXd0IiqeTGiaz
IlFj8ULdg+8d8cqV9NCVt2TdAsPgvBFn5tejC2z6o6lQx7u5LDB6LxPxb9b6Zin6lexVCtUzMvMC
0M9fb0Y1ZfMukFBjX5eV4TAtVULwlFjyiwbQ86oEjKcSLpynhYc0F7ITr9iGQjCT1gMB6gkU+r1A
lCJapEUql8wzY3VFG5X7mMFuNMnvwzIKZ+jAtrIgNE4JdpAIYTcFEkxq8akjQVVUDedUUJb8ltag
RUw79Gm+0c5hgnSPw8xrqk1M73/tMFsPDOCESMOCY62RTQvEJ3xns96vKjn1c12j9qkYq5Rv/4fC
s3wFId2akJGz81EuJW8OGSOkFdLYmhVLgXPJXM5DAWhKKcOBO1RTfb+2KF5sP16/tXkQ9StRq4VX
c+UD/PMrTDZM4G6HgrYZ/9dGhLTHxganTLx62+QqSuwA99tMdCd0GbQneOfqNHb8C123G3zS7fMj
swXKIyhroWPHZdxxm2Osyv3wAxCg8T8XirESRway9GoO+UFL477kJLZ+HmiJsXQTEelxX7WK8ze/
22UYNqX/fzMT2M824ohaQFAtjXa+mwiOm6ydU3dCehJ+W3m+D6l4bVwPwbv4xGA2wBb/aiIGuqVN
o8NK9XsDyh2RFFihGZrvJyoxBbzQH3hvISJlrfKmknMk9vQ/hBNtdWOkLTZ9WZR/pgD+eVzF6ot2
rbuarAjEDrlldyE5l8wZ1JOz3wU4S+cD6jx00DiL3FA62+fMbxmTmAZgHudnMBVHWMHx65pookQ1
PH/eetNoWd3uMDXzMzNF/eHW3LXRwmpckxQgn5C6HlfMlIBwL3tTRgY7M5iZhuvORqToXY/7rdTK
laP4Yffx9Go9q3T31S09Rn3SD1Bq9R7bd/7LqrdYezN7jyNSinl1SRoCRVbjD+5zIG0psVectW66
C6xBHdEHgSjehXEaSak2uWDskIo3YkZUpvAF7KGnm9bUP+zer9dfwk0EQAzxap6tIZ/q8ZFO+aEa
cU/zpQnghtgefYQTdEbF5hc1GtdPi9V03glTxYGdLJZd9h+ITNvKfwEJZ+T4ERkL8RVu0tL7Q5Tn
C+GGjYe5vSj0n3o4M6Q9OEYowOKC68LP39oVGI09gHYGwHQLNKoEe9Xxsu6k5+HvEp7xqQRgTkMr
KYQ1YwAyYyjezGrGnATKvcRCl8Qv6ob0wgmr3n1DSenWBBkptfiMEueDrvBzCvLooImQiLTrIZpv
BzATxNSFTzXmAfuP28U17N3EXCWA3AkWGS5IcY9blseoPjgVF8mAIukuDd5IWMehpWny50JTfJXq
PXVLsOk6aN9TmZCbQdfKJLKUq2wf6IfhH/6LZ4kzWKjp7cjIVVzBBU5YFoJTc9Xcn2cWcxdMxUDo
+atD56hnbO8Yv1qD5j1IyZQR26x1VUYCT8surMUyOeMYDf0QOmFXW8vFXM4iFJch0TQJVc+wNTQ5
r/JXQBwjLloIKh+zjBGML90YyHEi8lBIegcHQjcfdVAiSguffRzvi1GyY0tJ9+uuSPvR8MsmySw+
qJax9eOrGGMrkaMutrm4coh+90PGwrVBw7C9CJ20slujK4fzED1n2GI7HIk5C/nwustjJ3aY9FId
5RgVZsF66MOXe8JWXJYePleTKcIMkc81dgw5GpAxgrcfxQEuq4MYXQq/HU09N/Ba+knDJmloMsrq
h2ruTb/P7ZZBeNDGQR3kNYVJCkMIe8EqhFfeDarNLviUZEmbnuNyWO17WJH2175txLa6XYHVPuXl
xZyalFZzMdEmW0ehRQZxGlteMC/ISZK8lV4jiKzTJzCv1LEZjYhjHYakr21eVgBYZimn2FxeGfUU
OJyqiweGOShLgragFNrgH1BcUeT7n/gaMJ7AvFXZfj/UjGyXnadgQE3W27OfFR+yKgDXIV+Ll7Sy
JqhpUFVILNRiN9EOsszyG1lDmWIqFIswPyYAcdL0vWfGRAGdlSZkfIxioF85gpNWrZcWLABc0YLo
EpwhTas0yCgDNkyb2pKsi+AEFp9GCF8uXDJjdhZ5oLAiNM521YbRRYRN3sTJ0trJrmSQZ/w1Ng4B
ukLHpJ++YqCKWMQSeqxPS1cd3FmFzrnuQZ2zgnbiXUjMBOf3EiHMKBTeRIfRNV6MPy8Dva8CPabX
Bpi5YTB/kK4wFn7oGntO4ju0zarDapC7dkQRHvD0FNNW0uWDxf4ZC2hdlImcLC8GwD4Jqx+Ww5vQ
iWb5a5qeHv8FbwX9avXhWV7W595r70shlOyX+cBLUevhdivgviVBYx6pp/PwrtpQZE41FymWtqTU
eTITzgOySas9n4ArQT8EvyuSsZh5ZoONr2mvGkOzFqKRNxwJfLP3JGB2JDtyXl2G6LyE3wj+bkjp
bFPmVN37bVtYGhSeB4rYxt4pHWAMexghGjZOhb9wideTxEmxMPUkUOTheSqUXw1411WoB1wxVM6Y
r1/9/pw6VWXqKUKls6bf+uZw7U9Ko2OUpSFVOKTweTJuBbDHi3SYWXIPUJrSJdyLg98+QJWyyY6C
BsU6vDcAWRqIXMuTxlc1Dn4rQnP4/lLSZ4TmDjBpf1rXBAOkVmFlr9RspUv94oqTwbZhCnpQsx/e
4MUd5Ot6CgxzbhYvp9bA7mL0HFMsq22B1V0u2Onn5VyUXdY5cmY3DRBJxQPx7yLC7X+eZMsBVQ+T
aoMXwhJx3dHjfWRgPW94w/wQuufuExuG4R2T+ShvvNxUye8SVGMPZR8z94j+Dg3LyUMMtKOdhTjM
ETq8q1gT+As23MYg0Qh+YOh6lt0kuieB3VPok5s4xBHxEC7W9665X+mRU9W3qyNTbaSt18iZDeE+
Y8479RRrRzSqcJ5nDgy/wXHej126J1eo7ecwew827Xh2VtEBqcqtAfrt2Kps79NUZaaBUDJYQruG
Qh6T2u8iAgDZ2+2PBdpCybxeDQH4uWBmYuZfcSGuHmei/WJAQjKERXXxv+LYvrji4eKGsTw+Suel
0R1R0VXI7ckRq/ZBf0Kqk0+xybdpNXnM8emHK2Hxl/mZ+7W9/0wVhT4uBTgu2xVLj983x0X6vIhK
FV4wa02Xei1qBoBY//pWaVBaxvKSPjWVCp8g7okVIe6+NeEIaN4oUBq+3byQvC/cdzPDCc49y11s
wHQNPeoyEv1qHjhmH/ikDVuqH9VNg0HMA4g6lsI8Vjr/SFthTo/uHR+U5Ex8egTO+BFOgiknLJJe
RxKcVxRiZh+DnGrTxgwzfYiz2T7I2dZaFYfR0qE2YZl+McZBQ7CBwjZV0QQyvu6RI7wC4qxrl53c
DZl5xTr7/uLEgrm+a5DFAybjtbdGICi9V7tiTbRj8FXZ7eIHPzaKU7EFrPm1BQvwljKum2ziKmvp
KZV4s4YGJ+YJ4j6tt+egQ0Q+gqA43/X7wYoS17Nw9zvN3/29u3q6fB6URMTwfpI89Ju5ZB4pAJIL
4OF7j9ZglPK85CpSMNZa/C7naaqQXPEpBmCkUQ7j5sdm8jYpoBgGr56wYEgJTb3t8ISfb/8ClDM3
OBVWQZoCrmYWZRTDTTQV5Q3oPVIQ2lomOiOtBj/tHmFeqAVaqVyYTWAPMh4wUXIMf77Gxiyzwe5l
lbfHOKa3MYrd9pScNjRrE6rCddnwJLtcuMdJGT/K1s+rdBRWrlSNYWB0OPjqRBHQHkJQ7Z43Z3a2
ug7trN9rkGAz1o3F4cjkQBjxFqynXGClk5W8x1LtPyfcPmvODgwjDN6NWQbospmYR5Tk+9BpmRZt
9eLGft5A5YMAPU/O+VLRfPlVbP3qh/UI3TCpFpimtuRLFTCz4JztU8ZhIPncgrV0gK935ZKTtGnW
BaMzGRyUSEKb0Zk78tlj5/srTcV5W2JedcjsdTEt0VmEaXIdJq7zuVZK4DHLddLUE9RFoZLKUVtj
PPDWg9LQO5/j0o/Flr2+x/dFzulAoPTxfaxI7MCUmWunbSmNcsKrkqU2y0GpSwR9oqIRCH9ZUNmR
Yx19latY90ZAJbIzGHcYO4zlSHsw5h8p1z9tAwRKdGl4iQgYxgJrbhUbvWxGWpvPmNLuNr7xXEIK
oDdgIXUJ3F/lcnCdoUn5R1aLfWWH+IG9bmNCyU4s8NFEXMf/DaJIrQF6X1cz2uY50Gu65RK37mGJ
q9t17ga2OiSnxtC1w/GmnPZ8+KjOVusjUElhRM4zgCOlnC9TSzQPyGrDFi21s5+to9mTB72+meBT
YxfkNIV8M3PPmX7JaoXOT1xetjQOUFrFOU6ilBIQLq+XxjFdKvReovv0w0Pvcm7ms8xBGceKni3C
38O9cbEwE4U5FOdS6c2ZNHRhnUWeDyBraD5sm2qKEegmr9l8BzNS77gOK9upF0e5IWgNn2U42+10
2H3Vl2umQdtMTq2omNElOe+qAWcy2HwnclRlaN+9UfFk0ianhL4kXCpOLSx5VzNddArXqaEXtwDf
eDFS96XliQwseFOEt/T2C+6kk0k4Dd8JRiruo64uVsVu81mWVGC+/W+dsCAJ6Wtap+NDV2tIDFjp
ePx6sQLMnN00Do7sHJVvrWr3LdfY/Cz85lPJRJIkLUuIeQsUy0hFDlz8evNBkB1cg5U87HkIdg0S
nK7904RqhwNIHGf3vaSpMxplcRbgiBkxHAmrHJfET1LVkoQMtIT3x2HcvE/7UYIOTv7HqbS/fAAk
pbwAC272qlLmd4NPDdnxiok9c0+r++9AHaFr8Xt2BOXteGzOowE9KsGOM93RCGrdEGuarlEiMUde
l/fMPzGCiOf3gQfO6P13REGpti9BPWTxjm1FyzTooueE+WTwuYsFAhadt6+3wuzOd1P2SF6usQIC
e6C4k4hKED8VPyDgQ6F38jBiZU6vtUJQeE+OoAGEaYqYL2rds3b5F9C2AkKSUAxrRN0mCAflGHe0
KLTV02j3HfzlnhA1x4uvJuWo+yEIWwbh0/3Paxosv/OwXzExR3zF9GVE6bS9VUES67V/VyyAsQ0o
+NaL9FIhqiWGRrMEM/ZY1kTPkhKKUy6yJygtCJAUIqOtAaJi7C9uuyw7kLPEQ8oelL75GV18BTVq
b7NIx3B394DduoIuD+0ricroH9ZaPIfu07FleYodHHHhdrAl1z50ozeAj1FHvM7tRlh4MAXt54zY
PBm5h3lZvnZ4NLBl5HLFZnNViflav4/4O+cpSd/41fJWJsFOwpXLA3x6tMkuOHsf9VRV+4alRJyC
54ERBeK+DuskKZP01Z5HJbnoh//TV7yj6y0+XwQ1byR/CDAWe+yrUUGs8jzrblu0h8ufY7/82rti
p32XiCu8rAA3/5UC78i9xbrkDjcuzedCdQc8An7QA/ahIy8U2+WbhVq4LNcfpXzpHLQw1eQe5Xkc
zF1NCnNT5wsawKqj2FW1hQYDLIAwF0kzMA6Txb73HiM8AxgOlfnyliy5bNXf/pRs0tmQ/84gJ5tN
+XZKTV41n1vKOmEvtxmpL2HPFwIsozX3AznukZBdSr7BgVdlOvcJCUXvRANX91AMUKWJY8VvAUWI
LBkjygf6MsJrWRD6qqmRUAhDwUv0udi6JfCKV1BozKJYtC0h3SyFoyYgdjtbPCpyQT91/ULlRTIA
8j5eA+Z768VYa+f08dUwZCblpvUbMhtmuBfLMmsdL0yFo/W4QjV50PeJgWZU7B67yghOAm1RE3lc
lPZgZebUuzRFZxNoKgLgVDEQAPqVt564c+AlR0SXDpPOD0H8hr4ThFjKeEJ3Nv77slRJ7uHZDg1O
nYCkmAPBKcAo6MHFsbGm8PnmJKPORkgGulEF9IApU5UgB9r5smUJFTWta6Y7Vbu9RlOoOk6tG6lJ
xSHxMgPHwqcvGGN7IJvo5sqHjr0ohdI/qXf69fBdz+e7QqDTntNk+oK+2PvqexNNOw/mrkxO/qhl
KDruTzmxO5CAuvq/xESrxxbPdaXOyzmTxHaaUADGU+8IrZ4o3NW9UUvfWReX3FdDI4YKcw8SAa6k
Uf45VPHnJ4MG74xA8sEAjw4KTB7sRIwta9+C5STfWIqmZQ4mfltIzAK2H22E+3GadM/OuNw27gn4
YBT7Vlw/RpnOeL28JD42giu1dc1atOqw9XK4+XBeUWpoW7CXGF2sYwhgc8zsMtw4pdSOgEiNyMnl
+dUdqVqefW1MkMKgwk4CQBnaSJSMITwsXIC2nD8FOzfFFkF0hXwFCZrfQaWQeoTI3UbE44myhYxB
rTxBWSCZy89yRzmolBMGyrBxV2X6IqfhXQsPUg/w/5+yYgmCyizQYU2WabGXq1cdXO3tdlIt+J9O
rxtuL/eaNPWTRcDSFNekLYXMHAua1E2VtBqO/2afq56GelSNoKHqnu2jBi41vn9weSNeKFOs2QDD
5mD3PzEuXmVFqy7P7ooPFV5X4oqnMs/0pCpmiWH/ySxTxkLKzNv/fF8RiOHeW0s+lXzG1884HXer
65bmvPMIO0QegDwJamA0u1VtwoDRT6F5X9wdcfr5oWeqm8ceNmY58JNu7Bqcu558i/poHsS54d+e
6Dn+n5pD6kM1GdZlp5YadjZUU2N4dcmGmERuQkhWNnMPxgKQe4I806zOcCGmXuOTtjBcQLQyFccC
81htxrlD8+TXIYv/3KLPTgO/g69qJIcpvZOV4R5ib6X9Y7AbQVqNPuWKcYFImHZUa/QsJIC0Q2tw
cxFZUG9PBI+MXb8uC37EJzmU6+gU8tLRZpc2h4UDfO3Oj44wf8hV9nWkfDu5HUd6jCunOAuTct0S
BuNObbRewq7zxrZXR2blx/OOB7KIYfCNxPEWLgh99LlVTJr10ZBL0exfksZc23Z4MqhlTCCuLXGd
Yf4lqEuEdAEmUHeRf7EyVuypytdGGsbu3F7O0Mme/W9zMpR5EDo9ULlA6tLoRYkJg2NQ7Jh9aVlB
kRD4Yo0DWA6Lbpddq4sZRPloZbZp8ZXS86QVjto4I9jMOqVsi24Pmx9pA5yM/J5z7b5Gtn657BcJ
L0BdShDJa7DJSGdPo1f/imrxbRPTT9LjQVDI3NV802VgkeNtOnDxHpqDVqIlWx1nqbMpONXRhcOh
1m1s69ftQYoserPWOP3DwK0+ua2qyS8pfSEWTAhgX/xFDD+zzfH7fnn7DGb63+l5pSghyILDTmzw
1sg8s1nxvdJiU0DhaBsPlrCqHG/feXA7UgC7OIuf
`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo_rbu_f.vhd
|
15
|
16038
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo_rbu_f.vhd,v 1.1.4.2 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2007-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo_rbu_f.vhd
--
-- Description: A small-to-medium depth FIFO with optional
-- capability to back up and reread data. For
-- data storage, the SRL elements native to the
-- target FGPA family are used. If the FIFO depth
-- exceeds the available depth of the SRL elements,
-- then SRLs are cascaded and MUXFN elements are
-- used to select the output of the appropriate SRL stage.
--
-- Features:
-- - Width and depth are arbitrary, but each doubling of
-- depth, starting from the native SRL depth, adds
-- a level of MUXFN. Generally, in performance-oriented
-- applications, the fifo depth may need to be limited to
-- not exceed the SRL cascade depth supported by local
-- fast interconnect or the number of MUXFN levels.
-- However, deeper fifos will correctly build.
-- - Commands: read, write, and reread n.
-- - Flags: empty and full.
-- - The reread n command (executed by applying
-- a non-zero value, n, to signal Num_To_Reread
-- for one clock period) allows n
-- previously read elements to be restored to the FIFO,
-- limited, however, to the number of elements that have
-- not been overwritten. (It is the user's responsibility
-- to assure that the elements being restored are
-- actually in the FIFO storage; once the depth of the
-- FIFO has been written, the maximum number that can
-- be restored is equal to the vacancy.)
-- The reread capability does not cost extra LUTs or FFs.
-- - Commands may be asserted simultaneously.
-- However, if read and reread n are asserted
-- simultaneously, only the read is carried out.
-- - Overflow and underflow are detected and latched until
-- Reset. The state of the FIFO is undefined during
-- status of underflow or overflow.
-- Underflow can occur only by reading the FIFO when empty.
-- Overflow can occur either from a write, a reread n,
-- or a combination of both that would result in more
-- elements occupying the FIFO that its C_DEPTH.
-- - Any of the signals FIFO_Full, Underflow, or Overflow
-- left unconnected can be expected to be trimmed.
-- - The Addr output is always one less than the current
-- occupancy when the FIFO is non-empty, and is all ones
-- otherwise. Therefore, the value <FIFO_Empty, Addr>--
-- i.e. FIFO_Empty concatenated on the left with Addr--
-- when taken as a signed value, is one less than the
-- current occupancy.
-- This information can be used to generate additional
-- flags, if needed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo_rbu_f.vhd
-- dynshreg_f.vhd
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
-- FLO 2008-11-25
-- ^^^^^^
-- Changed to functionally equivalent code to generate FIFO_Full. The new code
-- steers the current XST toward a better implementation. CR 496211.
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.">=";
use ieee.numeric_std.TO_UNSIGNED;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
entity srl_fifo_rbu_f is
generic (
C_DWIDTH : natural;
C_DEPTH : positive := 16;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic;
Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1);
Num_To_Reread : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Underflow : out std_logic;
Overflow : out std_logic
);
end entity srl_fifo_rbu_f;
architecture imp of srl_fifo_rbu_f is
function bitwise_or(s: std_logic_vector) return std_logic is
variable v: std_logic := '0';
begin
for i in s'range loop v := v or s(i); end loop;
return v;
end bitwise_or;
constant ADDR_BITS : integer := clog2(C_DEPTH);
-- An extra bit will be carried as the empty flag.
signal addr_i : std_logic_vector(ADDR_BITS downto 0);
signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0);
signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0);
signal fifo_empty_i : std_logic;
signal overflow_i : std_logic;
signal underflow_i : std_logic;
signal fifo_full_p1 : std_logic;
begin
fifo_empty_i <= addr_i(ADDR_BITS);
Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0);
FIFO_Empty <= fifo_empty_i;
num_to_reread_zeroext <= '0' & Num_To_Reread;
----------------------------------------------------------------------------
-- The FIFO address counter. Addresses the next element to be read.
-- All ones when the FIFO is empty.
----------------------------------------------------------------------------
CNTR_INCR_DECR_ADDN_F_I : entity proc_common_v4_0.cntr_incr_decr_addn_f
generic map (
C_SIZE => ADDR_BITS + 1,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Reset => Reset,
Incr => FIFO_Write,
Decr => FIFO_Read,
N_to_add => num_to_reread_zeroext,
Cnt => addr_i,
Cnt_p1 => addr_i_p1
);
----------------------------------------------------------------------------
-- The dynamic shift register that holds the FIFO elements.
----------------------------------------------------------------------------
DYNSHREG_F_I : entity proc_common_v4_0.dynshreg_f
generic map (
C_DEPTH => C_DEPTH,
C_DWIDTH => C_DWIDTH,
C_FAMILY => C_FAMILY
)
port map (
Clk => Clk,
Clken => FIFO_Write,
Addr => addr_i(ADDR_BITS-1 downto 0),
Din => Data_In,
Dout => Data_Out
);
----------------------------------------------------------------------------
-- Full flag.
----------------------------------------------------------------------------
fifo_full_p1 <= '1' when ( addr_i_p1
= std_logic_vector(
TO_UNSIGNED(C_DEPTH-1, ADDR_BITS+1)
)
)
else '0';
FULL_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset='1' then
FIFO_Full <= '0';
else
FIFO_Full <= fifo_full_p1;
end if;
end if;
end process;
----------------------------------------------------------------------------
-- Underflow detection.
----------------------------------------------------------------------------
UNDERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
underflow_i <= '0';
elsif underflow_i = '1' then
underflow_i <= '1'; -- Underflow sticks until reset
else
underflow_i <= fifo_empty_i and FIFO_Read;
end if;
end if;
end process;
Underflow <= underflow_i;
----------------------------------------------------------------------------
-- Overflow detection.
-- The only case of non-erroneous operation for which addr_i (including
-- the high-order bit used as the empty flag) taken as an unsigned value
-- may be greater than or equal to C_DEPTH is when the FIFO is empty.
-- No overflow is possible when FIFO_Read, since Num_To_Reread is
-- overriden in this case and the number elements can at most remain
-- unchanged (that being when there is a simultaneous FIFO_Write).
-- However, when there is no FIFO_Read and there is either a
-- FIFO_Write or a restoration of one or more read elements, or both, then
-- addr_i, extended by the carry-out bit, becoming greater than
-- or equal to C_DEPTH indicates an overflow.
----------------------------------------------------------------------------
OVERFLOW_PROCESS: process (Clk)
begin
if Clk'event and Clk='1' then
if Reset = '1' then
overflow_i <= '0';
elsif overflow_i = '1' then
overflow_i <= '1'; -- Overflow sticks until Reset
elsif FIFO_Read = '0' and
(FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and
UNSIGNED(addr_i_p1) >= C_DEPTH then
overflow_i <= '1';
else
overflow_i <= '0';
end if;
end if;
end process;
Overflow <= overflow_i;
end architecture imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/eval_timer.vhd
|
15
|
11230
|
-------------------------------------------------------------------------------
-- $Id: eval_timer.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- eval_timer.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: eval_timer.vhd
-- Version: v1.00a
-- Description: 40-bit counter that enables IP to be used in an evaluation
-- mode. Once the counter expires, the eval_timeout signal
-- asserts and can be used to reset the IP.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- eval_timer.vhd
--
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 09/12/01 -- Created from PCI eval timer
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- No generics
--
-- Definition of Ports:
-- Clk -- clock
-- Rst -- active high reset
-- Eval_timeout -- timer has expired
-------------------------------------------------------------------------------
entity eval_timer is
port (
Clk : in std_logic;
Rst : in std_logic;
Eval_timeout : out std_logic
);
end entity eval_timer;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of eval_timer is
-----------------------------------------------------------------------------
-- Constant Declarations
-----------------------------------------------------------------------------
constant NUM_BITS : integer := 8;
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
signal co : std_logic_vector(0 to 4); -- carry out
signal ceo : std_logic_vector(0 to 4); -- count enable out
signal ceo_d1 : std_logic_vector(0 to 4); -- registered count enable out
signal zeros : std_logic_vector(NUM_BITS-1 downto 0);
-----------------------------------------------------------------------------
-- Component Declarations
-----------------------------------------------------------------------------
component Counter is
generic(
C_NUM_BITS : Integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Load_In : in std_logic_vector(C_NUM_BITS - 1 downto 0);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Count_Out : out std_logic_vector(C_NUM_BITS - 1 downto 0);
Carry_Out : out std_logic
);
end component Counter;
component FDRE
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component;
component FDR
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic
);
end component;
begin -- VHDL_RTL
-- set the load value to zero
zeros <= (others => '0');
-- Generate a 40-bit counter from 5 8-bit counters. Register the carry-out between counters
-- to avoid timing problems.
COUNTER_GEN: for i in 0 to 4 generate
-- first 8-bit counter
FIRST: if i = 0 generate
COUNT_0_I: Counter
generic map (C_NUM_BITS => NUM_BITS)
port map ( Clk => Clk,
Rst => Rst,
Load_in => zeros,
Count_Enable => '1',
Count_Load => '0',
Count_Down => '0',
Count_out => open,
Carry_Out => co(0)
);
-- register the carry out to create the count enable out
ceo(i) <= co(i);
FDR_0_I: FDR
port map (
Q => ceo_d1(i),
C => Clk,
D => ceo(i),
R => Rst
);
end generate FIRST;
-- all other eight bit counters and the carry out register
ALL_OTHERS: if i /= 0 generate
COUNT_I: Counter
generic map (C_NUM_BITS => NUM_BITS)
port map ( Clk => Clk,
Rst => Rst,
Load_in => zeros,
Count_Enable => ceo_d1(i-1),
Count_Load => '0',
Count_Down => '0',
Count_out => open,
Carry_Out => co(i)
);
-- register the carry out AND the count enable to create the count enable out
ceo(i) <= co(i) and ceo_d1(i-1);
FDR_0_I: FDR
port map (
Q => ceo_d1(i),
C => Clk,
D => ceo(i),
R => Rst
);
end generate ALL_OTHERS;
end generate COUNTER_GEN;
-- Using the final carry out as a CE, clock a '1' to assert and hold the eval_timeout signal.
FDRE_I: FDRE
port map (
Q => eval_timeout, --[out]
C => Clk, --[in]
CE => ceo_d1(4), --[in]
D => '1', --[in]
R => Rst --[in]
);
end imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/blk_mem_gen_v8_1/blk_mem_axi_read_wrapper.vhd
|
27
|
57813
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gqDFw5NFAM6CTSTQpb6ewV0dkTDze+wC3QoGAxwxbjcNW9/DsOht+2F009+7g6jE2OnhGLtqTq+c
HspFg2GBAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OROCzcjj1wgCYlIqlabkGZopoXwccuhDPoDiFwbBlsbzl7flKX8tC5m+07o0XejIs9tQT70vCTz8
eor9UB573WqZyEwu6nS7RfReZTn9rXIEfFTmb5LNQYR53WQufFJWXVGGzbi12Azu0TUMNBykYjra
GCJvYkOLjulS+N02/QU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
y306+4wGPVAAsHa7Tcr0Z+Y/dNy6G34dYeGbx7ATqkdiT3xoZwFMriTbyxCB/BNDpEEpWtR2x6B5
1geIXl7xRsYW2a/OzYZ1VgC14cIMMrlyvjd+Q0oeBhNwIf7zzOU0YeLe10Ln0VhNNlM9hG1yxJpm
PklN0o7dbe4z3qSMhzdrqG9CNO1AfE0zEYRDe4xK7ci9EcGBPeIBnjhSSGUwaUeKV6BzeVeTBH5k
pFfAdDfvgi3P1VwvurSSAL/VyrhWR7M2OhP7fekXRqEU99K00pFciI0NAEcJPUl8pbYtjc86ccu3
OmuQ0fZKcUeaRlPX6glqeiiehMLm/EPWzCdMgg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gSn/ibMO73s4UyV+DQBAOvPjnov0A3ONpbzDn5S1gDHbJc8laliw/uAOvABs0KKAN8Q7GKr5UYxh
qWYO6FhJPBG8V6RCU+sAaoeSnleJb/buC83HgJws4chUKE1EbA08UnkA2E57wCSfAlSkdEQl5xrl
E4NsCY7zrBmnjMH1Xu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lI1FhNfWvnI088CMtuEIyHMeXPGNhtlppeaUXaQvRzrpC6F1bRvO696fznybaYq7K8VPJB0YyXVb
8oCJzTtV2jMI6KoF+McAzbvubpz0ru0XOCjjvcTsZJ3kGxHGUlKh6xdlB0Gez6kASJJe4GeTuEaI
VZNg+Q6ea8OLPKgQf7VICmBv1vM4svyVLDI/pSGiGOmfSMrfWDP60zo6tHpkaDS7uHEj2WN7lXT+
Q8c1SGnQvLeKyHV/kGG66fpNSvILAslBR0l5Xt1/csaBtahK2IV70dxaZkLZ2c3pylf+SxXTt7v2
CzVvxEgWwmwKjiuhBgmVM6qeL7+tokO6P+FlQw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/blk_mem_gen_v8_1/blk_mem_axi_read_wrapper.vhd
|
27
|
57813
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gqDFw5NFAM6CTSTQpb6ewV0dkTDze+wC3QoGAxwxbjcNW9/DsOht+2F009+7g6jE2OnhGLtqTq+c
HspFg2GBAA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OROCzcjj1wgCYlIqlabkGZopoXwccuhDPoDiFwbBlsbzl7flKX8tC5m+07o0XejIs9tQT70vCTz8
eor9UB573WqZyEwu6nS7RfReZTn9rXIEfFTmb5LNQYR53WQufFJWXVGGzbi12Azu0TUMNBykYjra
GCJvYkOLjulS+N02/QU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
y306+4wGPVAAsHa7Tcr0Z+Y/dNy6G34dYeGbx7ATqkdiT3xoZwFMriTbyxCB/BNDpEEpWtR2x6B5
1geIXl7xRsYW2a/OzYZ1VgC14cIMMrlyvjd+Q0oeBhNwIf7zzOU0YeLe10Ln0VhNNlM9hG1yxJpm
PklN0o7dbe4z3qSMhzdrqG9CNO1AfE0zEYRDe4xK7ci9EcGBPeIBnjhSSGUwaUeKV6BzeVeTBH5k
pFfAdDfvgi3P1VwvurSSAL/VyrhWR7M2OhP7fekXRqEU99K00pFciI0NAEcJPUl8pbYtjc86ccu3
OmuQ0fZKcUeaRlPX6glqeiiehMLm/EPWzCdMgg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gSn/ibMO73s4UyV+DQBAOvPjnov0A3ONpbzDn5S1gDHbJc8laliw/uAOvABs0KKAN8Q7GKr5UYxh
qWYO6FhJPBG8V6RCU+sAaoeSnleJb/buC83HgJws4chUKE1EbA08UnkA2E57wCSfAlSkdEQl5xrl
E4NsCY7zrBmnjMH1Xu4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lI1FhNfWvnI088CMtuEIyHMeXPGNhtlppeaUXaQvRzrpC6F1bRvO696fznybaYq7K8VPJB0YyXVb
8oCJzTtV2jMI6KoF+McAzbvubpz0ru0XOCjjvcTsZJ3kGxHGUlKh6xdlB0Gez6kASJJe4GeTuEaI
VZNg+Q6ea8OLPKgQf7VICmBv1vM4svyVLDI/pSGiGOmfSMrfWDP60zo6tHpkaDS7uHEj2WN7lXT+
Q8c1SGnQvLeKyHV/kGG66fpNSvILAslBR0l5Xt1/csaBtahK2IV70dxaZkLZ2c3pylf+SxXTt7v2
CzVvxEgWwmwKjiuhBgmVM6qeL7+tokO6P+FlQw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 41056)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/builtin/fifo_generator_v11_0_builtin.vhd
|
19
|
49663
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MxpeY9fwU4EddFSpExWohS5o9i8UPinR6kQv/f7rVpVjW9v1XPHFNv5NQBBqnxbGk/3GroOhKYHi
zeZXd9sb8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
genV68U/jEyVif/FXdfTRcDdNLXMaB4JkzDnEPHISJLebDAxHBqab4xQb3vzSMzS4EZxJxM3czS7
l6/Pa+/lUNH4iHFgH3/d34ImoXy9UrVsNWI4O1k56f8CO5JZkX0ENM2JUr2+jZNnrmepHCpz3pyr
N2xknPLUPWomWT5p45Y=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
4dyOi6X0ND7jxJKLfQYpMzBQUnXRUvqhIlWd2qdz2OgGY9VUivCAp2239OkMu2rIWSpkdV3gd8Tn
4E+XnpveIi4nHAn1AdqR2yW6qJRqYI/CpvcG8E7ZhuUiWSAPiQ/jcxRmeyzLFdVhgEV4hed5vk+9
Qi0C1DUHqDNPvc06f+xZUSTzBSqXkxyUqGIa+j3ZmCrjq04hmRDILUEkjqmR0K0TOLNdsLd81gAl
LqIfeuzK3hLcVWnnJG54RzS/q6bahPN8UaYhtJREcAC9BD1S+QEdDXRxFczj2T1LQBL5rSryR8bI
LV6YqNl+85SCCMZmZV8Io9S7fDVIrhzNm4Kcmw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PIdLn+S6alHzFt/ir7zZvMPdMeYQTL6BrWSuIGxsOazGugSdn7m2jtyII74LXXAGUQ0h11spxnUf
W/HpoHHxg6pfmAZclwmfvLsFiVi0w0hNMmIWoR8TGPdAC93Y5+aRfoAJNuDfUDfLzdBM4O7G2ZFx
YGYpvBcNhzcFFuSCCK4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KkGw0OOEdMUjhZKEmICwPPGTbEeQxk+K4HH0ah7Z5cm5dbbyDDJyn1CdBy6WY7ZD/SXDbXp0Ibi6
BH7Y9BzUsE3rhTUVWQo0OMHXc+hE0CnmrdIq6Yy3Wkf73IKl+pu+66Qo9W7SdJGNPpreGME4X4AM
zBwAv9xByRwGoY45EIIGTaE7VL15piKgLihjK8Y2Ee8q921qHsI62b9osdj+stH9M0nIgGIwpsIA
DiUOa8Naw0kRMS8QCXDqKr1fJ0jPj3cnclvP9Taz8J5tp8Sf8I6bs8irg+MGD1MgQIfeKkimA5VH
MerNz8gbn3+/Vz2X2+nKanM3LebAMLyCO8EBfA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35024)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/or_gate_f.vhd
|
15
|
9178
|
-------------------------------------------------------------------------------
-- $Id: or_gate_f.vhd,v 1.1.4.2 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_gate_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_gate_f.vhd
--
-- Description: OR gates. The width of each OR gate (C_OR_WIDTH)
-- and the number of or gates (C_BUS_WIDTH) are
-- parameterizable.
--
-- Y(j) <= A(j) OR A(C_BUS_WIDTH+j)
-- OR A(2*C_BUS_WIDTH+j)
-- ...
-- OR A((C_OR_WIDTH-1)*C_BUS_WIDTH+j),
--
-- for 0 <= j < C_BUS_WIDTH
--
-- If C_FAMILY is set (or left defaulted) to "nofamily"
-- then the implementation will be by synthesis inference.
-- Otherwise, a structural implementation optimized to
-- C_FAMILY may be generated, depending on whether
-- C_FAMILY supports the needed primtives.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- or_gate_f.vhd
--
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 2006-12-11
-- ^^^^^^
-- First Version, derived from or_gate by BLT
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_OR_WIDTH -- Which Xilinx FPGA family to target when
-- syntesizing, affect the RLOC string values
-- C_BUS_WIDTH -- Which Y position the RLOC should start from
--
-- Definition of Ports:
-- A -- Input. Input buses are concatenated together to
-- form input A. Example: to OR buses R, S, and T,
-- assign A <= R & S & T;
-- Y -- Output. Same width as input buses.
--
-------------------------------------------------------------------------------
entity or_gate_f is
generic (
C_OR_WIDTH : natural := 17;
C_BUS_WIDTH : natural := 1;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1);
Y : out std_logic_vector(0 to C_BUS_WIDTH-1)
);
end entity or_gate_f;
architecture imp of or_gate_f is
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
signal test : std_logic_vector(0 to C_BUS_WIDTH-1);
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate
signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1);
begin
ORDER_INPUT_BUS_PROCESS: process( A ) is
begin
for k in 0 to C_OR_WIDTH-1 loop
in_Bus(k) <= A(k*C_BUS_WIDTH+i);
end loop;
end process ORDER_INPUT_BUS_PROCESS;
OR_BITS_I: entity proc_common_v4_0.or_muxcy_f
generic map (
C_NUM_BITS => C_OR_WIDTH,
C_FAMILY => C_FAMILY
)
port map (
In_bus => in_Bus, --[in]
Or_out => Y(i) --[out]
);
end generate BUS_WIDTH_FOR_GEN;
end architecture imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/ipif_mirror128.vhd
|
15
|
17011
|
--SINGLE_FILE_TAG
-------------------------------------------------------------------------------
-- $Id: ipif_mirror128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- ipif_mirror128 - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_steer128.vhd
-- Version: v1.00b
-- Description: Read and Write Steering logic for IPIF
--
-- For writes, this logic mirrors data from the master with
-- the smaller bus width to the correct byte lanes of the
-- larger IPIF devices. The BE signals are also mirrored.
--
-- For reads, the Decode_size signal determines how read
-- data is steered onto the byte lanes. To simplify the
-- logic, the read data is mirrored onto the entire data
-- bus, insuring that the lanes corrsponding to the BE's
-- have correct data.
--
--
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ipif_steer128.vhd
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
-- History:
-- GAB 10-10-2008 -- First version
-- ^^^^^^
-- First version of IPIF mirror logic.
-- ~~~~~~
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Port declarations
-- generic definitions:
-- C_DWIDTH : integer := width of IPIF Slave
-- C_SMALLEST : integer := width of smallest Master (not access size)
-- that will access the IPIF Slave
-- C_AWIDTH : integer := width of the host address bus attached to
-- the IPIF
-- port definitions:
-- Wr_Data_In : in Write Data In (from host data bus)
-- Rd_Data_In : in Read Data In (from IPIC data bus)
-- Addr : in Address bus from host address bus
-- BE_In : in Byte Enables In from host side
-- Decode_size : in Size of Master accessing slave
-- Size indication (Decode_size)
-- 00 - 32-Bit Master
-- 01 - 64-Bit Master
-- 10 - 128-Bit Master
-- 11 - 256-Bit Master (Not Support)
--
-- Wr_Data_Out : out Write Data Out (to IPIF data bus)
-- Rd_Data_Out : out Read Data Out (to host data bus)
-- BE_Out : out Byte Enables Out to IPIF side
--
-------------------------------------------------------------------------------
entity ipif_mirror128 is
generic (
C_DWIDTH : integer := 32; -- 64, 128 (Slave Dwidth)
C_SMALLEST : integer := 32; -- 32, 64, 128 (Smallest Master)
C_AWIDTH : integer := 32
);
port (
Wr_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Wr_Size : in std_logic_vector(0 to 1);
Rd_Addr : in std_logic_vector(0 to C_AWIDTH-1);
Rd_Size : in std_logic_vector(0 to 1);
Wr_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_In : in std_logic_vector(0 to C_DWIDTH-1);
BE_In : in std_logic_vector(0 to C_DWIDTH/8-1);
Wr_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
Rd_Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
BE_Out : out std_logic_vector(0 to C_DWIDTH/8-1)
);
end entity ipif_mirror128;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of ipif_mirror128 is
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
GEN_SAME: if C_DWIDTH <= C_SMALLEST generate
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
Rd_Data_Out <= Rd_Data_In;
end generate GEN_SAME;
-------------------------------------------------------------------------------
-- Write Data Mirroring
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_WR_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '0' =>
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 7) <= (others => '0');
when others => null;
end case;
when '1' =>
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_WR_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "00" => --0
case Wr_Size is
when "00" => -- 32-Bit Master
BE_Out(4 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "01" => --4
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(32 to 63) <= Wr_Data_In(0 to 31);
BE_Out(4 to 7) <= BE_In(0 to 3);
BE_Out(0 to 3) <= (others => '0');
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when "10" => --8
case Wr_Size is
when "00" => -- 32-Bit Master
Wr_Data_Out(64 to 95) <= Wr_Data_In(0 to 31);
BE_Out(8 to 11) <= BE_In(0 to 3);
BE_Out(0 to 7) <= (others => '0');
BE_Out(12 to 15) <= (others => '0');
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when "11" => --C
case Wr_Size is
when "00" => --32-Bit Master
Wr_Data_Out(96 to 127) <= Wr_Data_In(0 to 31);
BE_Out(12 to 15) <= BE_In(0 to 3);
BE_Out(0 to 11) <= (others => '0');
when "01" => --64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_32;
GEN_WR_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Wr_Addr,Wr_Data_In,BE_In,Wr_Size)
begin
Wr_Data_Out <= Wr_Data_In;
BE_Out <= BE_In;
addr_bits <= Wr_Addr(C_AWIDTH-4);
case addr_bits is
when '0' =>
case Wr_Size is
when "01" => -- 64-Bit Master
BE_Out(8 to 15) <= (others => '0');
when others => null;
end case;
when '1' => --8
case Wr_Size is
when "01" => -- 64-Bit Master
Wr_Data_Out(64 to 127) <= Wr_Data_In(0 to 63);
BE_Out(8 to 15) <= BE_In(0 to 7);
BE_Out(0 to 7) <= (others => '0');
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_WR_128_64;
-------------------------------------------------------------------------------
-- Read Data Steering
-------------------------------------------------------------------------------
---------------------
-- 64 Bit Support --
---------------------
GEN_RD_64_32: if C_DWIDTH = 64 and C_SMALLEST = 32 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-3); --a29
case addr_bits is
when '1' =>
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_64_32;
---------------------
-- 128 Bit Support --
---------------------
GEN_RD_128_32: if C_DWIDTH = 128 and C_SMALLEST = 32 generate
signal addr_bits : std_logic_vector(0 to 1);
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4 to C_AWIDTH-3);
case addr_bits is
when "01" => --4
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(32 to 63);
when others => null;
end case;
when "10" => --8
case Rd_Size is
when "00" => -- 32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(64 to 95);
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when "11" => --C
case Rd_Size is
when "00" => --32-Bit Master
Rd_Data_Out(0 to 31) <= Rd_Data_In(96 to 127);
when "01" => --64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others => null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_32;
GEN_RD_128_64: if C_DWIDTH = 128 and C_SMALLEST = 64 generate
signal addr_bits : std_logic;
begin
CONNECT_PROC: process (addr_bits,Rd_Addr,Rd_Data_In,Rd_Size)
begin
Rd_Data_Out <= Rd_Data_In;
addr_bits <= Rd_Addr(C_AWIDTH-4);
case addr_bits is
when '1' => --8
case Rd_Size is
when "01" => -- 64-Bit Master
Rd_Data_Out(0 to 63) <= Rd_Data_In(64 to 127);
when others => null;
end case;
when others =>
null;
end case;
end process CONNECT_PROC;
end generate GEN_RD_128_64;
end architecture IMP;
|
mit
|
djmatt/VHDL-Lib
|
VHDL/Muxer/demuxer.vhd
|
1
|
4036
|
----------------------------------------------------------------------------------------------------
-- demuxer
----------------------------------------------------------------------------------------------------
-- Matthew Dallmeyer - [email protected]
----------------------------------------------------------------------------------------------------
-- PACKAGE
----------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
package demuxer_pkg is
--demuxer componenet declaration
component demuxer is
generic( INIT_SEL : std_logic_vector(1 downto 0) := b"01");
port( clk : in std_logic;
clk_2x : in std_logic;
rst : in std_logic;
sigs : in std_logic_vector;
sig1 : out std_logic_vector;
sig2 : out std_logic_vector);
end component;
end package;
----------------------------------------------------------------------------------------------------
-- ENTITY
----------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
--This entity takes 2 input signals and interlaces them into 1 output signal. During development
--it was determined that the clock inputs must be phase aligned for best results
entity demuxer is
generic( INIT_SEL : std_logic_vector(1 downto 0) := b"01");
port( clk : in std_logic;
clk_2x : in std_logic;
rst : in std_logic;
sigs : in std_logic_vector;
sig1 : out std_logic_vector;
sig2 : out std_logic_vector);
end demuxer;
----------------------------------------------------------------------------------------------------
-- ARCHITECTURE
----------------------------------------------------------------------------------------------------
architecture behave of demuxer is
signal sigs_reg : std_logic_vector(sig1'range) := (others => '0');
signal selector : std_logic_vector(INIT_SEL'range) := INIT_SEL;
signal sel1 : std_logic_vector(sig1'range) := (others => '0');
signal sel2 : std_logic_vector(sig2'range) := (others => '0');
signal selx : std_logic_vector(sig1'range) := (others => '0');
signal sig1_reg : std_logic_vector(sig1'range) := (others => '0');
signal sig2_reg : std_logic_vector(sig2'range) := (others => '0');
begin
--Register the input
reg_in : process(clk_2x)
begin
if(rising_edge(clk_2x)) then
if(rst = '1') then
sigs_reg <= (others => '0');
else
sigs_reg <= sigs;
end if;
end if;
end process;
--Selection
update_selection : process(clk_2x)
begin
if(rising_edge(clk_2x)) then
if(rst = '1') then
selector <= INIT_SEL;
else
selector <= std_logic_vector(rotate_right(unsigned(selector), 1));
end if;
end if;
end process;
--Register the selection
reg_sel : process(clk_2x)
begin
if(rising_edge(clk_2x)) then
if(rst = '1') then
sel1 <= (others => '0');
sel2 <= (others => '0');
else
case selector is
when b"01" => sel1 <= sigs;
when b"10" => sel2 <= sigs;
when others => selx <= sigs;
end case;
end if;
end if;
end process;
--Register the output
reg_out : process(clk)
begin
if(rising_edge(clk)) then
if(rst = '1') then
sig1_reg <= (others => '0');
sig2_reg <= (others => '0');
else
sig1_reg <= sel1;
sig2_reg <= sel2;
end if;
end if;
end process;
sig1 <= sig1_reg;
sig2 <= sig2_reg;
end behave;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/builtin/logic_builtin.vhd
|
19
|
30579
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QA13xX+R/ACi8km79qumYiCoL95/JTNXmw/Mv/Sollu1nSewLnwk6qQvytLuy2zqP8g5ZHUfDkXy
dYJVTyRzKA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nii8tC6PWRY1wcl+Yj+dJQmorGaa82N6txtyUcQdtmyxn18ohe6n/SpcWdMXBCN1HiV+XVlZhDEw
KvXEmx5H6nBr5/f6eVRIc3k7vZjXpluRFM7lDsLgIpfE0fW00UnX/0rMYgmxn+5+4dG7smGpX72S
zm4Z5q7tYiBa+z76ex0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
yppU6wpcO6vEUEaOZTTT6jS7XbaY+e5Jeh6nknICBRlkmT5DzQmd7eWK0ShMWSlNt0Fv0kuxSdt3
PRQVKoJayZoHlh1UH0U//6ySDV8PrR8ZKYbnb5G7lC3+6hAsVS0WEHoXFsxe3QTXWezPX8OXISSE
YYTVzXqeBUtBDqueK1cvQyMM7IWnXgyQ/0dRh7UmnEpiOonlQALl1eEnWSxVZ0L5cd+jDbcSlWqj
VgoBh9A+IbjGjOjE8FOaFLUMzvKXmpjNiGzhwyN1qXczrRlE54AWkRUECVVEGR4zuEA7VTQH6H/B
e1HQhNsFNtK03nDJRyhoiacaeHGOBo4yneyZRQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xoEHrB3Q0Yfcf3MYYTBHkrbmS0WN00JVFDeAhGuvxPP5kv5812Q+oIM0e+z8RwGLEwQ4F0j3UPw9
LR04YDkbyd4XfjRJQED6GhUyhlVHkeZ0vYn6D/hB6y5zA45LPFz5aqbLudigfR6lDZgyof50XSaT
wkqaJ1dNbsbYXDGYiiI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SZoZou8zrLQYkyuoYxGz7q7TKCLXDf41gJHR/eNOYbjhVAUcJLojwHpmGq29Knnj056DtiEpAnUR
HkNwqIIUQ/PzBp2ZRgLcYUhgAGFauW9u5fA3Qe79SJmVAKU55R6eP+5h6YaMx1oo7Myp8ZHgv9LK
0atkww+rNUFhc/kS4ivaypKADJgY/Slv1X55We59ldg5OMI3+jFcKD4Ow4Gbs5tHnIUzKQ507yjR
1wg0oIoTMEm7GhN3wZnee1A7XeomsW7IrTE+3/M1cRWhdrj0rq5nqrI9yilbmzqQyqntfJK6N8Y0
QQNZFJ8oCjr3X+2kFBb+Pd3/scpZe1PtOU8TgQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/srl_fifo.vhd
|
15
|
11841
|
-------------------------------------------------------------------------------
-- $Id: srl_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- SRL_FIFO entity and architecture
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- goran 2001-05-11 First Version
-- KC 2001-06-20 Added Addr as an output port, for use as an occupancy
-- value
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 2002-04-12 added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
entity SRL_FIFO is
generic (
C_DATA_BITS : natural := 8;
C_DEPTH : natural := 16;
C_XON : boolean := false
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Full : out std_logic;
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3) -- Added Addr as a port
);
end entity SRL_FIFO;
architecture IMP of SRL_FIFO is
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16E;
component LUT4
generic(
INIT : bit_vector := X"0000"
);
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic);
end component;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDR;
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
begin -- architecture IMP
buffer_Full <= '1' when (addr_i = "1111") else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DATA_BITS-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
INT_ADDR_PROCESS:process (addr_i)
begin -- process
Addr <= addr_i;
end process;
end architecture IMP;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/wr_dc_as.vhd
|
19
|
10866
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SQUyeNX8cyskpzvvW2T3ssUGj6xZX5vHX5fJU9Ms0M+rWpNjMO6za6Zgr1K2FMwHi+buwP0Gw29j
IKEYpdzZOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hoBaDPgZL0nmY18FE8yzpnxIEfx7SKisNM4FVo3Ao91EGtVywU0Wb7yA1enrW6Xd+oLWYcrMdoDX
JTxy8JdlM3o+jyjU7UKGIkB+vX642Q6fBAuo3SZKPKM/RE7lQknQIOi2Y5V60nbw/AM6mvYDKdTS
wiPRLcQIZpvU4dn9GkQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o9OFQKQt0GaB68TjFqZyGwbFj1TRgCs2FzlOtaWTgxoDRMFT9IEssmRwHo9pwJ5Tn3OigUlzbBbd
XTy7vthduMEKESguEgGeFDAlZPJdvm6/cpwtG3omF99Y9vBxA2K/3YI0+jDh2eyUvsHMcDbQ/C2p
zFKW1hcipARgm3A9Ys4mkgzXMVKYnvnQiSsmezjrXPsPy8jbFYPXFd6vFSGi/ZwrKMMLLNZt/Boe
k/Pl01HBEt/KNoY9VFx6N+e2ufES+vAz0H+DJSGPch6YdjmhkZUj2llujVX2dT6EzXeB2X9+1Sar
qYaNJFQdqXN7nDqoQMCiwqUZBJaHNrPJdzAMcw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gMFEdGC+ckR/NJmX/aszkYoB651qUCnYvXxq63Zrpc98jREIyboMJaogrhiyZ1kntx31alD51ug4
ZAed1vud+wZB4IN9oJ1STjbhb+Zj5u4I029j7Gy2lllPl+1O8Em+DnBFlaNak9VTW5oxld5AFJs/
EstFEKIMT8MSbegVIEQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I2MWBDnGcReW7SMjRXdvt63Rjoo/gu+NQcstRp+eRPxV1cdY3BaChhCXefqNXs4HwrSwjy6eXoRH
K9pkdKW/MmeSQuCCGBXm3SZnri7VuXOoNwZoR7yYcuzRHYCe4OVzWrXYc7CJVdShI1TzYNVzTc69
N+748OjVGLm080Ri6+7tnRVNASpwPZfo8iBz5hClukZRieQCUQgdHIAZx2RjUyVQaoW7cJ/urtOZ
zr2GA2iDsweYcuo/xtEmVehzY9Jjyk+XsH/W+/8SFJEIN/wAiWoW84/gDLItkUU21xaixyhQCl/Y
sHoICo/iHc8aTOV1SPHo9yWYmV0UZ8KJqveuUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6304)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/common/wr_pf_as.vhd
|
19
|
27402
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
e5HXux03McEJscFg80ZeuZznrIJptNO1SFQrz1pWkRP7P3QoqpS2mJZRj5k487CXMg1LSvaDqmT2
OL7PFCCTiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hgCd2yd1Ey3kW4Xi8EYui71ziVJlfu+yPA/iSZYYtw01d1xCQQbb29qdxk14t+CL2ulbT/AG/Tph
KVRTNfPiGK79TWiKACghNYtvZsEbOSiWp2tzfhZzsTJKt6Q/Tnk5KS0q9lShCg5S46ZxNmKbnoII
YTwtWH6VQAWKrWw0gQI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tPm67AAwZoJgqE6aGdH3UBgFSYY0hEjWFTT4t/9DwITm8ODgcytWQbTKxugKHOWkwgxnsfouuhwt
QO5L1ilTy6LqSek7CTlbPwPy4k6tJZltW8YhAKZe6X8IJvIcPyG5jVx+6vlxM+WibCk/roITcPkm
9mxr1ZYPG61/YergLsZha0lMNqW4wq3ID24jQg1utjPuifsU4f5hPPbAaCmkiuYhwkMNuj6VHmIU
m/hi3cIAvUetwb+LazrLlZHRjTpygeOmt1PlMgoOOBXow6h7AJvjUUWQmikWL+0eXLxGX1SKnX5+
Op5qf6RZYmh6jR7nN97PHzmxB7CCeLZXWlS7Bw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
as6iakL3FcmLsNV7kgkV+92olQIBIL1+cbziWnl5Jjo3DH55nMZNZI73AcIS3DfwFYnxJCqB2SLa
SuhR2kAcUXkLjAVN6C44hN7PokTEYbZ0O/DrWDwmWxnool0q47JMJkAhu6l9w278iR2KPAv+EoYt
+JQKH1y1F/+RNrZ1eYU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BFKuZqEfqjecGcxpRGmpCDvmWO5m86XHlx1Avi4sYpYvtXIvQdg65YGdV1jpIV3rjwKZHTLGWY/h
WohbbV2nhc+5Ruu6dAeqtH04PeCXz8zphv8vhckLjpwnJT0GWHiaXAcncvq/6wuXR25ASAvhi3Ai
lvDf+vNs8eunn+yE9uSpqndZXDEQrdOREqbbPaHrHScG2A0wHmKCr+QTb2IHKcEfLgWtjt/VCXIv
5krerkdmS143EXlDVZB7mfDSlR6bwswWViVYnH2kDpeepoBCAgyzi+PoFfcxhkn8DGVtdsW89QDd
rLaMLCCjYMVnBfrYxBWw0Bz0mfZcivLyxd+wbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/common/wr_pf_as.vhd
|
19
|
27402
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
e5HXux03McEJscFg80ZeuZznrIJptNO1SFQrz1pWkRP7P3QoqpS2mJZRj5k487CXMg1LSvaDqmT2
OL7PFCCTiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hgCd2yd1Ey3kW4Xi8EYui71ziVJlfu+yPA/iSZYYtw01d1xCQQbb29qdxk14t+CL2ulbT/AG/Tph
KVRTNfPiGK79TWiKACghNYtvZsEbOSiWp2tzfhZzsTJKt6Q/Tnk5KS0q9lShCg5S46ZxNmKbnoII
YTwtWH6VQAWKrWw0gQI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tPm67AAwZoJgqE6aGdH3UBgFSYY0hEjWFTT4t/9DwITm8ODgcytWQbTKxugKHOWkwgxnsfouuhwt
QO5L1ilTy6LqSek7CTlbPwPy4k6tJZltW8YhAKZe6X8IJvIcPyG5jVx+6vlxM+WibCk/roITcPkm
9mxr1ZYPG61/YergLsZha0lMNqW4wq3ID24jQg1utjPuifsU4f5hPPbAaCmkiuYhwkMNuj6VHmIU
m/hi3cIAvUetwb+LazrLlZHRjTpygeOmt1PlMgoOOBXow6h7AJvjUUWQmikWL+0eXLxGX1SKnX5+
Op5qf6RZYmh6jR7nN97PHzmxB7CCeLZXWlS7Bw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
as6iakL3FcmLsNV7kgkV+92olQIBIL1+cbziWnl5Jjo3DH55nMZNZI73AcIS3DfwFYnxJCqB2SLa
SuhR2kAcUXkLjAVN6C44hN7PokTEYbZ0O/DrWDwmWxnool0q47JMJkAhu6l9w278iR2KPAv+EoYt
+JQKH1y1F/+RNrZ1eYU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BFKuZqEfqjecGcxpRGmpCDvmWO5m86XHlx1Avi4sYpYvtXIvQdg65YGdV1jpIV3rjwKZHTLGWY/h
WohbbV2nhc+5Ruu6dAeqtH04PeCXz8zphv8vhckLjpwnJT0GWHiaXAcncvq/6wuXR25ASAvhi3Ai
lvDf+vNs8eunn+yE9uSpqndZXDEQrdOREqbbPaHrHScG2A0wHmKCr+QTb2IHKcEfLgWtjt/VCXIv
5krerkdmS143EXlDVZB7mfDSlR6bwswWViVYnH2kDpeepoBCAgyzi+PoFfcxhkn8DGVtdsW89QDd
rLaMLCCjYMVnBfrYxBWw0Bz0mfZcivLyxd+wbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/common/wr_pf_as.vhd
|
19
|
27402
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
e5HXux03McEJscFg80ZeuZznrIJptNO1SFQrz1pWkRP7P3QoqpS2mJZRj5k487CXMg1LSvaDqmT2
OL7PFCCTiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hgCd2yd1Ey3kW4Xi8EYui71ziVJlfu+yPA/iSZYYtw01d1xCQQbb29qdxk14t+CL2ulbT/AG/Tph
KVRTNfPiGK79TWiKACghNYtvZsEbOSiWp2tzfhZzsTJKt6Q/Tnk5KS0q9lShCg5S46ZxNmKbnoII
YTwtWH6VQAWKrWw0gQI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tPm67AAwZoJgqE6aGdH3UBgFSYY0hEjWFTT4t/9DwITm8ODgcytWQbTKxugKHOWkwgxnsfouuhwt
QO5L1ilTy6LqSek7CTlbPwPy4k6tJZltW8YhAKZe6X8IJvIcPyG5jVx+6vlxM+WibCk/roITcPkm
9mxr1ZYPG61/YergLsZha0lMNqW4wq3ID24jQg1utjPuifsU4f5hPPbAaCmkiuYhwkMNuj6VHmIU
m/hi3cIAvUetwb+LazrLlZHRjTpygeOmt1PlMgoOOBXow6h7AJvjUUWQmikWL+0eXLxGX1SKnX5+
Op5qf6RZYmh6jR7nN97PHzmxB7CCeLZXWlS7Bw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
as6iakL3FcmLsNV7kgkV+92olQIBIL1+cbziWnl5Jjo3DH55nMZNZI73AcIS3DfwFYnxJCqB2SLa
SuhR2kAcUXkLjAVN6C44hN7PokTEYbZ0O/DrWDwmWxnool0q47JMJkAhu6l9w278iR2KPAv+EoYt
+JQKH1y1F/+RNrZ1eYU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BFKuZqEfqjecGcxpRGmpCDvmWO5m86XHlx1Avi4sYpYvtXIvQdg65YGdV1jpIV3rjwKZHTLGWY/h
WohbbV2nhc+5Ruu6dAeqtH04PeCXz8zphv8vhckLjpwnJT0GWHiaXAcncvq/6wuXR25ASAvhi3Ai
lvDf+vNs8eunn+yE9uSpqndZXDEQrdOREqbbPaHrHScG2A0wHmKCr+QTb2IHKcEfLgWtjt/VCXIv
5krerkdmS143EXlDVZB7mfDSlR6bwswWViVYnH2kDpeepoBCAgyzi+PoFfcxhkn8DGVtdsW89QDd
rLaMLCCjYMVnBfrYxBWw0Bz0mfZcivLyxd+wbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/builtin/clk_x_pntrs_builtin.vhd
|
19
|
43418
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
rMj+x3ocDbJ+0HvlMPtFLLYN4V3iOWmu0i3VYcvwPU8r9dUqilqv5BoOperD1z/j12cu4ait0bNC
TvgieQY6qg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
LiFkBwHJvbvIRsrs7TuS9x+hbpgzWqPRKAN+86jD7W/DWOy2HiTI+Pr3kejl0F7PQ/wd2Tf3u0hB
l5PFI7Uciy5uXiQA7fDmYLdPcNoMNQWm9hohp6Q8wB4H3kSwMFgjlrwYcv97jBF9K/DD+f6kjMEJ
pjxxREwM6oJfyPhyhBI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mlNr/JQ7BAznEw9Lq2hOb9T0FUxDG5TxOJH6VJoPGS12EjdrVMK5Jwy/CrH7dSOtWY2eUHhpsxFO
HZJnPHkoY6pnOp56kFqNAyiHJP+z5BexlWOYCHMzTTDXl5ecpknkEs/jFqX2DjV6R1MuxPdeXOjM
JpDfpA+rd8xFCgAvhOcvKEKjw2lJmNukB/NqmGdLZU9Yd/iDC6mJcVuTrR2gzFDMoFjQUitH7TCG
r1krtYbVQjkm691WyHmxufh/qSc3KdzrpZqycBevqxjmEqCq0nMXCiMyQRHMFNk9XLymhnx09LIk
8Ck9EeU7sTUKIMhZ7oB9NRbr0Jmue7w3V7zoXw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jcrZIuGwyVPSe4eEqA3CjxEN8wKBf64m71qLvmqrllZ8mLFeyFjj3f796U4fol5LeUOSCUITklpk
5B0LZiT34IugfACCFG6eSa/KnYkpqdaiyFEJag2zBthAbQTJIoKzv4hrVDSwoJffRhWS6ZAZmMOH
9HJ1Z4KODhrBj2PMMOQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
He/hsXsp9htM4v1ezeHFxTi8NbCInK4GRCTZh00v46syUmSwf+mXhIjhLm4sHKCSUqmWt1TLUp0m
CWcpoGxiawBF6wEpl5GgUNyVTq+T/CrlV9Oykyiw8ESh1/7hqCFXSES7D6yS14KOyEm1cr2UmC+u
X/NTzDDvOd9e5R6zaiks/z3Qdqxiq6f6jnMuQiSiMBsAMCHxpq5kEezVTATURKXvDebBjGkSTomU
Wve9JRKQPSiMHuUURnaiqzi8t62PeJzIwk64jI0DQYpuyHeGDNIZt8qQokGYPimAYp9IilmsSuGG
FM6CnM5XioVenoNWDUkk1F8M0K5I/5eHgYEnkw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400)
`protect data_block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`protect end_protected
|
mit
|
djmatt/VHDL-Lib
|
VHDL/FIR_Filter/tb_sparse_fir_filter.vhd
|
1
|
3105
|
--------------------------------------------------------------------------------------------------
-- FIR Filter Testbench
--------------------------------------------------------------------------------------------------
-- Matthew Dallmeyer - [email protected]
--------------------------------------------------------------------------------------------------
-- ENTITY
--------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.tb_clockgen_pkg.all;
use work.tb_read_csv_pkg.all;
use work.tb_write_csv_pkg.all;
use work.dsp_pkg.all;
use work.sparse_fir_filter_pkg.all;
--This module is a test-bench for simulating the fir filter
entity tb_sparse_fir_filter is
end tb_sparse_fir_filter;
--------------------------------------------------------------------------------------------------
-- ARCHITECTURE
--------------------------------------------------------------------------------------------------
architecture sim of tb_sparse_fir_filter is
constant INPUT_FILE : string
:= "X:\Education\Masters Thesis\matlab\sparse_fir_filter\chirp.csv";
-- constant TEST_FILTER : coefficient_array := LOW_PASS_21;
-- constant OUTPUT_FILE : string
-- := "X:\Education\Masters Thesis\matlab\sparse_fir_filter\chirp_lowpass21.csv";
-- constant TEST_FILTER : coefficient_array := HIGH_PASS_41;
-- constant OUTPUT_FILE : string
-- := "X:\Education\Masters Thesis\matlab\sparse_fir_filter\chirp_highpass41.csv";
constant TEST_FILTER : coefficient_array := LOW_PASS_101;
constant OUTPUT_FILE : string
:= "X:\Education\Masters Thesis\matlab\sparse_fir_filter\chirp_lowpass101.csv";
signal rst : std_logic := '0';
signal clk : std_logic := '0';
signal sig : std_logic_vector(NUM_SIG_BITS-1 downto 0) := (others => '0');
signal filtered : fir_sig := (others => '0');
begin
--Instantiate clock generator
clk1 : tb_clockgen
generic map(PERIOD => 10ns,
DUTY_CYCLE => 0.50)
port map( clk => clk);
--Instantiate file reader
reader : tb_read_csv
generic map(FILENAME => INPUT_FILE)
port map( clk => clk,
data => sig);
--Instantiate unit under test
uut : entity work.sparse_fir_filter(behave)
generic map(h => TEST_FILTER)
port map( clk => clk,
rst => rst,
x => signed(sig),
y => filtered);
--Instantiate a file writer
writer : tb_write_csv
generic map(FILENAME => OUTPUT_FILE)
port map( clk => clk,
data => std_logic_vector(filtered(30 downto 15)));
--Main Process
--TODO: Add a check for end of file, once reached terminate simulation.
main: process
begin
rst <= '1';
wait for 10ns;
rst <= '0';
wait;
end process;
end sim;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/builtin/bin_cntr.vhd
|
19
|
8597
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CJc8rmbxQK7PiD9FE9h/V8z28Q2yjtwOLUGOHj92X0D4bGhAiTKxH6Gs6WbTk3x8dF6WKWHXW0Xd
imaqryWs/A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KUGgnJN/sGLwh1pfD6BBRkJkdz3qYXsMmFAG0D8TIT3kvn1DM/WYFdJfNjuI3TZJ+GjJhgQt/TQj
vszszvccproNtKL+iK2kDAI+dODbmK/3dk8pZpjNIY8iqG+SZd4LOHkCbGnDn8J5L1SCb1FbgOpc
lYLzGKyKMfpMp2H5zrU=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
QPilQnlZ7SkqHJ+uQKxasOWlKPf9SmSQp0r8PPqOPGeQK2aUl+9gzicjiy17/DdQAM7rwf++nyUV
Yi5HrcGStcw9bK+k96zmiNT/NPvXPX5xeKvpNagObga/il62MarkWpibvt8B7D5IQi80Rp8/xMyy
QM6+TtOf7NVahw7dZAUwr3krfROulZTDfEY3oalO/PlnwAGr4Z3udXzac9NTOUWxkjpW4cmTbWcJ
unHhHJbyMO341XtwkTUgKReezgKFOpi+gREeBT80YOKcPQyjGyGuc28HYVmxKisVh5P7BYL5neLX
P5GVK+HA7MCB8DsbsorDqal6rxwDeaIF/kJcyg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZE3LPHWjt8FXIcLXD6pONgldgtzqHVcVbUx4Qj9ztf/3D9DwoYFB/m8dT7Cv2OabvKVMu13QC5lB
rxR5Jhd+fouVouDNKYwIESeS4DEkgnwfSJpsmeVaPW2tqCd21tzGTVfcw3Igam9PcTjnI1q1568h
X1Tcmu9paLkGRwvQeII=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EV5YorhH0risCTPPpyQGO+wsA9egdTVjrRAwQuEDG89jVsb2NsTih5Y+XoLrashGMO3AtQzajDhF
KB2YGM3JfNSzKu3jU5R247s9Goe6ZA8J4KFFzdwq4blriCHlPX0eNqXwJaOF7SeF++njAnDs0TkW
tSOb3VJRRI43LgFv/CHX80X62oIhRm2LIRAjPrPj7KevSjFw7diU9sSURAffWyrhgq3XZsUY6ovy
nAWzeDeWY3xrRDkxjxQAN8xOlyfUxlNsf7am6Prp3DCG9ANkw/MCyfCVBJXBbghP4T6GS/pNjySW
+j4cMtiThQqIcJCHVcAXQA0FAf6PbH456gYJfg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd
|
12
|
33638
|
-------------------------------------------------------------------------------
-- $Id: blk_mem_gen_wrapper.vhd,v 1.1.2.69 2010/12/17 19:23:25 dougt Exp $
-------------------------------------------------------------------------------
-- blk_mem_gen_wrapper.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ****************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008, 2009. 2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ****************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: blk_mem_gen_wrapper.vhd
-- Version: v1.00a
-- Description:
-- This wrapper file performs the direct call to Block Memory Generator
-- during design implementation
-------------------------------------------------------------------------------
--
-------------------------------------------------------------------------------
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- blk_mem_gen_wrapper.vhd
-- |
-- |-- blk_mem_gen_v2_7
-- |
-- |-- blk_mem_gen_v6_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: MW
-- Revision: $Revision: 1.1.2.69 $
-- Date: $7/11/2008$
--
-- History:
-- MW 7/11/2008 Initial Version
-- MSH 2/26/2009 Add new blk_mem_gen version
--
-- DET 4/8/2009 EDK 11.2
-- ~~~~~~
-- - Added blk_mem_gen_v3_2 instance callout
-- ^^^^^^
--
-- DET 2/9/2010 for EDK 12.1
-- ~~~~~~
-- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_2
-- to blk_mem_gen_v3_3 (for the S6/V6 IfGen case)
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_3
-- to blk_mem_gen_v4_1 (for the S6/V6 IfGen case)
-- ^^^^^^
--
-- DET 3/17/2010 Initial
-- ~~~~~~
-- -- Per CR554253
-- - Incorporated changes to comment out FLOP_DELAY parameter from the
-- blk_mem_gen_v4_1 instance. This parameter is on the XilinxCoreLib
-- model for blk_mem_gen_v4_1 but is declared as a TIME type for the
-- vhdl version and an integer for the verilog.
-- ^^^^^^
--
-- DET 6/18/2010 EDK_MS2
-- ~~~~~~
-- -- Per IR565916
-- - Added constants FAM_IS_V6_OR_S6 and FAM_IS_NOT_V6_OR_S6.
-- - Added derivative part type checks for S6 or V6.
-- ^^^^^^
--
-- DET 8/27/2010 EDK 12.4
-- ~~~~~~
-- -- Per CR573867
-- - Added the the Blk Mem Gen version blk_mem_gen_v4_3 for the S6/V6
-- and later build case.
-- - Updated method for derivative part support using new family
-- aliasing function in family_support.vhd.
-- - Incorporated an implementation to deal with unsupported FPGA
-- parts passed in on the C_FAMILY parameter.
-- ^^^^^^
--
-- DET 10/4/2010 EDK 13.1
-- ~~~~~~
-- - Updated to blk_mem_gen V5.2.
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Updated to blk_mem_gen V6.1
-- ^^^^^^
--
-- DET 12/17/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR587494
-- - Regressed back to blk_mem_gen V5.2
-- ^^^^^^
--
-- DET 3/2/2011 EDk 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use blk_mem_gen_v6_2 for s6, v6, and later.
-- ^^^^^^
--
-- DET 3/3/2011 EDK 13.2
-- ~~~~~~
-- - Removed C_ELABORATION_DIR parameter from the blk_mem_gen_v6_2
-- instance.
-- ^^^^^^
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synopsys translate_off
--Library XilinxCoreLib;
-- synopsys translate_on
library blk_mem_gen_v8_1;
library proc_common_v4_0;
use blk_mem_gen_v8_1.all;
--use proc_common_v4_0.coregen_comp_defs.all;
use proc_common_v4_0.family_support.all;
------------------------------------------------------------------------------
-- Port Declaration
------------------------------------------------------------------------------
entity blk_mem_gen_wrapper is
generic
(
-- Device Family
c_family : string := "virtex5";
-- "Virtex2"
-- "Virtex4"
-- "Virtex5"
c_xdevicefamily : string := "virtex5";
-- Finest Resolution Device Family
-- "Virtex2"
-- "Virtex2-Pro"
-- "Virtex4"
-- "Virtex5"
-- "Spartan-3A"
-- "Spartan-3A DSP"
c_elaboration_dir : string := "";
-- Memory Specific Configurations
c_mem_type : integer := 2;
-- This wrapper only supports the True Dual Port RAM
-- 0: Single Port RAM
-- 1: Simple Dual Port RAM
-- 2: True Dual Port RAM
-- 3: Single Port Rom
-- 4: Dual Port RAM
c_algorithm : integer := 1;
-- 0: Selectable Primative
-- 1: Minimum Area
c_prim_type : integer := 1;
-- 0: ( 1-bit wide)
-- 1: ( 2-bit wide)
-- 2: ( 4-bit wide)
-- 3: ( 9-bit wide)
-- 4: (18-bit wide)
-- 5: (36-bit wide)
-- 6: (72-bit wide, single port only)
c_byte_size : integer := 9; -- 8 or 9
-- Simulation Behavior Options
c_sim_collision_check : string := "NONE";
-- "None"
-- "Generate_X"
-- "All"
-- "Warnings_only"
c_common_clk : integer := 1; -- 0, 1
c_disable_warn_bhv_coll : integer := 0; -- 0, 1
c_disable_warn_bhv_range : integer := 0; -- 0, 1
-- Initialization Configuration Options
c_load_init_file : integer := 0;
c_init_file_name : string := "no_coe_file_loaded";
c_use_default_data : integer := 0; -- 0, 1
c_default_data : string := "0"; -- "..."
-- Port A Specific Configurations
c_has_mem_output_regs_a : integer := 0; -- 0, 1
c_has_mux_output_regs_a : integer := 0; -- 0, 1
c_write_width_a : integer := 32; -- 1 to 1152
c_read_width_a : integer := 32; -- 1 to 1152
c_write_depth_a : integer := 64; -- 2 to 9011200
c_read_depth_a : integer := 64; -- 2 to 9011200
c_addra_width : integer := 6; -- 1 to 24
c_write_mode_a : string := "WRITE_FIRST";
-- "Write_First"
-- "Read_first"
-- "No_Change"
c_has_ena : integer := 1; -- 0, 1
c_has_regcea : integer := 0; -- 0, 1
c_has_ssra : integer := 0; -- 0, 1
c_sinita_val : string := "0"; --"..."
c_use_byte_wea : integer := 0; -- 0, 1
c_wea_width : integer := 1; -- 1 to 128
-- Port B Specific Configurations
c_has_mem_output_regs_b : integer := 0; -- 0, 1
c_has_mux_output_regs_b : integer := 0; -- 0, 1
c_write_width_b : integer := 32; -- 1 to 1152
c_read_width_b : integer := 32; -- 1 to 1152
c_write_depth_b : integer := 64; -- 2 to 9011200
c_read_depth_b : integer := 64; -- 2 to 9011200
c_addrb_width : integer := 6; -- 1 to 24
c_write_mode_b : string := "WRITE_FIRST";
-- "Write_First"
-- "Read_first"
-- "No_Change"
c_has_enb : integer := 1; -- 0, 1
c_has_regceb : integer := 0; -- 0, 1
c_has_ssrb : integer := 0; -- 0, 1
c_sinitb_val : string := "0"; -- "..."
c_use_byte_web : integer := 0; -- 0, 1
c_web_width : integer := 1; -- 1 to 128
-- Other Miscellaneous Configurations
c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3
-- The number of pipeline stages within the MUX
-- for both Port A and Port B
c_use_ecc : integer := 0;
-- See DS512 for the limited core option selections for ECC support
c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1
-- c_corename : string := "blk_mem_gen_v2_7"
--Uncommenting the above parameter (C_CORENAME) will cause
--the a failure in NGCBuild!!!
);
port
(
clka : in std_logic;
ssra : in std_logic := '0';
dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0');
addra : in std_logic_vector(c_addra_width-1 downto 0);
ena : in std_logic := '1';
regcea : in std_logic := '1';
wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0');
douta : out std_logic_vector(c_read_width_a-1 downto 0);
clkb : in std_logic := '0';
ssrb : in std_logic := '0';
dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0');
addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0');
enb : in std_logic := '1';
regceb : in std_logic := '1';
web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0');
doutb : out std_logic_vector(c_read_width_b-1 downto 0);
dbiterr : out std_logic;
-- Double bit error that that cannot be auto corrected by ECC
sbiterr : out std_logic
-- Single Bit Error that has been auto corrected on the output bus
);
end entity blk_mem_gen_wrapper;
architecture implementation of blk_mem_gen_wrapper is
Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd
Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily"));
Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED);
--Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or
-- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and
-- FAMILY_IS_SUPPORTED;
--
--Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and
-- FAMILY_IS_SUPPORTED;
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0);
signal S_AXI_AWREADY : STD_LOGIC;
signal S_AXI_WREADY : STD_LOGIC;
signal S_AXI_BID : STD_LOGIC_VECTOR(3 DOWNTO 0);
signal S_AXI_BRESP : STD_LOGIC_VECTOR(1 DOWNTO 0);
signal S_AXI_BVALID : STD_LOGIC;
signal S_AXI_ARREADY : STD_LOGIC;
signal S_AXI_RID : STD_LOGIC_VECTOR(3 DOWNTO 0);
signal S_AXI_RDATA : STD_LOGIC_VECTOR(c_write_width_b-1 DOWNTO 0);
signal S_AXI_RRESP : STD_LOGIC_VECTOR(1 DOWNTO 0);
signal S_AXI_RLAST : STD_LOGIC;
signal S_AXI_RVALID : STD_LOGIC;
signal S_AXI_SBITERR : STD_LOGIC;
signal S_AXI_DBITERR : STD_LOGIC;
signal S_AXI_RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0);
signal S_AXI_WSTRB : STD_LOGIC_VECTOR(c_wea_width-1 downto 0);
signal S_AXI_WDATA : STD_LOGIC_VECTOR(c_write_width_a-1 downto 0);
begin
S_AXI_WSTRB <= (others => '0');
S_AXI_WDATA <= (others => '0');
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_FAMILY
--
-- If Generate Description:
-- This IfGen is implemented if an unsupported FPGA family
-- is passed in on the C_FAMILY parameter,
--
------------------------------------------------------------
GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate
begin
-- synthesis translate_off
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_ASSERTION
--
-- Process Description:
-- Generate a simulation error assertion for an unsupported
-- FPGA family string passed in on the C_FAMILY parameter.
--
-------------------------------------------------------------
DO_ASSERTION : process
begin
-- Wait until second rising clock edge to issue assertion
Wait until clka = '1';
wait until clka = '0';
Wait until clka = '1';
-- Report an error in simulation environment
assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!"
severity ERROR;
Wait; -- halt this process
end process DO_ASSERTION;
-- synthesis translate_on
-- Tie outputs to logic low
douta <= (others => '0'); -- : out std_logic_vector(c_read_width_a-1 downto 0);
doutb <= (others => '0'); -- : out std_logic_vector(c_read_width_b-1 downto 0);
dbiterr <= '0' ; -- : out std_logic;
sbiterr <= '0' ; -- : out std_logic
end generate GEN_NO_FAMILY;
------------------------------------------------------------
-- If Generate
--
-- Label: V6_S6_AND_LATER
--
-- If Generate Description:
-- This IFGen Implements the Block Memeory using blk_mem_gen 5.2.
-- This is for new cores designed and tested with FPGA
-- Families of Virtex-6, Spartan-6 and later.
--
------------------------------------------------------------
FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate
begin
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen Block Memory Generator Call module
-- for new IP BRAM implementations.
--
-------------------------------------------------------------------------------
I_TRUE_DUAL_PORT_BLK_MEM_GEN : entity blk_mem_gen_v8_1.blk_mem_gen_v8_1
generic map
(
--C_CORENAME => c_corename ,
-- Device Family
C_FAMILY => FAMILY_TO_USE ,
C_XDEVICEFAMILY => c_xdevicefamily ,
C_ELABORATION_DIR => c_elaboration_dir ,
------------------
C_INTERFACE_TYPE => 0 ,
C_USE_BRAM_BLOCK => 0 ,
C_AXI_TYPE => 0 ,
C_AXI_SLAVE_TYPE => 0 ,
C_HAS_AXI_ID => 0 ,
C_AXI_ID_WIDTH => 4 ,
------------------
-- Memory Specific Configurations
C_MEM_TYPE => c_mem_type ,
C_BYTE_SIZE => c_byte_size ,
C_ALGORITHM => c_algorithm ,
C_PRIM_TYPE => c_prim_type ,
C_LOAD_INIT_FILE => c_load_init_file ,
C_INIT_FILE_NAME => c_init_file_name ,
C_INIT_FILE => "" ,
C_USE_DEFAULT_DATA => c_use_default_data ,
C_DEFAULT_DATA => c_default_data ,
-- Port A Specific Configurations
C_RST_TYPE => "SYNC" ,
C_HAS_RSTA => c_has_ssra ,
C_RST_PRIORITY_A => "CE" ,
C_RSTRAM_A => 0 ,
C_INITA_VAL => c_sinita_val ,
C_HAS_ENA => c_has_ena ,
C_HAS_REGCEA => c_has_regcea ,
C_USE_BYTE_WEA => c_use_byte_wea ,
C_WEA_WIDTH => c_wea_width ,
C_WRITE_MODE_A => c_write_mode_a ,
C_WRITE_WIDTH_A => c_write_width_a ,
C_READ_WIDTH_A => c_read_width_a ,
C_WRITE_DEPTH_A => c_write_depth_a ,
C_READ_DEPTH_A => c_read_depth_a ,
C_ADDRA_WIDTH => c_addra_width ,
-- Port B Specific Configurations
C_HAS_RSTB => c_has_ssrb ,
C_RST_PRIORITY_B => "CE" ,
C_RSTRAM_B => 0 ,
C_INITB_VAL => c_sinitb_val ,
C_HAS_ENB => c_has_enb ,
C_HAS_REGCEB => c_has_regceb ,
C_USE_BYTE_WEB => c_use_byte_web ,
C_WEB_WIDTH => c_web_width ,
C_WRITE_MODE_B => c_write_mode_b ,
C_WRITE_WIDTH_B => c_write_width_b ,
C_READ_WIDTH_B => c_read_width_b ,
C_WRITE_DEPTH_B => c_write_depth_b ,
C_READ_DEPTH_B => c_read_depth_b ,
C_ADDRB_WIDTH => c_addrb_width ,
C_HAS_MEM_OUTPUT_REGS_A => c_has_mem_output_regs_a ,
C_HAS_MEM_OUTPUT_REGS_B => c_has_mem_output_regs_b ,
C_HAS_MUX_OUTPUT_REGS_A => c_has_mux_output_regs_a ,
C_HAS_MUX_OUTPUT_REGS_B => c_has_mux_output_regs_b ,
C_HAS_SOFTECC_INPUT_REGS_A => 0 ,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0 ,
-- Other Miscellaneous Configurations
C_MUX_PIPELINE_STAGES => c_mux_pipeline_stages ,
C_USE_SOFTECC => 0 ,
C_USE_ECC => c_use_ecc ,
-- Simulation Behavior Options
C_HAS_INJECTERR => 0 ,
C_SIM_COLLISION_CHECK => c_sim_collision_check ,
C_COMMON_CLK => c_common_clk ,
C_DISABLE_WARN_BHV_COLL => c_disable_warn_bhv_coll ,
C_DISABLE_WARN_BHV_RANGE => c_disable_warn_bhv_range
)
port map
(
CLKA => clka ,
RSTA => ssra ,
ENA => ena ,
REGCEA => regcea ,
WEA => wea ,
ADDRA => addra ,
DINA => dina ,
DOUTA => douta ,
CLKB => clkb ,
RSTB => ssrb ,
ENB => enb ,
REGCEB => regceb ,
WEB => web ,
ADDRB => addrb ,
DINB => dinb ,
DOUTB => doutb ,
INJECTSBITERR => '0' , -- input
INJECTDBITERR => '0' , -- input
SBITERR => sbiterr ,
DBITERR => dbiterr ,
RDADDRECC => RDADDRECC , -- output
-- AXI BMG Input and Output Port Declarations -- new for v6.2
-- new for v6.2
-- AXI Global Signals -- new for v6.2
S_AClk => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_ARESETN => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
-- new for v6.2
-- AXI Full/Lite Slave Write (write side) -- new for v6.2
S_AXI_AWID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_AWVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_AWREADY => S_AXI_AWREADY , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_WDATA => S_AXI_WDATA , -- : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_WSTRB => S_AXI_WSTRB , -- : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_WLAST => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_WVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_WREADY => S_AXI_WREADY , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_BID => S_AXI_BID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_BRESP => S_AXI_BRESP , -- : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); -- new for v6.2
S_AXI_BVALID => S_AXI_BVALID , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_BREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
-- new for v6.2
-- AXI Full/Lite Slave Read (Write side) -- new for v6.2
S_AXI_ARID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_ARVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_ARREADY => S_AXI_ARREADY , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RID => S_AXI_RID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2
S_AXI_RDATA => S_AXI_RDATA , -- : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); -- new for v6.2
S_AXI_RRESP => S_AXI_RRESP , -- : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); -- new for v6.2
S_AXI_RLAST => S_AXI_RLAST , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RVALID => S_AXI_RVALID , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
-- new for v6.2
-- AXI Full/Lite Sideband Signals -- new for v6.2
S_AXI_INJECTSBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_INJECTDBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2
S_AXI_SBITERR => S_AXI_SBITERR , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_DBITERR => S_AXI_DBITERR , -- : OUT STD_LOGIC; -- new for v6.2
S_AXI_RDADDRECC => S_AXI_RDADDRECC -- : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) -- new for v6.2
);
end generate FAMILY_SUPPORTED;
end implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/ramfifo/rd_pe_sshft.vhd
|
19
|
17676
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOOYAbmSVdMSmEhVcX6OANZAlRBhIeIgp+j8aWie5qMiZZfkKWRKGFlDj4dOK2MxGgpLi60kolAl
iwo8CvQQmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XO8hvx7ayNrMYNs+QowHbS9oiS1GjnY7XWvxUBWvS8S0pBwgguPJgxI5Jawjx75IEBra9z6gur8D
+8bJ3wjB5uOzP0Op4TufbsYZTMy5/IRaR1m1haAiZDNWpnRaJY0iGIl1ZfXnFFB/FNm2d6rg/H7b
+K1wV2KmxNsYmhxGeUs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qrXPktUjITPZaeyYovMGSvjyrwEeWSEPCoXArB49zu0J+taotc50izauZkw4BvtuT10+TUqV3pWu
H2Y4+wBhbI0avNdhBTQ6WysNgxNkl4xSoIMSUDeWLPrThpvXqf5EM2xFWnYEsoSt1fOlTzsbNp4Z
xTF0/8eRzGcTqQK8goNirFS4li1yNxnvMyocM7UB0Hgwd4r1WhVfwqexmsE2F2aKD0WceDfUKvzW
BkaD/pggzoFKe9ZBj4krjm5QO6MJe6tmyETtklCe5Tp5KFVAoUG5SSUacYfOW5JRRQQN1B29KV6+
B/PXOjnEprmrDoW2/GvnZUOJ8iICUgvcDDx9Gw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RfdpJMuL5lneUspdc3THLHWNRfMy7ZKvo7MAlgXNSeMyJ16shj6csIbQx7zWlYY0s5cmQ5qBeuky
S0nRybRR8cWMHwN/9rEo4V+uesao4mJ5GbtqRFTH0pGXUIW0hSA/qLXBAZCtANiThLFmTTovXGQx
QWChhP7QcQZsZBRuEUY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KfAPtRUOpYg8KaNj0Wxd1r4Bcs5Lt64mregrxrObBeYBNNIje2iGcuv2d5+PQzzomKwP4NoGlbzx
CSYz6XLlhFat5X0Kad65Lvso8ilyZLrxVgz/cQQVMyGtqJsflyi+jbqMWdWQzDlLboEzDolIGqLM
T16l7bjdTv+UHoBJFQNNpgCUB8RCwZwGjuOrDkNOQRBxFbXP4ewZBD1TITGRJ+9yag2oeIszJxFS
OnxOibAvqbpn5K7zetHoNiQFD0HLxODP6ACT7OZWy2QVwDRr6smLhIBBF+7E8S7up2WgvZZ778OW
7Swo175PkHbmEfmpa+y5XkNQNOq7GC6XNCURkg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/rd_pe_sshft.vhd
|
19
|
17676
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SOOYAbmSVdMSmEhVcX6OANZAlRBhIeIgp+j8aWie5qMiZZfkKWRKGFlDj4dOK2MxGgpLi60kolAl
iwo8CvQQmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XO8hvx7ayNrMYNs+QowHbS9oiS1GjnY7XWvxUBWvS8S0pBwgguPJgxI5Jawjx75IEBra9z6gur8D
+8bJ3wjB5uOzP0Op4TufbsYZTMy5/IRaR1m1haAiZDNWpnRaJY0iGIl1ZfXnFFB/FNm2d6rg/H7b
+K1wV2KmxNsYmhxGeUs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qrXPktUjITPZaeyYovMGSvjyrwEeWSEPCoXArB49zu0J+taotc50izauZkw4BvtuT10+TUqV3pWu
H2Y4+wBhbI0avNdhBTQ6WysNgxNkl4xSoIMSUDeWLPrThpvXqf5EM2xFWnYEsoSt1fOlTzsbNp4Z
xTF0/8eRzGcTqQK8goNirFS4li1yNxnvMyocM7UB0Hgwd4r1WhVfwqexmsE2F2aKD0WceDfUKvzW
BkaD/pggzoFKe9ZBj4krjm5QO6MJe6tmyETtklCe5Tp5KFVAoUG5SSUacYfOW5JRRQQN1B29KV6+
B/PXOjnEprmrDoW2/GvnZUOJ8iICUgvcDDx9Gw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RfdpJMuL5lneUspdc3THLHWNRfMy7ZKvo7MAlgXNSeMyJ16shj6csIbQx7zWlYY0s5cmQ5qBeuky
S0nRybRR8cWMHwN/9rEo4V+uesao4mJ5GbtqRFTH0pGXUIW0hSA/qLXBAZCtANiThLFmTTovXGQx
QWChhP7QcQZsZBRuEUY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KfAPtRUOpYg8KaNj0Wxd1r4Bcs5Lt64mregrxrObBeYBNNIje2iGcuv2d5+PQzzomKwP4NoGlbzx
CSYz6XLlhFat5X0Kad65Lvso8ilyZLrxVgz/cQQVMyGtqJsflyi+jbqMWdWQzDlLboEzDolIGqLM
T16l7bjdTv+UHoBJFQNNpgCUB8RCwZwGjuOrDkNOQRBxFbXP4ewZBD1TITGRJ+9yag2oeIszJxFS
OnxOibAvqbpn5K7zetHoNiQFD0HLxODP6ACT7OZWy2QVwDRr6smLhIBBF+7E8S7up2WgvZZ778OW
7Swo175PkHbmEfmpa+y5XkNQNOq7GC6XNCURkg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
|
27
|
91985
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF
AN2ca05J0g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe
3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6
BNEUXajqHzh/vB/QNBQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1
cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA
TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc
DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA
baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj
JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w
m1jdROr8ONcmGBJr57g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt
bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x
1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe
21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw
vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/blk_mem_gen_v8_1/blk_mem_gen_mux.vhd
|
27
|
91985
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PFFltKdLY0A82yxFqahMaWdN+zxj5kThYAcsDyz3A2vhpKKQpGJvV8/AkpYYPyltKlIzJB6Md9uF
AN2ca05J0g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
obdm7XtHPDQGZMrK3kNZKnRt8ypfk4aZ9VtSDpnSwNdbgwrFg4uylDkc4YjBW8BFR32vEdXmCKFe
3L1bSMhXRkPXZ88hMJlBty0IcmSYNatn3RV9VG9yYtXM73zMkJ4NIx7KoDtvOCnGQpHNAJTknAv6
BNEUXajqHzh/vB/QNBQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nx2eU410BtrBCSzpvDl9pNpIplyp0nHGgzB9LvwnXgdhN5HNF/YNjnH8WXRfWZhIT380E9zFeNz1
cIYhUxogcuyFP2sgar0PDv645GG14wyLd7prd/d1E3Ur29iNukQkz59OjXTEIN/U9Gy3hPt+oLVA
TwpP0P8RgeQqCkJY93IlvPGfZ/yeDQHrxDZUMFMxHHI51HM/LG6Y5RjcVEJMkX5GTsC4gSd5fEHc
DWDREOSmqmG5Gmciy22xZEiB1SI044vcLqlJadcUhINRbAw0576LfZrf0pjCGq0s1+nEKeJm9MeA
baA5VHd6hhXLwLD9jRkKDvFp76mdZ8cpvFpcXw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
my8iGpxybuJuMik3+8MRqWVv3aAmCE4oY3Ij0YIUQTpme5jJv8e5DOlNoLmgXWhUlepBCUyZ1Ysj
JGlFKQ8MBs9R5aa1TLi8cCVfI579Nm4AO6VpackDfb6c5/BXCbiBb8XeC9Q6z0hKyH6xYDDC0Z7w
m1jdROr8ONcmGBJr57g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pEGUMbCVqav8XqUNf0y2o1L56804gb2pssAnfqbrEzVo5CXZ9MmyISfyPG7HY7huXkJ9tWIeWtYt
bUG1XTbOUAj3uDqhigkZ4KnTE/68izmD5rgLlGDQ1sI7w5GLUgtjCBINeZsiQZ8IbdNK2b2sCu2x
1k1tcyPPvRv3myvuFaOhmiYYyCNc8F9T3cW6mq34yHrMb8GcN1rGLFkL16mdIcoRSSN9znhYYcLe
21llq9uuuR5MD7mOGEYx4bKUQGVdPOHLC411Ms5bCd0IbhTC0qWispRkmO0D1uXT6TguY5Z6gKTw
vMvXdJYpwStmSqzikX3kYI1zljpfWHQ7HMzzTQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66352)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/builtin/builtin_extdepth_v6.vhd
|
19
|
50137
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
hezhI5arYh5Ll2LsYr9SKRVb8M09iAN2m4JSbciXeqmprOA6kAYKyNVYZrZl+7uJ9rCbSy2t8SS7
C18wuehlMQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iG3qoWxeKUs22C9+IygRgNw/Ob9GNJdHtLxrQAtYdMzP86eceFi53EP4Epvud6QFqZ+YCcJAJz6X
BiP6+zFZ6SCjFFuXw9pefFKNSIH8+q7UF5dPb1d06lbHzIZD+3mRDkhnSZjrqT/zLAUZb/IQ1Lbm
Z5oVMb2d2CoW5etMngE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lCcH3M3hshWBn3vT8V7Ds2ckpLb00IXg/NREvwDTgQ0x1n/TYrAfJvH7lJwH3QNYGbvde2S4oTtp
dxVz5eb3NKybz4CG1wYBC2N8cyfQblBGlezgCm3PFTB/fb7+0CJP6o+JNkedc2s49uA9zPZB2axM
QOZ+WiL1UDOqHRt1CYUPiwYxRC9z2R+kY3HwbNnbrtScHXOfjyqwc/ifFZR8DvMU1CEJYRjuFvoW
cH+V2gM6YyOHMcuZuaYjA16MxseT+50plqCZJKvjkYTDhSYcuZeDAun28dPbdfRu3AO52/Kq9gTu
MLy1G+7O2B+746vqe0NC8W62Tyb+rHxVnOWRgw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NvvNy4fG+VCfM9NYumsm2clZ8IZDrJQ3Wi+cnwU6WbSkr/joDlB0ZRXsdo0mhVbkhlHdY0OhRpkR
3RYDWBuljULA6BTyF1sag+KB46HFjV7grhZmVLUbBkCWRKYz0xq7bDcNxf7s4evpI4rWpbAGWyJ9
TlfOT5npzM2PM090g2k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KN7EzciqITw/PNwj48fL1Z5o1AjZa3hMKXx25N37JjIMxkR/++b3PX0LoYvLH1v4MmFRO2F2HE6o
+A9StU1NJwej2oLxLD63NMJa+VjJBFCfkNayO25s8BHSFsZkhjc8mIC5S+PHU5t+p8zDOXzJvXOx
j/qM+zNzxFnZOpagckJWraMSJbbFjRIGq2RuUI6DTykdz7949XyxajpE+pE2TrgIaNudJhMJkV8s
PmKxeai9osJTVlAQyTdS+HOwcKIcXexlGTP+JSkiagntbBuHEhDR83LTtvkaJx0GY9b8oHB0RXsI
Jp2E0CkC4MgVpkaduxkwBZ7NjlyO6dFeIGiehA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/rd_status_flags_ss.vhd
|
19
|
18129
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IVTcVKz+qqR6KelbIxn6hKss0fyLwIejVgwej+TN1ST/vU6syUW6hxZyGugx/VRu65UT+0QU+88C
5SDN434/fA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W0uuDuJZlgdtFvYMz+doOP0vwnGc2SXfLiGH2a5FulZQF1GjNx3fjKnarWbbCm92Rksm2FFSGof4
SgtGKAeCq4Yz/Vqm5xuP6QHmdBwou49vkKDs52HUud9c3EaEYtdNlkb4+DCcueqZu76yWN8rf2DJ
ekmu+LGiL1dmyzv30tE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Da9hmR0COgf/nsNRjZU5mrjIzRjN0/ufJQ7crbPh82WrNgInUm192216ks1D/Quh1gQ5TieAOChY
26CHNdLfPPmjLAo5/cOIRsIuy2JD7JAEIDFhFO2BcC4GrUAhSArSC4/9FyqXrVJUKuDybwv0tWSf
qpHjmJw18CiVw84ne90mESBOJ0fW1ujayfbI70yaGaFjJM/DPm4Lq+TC+TFlaimxpTFNrAUzQNVF
VSkf44Zb11D7if2jaL6ua4hPGgYpPcisaJtcEYpURXS8Lw+NjmMExnMpUW39NqnMiTEPom3YBwag
JMKm6/EZOnBvVc8SljH7y69fXiGUXgw6Z6POkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1/llP5a+sEX6Ky1I5ak8Fr3e35uMro1bXNqkrntPBRVTqUhQPFl7wfr/6Abnu74l73YggylsZJi1
1Erm6sC9oDhL9IE4pENErrDQRZHuFnl4+DlguLd11swTlNfBwauGoCBXbTtZ8+O70UI/sRzXqbZc
NDH1RywyQLhMRmSOjCU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OI4vyCtRzSCtjYNsCqL7rYkcvPw30aumOHoxNPQx0NU0Kc5/zvGo5pjE7sDqPsv0b00mAjKXUE8e
pVllo+uquegdt9Smrq3DaiQC/9hKGiZzOG1rJH9JbLcfPMXDGpwm1inP51BNgkQwocfUEAVndeWo
GE1Y28I9gt/5q5Fs/OUAX9cAh1VoS1OcnYX2wbgJSlzuLqnGWRIxOHl4+NkNkBq5Q3Xm589bPnnz
m+d2tBEPyqaCTvb13xXW7hqIf0ahuv0AQTuiClY+KmF0GjLdJTWJjDWPuRd9WYhybCp/lrgDnhAK
cnRXJnAOwP1Vgr7EPuoyVc3UkNsZTxEr3wrouw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11680)
`protect data_block
tdwK5ZdqC1lTmlGUnRR7Yt2xC9sSxvluJ8GKoF4/PBy8cuepaO0uf0LYKTkNKeeHpd3Wema6aqcj
srLXXbWWz4UGB4hdvxBJtYqwadIfgGECjDVXp6uQrfP31vtCOA9+FmtMLs4FfxWATHXFb0PTQw3G
isIc6KFtVvVydNQmy1ZLPS6jP6h/lwbX8n/pnSL2WZXvrD1jm2jXxu1cc7g9OeoazdHUZzZvFix6
FgwGMXR3s27tEh4f9m5V/ihoSjMFOz87/tU/DB+DnLn7awHkl/wkbteyhhrGIUjKmzrL5F65y7N1
pUUhXTAj3RgbYQVgjkkS98xFL2X8ivYVa26+AF8gdleuWtFIrRpG06eNFIhnSLPq9gGIspbM+Ihw
+/YB5GhQ/v9g9jT6Se5RLKCmFbky/wpFSjcJLcsaM2PCKL11Y5V3YFUJ6xRDmvlC9enre5fbsqYr
oVKyuImicTyq766kky/w1DFftyYF+uDdELG/BJXjAVf5Dbqkuj+h9X2mDZCvDHV5eEOZOBUHv1HM
w1c3bMciXl6IirH9ceKXAyLYRMGE8y08oF+dc3esWGKi6HhUfyWgpLcJnlHwYq4Fb+giAnpcCxJ5
NZ8i4ehC4UWAkjVwL8HEAM3nIoVkqkkb79Dr+wwQBwujGmKHgN/mXEPQ7jkubQycywuiD6Y3xl5O
hsp0166L7MapBJIdm0w4Up1QZhpSk4tE1lfL13LNE+N2i529RDBoTe0Vit/LBVoyV2tlqhw31Ftb
tfUyjtFAvv8f0a5PcqK/r7TDHRo+aMD31KHzmO9pF/Nd9rA9wfacjLHDd2zBvByrCYa8rpHlEogh
TqP0bTw0hOmSogQYpzI0Ox0Gh+koPbCM+Fbmr8gLyYJDfJ4lpSryewcZChK61FlkGTR0jkyAgCDW
S6A0OzHQ3wMh2yg2fg0if+CX6+dD3C7PreGY4GCn6i+6DzsNTIqKKwZ8ddQnOevzjYMS3JVkF4ZU
3UbUrXI8xS4Vtvm6/RVEdqf7VlskrtbintlAQF0/xfYcL+ADJYcaijwDMo9LQwVF4T9HPNvX6s4p
GRr2VnS7WN4QXsCIc5y8zkTN+hROBRujh1e0YqNF/LULtt9SvYEbBQo0m1ujOQZYz3R0pZ4Jm1k9
qb5gVFPCQF2HUByXHwB4mV8MbvcJgtal+jQe+9X8pJf6+WV/grrQ7Dxmpl+5uiY6G9wP1ixVoT/L
sYaHOCQ2iKleCpslejQNJ6bat5jnYhNGSUAttQC1mYqXVxdcpxpTqNYsGnrEWUHwp4FJ4aqV79BU
NkfURkorAehgVCWZOc+z0DbGaNTvnuc0SqWJUgoinr+JONjWy1Eoj1uocEfTE/xGj+1r7WxQ3S9n
r4z2TeXtY3+VbZNC1kdXBHWUx8y9O80uGAHGLzPYK/hO18WjHr0tDjR+JxuBNXIdU2LCp2JtiX47
+6Z95G9t/6N7etlQRiG/eUyv5iEKWL8PU2T1Vo8Ny2sqfbwJV6y5zed3iRA+CJQYI1cv3mzSomfL
QoV0R/8i/678Uj31aTt8tzoAkd7yXbKXrdb4Fc/9k+GSFctBLy4OhHUi92zadkZ27B2ZaHudPUSy
LqRLzpaEf6Ej80Ply8DFJInCAVJo/3GxLqHfRcXA3oTyzeeAJEf4GplXBDcJpDYi04EdJt525a9k
3z/hew+ozl4W/+N84qIUV3JWDphWSPrzAJli/k9N2BvayDt3M873cpxowZDtdUYThQ+b/cotSjRv
HUzVRlrHgRQhEtpWyZvoN+Nao7LR0jbisuEMig5vnVvJ8UDkXKXHCfDHSWpLDqOtHHQ/iDvzdfUi
R+ftpt0VoWiU4lqgUpBEHEto9EdtXy7Vv4EvB9I3AuC4Fc2XoeH4ZNsWETe2Irh8bH668e7m5mmP
gUjc3OxnICCMeCyJQwRbIAxfZ6HHBlawdSxYSu9sTWnKiC1JOebMMQPnwdYx8KL7yUT3K0lvLGkZ
bjuqSRPMLIrOHb4/zPbZIGTElJSkvpras7Sgdh1Ie+Qp8MrGZIpGfccJ3G2tUh4OwdPcjj5xByjk
1l32Yt/L1/H5D398cXhQwytU8tvUvr1UN6AtAeTr6YWgAkv33BhicVQKtpy5eLuUoiM+AWofiIJV
Y7ydKSU3x+lTKPM3DXsOGlPx3uet+cCCXQGf6Ncf6WCyCkOtBi3XAbmUYyrnKih85SeWGK4WeV97
m0xXU52EHE/a6wtXNoZBWLCeSpgi5eaAtejXs8ZMeA9VvHFxc1VTC0HBoN7fuyTyEl2O8vn+vmrO
ixjaZAMgf6SjLBBp13sGV82vInPGC/xOLSNE5HaM9CvLDTKDo6MeOshm4FuMUZp/2b/B92n/Tu0O
KGG6iMJmd+khGegWrxfBy6bj9qITebx3c8pB8pi+Ld09AYi0DxcZ7OkiVlPFLZTlOHL5IejAwE6L
s0+T+QBJfSE69uKQH42canp3wQzbV0w9/RxRw/LG5o9xV8G5NBp/qU280uxfYyOeUB5jwrH06wiP
6ZWXD16uH6tvqrAQOnf8BETBG3VvY5KSnNQbPc82ZkuP7TciW1YS+iVi7NnprIsN1Y7UoI49nClN
BuObMUSDlCkP13roJ/kUYtsncaLYsI5O7PDku4/Tcm7j1ruauBlN1Y6ihcAAfkpE/AbPJsPfOZO1
JEn+wU/aIQhApPhMOPOvCnwwkQd9e5+7AA/tEHeBeCRFl1N8SLHzyOOfrmgYbH7lmN+CD0gO2m4U
EIwdNqCNLa082b5GcPRaSxMyNBbJO+dzWjLzH7nMYL7fxjzI2JuULbcyW1zhDSKNmSi3+1fJxO2S
0C6/saTsbW3oMLxIR5WSd4vJoHrMla6DAG4mfxeI/pnkKySFvtjb/ZoiOJTo80pd2Hh87lJyqBDc
gr/fn8ebTBf8snksxjD/r7KfaHc6Noe39nEnqS2jEt5s8dVYADEQi3ZJsBiSusyKLx8Ca5xEo8Fs
VIGdKSndheyin8lzRyI02gn+nFxdFb4vYDUwD8e/CzG/j+AycPxuv0MgnQKZyeHtAD9fJeLal/XN
1o82aEmBu+DFrFFFW5I9FHHcTH5gQMV8+5uLE3wtNBMkAc0f5u4K8lfN+a6EwNCiJJNzpHZNr04f
5siOgdC93QlJUgruIvtrukvy1tEXmFVHFmnIiW0067zp7cDkJ+kV8n1VY14bim+uRhhgd8QZw1hp
PTfBconCg+yYaye4/NSIFk0KBnGfM5Imosz7HTJ1Ae1DHXktFmMJoN7nUV0YIqfU+cNs9JuxgxkZ
8GekY9USUd0Fn+xEusdUPCDznmp2oETw0/6eZZXhhpAnbO7CP19WWSlNmB4DKVEN5mSvePk62+pD
YMwZlnre8JlOHlyptsAtnskjaZZp53rjzhn1AofmbGZiBOrkzPcVTOtcvVm9oODXZrMpUehcdR9l
Vg/COg1z5AJWw+2UKLU91GarmI3uCMBt04ivnpjK8MhQfWe8X1GSUfxh5X7PMyvcOpRtVILp3Tpr
8fjAjwi8YXlB10XHiF4SzWjg4TG2FA+bcqR22Exag1NngU2fEdrwNPFxQ4+4h/ZqtDi4oHoBiMce
74VuASYlkc6uc+K9lTL+HLhQBef/XMnVpkJxOFo4wotvs+lDztPO6mnRki3Au64+bO6OdWpNeZFK
uc6xHJuJ/c9ykXuGXPHdMrZiDk/i7qrj79PjT9EcYGafcsj74MZ+3TPD6GRAi0E7Gqsl60TpEWwe
Z0zLz7eBtOTosH+eQ9K6ClLpzlw1gAt1dz4Yy7AjJfKl1rOhAkiLlcm5DB0G75uDnRG/ppz78m+q
zw01AAqC2abL7ukVT7MKFp8qEQ7CLWE5E3Nhh9HPeH3HuM+Y05JWFWjX/ok6MiV9DH/HuI04U167
XbvZk2wNxC7D7KHsN3LfNotdKykG03cRNqkScyqQkXyd32UE58LxXLzH5LHGedfOSEZs5Z8KM/yh
XgZMZmYpw3iEXhmvPtkwLKyQCdovRiRkXqwJ2jEQg65M+Sfwvmp12kCobVltApn442laaz+4yTss
QFMPH7aB6zRpYWwh0YrdMrNlRLQAZ+cHmY85mZlHgp4BV9rPdZCimo6FeagNZ13uKjE4fbz7jieb
/oWGqv5imXMgD0plwlMWva01WxzWdfShex8wtAdVZtk9B47MS1Xh+zfLKTRo2RlZH7/pTDmjVKO2
3crzXes6nMR4ttwVYs2Y1hXWoD0o1PNoSr+YLN9a9wpJ0INFBaVHhY+GbU4fJXxDe3ydi2w9rMnz
5reFJdmWxJH6HBVJVcFOOgxmHh2xm2rbX8rx4obLfMxNzDUXJniKNUFAyIwizmw/0vMzBvdTPgas
bbu1keKSl6ndJF/x/p4yBNUo3SZeElYMU+Vcry98h+dbiX0DDItgxVEGrOPNecRXI4hgjzUpu78j
QVhGwBmysFD9beH27ubO98gvxNtgTGFEZtlfgOzZd6ZIYUoMeJWmg6x5Rs4YUzrEIah5lAnaY5+i
5txSY9PdnKARrmlmgvzXNqb7EqRokg3B+ZAakmNV9LQfjFTjKUB29DhGhQ6oBKR/BG7Hp5Hg5ue7
lBCAc9gAk1qneV0/PJfPhJaTBvGd4j5RDTxVZb7ABVzAB7a+GF9Dbm+c5U0HCg4uA2z69SKfhaJy
IP02mwiB25hfvtR0zfQtser5hHaD5ILBZ7betTurxvyUcZ65j8YDeuaK8oI4qK9uCU1Er794xD8c
htBXMGT/fQoMvaoTrjnoTmZuByMJPbEYD42XPCZZf5M84JpJ0GaSIq+N8n1bQY1VH8ypQ+dM3Gz1
0AQPaD3owA7yxz8za+WzFmLOBwG8y/01WZSqCcFGqXSJeqV1iepVdmcv5OiTLLPf7ObUV95TGyKk
mJDofdo/tZpKkS8vajnpcsww3NE4sCT2Fce2ioSOiSmee+9APRhlCEqv3B5kIXcxKk8ZvCj77OXN
yqJ2J3KigOUIs7T6uBoWWS0UaV+in8JlPGascUK0swMiz6HTr0u89sEuX4DI5TJ3S3CmQrmpbLTv
yeczJ+dnKzpn9JpM787xOqWHJ5DL1t8B/fnhLW7DSNB8xNBrufFISN3qjvSNFfBPUlCeliUuho5h
vmQq3ZI2I/nsNTkIQkq4285v2e31q2XDU/W9mzdXFLL92IvLrMx44y7/MVWGPfs8SuZ043BEx+7z
JuofAF+h2dYqReLTQySbcK6cNNnle4bCv6n9EI1bSnDqDZ6p9WMYbmF78t9YssQbDp1p523iH7LD
XXs1dV5FDGDSKsPQe9iZ3Rk6mRIUkmAqd0fmqbHGTsD5NNWV8AIUOcMN4fkPmdL/e+vwJPl67gzi
kgqCRLy9JPgQL/ZLC4PI3Fm5/QhpJ9SB5oyp2K2J+iOxuWuSoUEGdnUi+ot0qEhvrZStoygO1pbc
CpgPxvJdHoTNTF2Hy8QLhqTEvEOClU1eVUpgRDu6virzeEhnujIxeCT31Le1FkEX4oHJTxMCUd6Q
ItqZe2MMRS0Pu9imfDqfDHD7wIXukPIXG5myKGg96twbGi5SfbVD+3vaHAhLGaLLSQCSASSNQpmh
68fwBVCI2IpA5nt7/v4iWcdDCBRyW1koCCXRzgGm1SNuDClZiIGRknhJvYPFbcv2n9K4GXpw9fJm
JXj4YwXv7IBxckPIn4ys3M4Mu/cZCMP/pR4uTLiaEk1ICifLYv+KWUyqe2UUd6W+EzWgzs+1zQgI
N0xN6JEaMbxuKQ6sJQnS6ABIGSBIywd3XKwilalcK7iLb5ZdMeagPSh5ndV1GejolHZwMDXFz2sF
lIUpKB0mCh1r9gMToEzmsl+MVD3vIeMF4LG6799vpW8euBMl26Xmi4w4V4KsWGWXXTfLNy5kmlM1
w9bPQiH774bK/qLbxHNBJXxJPKK2pJt6jKsWNh2I8FzYnzoCrS70FqVx96Z3B1mPp4JvSkhvov5j
LpgCegNvsqdESlKcKsU/1xL3evCXjTjg12XH0zljhMOyGpAkp+PvEOIknslOE8q7hUpF8FsN5gfy
ghQ539vTsy8TJVUdv30xv8ndHQUHhsqcozD2MpdffZ5PhlP8Z2AE/2vrRBZWwXMFD+7xNLQ1fMEq
63aXQbTsoG7EtSPzwWE0CfdLy/oJsh+I/6VQpcdOJDLcg3dP3G5BXIS/198czt7OR9G57o4araOw
E8ilAQNi2t8KGiyyPQxbTGT60bW+a6pdJ8IEqJ8Nxt435Mil6nXPvJ2P8WaZdXVcrVzWVcJjMzyI
gEcQKw4s8VSIO60UYNL9FLAZ4rEDcRgrmjpemAUZEo/EqpdGoj4WatITG5oOXiTdlYHO4gF9nIaL
OgIOG+W/eevmoTCfXpd674+Cc+E1rL+whWlCGiwgX4m0SCLtyQe5ZxLjvbLNN7komRpvJEA16HjE
XpAA87vDt3Qn6BmNQH9R9nk+HYNfz5oIiOgIcwnfcTGQkUBR7xY00CmnGEoaie8uOQ2v1D7XxNOP
iSduSmdD1D84/I72gyJ569IqJ/ngJrkWT9kv3L0LiDgVxlDOwd6vMM/sbjdpg8RL6hBsYndjKc5N
fKXogtYiNcJvqEZTOQnfsoku8cj0jU4Z+g10qx4jP5dnUDzfDwx1WC6LG2cL5CHqJUtS0hyHN2nR
Do4RP1nytrMUIScEUx8ymIkf8Dc0QUU85ioRwQ5oOmUM+BzhZEk+Fa04DXHmk4FzAMYJaNz0uVWr
izWxyFBFn3LOleEoj2ffNnoWWSNLZYzcf1pnVO4RSPujMTCefH8iK/fboTHDVJiLd8qkup7q1onM
DfOf5VeVopMC+WDV/7iHCtarrq3Q7bjRJyWEZWM2/Ob+tHju8S0khQplCAsOZtnUQukRvhO2p8dD
5LhW24nniWXjotqlnb4wjczRQhEelWY1+D7vXN1q5lsygIrmxRlmRNEdoPp4d8+oi0PpkpkqICOD
hNzPAEiabJRXrO1w6Y3fU4y3/AvImo8U7jziFSfYF8vBFEQdDg1S7kWl/QjP5T3QbvS0rVV5GhBn
Law4487uZ5DaP4IYSt5EVXCpSVk/ncnDGtu7vLqMJ3pyihI79q/JqBeUhMHmbOYhsoG6I3ycBGGR
o4WQhi+WknLA6UPWJFeeE0Oj+7Gi/eXFO3DeJXLUdeSelgGGff4JOX5A6GdoNyVWVL7J/+nOnlfx
yLv0HtDa8kodWTVoW/gCXKnoqRcDVVPE6KsdEbcQztH9Qgn1Gr0tnaO6QFm9HsOzxXKHjHGEFtRA
hIe8dmHyD5CW87t57sUWzkopazJPPmJViTpb6lbsR8HPpa/I6SuHq5eGHxImRkVWNZQWrWSDYRBL
rEqoABfZpuIctlEXHhs5KUqw3GP9A8kTLi9iPuCmtoDMy/OT5rRMac+6csBjwj5E7s805jITswjn
qB+HIpk0qUNHS9SozZPrW/DntkUr198/JMEEjsgqlk6yq/kzjT3BjIRefT4lYqQnrFyo2n3dHn7g
01WbF1D+td80CdjJbd4e5e7BjA1DQ3PwcOdmJ7XndmnB/LEoJUrQYF43uAt0hRSnwUE0DQZjHPlb
jw0SiD2S949P3SBdnCVIbte8U7RzH04g5R75wc47LRR2sILzn1iQOttGVfVxZbB1C+kGhNrq65I8
T4ZE+k2D94jMA8viBY1QU2geEcsEThPvqZ2zXEf89qZneBqT5dzNSifWKFqLDhxqrU0wRUq8HZ1A
7mnweEAbSDX6z2uYi54+fsrvPB9T7/DODkzTVuXNp+KPy+6RTOlgcsLT79lliaaDoaEbaqZ8+Yu2
tdZN/ioGt3bvloUvp+yNgxycdXWdFLuy74erxnPUQbHUU2W13aZnF1rQnGU2y6MPNx7kbft4PUc1
Yk8byYAeKKrpkm2BnttHk55HAIhFf2f55FxV6XWJ5UQQA+PBGg7TYXgJbB2IkjnRhzDVad6hZiw1
l0x32SnUdsIlEEUJ5Npu5GVyvZeaj1DXIY80q0AnV1R7mWFO21ilrLUC4ow1YoO3XOpZI9+89yzm
KgJsbUXZeaIg6jkxA6/9+fFTiHZyy311Csfd+gzC+X8VjcFoEQipgq42yf7Kg0W9y4FV3JY0YRvw
4PllaNT2l1nEdtwTmeEjnBz9dTSeuTWi1+UBAUQQ+TBHho8eYgVaMXKJYHl9s1nbf9jCxLOojU6J
2Mkk4HjyVSU3scZohpKZs/RLGb/+DwoJcwbi53wQVPqI8BXSsKpUcc6vT6RLMNsYTLsqy1T3sJT3
rvob6YZy9uzK4T1dGIZrxFoO7TpLluMp8ZOiMPyhJzTD0vk4ZR/c0AIzs2L774dIitQKzEvf7yhN
bgdjrXnqwN/2H3vFXKevM6qn2Xha1T8buWUkuGJryLyGiJhZVk14EtZC6TGCGLZ4Kl64ZAR6+2EV
MS8hmAkc0ozs2lU4SffmAMqEvwu4M1glqDvWWNCTxopKe0RpzxhE+B47COrlWIu7Mn/lQfab4OSm
PmZcnOcW0DtUwXc1XOyX1BVXFOWkGw+MUr0CFJbRjkmOs0hV6f4Ty7R02gFG0VpTNyGDFJJqoSTn
AnlalsxtC7VKbtZc2AhiIfBDwmYRd9OWtg9zOttJ+beFJKLOWgQmzdYTGyl+dDR/2cBZN2+lKOft
ctThp0yFSpFyQczSzwkvjPLQxGwx4JQSEgi621f7ncvgXy7ygAkrFVvY26WdQ7mb/ugvoE21Wmdu
iL99Y4slqI1Bco2o3kaHVQN1aTwacbdLr3ZssDPLO5r5NuoYA1JbINAsylLYqXLxZpt405I7RfKR
yI7XpeNTdsj3gCSguGwnPd11PoL+q2yxMK1z3HfReTU4d0aMcZ8qz1VOIyQnW/JEzNzou/r8kJlX
aqCyQ7awvcxS5oXSfJzCumacqqOSER8ff1CPrDmakNuPQJABjFwPVpClgMv4IxITvxxChdDrKrGX
/m8SpKE1zxlZKVGkk9kdRlVnbwjCHmBgP82B9I+RhmQBzFM6FGM9CSmOZ12Uxt+u8ZsywnymX8oj
HOJ5zzRiqDsW51hKwTS4B2IZKV7BSEP3GqmqXaLA5NUaf7DDJ6a49K1Py5kcUa/+eR3vIY7Q2fWg
vR56WCSUnxK243BJ9B3P02YKZK8uzAjPx6NqM9XrV2O+mx+tcVnGBkgqBI19aobooKWJYT0KQdko
/5ZK1vmjrnHLb/FwWe+pduQDKJFZMVtXUWlVW3hDu0oe/pEbepPKnh/319Xp/3byg8yEqYcOf+0D
f7Qv1u0tHa5oG7nzk/wOfMHMgrmb/PZYIvsAYzN1QMJnW+jpkSu/Wlgbj8M+qdqrXQoprkT514F0
cp3howbognf53wG/OTcqWUJM5ZGnRWlrdJ8PIIsotUml0jyaWgVBexK3dXSWQ0xZH0y4FKjxtF9a
k3CX6D1mjL8eNWHst/V6ThKwHV3ebCTAxWgHS3YXu1w096gntDZRUra7pqc61DLGCNDdiolIirtf
t4QxAIah2dTt7k+AsRfuBx8nPRGuUQKIkT8YJyQ75wqtzO0VCQ4en7yV0zH+lcdjycLeIEtmwwzo
9SahCBqXNLBXXdZLXkPDl6GpRGkGXigmdGB4G3G14UsfexLOcB8XhwvZayMR7uYFpMhvNDCDf2Be
epYiwZLfiJZPvaxXVmnkFNMnKFTcB1vTQ/QOMccppu1TW1C5PQyADHvtrq+LGlsRWDU4S0X+pLul
HLAkrFdUCrJD+IbOZ3Ftk2ABLTAbZqNjmqeVjRqRdGBxkOyE9sO8rMy7+ql9CbA/Z0fUI2rQ0Msl
K2E6rz2R36JyLbiD3P/4TyOvAoiMSHEDRsKDa5I5OVL2jmEWl/o2GrKRAukJcGJIdeiIHpzXH57k
PM7TAMhFXDwFtAuHuqy9KnejIXlhds7ZDaspLo2uVA85vvm9fds1oNgX2hyNtL81a7HVYGcU4ykj
g9Y1BcTdJzn8cFAGi+xAL+pDk2mn+Odbk+0pq55wFW6eZSMs7eyLJEIy++Pxd+IJVVPbBYRKVkXj
whpLo4PLbAnNCSd+Y7EkfZRU4zCZ1Z3h9bAjmtdi3fDiJlx1IUYCDcTyGFvXnj0RjMZLKIiYNBZ7
3RFVWY4HWp0ykat9cPvfXQvTRItv4dVt41YdWLxcmYRtf8l0eCzXYXk5QTFigmBPOfBmQnxpgb9J
2IgcV1vcbRPiq8zLEq8ivBDCog6vZNeysPGrGmUgyxqH6nf0G8matPJDvIDkWGr1E8BhENlXXaJy
N47txnqYIoiND46mbDO5P5Rs99tttkkxpMbLQylX+YkFgbvwQ0j5G61gqJmuNss+v1uW0boZhH3P
ha5Qi7FoxReMkWPxbb+euxX9Qt022CvgIO0oFQ7GjRPhowfl18ZD0NbD9JDvMpZKALV8/xqVRv2M
+1kLAyQS9DwsH5mb6fCadCRYhLKWqhTfOTfbE9d1b4/wBojJIsAz1rSQM4dJxNA7PHPo+Fy9/kyl
AVhx54stPhbDdpCxstG/f7xpu9KHxxKWcP2xmgOF/Zz+//lecrJDELZCc74l0iJtokQVdHVcdxRN
1WG4TsO8/b4jJ6vWZC0xtwHklnOFiroEvA5Nr9GNPKuKIE/SdIwfLg175JZl2+Oi3lK+M9IIOCh7
M+ktv6ZigYbzxoMf6EmIiQc226n41SD68/SVbmeMMnHX5VpMPRQschJcxkT+VQUXxUVXVjP5DHwq
JqPPYiJQkJiENFDoggvo0etEOTxIWddTKL0lvIG+V7Ge8EspEmd7n0QlIn0Q8UU5hMeIRyS4fM6v
7BClmO4YOHVvtZgGvMIjuZEVzt7dhpQDfb2ni0NP7ixw5lC9K2tUaKJ8gvHnldgVredqD02YINbx
CaAQ91oyiKXUcHLDlOZv1FAThDmzgKep8TQLXWmkJx5VA52ut8gHrcMa/JkajlTEJlrR9lhb3+eq
BOfmI0cLIgjtx2/bgRC2SITw+G8jkBoIM6tQkiCcS5pDhQMPmYVd+KGoPRosyBW1tUWvacX2Oon/
U3ljzZjoo6ZLGMLAQQEMx9mUWKDwL8wb4hXz83zSXIrv3tV/ujAPQCarHuLhIKs41vvGsRlbCGW5
TSRw/wk45sA9HWtj53jmy2BZdYoKGQF18XIMaf1jFeg8n5hr7zMisxA4iauMI+f2GoS+oTvLenBX
kJXNuObAqx4DrXJvkioK/3bi/qFbI/EmY0sFHmPCvL1ReYF1GPMhWZV6ymAbpDEz8glyMEDmMBUf
f4HSFz6PxiqCACAa3VBN6uwBhY7KsglVuBKcaGd67a+/ZMP+u2TKtGH3Yb99V2CZ5fB7l4CnQwqi
YNffqC2MKi2p0GYXHNchqNPepC1ygbnIoKd0ZIjP6XmjkggzfM8nYuoVlBr7fb3Hs4yVzEgazzdQ
HL6SEepuoO+tu8S0LRSFYzd7MlFh0aFzrHv4KaQCcxKZREPNCUyzybPHq26qBwrg1BvVR9dTY/NE
WyT51jLifHp/uXHKyVwX32hu+7hQHGTDO9DLWeZTZ4H+0dgqM0EC11h9MsHVmK8pTPEa1RfLnz+l
BJOj1E6KiiQeoKeWH7UL9yN7oIcW+wYANWyyDE/pTCzqjiENdhco77ide/wzcRQBddp1+1GJkZLb
eF5sIGKU13f3wcf3MGGtnzDznxRK7mM80Bc54aZElBrBEHr0B7S+mhiuw5NpK+VHn4uwZ8eVdayy
EtQbV78BCQSpylskz78k7pKs1v8u0tamFs1R10ol2oiRSGJ/7QcNiVRVI6KhjW+KCW9MdH5/7GAp
QHEt2GZHVhvrTSS16stwFY61TupoXNq1dZwDA42MApQcTAEtpqIoIMHI2RVav/LcggDCr9bQd+03
sXOYNZy7OLxL6qUg38FeC/1lP4L+bOT9mZqWp4WGnBvWAfPjZHo2lkNyAHWUEreXvjvziGLDzAUg
rLkMQUzobPuBuKkrxZpewFVHlHc1BRCc/pCYA21JePJDzT4ydDfKeqC4slxq72i0yHMB7Sp9yYQa
fsq7ryDkkhpmmxL4r8nmXfCMg2pLxK5qBeaFy6PuwdYkQBO14aPlDMjB35ls+IELYm+G1t/wc9oh
/+vSIs9QQTCehFEVvIpgcDLzJ/AUNnMmEw2EB3AFb5tjV+jfSSB+rEi8QpnO4L55/sBnWlMIN5JK
KDrCOaE2FJGLromfJAvD9NvupSQ7h25HM4ACgeGqPhjVKldhJqeyGGX4ItI5A87lIVEWwICSP7H+
6g4dLXFYqO4PqFIBBnWnS/EohA21t0UECIWCQ8RyRdZHFb3Ec13xNAMUBYVcLl6q9cUElvzHUdPh
iFv36u293bkG01Nmy5bpnOR06WrLkd1uKK/N1hDxpDBxHVxdWW24KJYhwUSF25IRfHWzypeCIbh9
uKilKxNn7+WRDj1b7kM6nzKv+3Y5XGGDKDumPvx8jmNQd7Hy5Va73PBA5NtB/uJXVexF/3/LQW6X
ORFL6jTlcBr4F4Wlzggxq7b3tVcRbYit0P663UIUQelE/4UlT3zJ99KTxelkNTuJBZ6h2qx2zNvh
6G862eA0Uq1zxrH9D9gPQc0yUIHOMoF653AzYMG+WcMDBvr7wNbZadOpN9iRDq5qRmp4CtkVArEy
/Bhd145IDGnbBoWYXUbI+YG59LLH82nFLZrzC2FIFMvmfS7VMkbFj7PeWtvzcWhmmublOLgX3e9Y
6zKJS/Q+StidMbei9KZktLAqH0AeDpMpeHKK+lXuf/m8MF2t3cD0raK+J+sKbbC6qmQM6mls/AvT
xodVOFBHgQDa2y/nyqnwCZpd8fSwb7HjNMLoL3XZL6ZVFV98DymgJKnwVL/P88tw6lGACqiENTNh
EQkQgnWT4cXg4WDKwE5yAcZN0jbYgbUnsnCKEUxKfPWMJZFt65b3UhMpwOKWXNt3RmUNFZZWSIB3
WciWFswr4hKng4cb78vkkE9mt7omWKg+qzy2GtimvDcl6atqhmQbMKoY4DsHhwGmGacrEpYHgW3/
C+v+NitqbVx1ttuvtCiBOiQJuGSiM9W9B2zZIhWBRG2GLVblnhia/aYEQOk98yJ4eKKEnyoABhdX
Ldbjh9z1j4bEUUwPUn2pd4exsYOLEKqLXrTXKDb9kym42+QJGUyHhx4mFeLBOBuOvWk8yMb1AwFH
JFFYIOIR4mlMhWxqDG6gtxo3Bv/ZVNX9IJdL5N8N9i1bvgfD2GtgrpCgfiRUvasTNQyvrtYxXj/Q
m159Mh5WifPnsF1yR4WfBFKYIh4PiLZU5qFSXFUqUxAgam/KUbuC7/wdxmWRlpyv53WKyDY5yMIE
2zdSymez2BkUWFO0pdmBE3Bqh54wp1NEO9iKrGg86xVI3iNoba+XRnYv+Mmq96jG4MDrkwf9FV9r
pAwhFACApnDgF18Z5+tsoR5FOQNlxOFjIMC29EzuFN9Uwf5a3Plei8PRxnQuinBn/xVIZRTvFuo7
DEohRBRx5VSNpn9RqlkOFxyTcKBG18rpVAsIfHootUxAWM1nT9yEqzxawmpkyCmeSirJLXdopz2n
IVa6nKkcFhCU0gDZtJtFDPuX8ySXOPYgBheSwO3PGsQlOwV4aM4r17nUmqUrTlY8NlBtAS7D+nku
GQYB4adZDRrBX2+i7hiBJNMjt4/zIobAkOGaXCRaeR4wiQ2jYp7WhjMCqa15Ff+Mpkw5aPvIT3Ex
GBpM2k802SlOOBjG8ViU5NZgnR0XDedU5U0mJmf6U5XP4vQldHKtnYdY+YHUHc4JIvLZvNDayo1G
vvAHdfjssnr4UpnKHMErYpXqohhwxV0xhz/CIXPCZyz+kRUok0YkuQvTxA0sSuyQoJf51lN6t4jW
Hr3MZA+5X0WSWRZu+cyoUV9/vd5be+cwoFJSrgWo0zapmK54nEyUP7DD7B68XyAlWB3DrLAMLF2T
Zroxki8MsiBU+ecnYnwCiwD0ZaYKYrfIdVgZJP7yZViyoGTZfZ2VCgZFnuMiSPK7PUs+VPVxVPIy
B6GiV7n2JpjcmTjUsrJEJ7cZuNG01Lz1fuIRDQb+beRm6i487+bEIYKMsFj5cvM9o+zqxqv7G15x
CdvFrsnnVK4JFFnOaVvBN2koNyah6/yLVL9wFdsp1JvmIEEhsU5YLxqUNbcpFiNSELe5TuPdxsdW
klnyI6l2zLE8dUSgOJcUORdCTjJvgYAfoYRLvIZw6ZgPB7XTkEtJQ54fgXwkAIWfCu4B6ZwEm04n
UMdNu1ZcYvxmDLujC+uaKpX+SJWO3B5rc71+98aMevdwnLWG42cCPI+f6Qwprc2avfxifpbQefLe
Xm5R5gfzqMYnNL07FhtleqCSg7GBJTrC8t4QUgi6eRZzx1n9iX3srjoejcZ8O3LGpxEB4KOSOrGr
Okpu76b96KHfkZXhOFGmbvf5wpeIt7XSm/BfprZpdbvKkTDeBA1Jc4lE6QjruabZY6ZA25nX7JQF
8EwUOUmzhJtwak7htM7d35NfYBWpI63jKWkIddBX3xj3XNEfysqrHni0ELrE/azMdo6nksk6TTyH
vWiV0+2rCRUmqHbDEYJdYmMrj+gW0b+3x5QNtJN1IojQSKs0h5jVXOxxH/fKvGve2Q3IXM4HTeaC
evgZoxkSxrJ+vyaNGEIQjye2oQ+SWaPzbskY6m1+zXxykHihJn+Mrf0ri7yh49lAHcrB3jcU7Ga+
AFpyUs6rZhuUm3kpjtUIRELZXlDI6FKXgJ2tZ9Wr/DsoOjVx2AX/ehWlGo4KijNIQ0oy7P9savIP
UzozFg+58dy3BkELEqus+8eYfXz7A0OAxCic2hcrVlg6zPwosW9sQo+30emdtuaPGfqhUOjHE9nQ
NUlm3Q9gwgqP0rAm5bjzvzKvLwa0zvSU28RUKAjq9LInvpxeDed2r2QCpOf0kQH1gEEpC2/4oJhV
BzyvB1ZsBsd+mKu2K0s37FprDJfZIiwOAAzbVySM+rLeSoeQrsOiXw7zresRkcgWIS/uVzTSidRW
Q0Y3bLJ8s4Qmu9gVD2mLHm5JEfIMAJua2Fk5x7RwyO8NaTKtGyJC0GItTq6VDiGnP8mtNtmsoTSk
5GWe/+dgQ4Q2hYY+mn/hDTihSxXRo/0HJH9ueF591j3bW5GSLFeYhbaIptcx/p5GjjZC/49pY3kf
/XiA/tvpP35CeJXqSfvTipIT6+8G9dpBHP3Qi1763AD6N0/1XhaO8YMF6067AB9UcwFSAS/6UEXS
Amroc/v9/+yCrvDp3epMSCRl8rfZqAI9VTuaxd83mz6rzwwCBSRRJB2T0riBIENt1P7UX2CQpZ7m
yjexm6Y811AtzI/xMHkUkCfmrftT7pKCGrHR+qR5vMkRb4SRfjVafDK4R0DZnpQ03T+uX12IQYTR
DN0RaAVXQMuE1MS1rCeluu4N+Nei0W8bMPlQ6KumfagrXzfxRMgAvKgCDtOOh7pPgaFJvZwuyP0h
/0gjSPWqMYGwIRV9B6fqeEjWItRMK7BTgC1zVIq48Jhnm7EBjnvYB7oiJQUAvN9mULSGeZRpuYuc
ipTNwdPnp0qA8Sc+UDMUCMIKnNlzvBaXWoCnxLmp5k8LSgIugYwRMzrf8w2FNwpx7C6+wokHvhfu
WN/P2U8o56QhRl0UrqJj0Mo2F4haOU36qfFXN/OZo3D7iV6G0rwrEHiKRT72C1iWK8VEjg==
`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/rd_status_flags_ss.vhd
|
19
|
18129
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
IVTcVKz+qqR6KelbIxn6hKss0fyLwIejVgwej+TN1ST/vU6syUW6hxZyGugx/VRu65UT+0QU+88C
5SDN434/fA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W0uuDuJZlgdtFvYMz+doOP0vwnGc2SXfLiGH2a5FulZQF1GjNx3fjKnarWbbCm92Rksm2FFSGof4
SgtGKAeCq4Yz/Vqm5xuP6QHmdBwou49vkKDs52HUud9c3EaEYtdNlkb4+DCcueqZu76yWN8rf2DJ
ekmu+LGiL1dmyzv30tE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Da9hmR0COgf/nsNRjZU5mrjIzRjN0/ufJQ7crbPh82WrNgInUm192216ks1D/Quh1gQ5TieAOChY
26CHNdLfPPmjLAo5/cOIRsIuy2JD7JAEIDFhFO2BcC4GrUAhSArSC4/9FyqXrVJUKuDybwv0tWSf
qpHjmJw18CiVw84ne90mESBOJ0fW1ujayfbI70yaGaFjJM/DPm4Lq+TC+TFlaimxpTFNrAUzQNVF
VSkf44Zb11D7if2jaL6ua4hPGgYpPcisaJtcEYpURXS8Lw+NjmMExnMpUW39NqnMiTEPom3YBwag
JMKm6/EZOnBvVc8SljH7y69fXiGUXgw6Z6POkg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1/llP5a+sEX6Ky1I5ak8Fr3e35uMro1bXNqkrntPBRVTqUhQPFl7wfr/6Abnu74l73YggylsZJi1
1Erm6sC9oDhL9IE4pENErrDQRZHuFnl4+DlguLd11swTlNfBwauGoCBXbTtZ8+O70UI/sRzXqbZc
NDH1RywyQLhMRmSOjCU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OI4vyCtRzSCtjYNsCqL7rYkcvPw30aumOHoxNPQx0NU0Kc5/zvGo5pjE7sDqPsv0b00mAjKXUE8e
pVllo+uquegdt9Smrq3DaiQC/9hKGiZzOG1rJH9JbLcfPMXDGpwm1inP51BNgkQwocfUEAVndeWo
GE1Y28I9gt/5q5Fs/OUAX9cAh1VoS1OcnYX2wbgJSlzuLqnGWRIxOHl4+NkNkBq5Q3Xm589bPnnz
m+d2tBEPyqaCTvb13xXW7hqIf0ahuv0AQTuiClY+KmF0GjLdJTWJjDWPuRd9WYhybCp/lrgDnhAK
cnRXJnAOwP1Vgr7EPuoyVc3UkNsZTxEr3wrouw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11680)
`protect data_block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==
`protect end_protected
|
mit
|
jakubcabal/uart_for_fpga
|
examples/uart2wb/uart2wbm.vhd
|
2
|
9087
|
--------------------------------------------------------------------------------
-- PROJECT: SIMPLE UART FOR FPGA
--------------------------------------------------------------------------------
-- AUTHORS: Jakub Cabal <[email protected]>
-- LICENSE: The MIT License, please read LICENSE file
-- WEBSITE: https://github.com/jakubcabal/uart-for-fpga
--------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity UART2WBM is
Generic (
CLK_FREQ : integer := 50e6; -- system clock frequency in Hz
BAUD_RATE : integer := 115200 -- baud rate value
);
Port (
-- CLOCK AND RESET
CLK : in std_logic;
RST : in std_logic;
-- UART INTERFACE
UART_TXD : out std_logic;
UART_RXD : in std_logic;
-- WISHBONE MASTER INTERFACE
WB_CYC : out std_logic;
WB_STB : out std_logic;
WB_WE : out std_logic;
WB_ADDR : out std_logic_vector(15 downto 0);
WB_DOUT : out std_logic_vector(31 downto 0);
WB_STALL : in std_logic;
WB_ACK : in std_logic;
WB_DIN : in std_logic_vector(31 downto 0)
);
end entity;
architecture RTL of UART2WBM is
type state is (cmd, addr_low, addr_high, dout0, dout1, dout2, dout3,
request, wait4ack, response, din0, din1, din2, din3);
signal fsm_pstate : state;
signal fsm_nstate : state;
signal cmd_reg : std_logic_vector(7 downto 0);
signal cmd_next : std_logic_vector(7 downto 0);
signal addr_reg : std_logic_vector(15 downto 0);
signal addr_next : std_logic_vector(15 downto 0);
signal dout_reg : std_logic_vector(31 downto 0);
signal dout_next : std_logic_vector(31 downto 0);
signal din_reg : std_logic_vector(31 downto 0);
signal uart_dout : std_logic_vector(7 downto 0);
signal uart_dout_vld : std_logic;
signal uart_din : std_logic_vector(7 downto 0);
signal uart_din_vld : std_logic;
signal uart_din_rdy : std_logic;
begin
process (CLK)
begin
if (rising_edge(CLK)) then
cmd_reg <= cmd_next;
addr_reg <= addr_next;
dout_reg <= dout_next;
end if;
end process;
WB_WE <= cmd_reg(0);
WB_ADDR <= addr_reg;
WB_DOUT <= dout_reg;
process (CLK)
begin
if (rising_edge(CLK)) then
if (WB_ACK = '1') then
din_reg <= WB_DIN;
end if;
end if;
end process;
-- -------------------------------------------------------------------------
-- FSM
-- -------------------------------------------------------------------------
process (CLK)
begin
if (rising_edge(CLK)) then
if (RST = '1') then
fsm_pstate <= cmd;
else
fsm_pstate <= fsm_nstate;
end if;
end if;
end process;
process (fsm_pstate, uart_dout, uart_dout_vld, cmd_reg, addr_reg, dout_reg,
WB_STALL, WB_ACK, uart_din_rdy, din_reg)
begin
fsm_nstate <= cmd;
cmd_next <= cmd_reg;
addr_next <= addr_reg;
dout_next <= dout_reg;
WB_STB <= '0';
WB_CYC <= '0';
uart_din <= cmd_reg;
uart_din_vld <= '0';
case fsm_pstate is
when cmd => -- idle and read request cmd from UART
cmd_next <= uart_dout;
if (uart_dout_vld = '1') then
fsm_nstate <= addr_low;
else
fsm_nstate <= cmd;
end if;
when addr_low => -- read low bits of address from UART
addr_next(7 downto 0) <= uart_dout;
if (uart_dout_vld = '1') then
fsm_nstate <= addr_high;
else
fsm_nstate <= addr_low;
end if;
when addr_high => -- read high bits of address from UART
addr_next(15 downto 8) <= uart_dout;
if (uart_dout_vld = '1') then
if (cmd_reg(0) = '1') then
fsm_nstate <= dout0; -- write cmd
else
fsm_nstate <= request; -- read cmd
end if;
else
fsm_nstate <= addr_high;
end if;
when dout0 => -- read data byte 0 from UART (write cmd only)
dout_next(7 downto 0) <= uart_dout;
if (uart_dout_vld = '1') then
fsm_nstate <= dout1;
else
fsm_nstate <= dout0;
end if;
when dout1 => -- read data byte 1 from UART (write cmd only)
dout_next(15 downto 8) <= uart_dout;
if (uart_dout_vld = '1') then
fsm_nstate <= dout2;
else
fsm_nstate <= dout1;
end if;
when dout2 => -- read data byte 2 from UART (write cmd only)
dout_next(23 downto 16) <= uart_dout;
if (uart_dout_vld = '1') then
fsm_nstate <= dout3;
else
fsm_nstate <= dout2;
end if;
when dout3 => -- read data byte 3 from UART (write cmd only)
dout_next(31 downto 24) <= uart_dout;
if (uart_dout_vld = '1') then
fsm_nstate <= request; -- write request
else
fsm_nstate <= dout3;
end if;
when request => -- send WR or RD request to Wishbone bus
WB_STB <= '1'; -- request is valid
WB_CYC <= '1';
if (WB_STALL = '0') then
fsm_nstate <= wait4ack;
else
fsm_nstate <= request;
end if;
when wait4ack => -- wait for ACK on Wishbone bus
WB_CYC <= '1';
if (WB_ACK = '1') then
fsm_nstate <= response;
else
fsm_nstate <= wait4ack;
end if;
when response => -- send response cmd to UART
uart_din <= cmd_reg;
uart_din_vld <= '1';
if (uart_din_rdy = '1') then
if (cmd_reg(0) = '1') then
fsm_nstate <= cmd; -- idle or new read request cmd (write cmd only)
else
fsm_nstate <= din0; -- send read data to UART (read cmd only)
end if;
else
fsm_nstate <= response;
end if;
when din0 => -- send read data byte 0 to UART (read cmd only)
uart_din <= din_reg(7 downto 0);
uart_din_vld <= '1';
if (uart_din_rdy = '1') then
fsm_nstate <= din1;
else
fsm_nstate <= din0;
end if;
when din1 => -- send read data byte 1 to UART (read cmd only)
uart_din <= din_reg(15 downto 8);
uart_din_vld <= '1';
if (uart_din_rdy = '1') then
fsm_nstate <= din2;
else
fsm_nstate <= din1;
end if;
when din2 => -- send read data byte 2 to UART (read cmd only)
uart_din <= din_reg(23 downto 16);
uart_din_vld <= '1';
if (uart_din_rdy = '1') then
fsm_nstate <= din3;
else
fsm_nstate <= din2;
end if;
when din3 => -- send read data byte 3 to UART (read cmd only)
uart_din <= din_reg(31 downto 24);
uart_din_vld <= '1';
if (uart_din_rdy = '1') then
fsm_nstate <= cmd;
else
fsm_nstate <= din3;
end if;
end case;
end process;
-- -------------------------------------------------------------------------
-- UART module
-- -------------------------------------------------------------------------
uart_i : entity work.UART
generic map (
CLK_FREQ => CLK_FREQ,
BAUD_RATE => BAUD_RATE,
PARITY_BIT => "none",
USE_DEBOUNCER => True
)
port map (
CLK => CLK,
RST => RST,
-- UART INTERFACE
UART_TXD => UART_TXD,
UART_RXD => UART_RXD,
-- USER DATA INPUT INTERFACE
DIN => uart_din,
DIN_VLD => uart_din_vld,
DIN_RDY => uart_din_rdy,
-- USER DATA OUTPUT INTERFACE
DOUT => uart_dout,
DOUT_VLD => uart_dout_vld,
FRAME_ERROR => open,
PARITY_ERROR => open
);
end architecture;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/pf_dpram_select.vhd
|
15
|
118961
|
-------------------------------------------------------------------------------
-- $Id: pf_dpram_select.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_dpram_select.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_dpram_select.vhd
--
-- Description: This vhdl design file uses three input parameters describing
-- the desired storage depth, data width, and FPGA family type.
-- From these, the design selects the optimum Block RAM
-- primitive for the basic storage element and connects them
-- in parallel to accomodate the desired data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_dpram_select.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- DET Oct. 7, 2001 First Version
-- - Adopted design concepts from Goran Bilski's
-- opb_bram.vhd design in the formulation of this
-- design for the Mauna Loa packet FIFO dual port
-- core function.
--
-- DET Oct-31-2001
-- - Changed the generic input parameter C_FAMILY of type string
-- back to the boolean type parameter C_VIRTEX_II. XST support
-- change.
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library unisim;
use unisim.all; -- uses BRAM primitives
-------------------------------------------------------------------------------
entity pf_dpram_select is
generic (
C_DP_DATA_WIDTH : Integer := 32;
C_DP_ADDRESS_WIDTH : Integer := 9;
C_VIRTEX_II : Boolean := true
);
port (
-- Write Port signals
Wr_rst : In std_logic;
Wr_Clk : in std_logic;
Wr_Enable : In std_logic;
Wr_Req : In std_logic;
Wr_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Wr_Data : In std_logic_vector(0 to C_DP_DATA_WIDTH-1);
-- Read Port Signals
Rd_rst : In std_logic;
Rd_Clk : in std_logic;
Rd_Enable : In std_logic;
Rd_Address : in std_logic_vector(0 to C_DP_ADDRESS_WIDTH-1);
Rd_Data : out std_logic_vector(0 to C_DP_DATA_WIDTH-1)
);
end entity pf_dpram_select;
architecture implementation of pf_dpram_select is
Type family_type is (
any ,
x4k ,
x4ke ,
x4kl ,
x4kex ,
x4kxl ,
x4kxv ,
x4kxla ,
spartan ,
spartanxl,
spartan2 ,
spartan2e,
virtex ,
virtexe ,
virtex2 ,
virtex2p ,
unsupported
);
Type bram_prim_type is (
use_srl ,
B4_S1_S1 ,
B4_S2_S2 ,
B4_S4_S4 ,
B4_S8_S8 ,
B4_S16_S16 ,
B16_S1_S1 ,
B16_S2_S2 ,
B16_S4_S4 ,
B16_S9_S9 ,
B16_S18_S18 ,
B16_S36_S36 ,
indeterminate
);
-----------------------------------------------------------------------------
-- This function converts the input C_VIRTEX_II boolean type to an enumerated
-- type. Only Virtex and Virtex II types are currently supported. This
-- used to convert a string to a family type function but string support in
-- the synthesis tools was found to be mutually exclusive between Synplicity
-- and XST.
-----------------------------------------------------------------------------
function get_prim_family (vertex2_select : boolean) return family_type is
Variable prim_family : family_type;
begin
If (vertex2_select) Then
prim_family := virtex2;
else
prim_family := virtex;
End if;
Return (prim_family);
end function get_prim_family;
-----------------------------------------------------------------------------
-- This function chooses the optimum BRAM primitive to utilize as
-- specified by the inputs for data depth, data width, and FPGA part family.
-----------------------------------------------------------------------------
function get_bram_primitive (target_depth: integer;
target_width: integer;
family : family_type )
return bram_prim_type is
Variable primitive : bram_prim_type;
begin
Case family Is
When virtex2p | virtex2 =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate when SRL FIFO incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
when 32 | 64 | 128 | 256 | 512 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When 10 | 11 | 12 | 13 | 14 |
15 | 16 | 17 | 18 =>
primitive := B16_S18_S18;
When others =>
primitive := B16_S36_S36;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When 5 | 6 | 7 | 8 | 9 =>
primitive := B16_S9_S9;
When others =>
primitive := B16_S18_S18;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When 3 | 4 =>
primitive := B16_S4_S4;
When others =>
primitive := B16_S9_S9;
End case;
When 4096 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When 2 =>
primitive := B16_S2_S2;
When others =>
primitive := B16_S4_S4;
End case;
When 8192 =>
Case target_width Is
When 1 =>
primitive := B16_S1_S1;
When others =>
primitive := B16_S2_S2;
End case;
When 16384 =>
primitive := B16_S1_S1;
When others =>
primitive := indeterminate;
End case;
When spartan2 | spartan2e | virtex | virtexe =>
Case target_depth Is
When 1 | 2 =>
primitive := indeterminate; -- depth is too small for BRAM
-- based fifo control logic
When 4 | 8 | 16 =>
-- primitive := use_srl; -- activate this when SRL FIFO is
-- incorporated
Case target_width Is -- use BRAM for now
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 32 | 64 | 128 | 256 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When 5 | 6 | 7 | 8 =>
primitive := B4_S8_S8;
When others =>
primitive := B4_S16_S16;
End case;
when 512 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When 3 | 4 =>
primitive := B4_S4_S4;
When others =>
primitive := B4_S8_S8;
End case;
When 1024 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When 2 =>
primitive := B4_S2_S2;
When others =>
primitive := B4_S4_S4;
End case;
When 2048 =>
Case target_width Is
When 1 =>
primitive := B4_S1_S1;
When others =>
primitive := B4_S2_S2;
End case;
When 4096 =>
primitive := B4_S1_S1;
When others =>
primitive := indeterminate;
End case;
When others =>
primitive := indeterminate;
End case;
Return primitive;
end function get_bram_primitive;
-----------------------------------------------------------------------------
-- This function calculates the number of BRAM primitives required as
-- specified by the inputs for data width and BRAM primitive type.
-----------------------------------------------------------------------------
function get_num_prims (bram_prim : bram_prim_type;
mem_width : integer)
return integer is
Variable bram_num : integer;
begin
Case bram_prim Is
When B16_S1_S1 | B4_S1_S1 =>
bram_num := mem_width;
When B16_S2_S2 | B4_S2_S2 =>
bram_num := (mem_width+1)/2;
When B16_S4_S4 | B4_S4_S4 =>
bram_num := (mem_width+3)/4;
When B4_S8_S8 =>
bram_num := (mem_width+7)/8;
When B16_S9_S9 =>
bram_num := (mem_width+8)/9;
When B4_S16_S16 =>
bram_num := (mem_width+15)/16;
When B16_S18_S18 =>
bram_num := (mem_width+17)/18;
When B16_S36_S36 =>
bram_num := (mem_width+35)/36;
When others =>
bram_num := 1;
End case;
Return (bram_num);
end function get_num_prims;
-- Now set the global CONSTANTS needed for IF-Generates
-- Determine the number of BRAM storage locations needed
constant FIFO_DEPTH : Integer := 2**C_DP_ADDRESS_WIDTH;
-- Convert the input C_VIRTEX_II generic boolean to enumerated type
Constant BRAM_FAMILY : family_type :=
get_prim_family(C_VIRTEX_II);
-- Select the optimum BRAM primitive to use
constant BRAM_PRIMITIVE : bram_prim_type :=
get_bram_primitive(FIFO_DEPTH,
C_DP_DATA_WIDTH,
BRAM_FAMILY);
-- Calculate how many of the selected primitives are needed
-- to populate the desired data width
constant BRAM_NUM : integer :=
get_num_prims(BRAM_PRIMITIVE,
C_DP_DATA_WIDTH);
begin -- architecture
----------------------------------------------------------------------------
-- Using VII 512 x 36 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S36_S36 : if (BRAM_PRIMITIVE = B16_S36_S36) generate
component RAMB16_S36_S36
port (DIA : in STD_LOGIC_VECTOR (31 downto 0);
DIB : in STD_LOGIC_VECTOR (31 downto 0);
DIPA : in STD_LOGIC_VECTOR (3 downto 0);
DIPB : in STD_LOGIC_VECTOR (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (8 downto 0);
ADDRB : in STD_LOGIC_VECTOR (8 downto 0);
DOA : out STD_LOGIC_VECTOR (31 downto 0);
DOB : out STD_LOGIC_VECTOR (31 downto 0);
DOPA : out STD_LOGIC_VECTOR (3 downto 0);
DOPB : out STD_LOGIC_VECTOR (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_PDBUS_WIDTH : integer := 4; -- 4 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 32; -- 4 parity data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_512x32 : RAMB16_S36_S36
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S36_S36;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 1024 x 18 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S18_S18 : if (BRAM_PRIMITIVE = B16_S18_S18) generate
component RAMB16_S18_S18
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
DIPA : in STD_LOGIC_VECTOR (1 downto 0);
DIPB : in STD_LOGIC_VECTOR (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (9 downto 0);
ADDRB : in STD_LOGIC_VECTOR (9 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0);
DOPA : out STD_LOGIC_VECTOR (1 downto 0);
DOPB : out STD_LOGIC_VECTOR (1 downto 0)
);
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_PDBUS_WIDTH : integer := 2; -- 2 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_1024x18 : RAMB16_S18_S18
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S18_S18;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 2048 x 9 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S9_S9 : if (BRAM_PRIMITIVE = B16_S9_S9) generate
component RAMB16_S9_S9
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_PDBUS_WIDTH : integer := 1; -- 1 parity data bit
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
type pdbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_2048x9 : RAMB16_S9_S9
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
DIPA => slice_a_pdbus_in(i),
DIPB => slice_b_pdbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i),
DOPA => slice_a_pdbus_out(i),
DOPB => slice_b_pdbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S9_S9;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 4096 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S4_S4 : if (BRAM_PRIMITIVE = B16_S4_S4) generate
component RAMB16_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_4096x4 : RAMB16_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 8192 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S2_S2 : if (BRAM_PRIMITIVE = B16_S2_S2) generate
component RAMB16_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 13; -- 8192 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_8192x2 : RAMB16_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using VII 16384 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB16_S1_S1 : if (BRAM_PRIMITIVE = B16_S1_S1) generate
component RAMB16_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
SSRA : in std_logic;
SSRB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0) );
end component;
Constant PRIM_ADDR_WIDTH : integer := 14; -- 16384 deep
Constant PRIM_PDBUS_WIDTH : integer := 0; -- 0 parity data bits
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bits
Constant SLICE_DBUS_WIDTH : integer := PRIM_DBUS_WIDTH
+ PRIM_PDBUS_WIDTH; -- (data + parity)
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * SLICE_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--type pdbus_slice_array is array(BRAM_NUM downto 1) of
-- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_in : pdbus_slice_array; -- std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_a_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_in : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_in : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_b_dbus_out : dbus_slice_array; --std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
--Signal slice_b_pdbus_out : pdbus_slice_array; --std_logic_vector(PRIM_PDBUS_WIDTH-1 downto 0);
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= Wr_rst;
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= Rd_rst;
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
--slice_a_pdbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_a_dbus_in(i) <= port_a_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_a_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_a_pdbus_out(i);
port_a_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
--slice_b_pdbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH);
slice_b_dbus_in(i) <= port_b_data_in((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH);
--port_b_data_out((i*SLICE_DBUS_WIDTH)-1 downto
-- (i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH) <= slice_b_pdbus_out(i);
port_b_data_out((i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-1 downto
(i*SLICE_DBUS_WIDTH)-PRIM_PDBUS_WIDTH-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB16_16384x1 : RAMB16_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
SSRA => port_a_ssr,
SSRB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB16_S1_S1;
--==========================================================================
-- End of Virtex-II and Virtex-II Pro support
--///////////////////////////////////////////////////////////////////////////
--///////////////////////////////////////////////////////////////////////////
-- Start Spartan-II, Spartan-IIE, Virtex, and VirtexE support
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 4096 x 1 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S1_S1 : if (BRAM_PRIMITIVE = B4_S1_S1) generate
component RAMB4_S1_S1
port (
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 12; -- 4096 deep
Constant PRIM_DBUS_WIDTH : integer := 1; -- 1 data bit
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_4096x1 : RAMB4_S1_S1
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S1_S1;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 2048 x 2 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S2_S2 : if (BRAM_PRIMITIVE = B4_S2_S2) generate
component RAMB4_S2_S2
port (
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 11; -- 2048 deep
Constant PRIM_DBUS_WIDTH : integer := 2; -- 2 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_2048x2 : RAMB4_S2_S2
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S2_S2;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 1024 x 4 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S4_S4 : if (BRAM_PRIMITIVE = B4_S4_S4) generate
component RAMB4_S4_S4
port (
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 10; -- 1024 deep
Constant PRIM_DBUS_WIDTH : integer := 4; -- 4 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_1024x4 : RAMB4_S4_S4
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S4_S4;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 512 x 8 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S8_S8 : if (BRAM_PRIMITIVE = B4_S8_S8) generate
component RAMB4_S8_S8
port (
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 9; -- 512 deep
Constant PRIM_DBUS_WIDTH : integer := 8; -- 8 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_512x8 : RAMB4_S8_S8
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S8_S8;
--==========================================================================
----------------------------------------------------------------------------
-- Using Spartan-II, Spartan-IIE, Virtex, and VirtexE
-- 256 x 16 Dual Port Primitive
----------------------------------------------------------------------------
Using_RAMB4_S16_S16 : if (BRAM_PRIMITIVE = B4_S16_S16) generate
component RAMB4_S16_S16
port (DIA : in STD_LOGIC_VECTOR (15 downto 0);
DIB : in STD_LOGIC_VECTOR (15 downto 0);
ENA : in std_logic;
ENB : in std_logic;
WEA : in std_logic;
WEB : in std_logic;
RSTA : in std_logic;
RSTB : in std_logic;
CLKA : in std_logic;
CLKB : in std_logic;
ADDRA : in STD_LOGIC_VECTOR (7 downto 0);
ADDRB : in STD_LOGIC_VECTOR (7 downto 0);
DOA : out STD_LOGIC_VECTOR (15 downto 0);
DOB : out STD_LOGIC_VECTOR (15 downto 0));
end component;
Constant PRIM_ADDR_WIDTH : integer := 8; -- 256 deep
Constant PRIM_DBUS_WIDTH : integer := 16; -- 16 data bits
Constant BRAM_DATA_WIDTH : integer := BRAM_NUM * PRIM_DBUS_WIDTH;
type dbus_slice_array is array(BRAM_NUM downto 1) of
std_logic_vector(PRIM_DBUS_WIDTH-1 downto 0);
Signal slice_a_dbus_in : dbus_slice_array;
Signal slice_a_dbus_out : dbus_slice_array;
Signal slice_b_dbus_in : dbus_slice_array;
Signal slice_b_dbus_out : dbus_slice_array;
Signal slice_a_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
Signal slice_b_abus : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_a_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_a_enable : std_logic;
signal port_a_wr_enable : std_logic;
signal port_a_ssr : std_logic;
signal port_b_addr : std_logic_vector(PRIM_ADDR_WIDTH-1 downto 0);
signal port_b_data_in : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_data_out : std_logic_vector(BRAM_DATA_WIDTH-1 downto 0);
signal port_b_enable : std_logic;
signal port_b_wr_enable : std_logic;
signal port_b_ssr : std_logic;
begin -- generate
port_a_enable <= Wr_Enable;
port_a_wr_enable <= Wr_Req;
port_a_ssr <= wr_rst; -- no output reset value
port_b_data_in <= (others => '0'); -- no input data to port B
port_b_enable <= Rd_Enable;
port_b_wr_enable <= '0'; -- no writing to port B
port_b_ssr <= rd_rst; -- no output reset value
-- translate big-endian and little_endian indexes of the
-- data buses
TRANSLATE_DATA : process (Wr_Data, port_b_data_out)
Begin
port_a_data_in <= (others => '0');
for i in C_DP_DATA_WIDTH-1 downto 0 loop
port_a_data_in(i) <= Wr_Data(C_DP_DATA_WIDTH-1-i);
Rd_Data(C_DP_DATA_WIDTH-1-i) <= port_b_data_out(i);
End loop;
End process TRANSLATE_DATA;
-- translate big-endian and little_endian indexes of the
-- address buses (makes simulation easier)
TRANSLATE_ADDRESS : process (Wr_Address, Rd_Address)
Begin
port_a_addr <= (others => '0');
port_b_addr <= (others => '0');
for i in C_DP_ADDRESS_WIDTH-1 downto 0 loop
port_a_addr(i) <= Wr_Address(C_DP_ADDRESS_WIDTH-1-i);
port_b_addr(i) <= Rd_Address(C_DP_ADDRESS_WIDTH-1-i);
End loop;
End process TRANSLATE_ADDRESS;
slice_a_abus <= port_a_addr;
slice_b_abus <= port_b_addr;
BRAM_LOOP : for i in BRAM_NUM downto 1 generate
slice_a_dbus_in(i) <= port_a_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_a_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_a_dbus_out(i);
slice_b_dbus_in(i) <= port_b_data_in((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH);
port_b_data_out((i*PRIM_DBUS_WIDTH)-1 downto
(i*PRIM_DBUS_WIDTH)-PRIM_DBUS_WIDTH) <= slice_b_dbus_out(i);
-- Port A is fixed as the input (write) port
-- Port B is fixed as the output (read) port
I_DPB4_256x16 : RAMB4_S16_S16
port map(
DIA => slice_a_dbus_in(i),
DIB => slice_b_dbus_in(i),
ENA => port_a_enable,
ENB => port_b_enable,
WEA => port_a_wr_enable,
WEB => port_b_wr_enable,
RSTA => port_a_ssr,
RSTB => port_b_ssr,
CLKA => Wr_Clk,
CLKB => Rd_Clk,
ADDRA => slice_a_abus,
ADDRB => slice_b_abus,
DOA => slice_a_dbus_out(i),
DOB => slice_b_dbus_out(i)
);
End generate BRAM_LOOP;
end generate Using_RAMB4_S16_S16;
--==========================================================================
UNSUPPORTED_FAMILY : if (BRAM_PRIMITIVE = indeterminate) generate
begin
-- assert (false)
-- report "Unsupported Part Family Selected or FIFO Depth/Width is invalid!"
-- severity failure;
--
end generate UNSUPPORTED_FAMILY;
end architecture implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/clk_x_pntrs.vhd
|
19
|
35009
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
VHfaMJ2jDU0R2eAkOntfC5B4/6MobpZ0NSnc7trviKzQU5KHakm896MNUQ/U/XUDUOQl1Ix9hEug
uFcdFGHOlA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jZ28dq+cqatvP/oWT0j+kbhevax+rcvgcOVET6FHORIxsClPAe5EiSXk6mDgtoieHOJgnr3iO4zI
pViSw9QXhHwC7nkjQzCL5GNnIAYREubhi50JKwxrsTofbyKzT/U5b+jDP0girnK+nPIjwrQv3vvD
PHropUlOeQU1eg5rEJo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
wyTaR+5BBK3PMm+GuCvq0Bco7y5f/oiFqNMyoEJ+yA7qA21Rc24sV0Xv3v9W4doHSIdeeP0oUNh7
9I5Dbu7bsdY24p4a6rVQlpW5VOJjg7abnoTszev3jaBtBOpAM+FQDIkOj6hl9ZK+eUTOGH08ap1P
3rtu9S06fVXB15p5GUL4qJ+pbX9as7bXZJVw8JMDVFn1WsdJ/zMn5PNvL5qC5jZb/F7Sf9m7DkwY
x8I3vpZz7RsD6/RmMhT4lv1FkcH4MpJegB1J0hL5KoGG72FOKCqONCLsZdmnqz5BmJzgYmphlYZC
jJckdSX4yOLEg+jbosSObzMclIjrm9gORAOhKg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qekQcsRlt2+SE3/eW9XQwKmx/wWWvcG3c3jSLvuGiy4GIetXM6PaXqKAuGTMI8b+mux4A6dEdodI
mIX5ojnf5ZA1jyISA9q0jKtn/LDbiV/JtKzm0pK23fPqh9/IUaTz+oirXN82WQzZFKQ5TKpwrFn6
ZmImSJcOKVgUcM/iG2U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tlEZl/v6lEdJp5aVMLYyANJmLh8DNrpNnDhyEkIUHbeTfiozIDqQ3eefGpJHd1yUjxDr+M7d69UI
c7u5loKJo9CP6qAEjMhB9NE50dWkO/cRVvdlBQSlpGD8Asrd28oTNAHTTge+6t1TRCmYfvMKOt+b
zBqmGPTyIDG3LI8DiLXNfUjWjl16n5IRikeD/e8FsFJjAF/a0Kjal/N8CzCmRiQPdsZhdMiruSdi
vpIRkNPRNpCK4J6asTfuTemt2JkEkG10IvEYhZ/qTCco9PECc5G9y0loOf9owc6R54o3iALi9D4Q
T0iTW1tROVF1jLbRTIe753z7r02QD4PyC+02yQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24176)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/inferred_lut4.vhd
|
15
|
7214
|
-------------------------------------------------------------------------------
-- $Id: inferred_lut4.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- inferred_lut4.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: inferred_lut4.vhd
--
-- Description: This module is used to infer a LUT4 instantiation in
-- structural VHDL. It is compatable with Synplicity and xst
-- synthesis tools.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- inferred_lut4.vhd
--
-------------------------------------------------------------------------------
-- Author: D.Thorpe
--
-- History:
-- DET 2001-10-11 LUT4 implementation to work around xst lut4 problem with
-- INIT generic. Adapted from XST France work-around
-- solution sent to Bert Tise.
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x"
-- Bus_rst signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------------------------------
entity inferred_lut4 is
generic (INIT : bit_vector(15 downto 0));
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic
);
end entity inferred_lut4;
-------------------------------------------------------------------------------
architecture implementation of inferred_lut4 is
signal b : std_logic_vector(3 downto 0);
signal tmp : integer range 0 to 15;
begin
b <= (I3, I2, I1, I0);
tmp <= conv_integer(b);
O <= To_StdUlogic(INIT(tmp));
end architecture implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/blk_mem_gen_v8_1/blk_mem_axi_write_wrapper.vhd
|
27
|
66283
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qm+ahCoXbtCT96FlU7osNjp8Kf3rDAFQ8vMBTpaKgTo3EvHN1CM/XiHNcIsmMQ17hbL+pWxo5SQe
TeNJ1GZN0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KB+ek3mkpx3N+ihSLNljgKYzWfCbUQKXGho6dSjrHEWrzL9W93J5UQjcPdLkP/4r8XQ5AjiJVm8G
O0+WgdiO6dbDdWggVe0UZIQ5qp9jotaT15XQQVVkD2rcK5wquost1xsRm7MTsEsCbzkhqKPM6ASZ
mpW7GzuYQ2vDPmY/r9U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
5IFnCgXf/KjXBNbWCJPfF+u/Xe3PWCvLt3/lqQEWvv6nS2jJ8qz3O+bSiUUxyt/rlAZZm5DvQ41j
Vn2wE7il4mdux1L3DFueP8Ob6UEbh6yobetr8hrEOpbRcnmnH7rXtvR+yuK3psDEpqbW7d8GyDcy
T6jGK5xIsUceYrUwudt7lxYx4bLnzP6q2c6uLhkxaoLJTWJGh28se0dzlAMX/BnMMfjK0HDKD6kp
1VwH2Gj4iT7DvyBkDmISaH7LPSlLhe+ZmQMkilflhi03bS9w9ABaqs6v4fufe3/pEUeBrvl3gRH/
oCU4QtUwSf8qfFsWdX+C6Nn7mzOb0WSGIH22+A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BAf2bWZTeSaPIqnT3j5aNO9C6t5/rcfC+/QtvmxOirWtcQ57aHowXlt817D+9PTxe4qEx5CjzmUg
9oMYSESB8IK4XXnHzrwWEKN1a7YOhI72J3KxmNssnP6jdEMx0znih/oPMXJaAdPPRUXzSczvXVqf
S7AhrmorMi/7B7tc1xI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dVk9aS2pcwcb0BrWR4Sm4FSW8QQWqHH7xHbqUaQTDLyPydXvHmrmxiDqUJWu8AAmbDSnHtBnMo/b
vhz6TIedlqcgp9o49Jh0CEli94frA6kGx65vbdl7q0c/R9+UB+XDf9B8tq4xwdSd4Twx0zVa9WGD
lmNliqJyvFk+OMbS2OJJyBNqK6eZPVzKMFkUG0UJu6TERfYV2nuxVMsugR94X7JoKx+W2jEprOdB
UQVXsqhudTLpaKEQiNqzDCaBK0P3FekkJJMtZNaV6veO7wX6Us6tTDs6pxGysSo4e6tLocXysaO7
1blW1S7foypb+e5LTkDXsQjIPmjtBTMz3Y2yyQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/bram_fifo_rstlogic.vhd
|
19
|
21262
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dKfOe1Fgzj6faSFeL/IK/IGbXRIzt9OQ8DZnq2KAQwbAq1xs/txiDbhMB5jT5GTGOpfv1lX7K9mJ
mDVaIsrDmA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cmnaZ+nYMcuVxuKDdMnuchBB9inZOxPR3/E/irYVdWCPhl0UM4JuWPFoKMQnAcsoQ3vgnwO/qltn
0x8JvlvddPokOTwabXK7+R741NBmTaawP5Y3zobRhI33jusePpwNTanCHaHjalZxzALXRseOguzG
AwGiKgpBkrzwT+frUqs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sUxQSwzYYe52m4+VJThnA3rSxL81p7y01A34NmBjYzEeDRUnhBCVE2EYcZxUZHf3SzWeAqe17qZn
+OUEYPsHFdXLy5QnKWkfeT6eelEedeGrqLjWta/XE+CwvggarDRC3yCpKHD1RObvSaidPkoLOQaz
Mr6i41kRIdL7xQbC4uLsdgEZKWh/fWAVQ0EsVnkKqE8EuxaCZ+UTjEptEyr1FyibFlRQuCcRV1zc
KGcqqHxwzSvE0/TqNDvaxlN4HZAny51ra9dxL1achi8jzJgZlO8wt9Agqbh7GQueaCXon2S1zoWz
ehgKeTmxlL7ytzeVDSpaRq2XKBPlYb/82fe70w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nlRZm6Q4mAeDfFS8oXcdcSIf6QMcM0qJWL/GpoNfKsPw7GwRrG7w5Fv9DZ3ev8dGDXi3ZhhDXcQa
Irin1hT7IkRZSupkXr6uysVtJeCdG/feYDkdTZzOR87EjbK5yer40aqraNg1lVIuObcgZ8AniYE5
0hMf7gQTkG+H4+tX0yk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HiHN8/USAozrVtx8xCHzL7SU/8fs0dpiHUe+Pxq1X1HHq6PWwlbojxR2di+cVlcr3m6I0F2zjyVW
WLu1kh2il765GldD+RCzgw8JhGbJOXcaDKXvV9p6bqICOBy5WCTf6gQ/vOVRu1kKDvf68tu0aJcM
5GW26Rwq/4L2jSNVHzuzVdgC87Mdq7eVgLL1qlhKwYslU6Eg0eOYTUfGfgCo2Z6Lcfi0atBesKpT
DSbchvClt7fyjz3I+qeNhclJOyfOLBdaqFIyBSFk+zxyw4U3h7toqFVwQu8Fc+NwLgyBezl0ZUBN
S4Kep7fupBYYGAqkU2vi+UvgcgkZQxj4+5jXGg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/pf_occ_counter.vhd
|
15
|
10945
|
-------------------------------------------------------------------------------
-- $Id: pf_occ_counter.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pf_occ_counter - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_occ_counter.vhd
--
-- Description: Implements packet fifo occupancy counter. This special
-- counter provides these functions:
-- - up/down count control
-- - pre-increment/pre-decrement of input load value
-- - count by 2
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_occ_counter.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- D. Thorpe 2001-09-07 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst signal connect to the pf_counter_bit module
--
-- DET 2002-02-24
-- - Changed the use of MUXCY_L to MUXCY.
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_counter_bit;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_occ_counter is
generic (
C_COUNT_WIDTH : integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Carry_Out : out std_logic;
Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Cnt_by_2 : In std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_occ_counter;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_occ_counter is
component MUXCY is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
O : out std_logic);
end component MUXCY;
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-2);
signal i_mux_Count_Out : std_logic_vector(0 to C_COUNT_WIDTH-2);
signal count_clock_en : std_logic;
signal carry_out_lsb : std_logic;
signal carry_in_lsb : std_logic;
signal count_out_lsb : std_logic;
Signal mux_cnt_in_lsb : std_logic;
Signal carry_out_select_di: std_logic;
Signal carry_start : std_logic;
Signal carry_start_select : std_logic;
Signal by_2_carry_start : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
count_clock_en <= Count_Enable or Count_Load;
MUX_THE_LSB_INPUT : process (count_out_lsb, Load_In, Count_Load)
Begin
If (Count_Load = '0') Then
mux_cnt_in_lsb <= count_out_lsb;
else
mux_cnt_in_lsb <= Load_In(C_COUNT_WIDTH-1);
End if;
End process MUX_THE_LSB_INPUT;
carry_start <= Count_Down xor Count_Enable;
by_2_carry_start <= Cnt_by_2 and Count_Down;
carry_start_select <= not(Cnt_by_2);
I_MUXCY_LSB_IN : MUXCY
port map (
DI => by_2_carry_start,
CI => carry_start,
S => carry_start_select,
O => carry_in_lsb);
I_COUNTER_BIT_LSB : entity proc_common_v4_0.pf_counter_bit
port map (
Clk => Clk,
Rst => Rst,
Count_In => mux_cnt_in_lsb,
Load_In => '0',
Count_Load => '0',
Count_Down => Count_Down,
Carry_In => carry_in_lsb,
Clock_Enable => count_clock_en,
Result => count_out_lsb,
Carry_Out => carry_out_lsb);
carry_out_select_di <= Count_Down xor Cnt_by_2;
I_MUXCY_LSB_OUT : MUXCY
port map (
DI => carry_out_select_di,
CI => carry_out_lsb,
S => carry_start_select,
O => alu_cy(C_COUNT_WIDTH-1));
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-2 generate
begin
MUX_THE_INPUT : process (iCount_Out, Load_In, Count_Load)
Begin
If (Count_Load = '0') Then
i_mux_Count_Out(i) <= iCount_Out(i);
else
i_mux_Count_Out(i) <= Load_In(i);
End if;
End process MUX_THE_INPUT;
Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit
port map (
Clk => Clk,
Rst => Rst,
Count_In => i_mux_Count_Out(i),
Load_In => '0',
Count_Load => '0',
Count_Down => Count_Down,
Carry_In => alu_cy(i+1),
Clock_Enable => count_clock_en,
Result => iCount_Out(i),
Carry_Out => alu_cy(i));
end generate I_ADDSUB_GEN;
Count_Out <= iCount_Out & count_out_lsb;
Carry_Out <= '0';
end architecture implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/ramfifo/rd_handshaking_flags.vhd
|
19
|
13954
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/rd_handshaking_flags.vhd
|
19
|
13954
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YeJs3h9nPnCnr3aRxIBZUXmhDS7WeTgKjgxxU15evXAwgLO5UoYuCJb2fGld8H5MyDQGWc8UFp3Q
QS1bcwQeLw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QMDnsLueMbfhPqb347LcBnHgrgkl6fbZ0QORe+igLd+Fn4pMYglXhNwzAsr45PWnZnHEuCtMe3Am
9p5sJ/ms8icpsPjNhMihj0/+LhkVUeJEYGJR6AGOi4DauCIoKWFsirWy53ZScEPa2MEe+a32HUq7
sCpglfzmrbsWEab4EEg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
F3FpAl1oCeVkGEm2PKCJ71S6Z3CGasBF9SuzLFWQnXwmvUuKd7HyekhOce1QfyX+pLQcgfmP3XmZ
qpZIDWOrbZbtPCk3pZcRYdM0rjk3gWPTq89GN09GyodyzYH5nERal74RXFzqDSlXYzgzDvsSzAku
WQ8fc8R6wi9d8ZzaPtv7Mn3RMOg32FvlzTpy40zwgHFS17RZjspNh23gqb62COtY3bIw5wgzOnnc
pwYSu+4rxmNM105eSJdh2TJiSEN9+pTEYMITQ2PUZ0OLL5Qstj3GHFD8/78u9ynXfzh4PnzFHX+c
DtImYoh20HOPJeCFpBeWPHfekXHEPhbC52n0dQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lq9ua7Pc8cPhzNKkRvioUx2DGTzaswIzLnIP4rJJ3cLZM5wsk5kiUTKl9rdBpb7G3yE/zCnmkGDT
ZEvIhQ4CGdpOb9ZjoYg0BIc1GhYnGIexWpvkFarqP15NwctZCibdBpj579M1D8fvQ9Xw1j6ILLQ5
gUYJd4OzxaJCHTNx0vw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qzr81pSyvLThhRepJmzjPLJdFa8x8hA7KFKfUSPL+CaCFf8sC6XyXYts+1DRzPvdthUp8ISKrFAv
jy1EBIdnZB3D8J/YmjzA1s/E0S3V/3tyfjjyCDrQgRkpjqKN1zwlXCzBMyGSBWpl8ENwa6XmbY6s
fYy2IxFIrKpit7mWPaxU1OjywKhHRwk63dw93KzE2hJmtDZhJmXSPJNkgusdN/mkZzbIYUj8bMZ1
mRTDgqzRIp9L2zyHSB7GfUn9cIiKtJb71ztIZtRMoFGfKpLMWPUiRhyoCIz55vgxKfE+F3ghCh2A
ig+nnH/YWVIR6bKztafV39mEL7utiMvwk79iag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/fifo_generator_v11_0_synth.vhd
|
19
|
237901
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bvOwtDo+u1XQuHmmirIW0G1Eep8h4q1lu6sagQVNOpqoo1dUL25zlZCKWpryXBrbavlsSVZj+/Kj
u5U6Rqq3pA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
R8VeuF45EN20zhkGmJksRGl35KTSV0YbXBmOJfN53AFOKNxf64co0R3kMl1KH48vuem/BXWPzNwW
17k9On+EP4ryAUZ6V1YvtlO9Er2xv4nZefuEO+pELxS67R6s3b0HhdPIKa2fxDF3e7AwjfjDxMiG
HOQbqK01rVOmqe+2yps=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qqYTedtVydnDu0uy4wgVS9xnI5W4e3CBu2tom9I4ji9x6Du0u8YzLw4sHBXlBjTr0CIBWi+453uv
6i+HBaHUw6WLmgP+uD0PvRoMp9iMm4rcTjCZCtUo+5bxaKDQQyKy3VozWJN9cYsOEXUyn41sbHk0
MfnFQ231FTzHKrD8+sW8iXzJhrvAxVZSOCQNc8FKSuvFHDKgrQOZi/Dde7fskgmy7Y+pQzZQUv6h
7xsxzMyVpdCwJjhjdow/xj17Fc+yTtNKSxkHMIxVK6RXkbOidb7jBkIw+8aEzlqsG5f5vpboGqLH
6uQ8IqqBeKv3BDowwIwUDotWgCgTdyFmv35LwA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
xgoCG0tChkhv+ljdCxpV0I73D5nOgliZqF/G39R6pkQNEQixpt7jSEz4sP4s78dR6d8BiB9A3KNg
s8gNghB9SqKmhRG0Jvm/hSIBQCWAqWOwg26IvTnT3j3MalMVsj1r5WE9uyiqdJ+QCTo/Y58NBx8l
pM5ABblrTJM59LnIcqI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VTcA7V7opij8+vJ+tjjgJGiOJ+o6V1u444VHa/k01STvZB7T6/Ztq4KXHSVmD+driESiC+2EQRes
dfVcUifCMaPU4kNZrlpS+Cz6GGzKHuujVBDhNOZum+ncGM2VGmayYd6F9EbhwKFTOVOkQmEz/eFL
4IAryyIE59LghhLnEgKJ/yOFNS6XwipLZ1ztAAj7QDruS/h8wJcmBcjwC4vXftAO79YXKmVgRKly
SlrrXAPgfawAm5V0hj7SI23oHUFrT671NQiN+jfhZylivDC/aANQXHsoSuY7NkiKvHESuXKmJ3iX
cfk8aGjoqSspgWZUBuwV9vfaTHDt+AtBbt97TQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 174368)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/cntr_incr_decr_addn_f.vhd
|
15
|
11429
|
-------------------------------------------------------------------------------
-- $Id: cntr_incr_decr_addn_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- cntr_incr_decr_addn_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005 - 2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: cntr_incr_decr_addn_f.vhd
--
-- Description: This counter can increment, decrement or skip ahead
-- by an arbitrary amount.
--
-- If Reset is active, the value Cnt synchronously resets
-- to all ones. (This reset value, different than the
-- customary reset value of zero, caters to the original
-- application of cntr_incr_decr_addn_f as the address
-- counter for srl_fifo_rbu_f.)
--
-- Otherwise, on each Clk, one is added to Cnt if Incr is
-- asserted and one is subtracted if Decr is asserted. (If
-- both are asserted, then there is no change to Cnt.)
--
-- If Decr is not asserted, then the input value,
-- Nm_to_add, is added. (Simultaneous assertion of Incr
-- would add one more.) If Decr is asserted, then
-- N_to_add, is ignored, i.e., it is possible to decrement
-- by one or add N, but not both, and Decr overrides.
--
-- The value that Cnt will take on at the next clock
-- is available as Cnt_p1.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- cntr_incr_decr_addn_f.vhd
--
-------------------------------------------------------------------------------
--
-- History:
-- FLO 12/30/05 First Version.
--
-- ~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- predecessor value by # clks: "*_p#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--
entity cntr_incr_decr_addn_f is
generic (
C_SIZE : natural;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Reset : in std_logic; -- Note: the counter resets to all ones!
Incr : in std_logic;
Decr : in std_logic;
N_to_add : in std_logic_vector(C_SIZE-1 downto 0);
Cnt : out std_logic_vector(C_SIZE-1 downto 0);
Cnt_p1 : out std_logic_vector(C_SIZE-1 downto 0)
);
end entity cntr_incr_decr_addn_f;
---(
library proc_common_v4_0;
library ieee;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std."+";
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
--
architecture imp of cntr_incr_decr_addn_f is
use proc_common_v4_0.family_support;
use family_support.all; -- primitives_type, primitive_array_type, supported
constant COUNTER_PRIMS_AVAIL : boolean :=
supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDS));
signal cnt_i : std_logic_vector(Cnt'range);
signal cnt_i_p1 : std_logic_vector(Cnt'range);
----------------------------------------------------------------------------
-- Unisim components declared locally for maximum avoidance of default
-- binding and vcomponents version issues.
----------------------------------------------------------------------------
component MUXCY_L
port
(
LO : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
component XORCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
LI : in std_ulogic
);
end component;
component FDS
generic
(
INIT : bit := '1'
);
port
(
Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic;
S : in std_ulogic
);
end component;
begin -- architecture imp
---(
STRUCTURAL_A_GEN : if COUNTER_PRIMS_AVAIL = true generate
signal hsum_A : std_logic_vector(Cnt'range);
signal cry : std_logic_vector(Cnt'length downto 0);
begin
---(
cry(0) <= Incr;
Addr_Counters : for I in cnt_i'range generate
hsum_A(I) <= ((Decr or N_to_add(i)) xor cnt_i(I));
MUXCY_L_I : component MUXCY_L
port map (
DI => cnt_i(I),
CI => cry(I),
S => hsum_A(I),
LO => cry(I+1));
XORCY_I : component XORCY
port map (
LI => hsum_A(I),
CI => cry(I),
O => cnt_i_p1(I));
FDS_I : component FDS
port map (
Q => cnt_i(I),
C => Clk,
D => cnt_i_p1(I),
S => Reset);
end generate Addr_Counters;
---)
end generate STRUCTURAL_A_GEN;
---)
---(
INFERRED_GEN : if COUNTER_PRIMS_AVAIL = false generate
--
CNT_I_P1_PROC : process( cnt_i, N_to_add, Decr, Incr
) is
--
function qual_n_to_add(N_to_add : std_logic_vector;
Decr : std_logic
) return UNSIGNED is
variable r: UNSIGNED(N_to_add'range);
begin
for i in r'range loop
r(i) := N_to_add(i) or Decr;
end loop;
return r;
end;
--
function to_singleton_unsigned(s : std_logic) return unsigned is
variable r : unsigned(0 to 0) := (others => s);
begin
return r;
end;
--
begin
cnt_i_p1 <= std_logic_vector( UNSIGNED(cnt_i)
+ qual_n_to_add(N_to_add, Decr)
+ to_singleton_unsigned(Incr)
);
end process;
--
CNT_I_PROC : process(Clk) is
begin
if Clk'event and Clk = '1' then
if Reset = '1' then
cnt_i <= (others => '1');
else
cnt_i <= cnt_i_p1;
end if;
end if;
end process;
--
end generate INFERRED_GEN;
---)
Cnt <= cnt_i;
Cnt_p1 <= cnt_i_p1;
end architecture imp;
---)
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/fifo_generator_v11_0_pkg.vhd
|
19
|
129958
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
XoDvqNcsUAMVrCepxGZ+692mBkX+rCE8HMYzKPm5R78cJ+RMc0dkNWWZsdClXOY6y1T5UuLnfOdJ
4pIk+MIfbA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GQd6VykDj7htiYnOl+4WVHQM4hKgz1J8Md5aI6kr8/Lamm+PnYCv/9ATHhzH1x3ZwU/+Hk75nShM
Z/fTah2o7SNlXBmxO/TZV+Cu1NdyZPM9aMjSfxhjbc4DdKhbt2eR/JXlXgPN+qqN+l8aDRz6dW1r
rhTiAjUos5V3YtoS0kE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
1H8fvXKZG1QF+UJtGmRK0CnD8bm/+01l6RcgU14qYFFE8GVuJpGQyW5h972p3ANLjy1WRtjYQ4xM
/dkbNa4PXjLXaYaHj221vfSd3lB0MAvfi3uUVJSvclNp9cIhjsynHt6eX7sY3mGpxNDMKipfks7Y
7QsvE6SpbzMkIaxn/W/Og06vrJaRobnXPbk5O8bulSLgRIfqtOFawh2LDbI1+cySFds9EMjhPXGY
R3cSwZrw9voRIz0AJIAvvOBrLoxc5eVp/j0gskNHjRbPo5Gkm/B0oz1Ia6kiZiwtS5XXf5fYsvSq
8ip/JtlfeTs2FRpXweWaPr5rFOg0LxkGg0mLCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A7F7hPxr1ObCyOsY3iC3Phcz4OOcedLcCp9ggSn92l+/8vc/8WokvA1XgYsChaRHJl3lXf2X6jfk
OU2I7E3QgZVgyd5+syjWVqouw27C41FFBeCuGD1GtzyBYnFEqdtK4Wi9fPab76EJM+QSrUTFTxOM
vNsxaERzJOCdVgQoGH4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DAf8RFZxkL4Com/8UijiDJflLxIdfhDldD1zcH1XeixMo5g8/n+Yg5p6ecx6wthzScLrbvkfxjSo
INrqjZhuOy8JD1hgSySspkuAnlB/pYzsB41QYrTQXDdhODLQLAYA4QNlYnc0Hld5QRA0QsNa7b9I
jitn7EoP2gA5KtAm5w8Y3SJ5GziR/wWC7+Oq7vo7hHrOsipiX4kUa9vhXNaEzGvrcPOJN0YgaqRR
HJt/OxiJdqU+tEWkUefOFMVnQWevf91iZ/Fb0oG88z41wfeJt8eTwCR6ZrUTPInU5uj9Frdns/GT
RmMrsalABVuwLraRXdip/IKnMD1dw9K3eH9MHA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 94464)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/soft_reset.vhd
|
15
|
13928
|
-------------------------------------------------------------------------------
-- $Id: soft_reset.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
--soft_reset.vhd v1.01a
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: soft_reset.vhd
-- Version: v1_00_a
-- Description: This VHDL design file is the Soft Reset Service
--
-------------------------------------------------------------------------------
-- Structure:
--
-- soft_reset.vhd
--
--
-------------------------------------------------------------------------------
-- Author: Gary Burch
--
-- History:
-- GAB Aug 2, 2006 v1.00a (initial release)
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- Library definitions
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
-------------------------------------------------------------------------------
entity soft_reset is
generic (
C_SIPIF_DWIDTH : integer := 32;
-- Width of the write data bus
C_RESET_WIDTH : integer := 4
-- Width of triggered reset in Bus Clocks
);
port (
-- Inputs From the IPIF Bus
Bus2IP_Reset : in std_logic;
Bus2IP_Clk : in std_logic;
Bus2IP_WrCE : in std_logic;
Bus2IP_Data : in std_logic_vector(0 to C_SIPIF_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to (C_SIPIF_DWIDTH/8)-1);
-- Final Device Reset Output
Reset2IP_Reset : out std_logic;
-- Status Reply Outputs to the Bus
Reset2Bus_WrAck : out std_logic;
Reset2Bus_Error : out std_logic;
Reset2Bus_ToutSup : out std_logic
);
end soft_reset ;
-------------------------------------------------------------------------------
architecture implementation of soft_reset is
-------------------------------------------------------------------------------
-- Function Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- Module Software Reset screen value for write data
-- This requires a Hex 'A' to be written to ativate the S/W reset port
constant RESET_MATCH : std_logic_vector(0 to 3) := "1010";
-- Required BE index to be active during Reset activation
constant BE_MATCH : integer := 3;
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
signal sm_reset : std_logic;
signal error_reply : std_logic;
signal reset_wrack : std_logic;
signal reset_error : std_logic;
signal reset_trig : std_logic;
signal wrack : std_logic;
signal wrack_ff_chain : std_logic;
signal flop_q_chain : std_logic_vector(0 to C_RESET_WIDTH);
--signal bus2ip_wrce_d1 : std_logic;
signal data_is_non_reset_match : std_logic;
signal sw_rst_cond : std_logic;
signal sw_rst_cond_d1 : std_logic;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
begin
-- Misc assignments
Reset2Bus_WrAck <= reset_wrack;
Reset2Bus_Error <= reset_error;
Reset2Bus_ToutSup <= sm_reset; -- Suppress a data phase timeout when
-- a commanded reset is active.
reset_wrack <= (reset_error or wrack);-- and Bus2IP_WrCE;
reset_error <= data_is_non_reset_match and Bus2IP_WrCE;
Reset2IP_Reset <= Bus2IP_Reset or sm_reset;
---------------------------------------------------------------------------------
---- Register WRCE for use in creating a strobe pulse
---------------------------------------------------------------------------------
--REG_WRCE : process(Bus2IP_Clk)
-- begin
-- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then
-- if(Bus2IP_Reset = '1')then
-- bus2ip_wrce_d1 <= '0';
-- else
-- bus2ip_wrce_d1 <= Bus2IP_WrCE;
-- end if;
-- end if;
-- end process REG_WRCE;
--
-------------------------------------------------------------------------------
-- Start the S/W reset state machine as a result of an IPIF Bus write to
-- the Reset port and the data on the DBus inputs matching the Reset
-- match value. If the value on the data bus input does not match the
-- designated reset key, an error acknowledge is generated.
-------------------------------------------------------------------------------
--DETECT_SW_RESET : process (Bus2IP_Clk)
-- begin
-- if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') then
-- if (Bus2IP_Reset = '1') then
-- error_reply <= '0';
-- reset_trig <= '0';
-- elsif (Bus2IP_WrCE = '1'
-- and Bus2IP_BE(BE_MATCH) = '1'
-- and Bus2IP_Data(28 to 31) = RESET_MATCH) then
-- error_reply <= '0';
-- reset_trig <= Bus2IP_WrCE and not bus2ip_wrce_d1;
-- elsif (Bus2IP_WrCE = '1') then
-- error_reply <= '1';
-- reset_trig <= '0';
-- else
-- error_reply <= '0';
-- reset_trig <= '0';
-- end if;
-- end if;
-- end process DETECT_SW_RESET;
data_is_non_reset_match <=
'0' when (Bus2IP_Data(C_SIPIF_DWIDTH-4 to C_SIPIF_DWIDTH-1) = RESET_MATCH
and Bus2IP_BE(BE_MATCH) = '1')
else '1';
--------------------------------------------------------------------------------
-- SW Reset
--------------------------------------------------------------------------------
----------------------------------------------------------------------------
sw_rst_cond <= Bus2IP_WrCE and not data_is_non_reset_match;
--
RST_PULSE_PROC : process (Bus2IP_Clk)
Begin
if (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then
if (Bus2IP_Reset = '1') Then
sw_rst_cond_d1 <= '0';
reset_trig <= '0';
else
sw_rst_cond_d1 <= sw_rst_cond;
reset_trig <= sw_rst_cond and not sw_rst_cond_d1;
end if;
end if;
End process;
-------------------------------------------------------------------------------
-- RESET_FLOPS:
-- This FORGEN implements the register chain used to create
-- the parameterizable reset pulse width.
-------------------------------------------------------------------------------
RESET_FLOPS : for index in 0 to C_RESET_WIDTH-1 generate
flop_q_chain(0) <= '0';
RST_FLOPS : FDRSE
port map(
Q => flop_q_chain(index+1), -- : out std_logic;
C => Bus2IP_Clk, -- : in std_logic;
CE => '1', -- : in std_logic;
D => flop_q_chain(index), -- : in std_logic;
R => Bus2IP_Reset, -- : in std_logic;
S => reset_trig -- : in std_logic
);
end generate RESET_FLOPS;
-- Use the last flop output for the commanded reset pulse
sm_reset <= flop_q_chain(C_RESET_WIDTH);
wrack_ff_chain <= flop_q_chain(C_RESET_WIDTH) and
not(flop_q_chain(C_RESET_WIDTH-1));
-- Register the Write Acknowledge for the Reset write
-- This is generated at the end of the reset pulse. This
-- keeps the Slave busy until the commanded reset completes.
FF_WRACK : FDRSE
port map(
Q => wrack, -- : out std_logic;
C => Bus2IP_Clk, -- : in std_logic;
CE => '1', -- : in std_logic;
D => wrack_ff_chain, -- : in std_logic;
R => Bus2IP_Reset, -- : in std_logic;
S => '0' -- : in std_logic
);
end implementation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/proc_common_pkg.vhd
|
15
|
18735
|
-------------------------------------------------------------------------------
-- $Id: proc_common_pkg.vhd,v 1.1.4.46 2010/10/28 01:14:32 ostlerf Exp $
-------------------------------------------------------------------------------
-- Processor Common Library Package
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: proc_common_pkg.vhd
-- Version: v1.21b
-- Description: This file contains the constants and functions used in the
-- processor common library components.
--
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 09/12/01 -- Created from opb_arb_pkg.vhd
--
-- ALS 09/21/01
-- ^^^^^^
-- Added pwr function. Replaced log2 function with one that works for XST.
-- ~~~~~~
--
-- ALS 12/07/01
-- ^^^^^^
-- Added Addr_bits function.
-- ~~~~~~
-- ALS 01/31/02
-- ^^^^^^
-- Added max2 function.
-- ~~~~~~
-- FLO 02/22/02
-- ^^^^^^
-- Extended input argument range of log2 function to 2^30. Also, added
-- a check that the argument does not exceed this value; a failure
-- assertion violation is generated if it does not.
-- ~~~~~~
-- FLO 08/31/06
-- ^^^^^^
-- Removed type TARGET_FAMILY_TYPE and functions Get_Reg_File_Area and
-- Get_RLOC_Name. These objects are not used. Further, the functions
-- produced misleading warnings (CR419886, CR419898).
-- ~~~~~~
-- FLO 05/25/07
-- ^^^^^^
-- -Reimplemented function pad_power2 to correct error when the input
-- argument is 1. (fixes CR 303469)
-- -Added function clog2(x), which returns the integer ceiling of the
-- base 2 logarithm of x. This function can be used in place of log2
-- when wishing to avoid the XST warning, "VHDL Assertion Statement
-- with non constant condition is ignored".
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-- DET 5/8/2009 v4_0 for EDK L.SP2
-- ~~~~~~
-- - Per CR520627
-- - Added synthesis translate_off/on constructs to the log2 function
-- around the assertion statement. This removes a repetative XST Warning
-- in SRP files about a non-constant assertion check.
-- ^^^^^^
-- FL0 20/27/2010
-- ^^^^^^
-- Removed 42 TBD comment, again. (CR 568493)
-- ~~~~~~
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- need conversion function to convert reals/integers to std logic vectors
use ieee.std_logic_arith.conv_std_logic_vector;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package proc_common_pkg is
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
type CHAR_TO_INT_TYPE is array (character) of integer;
-- type INTEGER_ARRAY_TYPE is array (natural range <>) of integer;
-- Type SLV64_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 63);
-------------------------------------------------------------------------------
-- Function and Procedure Declarations
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer;
function min2 (num1, num2 : integer) return integer;
function Addr_Bits(x,y : std_logic_vector) return integer;
function clog2(x : positive) return natural;
function pad_power2 ( in_num : integer ) return integer;
function pad_4 ( in_num : integer ) return integer;
function log2(x : natural) return integer;
function pwr(x: integer; y: integer) return integer;
function String_To_Int(S : string) return integer;
function itoa (int : integer) return string;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- the RESET_ACTIVE constant should denote the logic level of an active reset
constant RESET_ACTIVE : std_logic := '1';
-- table containing strings representing hex characters for conversion to
-- integers
constant STRHEX_TO_INT_TABLE : CHAR_TO_INT_TYPE :=
('0' => 0,
'1' => 1,
'2' => 2,
'3' => 3,
'4' => 4,
'5' => 5,
'6' => 6,
'7' => 7,
'8' => 8,
'9' => 9,
'A'|'a' => 10,
'B'|'b' => 11,
'C'|'c' => 12,
'D'|'d' => 13,
'E'|'e' => 14,
'F'|'f' => 15,
others => -1);
end proc_common_pkg;
package body proc_common_pkg is
-------------------------------------------------------------------------------
-- Function Definitions
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Function max2
--
-- This function returns the greater of two numbers.
-------------------------------------------------------------------------------
function max2 (num1, num2 : integer) return integer is
begin
if num1 >= num2 then
return num1;
else
return num2;
end if;
end function max2;
-------------------------------------------------------------------------------
-- Function min2
--
-- This function returns the lesser of two numbers.
-------------------------------------------------------------------------------
function min2 (num1, num2 : integer) return integer is
begin
if num1 <= num2 then
return num1;
else
return num2;
end if;
end function min2;
-------------------------------------------------------------------------------
-- Function Addr_bits
--
-- function to convert an address range (base address and an upper address)
-- into the number of upper address bits needed for decoding a device
-- select signal. will handle slices and big or little endian
-------------------------------------------------------------------------------
function Addr_Bits(x,y : std_logic_vector) return integer is
variable addr_xor : std_logic_vector(x'range);
variable count : integer := 0;
begin
assert x'length = y'length and (x'ascending xnor y'ascending)
report "Addr_Bits: arguments are not the same type"
severity ERROR;
addr_xor := x xor y;
for i in x'range
loop
if addr_xor(i) = '1' then return count;
end if;
count := count + 1;
end loop;
return x'length;
end Addr_Bits;
--------------------------------------------------------------------------------
-- Function clog2 - returns the integer ceiling of the base 2 logarithm of x,
-- i.e., the least integer greater than or equal to log2(x).
--------------------------------------------------------------------------------
function clog2(x : positive) return natural is
variable r : natural := 0;
variable rp : natural := 1; -- rp tracks the value 2**r
begin
while rp < x loop -- Termination condition T: x <= 2**r
-- Loop invariant L: 2**(r-1) < x
r := r + 1;
if rp > integer'high - rp then exit; end if; -- If doubling rp overflows
-- the integer range, the doubled value would exceed x, so safe to exit.
rp := rp + rp;
end loop;
-- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r
return r; --
end clog2;
-------------------------------------------------------------------------------
-- Function pad_power2
--
-- This function returns the next power of 2 from the input number. If the
-- input number is a power of 2, this function returns the input number.
--
-- This function is used to round up the number of masters to the next power
-- of 2 if the number of masters is not already a power of 2.
--
-- Input argument 0, which is not a power of two, is accepted and returns 0.
-- Input arguments less than 0 are not allowed.
-------------------------------------------------------------------------------
--
function pad_power2 (in_num : integer ) return integer is
begin
if in_num = 0 then
return 0;
else
return 2**(clog2(in_num));
end if;
end pad_power2;
-------------------------------------------------------------------------------
-- Function pad_4
--
-- This function returns the next multiple of 4 from the input number. If the
-- input number is a multiple of 4, this function returns the input number.
--
-------------------------------------------------------------------------------
--
function pad_4 (in_num : integer ) return integer is
variable out_num : integer;
begin
out_num := (((in_num-1)/4) + 1)*4;
return out_num;
end pad_4;
-------------------------------------------------------------------------------
-- Function log2 -- returns number of bits needed to encode x choices
-- x = 0 returns 0
-- x = 1 returns 0
-- x = 2 returns 1
-- x = 4 returns 2, etc.
-------------------------------------------------------------------------------
--
function log2(x : natural) return integer is
variable i : integer := 0;
variable val: integer := 1;
begin
if x = 0 then return 0;
else
for j in 0 to 29 loop -- for loop for XST
if val >= x then null;
else
i := i+1;
val := val*2;
end if;
end loop;
-- Fix per CR520627 XST was ignoring this anyway and printing a
-- Warning in SRP file. This will get rid of the warning and not
-- impact simulation.
-- synthesis translate_off
assert val >= x
report "Function log2 received argument larger" &
" than its capability of 2^30. "
severity failure;
-- synthesis translate_on
return i;
end if;
end function log2;
-------------------------------------------------------------------------------
-- Function pwr -- x**y
-- negative numbers not allowed for y
-------------------------------------------------------------------------------
function pwr(x: integer; y: integer) return integer is
variable z : integer := 1;
begin
if y = 0 then return 1;
else
for i in 1 to y loop
z := z * x;
end loop;
return z;
end if;
end function pwr;
-------------------------------------------------------------------------------
-- Function itoa
--
-- The itoa function converts an integer to a text string.
-- This function is required since `image doesn't work in Synplicity
-- Valid input range is -9999 to 9999
-------------------------------------------------------------------------------
--
function itoa (int : integer) return string is
type table is array (0 to 9) of string (1 to 1);
constant LUT : table :=
("0", "1", "2", "3", "4", "5", "6", "7", "8", "9");
variable str1 : string(1 to 1);
variable str2 : string(1 to 2);
variable str3 : string(1 to 3);
variable str4 : string(1 to 4);
variable str5 : string(1 to 5);
variable abs_int : natural;
variable thousands_place : natural;
variable hundreds_place : natural;
variable tens_place : natural;
variable ones_place : natural;
variable sign : integer;
begin
abs_int := abs(int);
if abs_int > int then sign := -1;
else sign := 1;
end if;
thousands_place := abs_int/1000;
hundreds_place := (abs_int-thousands_place*1000)/100;
tens_place := (abs_int-thousands_place*1000-hundreds_place*100)/10;
ones_place :=
(abs_int-thousands_place*1000-hundreds_place*100-tens_place*10);
if sign>0 then
if thousands_place>0 then
str4 := LUT(thousands_place) & LUT(hundreds_place) & LUT(tens_place) &
LUT(ones_place);
return str4;
elsif hundreds_place>0 then
str3 := LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str3;
elsif tens_place>0 then
str2 := LUT(tens_place) & LUT(ones_place);
return str2;
else
str1 := LUT(ones_place);
return str1;
end if;
else
if thousands_place>0 then
str5 := "-" & LUT(thousands_place) & LUT(hundreds_place) &
LUT(tens_place) & LUT(ones_place);
return str5;
elsif hundreds_place>0 then
str4 := "-" & LUT(hundreds_place) & LUT(tens_place) & LUT(ones_place);
return str4;
elsif tens_place>0 then
str3 := "-" & LUT(tens_place) & LUT(ones_place);
return str3;
else
str2 := "-" & LUT(ones_place);
return str2;
end if;
end if;
end itoa;
-----------------------------------------------------------------------------
-- Function String_To_Int
--
-- Converts a string of hex character to an integer
-- accept negative numbers
-----------------------------------------------------------------------------
function String_To_Int(S : String) return Integer is
variable Result : integer := 0;
variable Temp : integer := S'Left;
variable Negative : integer := 1;
begin
for I in S'Left to S'Right loop
if (S(I) = '-') then
Temp := 0;
Negative := -1;
else
Temp := STRHEX_TO_INT_TABLE(S(I));
if (Temp = -1) then
assert false
report "Wrong value in String_To_Int conversion " & S(I)
severity error;
end if;
end if;
Result := Result * 16 + Temp;
end loop;
return (Negative * Result);
end String_To_Int;
end package body proc_common_pkg;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/blk_mem_gen_v8_1/blk_mem_output_block.vhd
|
27
|
17222
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Ksr82/EJdyTi/ZnocplaChIHl5gVfg/QywOs6WHQUUTVobYB9S2t7HfNHkvfksORtftr4wgSGG59
dqflxrTk9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Qqej7lC/8l20xFx7bklclhPhbKpE2SoVMnU8o5jHyjJozBFHGWWzSqcy2OHoxuRC4svtWcuXPZER
AveySsBsquyvS3CpwUhQC4HU879mrvq1rktu6YiGUKekxqqq8XWVjGU2RErpRUag/ydvNbNrFWxX
vuxu46YvGNDVpOq465c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
439mpd6b2KugK1Dxw8VAcq35Q01RTqPVrzIbAJdjxQbya32eEZ7i4WNiFuVZ/MAk52bZBtBQiNHc
mNfbIfQciIHmnAXJEN9w/4VODhRIcUMrMjQwAjn4teKfB1tg762rR2jvGQ50Ai1Ml+OYADsAGJtF
URFceTs0yqpLMxJ8Ov/lGmeNw5dXmLiwn/XRqtS/K35VTjZyDUeHpQAr9q51KY6k59LrSFC7lxxB
mXX0In+fzXXlrh0dFFwLWzscDXHiKjrU4bwWBuzmrkKr3uCoEG0OADwjka6wlXo/Z2cEkTpiK1Qy
MmZH9UXQxrxTgtpOMmK0pjs+MfXf5/7XzeJsOg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
porEUqarzWQ+a43o1KcgcLOOq13cwiYUyYcVmnYhbdWCiVlWWfN80U7oRzW3NODV8vTOFdEeX0/T
HiPsKQYOSEqQjf71FVXt5Qu85a7gangJ+zMjyuk8+m1c85rFqWapoLbPUbexfLeiEmybpwcybBzj
rIVwXl1qRv1R4JNRI44=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s6/C7NZuQyYs48nVSWrZBvdUw/cGGwVNCnxc6+Wr+hB+GSdh07xJnxht3+mpM71wbe2jyi3JRq7M
A8Qq9KlqvpjZ87ZnAxTvr8P4OZV0DRnim60u79JqHUDowRtwBKuWK+fhBBqVkg+I/GuK0CQAje2N
3H5CzXagxYQGmhNBvdIDYAmWiG6ymENT9OP+fdf/JngSq3sbaQDhuOCrSGCgAWuZWv28vEMvXd4d
VKm66HgH4TXtJpDsYN5kTW6gEWdi7cV3KJRDsY6jA9RzwyOOBsMl8Gl/UvSGBWbIshxBeydyVUyg
0jabYqp6ODPXSowz5ZkW1y4reTS+cozycJAuMQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11008)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/proc_common_v4_0/hdl/src/vhdl/ld_arith_reg.vhd
|
15
|
15091
|
-------------------------------------------------------------------------------
-- $Id: ld_arith_reg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- Loadable arithmetic register.
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ld_arith_reg.vhd
-- Version:
--------------------------------------------------------------------------------
-- Description: A register that can be loaded and added to or subtracted from
-- (but not both). The width of the register is specified
-- with a generic. The load value and the arith
-- value, i.e. the value to be added (subtracted), may be of
-- lesser width than the register and may be
-- offset from the LSB position. (Uncovered positions
-- load or add (subtract) zero.) The register can be
-- reset, via the RST signal, to a freely selectable value.
-- The register is defined in terms of big-endian bit ordering.
--
-------------------------------------------------------------------------------
-- Structure:
--
-- ld_arith_reg.vhd
-------------------------------------------------------------------------------
-- Author: FO
--
-- History:
--
-- FO 08/01 -- First version
--
-- FO 11/14/01 -- Cosmetic improvements
--
-- FO 02/22/02 -- Switched from MUXCY_L primitive to MUXCY.
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity ld_arith_reg is
generic (
------------------------------------------------------------------------
-- True if the arithmetic operation is add, false if subtract.
C_ADD_SUB_NOT : boolean := false;
------------------------------------------------------------------------
-- Width of the register.
C_REG_WIDTH : natural := 8;
------------------------------------------------------------------------
-- Reset value. (No default, must be specified in the instantiation.)
C_RESET_VALUE : std_logic_vector;
------------------------------------------------------------------------
-- Width of the load data.
C_LD_WIDTH : natural := 8;
------------------------------------------------------------------------
-- Offset from the LSB (toward more significant) of the load data.
C_LD_OFFSET : natural := 0;
------------------------------------------------------------------------
-- Width of the arithmetic data.
C_AD_WIDTH : natural := 8;
------------------------------------------------------------------------
-- Offset from the LSB of the arithmetic data.
C_AD_OFFSET : natural := 0
------------------------------------------------------------------------
-- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH
-- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH
------------------------------------------------------------------------
);
port (
CK : in std_logic;
RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD)
Q : out std_logic_vector(0 to C_REG_WIDTH-1);
LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data.
AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data.
LOAD : in std_logic; -- Enable for the load op, Q <= LD.
OP : in std_logic -- Enable for the arith op, Q <= Q + AD.
-- (Q <= Q - AD if C_ADD_SUB_NOT = false.)
-- (Overrrides LOAD.)
);
end ld_arith_reg;
library unisim;
use unisim.all;
library ieee;
use ieee.numeric_std.all;
architecture imp of ld_arith_reg is
component MULT_AND
port(
LO : out std_ulogic;
I1 : in std_ulogic;
I0 : in std_ulogic);
end component;
component MUXCY is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
O : out std_logic);
end component MUXCY;
component XORCY is
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component XORCY;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
component FDSE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
S : in std_logic
);
end component FDSE;
signal q_i,
q_i_ns,
xorcy_out,
gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1);
signal cry : std_logic_vector(0 to C_REG_WIDTH);
begin
-- synthesis translate_off
assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH
report "ld_arith_reg, constraint does not hold: " &
"C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH"
severity error;
assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH
report "ld_arith_reg, constraint does not hold: " &
"C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH"
severity error;
-- synthesis translate_on
Q <= q_i;
cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else OP;
PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate
signal load_bit, arith_bit, CE : std_logic;
begin
------------------------------------------------------------------------
-- Assign to load_bit either zero or the bit from input port LD.
------------------------------------------------------------------------
D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET
or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate
load_bit <= '0';
end generate;
D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET
and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH
generate
load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET));
end generate;
------------------------------------------------------------------------
-- Assign to arith_bit either zero or the bit from input port AD.
------------------------------------------------------------------------
AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET
or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET
generate
arith_bit <= '0';
end generate;
AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET
and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH
generate
arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET));
end generate;
------------------------------------------------------------------------
-- LUT output generation.
-- Adder case
------------------------------------------------------------------------
Q_I_GEN_ADD: if C_ADD_SUB_NOT generate
q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit;
end generate;
------------------------------------------------------------------------
-- Subtractor case
------------------------------------------------------------------------
Q_I_GEN_SUB: if not C_ADD_SUB_NOT generate
q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit;
end generate;
------------------------------------------------------------------------
-- Kill carries (borrows) for loads but
-- generate or kill carries (borrows) for add (sub).
------------------------------------------------------------------------
MULT_AND_i1: MULT_AND
port map (
LO => gen_cry_kill_n(j),
I1 => OP,
I0 => Q_i(j)
);
------------------------------------------------------------------------
-- Propagate the carry (borrow) out.
------------------------------------------------------------------------
MUXCY_i1: MUXCY
port map (
DI => gen_cry_kill_n(j),
CI => cry(j+1),
S => q_i_ns(j),
O => cry(j)
);
------------------------------------------------------------------------
-- Apply the effect of carry (borrow) in.
------------------------------------------------------------------------
XORCY_i1: XORCY
port map (
LI => q_i_ns(j),
CI => cry(j+1),
O => xorcy_out(j)
);
CE <= LOAD or OP;
------------------------------------------------------------------------
-- Generate either a resettable or setable FF for bit j, depending
-- on C_RESET_VALUE at bit j.
------------------------------------------------------------------------
FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate
FDRE_i1: FDRE
port map (
Q => q_i(j),
C => CK,
CE => CE,
D => xorcy_out(j),
R => RST
);
end generate;
FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate
FDSE_i1: FDSE
port map (
Q => q_i(j),
C => CK,
CE => CE,
D => xorcy_out(j),
S => RST
);
end generate;
end generate;
end imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/proc_common_v4_0/hdl/src/vhdl/pselect_f.vhd
|
15
|
12529
|
-------------------------------------------------------------------------------
-- $Id: pselect_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect_f.vhd
--
-- Description:
-- (Note: At least as early as I.31, XST implements a carry-
-- chain structure for most decoders when these are coded in
-- inferrable VHLD. An example of such code can be seen
-- below in the "INFERRED_GEN" Generate Statement.
--
-- -> New code should not need to instantiate pselect-type
-- components.
--
-- -> Existing code can be ported to Virtex5 and later by
-- replacing pselect instances by pselect_f instances.
-- As long as the C_FAMILY parameter is not included
-- in the Generic Map, an inferred implementation
-- will result.
--
-- -> If the designer wishes to force an explicit carry-
-- chain implementation, pselect_f can be used with
-- the C_FAMILY parameter set to the target
-- Xilinx FPGA family.
-- )
--
-- Parameterizeable peripheral select (address decode).
-- AValid qualifier comes in on Carry In at bottom
-- of carry chain.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: pselect_f.vhd
-- family_support.vhd
--
-------------------------------------------------------------------------------
-- History:
-- Vaibhav & FLO 05/26/06 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v4_0;
use proc_common_v4_0.family_support.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- CS -- peripheral select
-------------------------------------------------------------------------------
entity pselect_f is
generic (
C_AB : integer := 9;
C_AW : integer := 32;
C_BAR : std_logic_vector;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
CS : out std_logic
);
end entity pselect_f;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of pselect_f is
component MUXCY is
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component MUXCY;
constant NLS : natural := native_lut_size(C_FAMILY);
constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY)
or NLS=0 -- LUT not supported.
or C_AB <= NLS; -- Just one LUT
-- needed.
-----------------------------------------------------------------------------
-- C_BAR may not be indexed from 0 and may not be ascending;
-- BAR recasts C_BAR to have these properties.
-----------------------------------------------------------------------------
constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR;
type bo2sl_type is array (boolean) of std_logic;
constant bo2sl : bo2sl_type := (false => '0', true => '1');
function min(i, j: integer) return integer is
begin
if i<j then return i; else return j; end if;
end;
begin
------------------------------------------------------------------------------
-- Check that the generics are valid.
------------------------------------------------------------------------------
-- synthesis translate_off
assert (C_AB <= C_BAR'length) and (C_AB <= C_AW)
report "pselect_f generic error: " &
"(C_AB <= C_BAR'length) and (C_AB <= C_AW)" &
" does not hold."
severity failure;
-- synthesis translate_on
------------------------------------------------------------------------------
-- Build a behavioral decoder
------------------------------------------------------------------------------
INFERRED_GEN : if (USE_INFERRED = TRUE ) generate
begin
XST_WA:if C_AB > 0 generate
CS <= AValid when A(0 to C_AB-1) = BAR (0 to C_AB-1) else
'0' ;
end generate XST_WA;
PASS_ON_GEN:if C_AB = 0 generate
CS <= AValid ;
end generate PASS_ON_GEN;
end generate INFERRED_GEN;
------------------------------------------------------------------------------
-- Build a structural decoder using the fast carry chain
------------------------------------------------------------------------------
GEN_STRUCTURAL_A : if (USE_INFERRED = FALSE ) generate
constant NUM_LUTS : integer := (C_AB+(NLS-1))/NLS;
signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround
signal carry_chain : std_logic_vector(0 to NUM_LUTS);
begin
carry_chain(NUM_LUTS) <= AValid; -- Initialize start of carry chain.
CS <= carry_chain(0); -- Assign end of carry chain to output.
XST_WA: if NUM_LUTS > 0 generate -- workaround for XST
begin
GEN_DECODE: for i in 0 to NUM_LUTS-1 generate
constant NI : natural := i;
constant BTL : positive := min(NLS, C_AB-NI*NLS);-- num Bits This LUT
begin
lut_out(i) <= bo2sl(A(NI*NLS to NI*NLS+BTL-1) = -- LUT
BAR(NI*NLS to NI*NLS+BTL-1));
MUXCY_I: component MUXCY -- MUXCY
port map (
O => carry_chain(i),
CI => carry_chain(i+1),
DI => '0',
S => lut_out(i)
);
end generate GEN_DECODE;
end generate XST_WA;
end generate GEN_STRUCTURAL_A;
end imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/rd_logic.vhd
|
19
|
48192
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DZrqnYwqMkKoBvgXgaWSB1Gvc9B94Zr8xHWYvXS3Yo2in98iiVsrSf1RUePWKa7hVSyhM66u+GP8
6zam55ovJA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
paoR3khjnzY7oR+WJ9YkW1A7ZzfFLvvVEXiP81AieLlGnfQuqZTzy9TqIBQ7d7KWJF2u8/GBJ9gB
S/XHVoSTyo6Jte9XVVsqnnFiHxvEAnWbM2e9+Vyqd/Q/lFB3TCGyLNKIFNdGxyml1xea2Gq/DUf6
P6PVaPylNEwivSbuc64=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IuseMdZSknnKUME+O/YmMG9MKbslcWjYg4y9t234jonRTsM/8uUOZLlJPdAz0Ojsb7gi8Afg71RU
Er0Jr7fpQJ8YMMDdLQ9qwRqf4zAR9ZhntG7zWMIroK9jxtC2bvBKKArJREVpkzOWU1g2+f7dJ4FH
ubSzqp/ur3VRiEL9rSTe80jSph04B3Z7vLg49YvLUGmYKlwP09xV4/46qike4zQtuofkQ8/u3jTv
rlLcM6RtgeLWfD/CY/EWIIuhTxeQiucCqPyYilV1cA55FNKfdMv57PsY4PVV/CwLFMYY9INUTcQ5
vlvEZIaCBXiBH5TWThAkm9erewSr/bL5DW9PTw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cyY5ZPlO3Eo0cmsRtMR6yuz2Eu2e6S2W/D+8CcC8VsHPfbx1fHUAOMrMRz8rOeXuKPOa7h1hSFcJ
XZ1TcAU5VIvCkM11jW1o53hK8qachmkkZZnfj8JtjstmyVTyWri5LmUnPYRufwJmQUQ0xqMJytkR
VTqDp0ZVnyDWp2/qKN0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WAcKeockg4TPNpKWNqCVvf1P8zBdM0HIqALOQnRkxsC2RA2Dy+P+XMiOG7cG04xrgm5iFejfnqcO
5lDRzw1y2vm9IxrTgVR8u92CBfbBU5si2daX0ciu3+tUaMvbyjjRBHmWEJd/+ZgwpEBd4jKx2KQp
YmRUDFYL5WDDgF6aGgbY7bniF7p7fSFQgxz06UbHJt/aNGcXnfge+DPA60LgmbiAZYAbqv+bSmqg
gA91XQkI7oyEKtZ35D6ZzgJ25i0EzUAy/u4ctGTC1xnExC071TQUx8Fakynqcki4h3cwrvs6RbsQ
1XULS0sNZpYYdAavNOXALBW23U6uD7bNRcfAog==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33936)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/down_counter.vhd
|
15
|
8398
|
-------------------------------------------------------------------------------
-- $Id: down_counter.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
------------------------------------------------------------------------------
-- PLB Arbiter
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
------------------------------------------------------------------------------
-- Filename: down_counter.vhd
--
-- Description: Parameterizable down counter with synchronous load and
-- reset.
--
-------------------------------------------------------------------------------
-- Structure:
-- Multi-use module
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 04/10/01 -- First version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.STD_LOGIC_1164.all;
use ieee.STD_LOGIC_ARITH.all;
-- PROC_COMMON_PKG contains the RESET_ACTIVE constant
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_CNT_WIDTH -- counter width
--
-- Definition of Ports:
-- input Din -- data to be loaded into counter
-- input Load -- load control signal
-- input Cnt_en -- count enable signal
-- input Clk
-- input Rst
--
-- output Cnt_out -- counter output
-------------------------------------------------------------------------------
entity down_counter is
generic (
-- Select width of counter
C_CNT_WIDTH : INTEGER := 4
);
port (
Din : in std_logic_vector(0 to C_CNT_WIDTH-1);
Load : in std_logic;
Cnt_en : in std_logic;
Cnt_out : out std_logic_vector(0 to C_CNT_WIDTH - 1 );
Clk : in std_logic;
Rst : in std_logic
);
end down_counter;
architecture simulation of down_counter is
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
-- internal count
signal cnt : unsigned(0 to C_CNT_WIDTH - 1 );
begin
-------------------------------------------------------------------------------
-- COUNTER_PROCESS process
-------------------------------------------------------------------------------
COUNTER_PROCESS:process (Clk)
begin
if Clk'event and Clk = '1' then
if Rst = RESET_ACTIVE then
cnt <= (others => '0');
elsif Load = '1' then
cnt <= unsigned(Din);
elsif Cnt_en = '1' then
cnt <= cnt - 1;
else
cnt <= cnt;
end if;
end if;
end process COUNTER_PROCESS;
CNTOUT_PROCESS:process (cnt)
begin
Cnt_out <= conv_std_logic_vector(cnt, C_CNT_WIDTH);
end process CNTOUT_PROCESS;
end simulation;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/fifo_generator_ramfifo.vhd
|
19
|
78408
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p7Rd+JJS6BPhm3C8uEMSjtB2IOpOZImN8ABL10O7dB2/wknTrPPVnggIUugEe0Un6rsHScVa0yw8
WbsjeU4skQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bancDuzOXzE/C1Vj5QpW3wyih2C6ymZ1vv70urQ985WeT2kXc7KQyN00fbod+1ycgrcEzdZs+OxF
/cQLUqqV1PAWyHyEqXlxABFUHjs/nxBl/f/B9V0jlBhAzKCCHBVtW+DFv8KpHE75Z2lg+r4JTjg7
zQiXYHxUisemJqUJdhA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
rZMEEzwWFXOuo5snJgtfZx9Urf7eZRBCxLhuSc3DgaT16zNB/FC6Qo2PLk9pQbhTwkt+6VFrAqaq
rIuJ+6NqrQaj6tzRnuILLQxRIcZaZnlaNGPM0QELT1/pgSpbDRVs/w+jfcFf6hDgLWdb7+lF2lZt
EzdkUS2z3RzGxMw0dEl0kPzX4BrObwXWpUb1u4DD6JMZb6O50zBS5jLIs04xzSPqxA3PuLRWpuc8
zAMmWK1PCPqsF6JmUA+ToDlUTA4DP+Qb/r/OItKXADHbpGUiJXq85NgUc8TOMYazRmcSDk09joNa
rvnt13K7ONnKnXu7DU1cLEZpB6zC/Q33/JmxrA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fSUvPGwGSOzh5U1OjbBgxWaXchd+ErSm3+d+gvsNPzEzvrhBDlsbz7cjXesFumQgP32hemPRlsUr
lFspe8TkimNAMoMtRIt9Rpr9MJxdvSAJ2AckK92TaQKYGICYWnAAwRZdM4hFhKQynq8onwVPOItS
8G6qhIBnq17qx8rO48o=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MVMseSXR8Gidb6hUpBeQo+a3Ho0qfbo2cQ4XmaaPwOf5p+bpngyRNVgFStTGlS9V1Gq9sxZR8m59
KVYbqvyTG1F7VywlVWjcCzm53JiHqc7770pyh1TFlHFmlBkxaKOZI17/BbAJVPtrgC1AFUgqJIKl
KWFzGNfBnaqYhwSBpkZVKTp2N/RCKh6/dORV7jPLmH1kXSt5iI647oKA/xzmV2IPvCjRau9wfIMP
3BcMw9SliL4YOeA2gPuyEVJdJ+sinBGqyYpGCshGE4syCgACrJDHcCC8bST8+Ee2RwROkSw85PvD
RmNqdRJR8yBkuN8MggDeHwsPe2oFAGN33DaQEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 56304)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/builtin/fifo_generator_v11_0_comps_builtin.vhd
|
19
|
32006
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
bdlZLEAewQqpv1o7OoBr4R377V8Hk5Fd8+q/Az6G9nxroFaOnD3V9+lWQZaiTQ+UR8tYlBixiDT3
2rrbvlUYqg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PNj5XhRRPylbuLUnq16m36512+Iu+tuxUNOB5vui/U9Vyxliy5LDYUjGyTrkosJ5RLmSfgYfmdaq
x3GXyG6MVOiZo15XiDmGz5Xa3WMM3TuUhfpzNItvR+cjVJcfSX1Vpo9/m4Gf2HbgWDY8/uge9Yz+
pdDWTg9IqOS1f9m0bhc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tfy6e9ewB1av8IAVBQg5F0wJVpezM47U5T38niEmKqoHE2EAQIsVtLXdGuC0EVCv8iR27vcg17Oa
mBfBXWB60tzPu8Q6DSJi1RmV8OgW+NgUvCiTMpLKqqsw6FnhMEK3lQVXfOtnfyh9msybPw9byzXC
dambJMmCpKtH2TBazWP4yb5ww1Nsz/1jL5i1zPiiJqwiUek+yJBHinlLsKOdmxiEOjEIxiuXMNyg
LMJzb839xkVhlMYTWXZYlSQVwwm/sLGnZ2Znntlf9sYBoE6D2vYri/PUGcfI5TqvvhrwG3MMHoTN
rPYZvU5TTqkZ0UHzprP9ZbAAvBMMlhHGjyKLgw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
enscaK3Um9KpWwQm1hA2XwO16XJLOAeYZ3URNnasJSAORmdXiuv1QgNvxstTqRmJdf6aiVcX+SBW
QAS4XOQmaHblVVCTrTFxq+i8/M/uWIiPlKdwfgcbq6W9GDVZEH2g71B4sNE7sbY88daOW+dsFMn8
evKdCCrOhrfApxD2w7E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qn8TdDpu0TmAhfXr6OjdWoz6rfyBW7fFZKyqPOjjqWteCvm3OM0JlharuS1oWtO6vCpto2FAzG/S
BlRFnD+qM3W558gotDG5xKLXH54U8vJ9P7HSKDrDRZfcvgzYnDlLOZYqIhF3QcOp7QlIfdgIFJFF
P1RDJ8d43uSYKR66QV0gPXuT19+tneyhi0YpcaupqD9/Z/vQdGHiorXfqzI+zmAX5/7dF89mvr3v
Pvp32AibqOZJekU7QCnp4VkIAFQi2sNR2R1SirejbeSwa+gfCdYZC/MT0OFTfQjM0uxBSK/I4IyT
gWZgfuPijqASxDrsrURmKezc4hgCDujIExBWaQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952)
`protect data_block
3720zAurmsgjW60V7hP5jyZoOpDRGQjPNH5YywQSPELWgeGQ3NBAz8+c7rHYAMIMsNuxXkEFHaCU
zPPcMH9cI1cAXEs+sh30eU1utZfJbmafnIR9yELpklT+rYow/re6dAYb4N7SrQdoR6JZr/5Sxwkm
x3a+e1tgc7lo8+A8hVtoxxzdXSn42OPzUYMR92aPt4KBUg5B0UFuH2Ei4qFsnef8lp7YjskaYDm/
Qg4FocOSG6rsaieot3LQwOyMckelT8iqAkNVoHp/BL6isOxgrj0myIL4iWsebM/BSdWwwwoVkJLG
VIE1+y1QTOko8QtMLPhHWitmTX6Y2RyioQZbMl+q/h96g+Ee8IQqb2zBOWe+2kn5RSjfEdkGIzbF
4U+/6JwWsGxAM3XQ9KydfDt2vaNMhEAnJkH/M9wpi/V4sfrPhWbxOcywv4bLn2Zs0f05hJ2D06k7
8kIfxSci/rXxrYVvIZTJTUtL23l6CuQ8/kzneKj2alAxugc3WlCf3PkOrbdRBbVhYCp0XdDmOOZv
qWexDotJZ1mxvJyKKGGObHjJK9F9YBdtZ7w3v6XZkBkszPWBLUQSpYDJHSpbWC7fQpJyPzn3zEfx
2iOWUFfpp0+IjxbwrU2rtXkRPHLReEao0HJQFB9F8Y20sTAbmYRxsKCGm9y4N1fSZ+JRRtVnBMvZ
uRr7xiwtwqjxvFtjjTcfxqQTV6vgIl6ARhoyI/OmZQTwLlwjcwJTMmoVbEvi6afCpjeEwFzcqIJX
GqaydO77nm2Ko2yq4PCjeK8rDJkRxW2NQjZKUGKkHv16POsmYsRu9QQmGDh60viU4GxQBeTbvnVx
ZrKwOw7LlGjp8DYH3QiRMa9p2wMbM2S7M7eoMjIhweqkhrSkKCk7m5LaOHuwa58hF1YGxgDd3bgx
3FzK4ODqrnn4XbB2kyd5cZgqtemFPT+oPj12MSf+KL25YZMk1SN9/Jikm70sGxAz5EcGMVFteXQv
ds0IC0SLXSC4AyHJitmqTqKx3aFfjgAejN75bEsPm6deXVGXib24RNWA2L1dGcwEfpW6riiec6jI
7WR0cqxHFJOVB8FF5DEjjRwon409YIy+qT8do9/bcpFluFw8dZavO6wAdDuYAn5vT49XjB6+C6J9
MTm1fdFSsr5yHLztPOodtf3HH53tMCIOAnEA0i0obsFUcP7RnHL2ZzDQM+FIZp/ikPh4NM84y4bJ
XoB5THsjyKl2a2ycDyZfEM5DrKisbcXQ3/zCkYxMSAF1yTaHf/Wn3475klyRAL91qlr6Q3gaCg7h
sEMnktV+59XRczWudkW0tSuKQnMadBBm7xR2enA1THIH0K8wcBec1Ag2ub9Ke8LP/pa+IA3bGCJp
/9etfHIPaU2RoesBQx3xC9rYIpLeSHKNhtuidMpV++DpA/ENogLn7L9Zo7YSnFVINUh8DnoLCHFa
rgtk1h5joV8n4CH9BBUV2NtkdG06G73AuXJuTntefbmYWxDJJLqle3P0WceE/TFyh4e6u6Ubt7L6
bYfin4mMASxI1GETKKcwE/V/1nyH3/DPmMzNZD7B4+hbZnomfpjFNSYb3aplISPSJlEOs9l/DE+h
kmfjEX8XOKR8ERpctZdjWrikhLha2uniyOYH8yvOKfoehR6DDqm7lS9RHESH9aN8LwGa3WhyRBrO
fYdCDrhVYMKjeRCvCPJtiiy83Bt0XJx10yqSPOAobPNGSQAGRyJqdbycbmAFz3oAXGU5WJW5lKVm
EYxdpJXR4Bi8j3HVmb9gg3iRZ6sfAuWnVX3JvV3mjOlTGvjs0/V0JWU/9ApcHBiUmXNtfU9uvNCP
r/IG+7WeKgMx+Thwjsc1Y152aRB/+I4FicycpmebyY4wCwfEkNcf1fPdqEexQ4KQDsIWn/AkN2T2
aQFzRlgoXKVLMMWI4Q1qzvtsesRGoQ2hsDUutWSkFOVhe9/NNmATmPTeYebDgdcDQ0TKybwDCucG
E1ytzCoICQdGOQjZNYYXuIYfvUfXgtjWFGNcxh3QOeHzMYfjhn5U0lQmgqSmLSrSQyQkhWQm3vCa
ttT3CDToi8X8H7jBXDwiY83xtk42XiTR5mnlI+jSvwrH/Sh8Xyfvh32HHzGY6/97wxcKMCOj384X
KUJayqjha9nMDRtRGuShiWAlQopmcN2iYumlDZEqn7qawlTWzC77O8Hyoc8q0SzbaFiQGKZE8vyt
naOApS3ISWAmDdFs7GFv48o2fkqbVvB2dZrOmx5VJ9FUPYOG3Qz5kAlLKoI1FlyNYmShHpVFicXj
yPuLlp12tcQb5q1YryD4kWutESwP3TigJE0f1FiemklAkXEGpcarguhQ2ic5j/iQ4WU2veOdyH3L
TUCbclgB11LvsTc80XIYoSJIGNB+NIDKJtkvIGN59s5oV6TrMWcwbH0rbYcdBKoixg8HshO6+60x
08XuWAcRTzcnHC/B6P51IR3bKHbMXlz105kPjsFPaLaphFesKGCVLWnqnV9FhvrYOXIRfDilmjKO
TZPBh6M+u3yla3mJkhFPK3DTatBgglOtOGVk4aLWpZzT9a6pb/TBykFF/ixnKspwKNWRuZWECig1
IENmcfz1r2N17Tg9wX73tobIN132Xfe7R1wQufRFnBUR7k0Ga+Zdmw3Hge3PiHU2AcxR1CXvZZHQ
Lc96RnFeQM6re20KiVVaA25e3YoPi19Dx++YBUEylHEwlU3QWKlrFrPH1xCoeYix56vts21MTTR2
QBPZcTwAr5R5l9ipmmWs2QuaoF3roEUcIFgNADHSGF3epgbO0xaCXvUpuTP9AJYvhSmc4w+Sqivn
p2Vw8kNuVswulkgYStXjeWMTLd0mKHoc9ZTPG/bS302vM75biwHRlAnp2bXS7vxsHVmRP0eDpRKQ
RD2Zzw4mG3ubd9d337E7X2CIKhtnSAG4Xa0QOEeIQEOs9KZIQUJEUikN3gjW+UoWE1m0UgBm8U8i
seUri9e94G6BmIem4m8SHTHBV2ShbrHWxuofGnllapRUQ9eNwxBQHllDNytbbUg3C+zQreed5EvC
FAQ9Qhe7qA/ukGNAiFb/EzkzI6u/KEZPvHo1obAUD0jGD4o1ab4q5cvfh4rhnPZ9l7Tn75WmUj1u
ioV+LRlcB0ZUJ4tY9HUOygDACt0nChAoCC7Y/yncTHSwR/wWqVL1kn5X5W0mU1Sz2FpgvvUaqlgU
yy6LNv4z7a1jy88wyDojgKW3PUGUCShF+S3I8TB244ypvIfVMyCEygpzNy+jemnD9IPMKbLOipCP
4U44NzljVgeYHCUe2QfoJlVlre56XA1ORyrWc8tK+yAYOAzjzCpYB/ifzC3XGWKXMw//MsgkwzG3
V9pFMAPu0pEj9GOcOGxvXIolvgU4eYsIpg29UyQi9HA08RZIIG43ZV0L9xPaxr95QLsnoIEAaVRn
hLaxnXUHdoyVM1a60TBRYCD1Uzd1Bwd6e/+hVO60hS+U/TeP7nOAQ57Gq2tbGYSPFJFvEzTDcalT
OOmktXDMRucul7mP09X1IOUT1zyiC9MMKASOz6NS/6qof6B1Vna2VIOGpmLzmlulnMO6U5yAA8rh
+II+qrs1wxqWxRjI3sDMA4KXd5esVII7DjerKTij2c9VE33A67Chm7LEbtppmx54zBpRikc9FicK
sknYk6sVwK3oSTltiRUpWY02lQvIgZPKDVGBfs1DEWVAiWoPwnVMkdqFSzSRaBKwXbndX6UOciO6
5NA6giXUjvPMpxudlC+F6d5EzoBQLxkI88bv/ptWDyEcqPbIiWypCuBuJ/zyAb8qqTqIn20c4SZT
EpG7wiRvEpoNztHXkAqe8mgZFJDUC3CBJ+qYCiTYtkJ8RugkokwUqRvxsWIsecEcRVui6avYmDqc
fhMkOiEAgsB9+J49+ba1L969Cx7u9mB62HsTCy36APl0hPyrM567PpVO1cQJ0SaKIYgMS3HXFiSB
R9wwDuLfpr+n21dCt4XUm11yo91Qblj0sMU5WSLoQ8OEtzcF3JCRHyG3kLk7M+EZy6gf3a8lYSdH
sB6CxGZeq3neDhmAza/u9Oh+X7tBmZVeMvkjWkgeS8e3bKST1seDutn/lLCreujhPX3oRy4kzFRc
kdepGVQ9nV9TI9MKeeH3ngqqpYzaMs2r8uAOZozgDZVcpzMheVEkzsj6yIDkhJaU+yGZswgGadum
tPM5jMsCvroXd11E4jzD2Oqpoj39UWXldOIDlq1VFAuuh/aRMt5T/DBtmAVtF/cVcXn1IT+d9Y1Z
C2yd9602K/9EnjQONmZas6oTZbpSdGcL61ToQ43fTl5oFVZXfFXIgbB/N3dRMDJglybWEFkj+Xn9
NhJ0+WYy/MSVO24vXOwSlqNMuWVk2w4uz1iRZHs7ON2vYt0oCKRG5UfIFz1i2io+7biI8o+OPnTc
baQ5HUhQZWIotBs9vc7NwKZTo1BCM0UXwlBj9SeeMjKBFe38awnuQRUwVij5SAe7cMk+VJGxsK9Q
IH3QyoK5+H2BdGys2EP6blBp+g4p3SbUXzRdS/wjlTg1oDnSQ2QU0BbHs9HjOCkB1YOZRUd6Xwrq
JAf1xBQFtjEvM2AE6dZN//gNaVSEvV+n/u/N4GA80aurPzegF1hwvHOFmWyiR+pO4cl8HasbBT7g
3s9li4+ZAyh2PXtiyYoVbBxICFiNhmKspI1g8yF0MMPimHtCbcTOPN1XUbpDXAeH+B/R57T25Sjy
XW+NDe5wxX5jzeytvCw1o3y5GovNQtUH2oWbTnMBovm6fwNbbNqrzsc8pQuqw0GLT4qX7KPtzdwA
sRgE5Te84R0EGGiOqnogQVheb144BCutJ2Sp1F63cQhy5baPJ5Zdg5bbiZsWxX0r8N40IBBXZm1w
o/O6qUpwSJHiJblej/FiGLWUM/2Q/H2Q7reRjuPlccPYyiFJh7yXeapjIZtbqAZvBz3hKG6nAYL0
gdA3WlqQDt9UKdQkEIBw/BDfFOzC6PIpJfvbW3Op6Q0PV06W/uLfBI8xrHWKmTqD6ugJcu/SNvos
fyLG99n7YPRcUtUdxDLwIaaOIeCdKxcV8clR98H0eZYz9EooCZ9/9vuyNaWeoerF3iYc3kwuVE9P
euB7I1d5R3VzsRENBGw7wP3D9HjGRwl+nJx5QeBsYmIRaZN/37pW3OsSgXHFidX1ujiUWoPEmXRv
eYEcZE2NxiWPX99n6NWvrZZjniS5cxUIAjC4F5GodmJfkNCZ8Ycnk1cW2FBskm0PrlFdWflEPZ8G
LERyC88Iw7JrFG92ELkTyjNntfXPZF6yt4TCNIUT+8uIw/j6dw4nmsIqju1ocZnlWfOfcIT3PMAj
KYS5JtSObBN2gIPGdRppnlOtKTMApUn7hhkuBDUNnovH4ZDUtMKx/lCajRToHMQTJapGuLaS5mK1
e6mihcAculloB++HGN/P/17l3fuxfBI3+1N/3+QdFMTyRfRiB5z9tH+QV0y3IeJITP1+cj1kX3DC
+OuxGtlOy/yeJcJLol/wG3ycKtfzMIVe4uKJZ/rK0qd99xK0gHVvCMiYSrHXUL2jF19gdEYu9pqi
/CapVPpiDKgnPPQY8enVQxu/xKHbUucTbvYxDs8ZFxyMJO7kY788k3R+uLhhMCFiiZGsuhAoZZW2
UyCiQEH9mcvgZti/ujx4hj3uwOoEhbMfm+9Q+35LMnJKw+nt4r+FXUBAbQmtCzwUU3Y+MJEbil7Q
mZJttA13gglN1zTF69mSkdYm6odkEDu/X04AVIiuIPj15fYWm/hjAvzw/ocVMx3pmGSvEcg3NnS0
imzSmu0jE5Sj31NBvZXMKE/Rv1Mz8vub7uQRX4J85UEFtsgZKW64dqWM/TAsIyAUg5j3icNKv1Ry
MwcaOaru385QwX0mbYpXrvN4AcPTBTQ5lcz5yH1+4VCas0t2ms6oiMHoOrnjtZep0LjcUPa3rZa5
PEmhd5/qHh7YePO8LteqyTaVHlEBFi3fpejw9TNFjr4N5qlMem5LFbimrtDlQkLROo8QdAUuyy6x
CwmQxTt85x0g8jBMj2Ny7nBulS6XU0oK6iLglS5d5AdwclD7E2jGOQSyPHpLNycEwxGTmZQENqz0
1dLIoBnYLBA7Fv6y2HJERAbLRkq/ksoAEfvc03BYZVtoZTn6QpOTg40pIomiq3qPVLJgPofWhWNR
gBbB2Xq++v80tbYn2n0whbVTM1E0cGZAXH2nVTFDejA1ekIaFJp8XX+Z8ABVXkUY1mFB4v0EM8iv
9m6JwqhJE93/sFkklVgAUVPf21QZxA4/FWcJwBB4l45BRtMkpYa76Dz8mxKhm7yi2ugaN3/PYYgd
c8lYJU1neYCarNFf/9vTygH+ksuRQKY61pmq6vhxM/sz61TUDO9Q2UeSjk78xp3VW9eNd8CgcYPF
8/53waLX8+mqI4DgAs89PpXppVoYmlbvUTPDtRAZDIkGkUMdCMQTPoCZOm1/Pfx6o19rzxo0BpcP
aSyyYQWP8xkFXJ0Kpyx/4eDGYCCgxMFeGp2jRaZc3BFwq47vEfpsvyEBV3oI90awg6VamehV71I0
U1OGbyASZEKxddqC2X/aw+dMXii6Vys0sXhKicGmN3w0hmePO9ZR26q8HQNvW5PFtxAf3laxAz8m
G6D7yAwAsu1waN/OUudOOV+kvduZRN3ecjhL75hQcKapzEf7y9GI2l7VX2EHSvEHhK1KXNoR22bQ
UNvHWuh5sHPnsLgJaxBODRbfq1C+0s+W1way78EHvGT0hZ+SdefcWm/3OFSv9ZP9dMpLyvKWnXw6
QggMCJc2CZH4qIZl1BCCEmhdwSVBp7smGGxAWMelwWE+BdGWa4/o7fuKV85VaASQ5bCL6tF4yV9S
JZOzI6E9vbQyATvRlfoZaFkv2n+1DZR80ilThA9+GIZBVYW9JAgbX2xuttXe1vwA/sQfG1U7wwDg
KYAliOC18LozFOVti6UFDP7rn29izMYOAcwvBpz0VREB2I18puLNpRn3dm+hjG3O+RTdDRY9S4Ig
sXdjeKGPXJo4ARrMtxwG7WzBqhofJgq3I0M44z9+C02FLsHs+WziIgDmm8nmfZgeJqB9GVCWhcSE
CJY2uIJs2iIz8CvWCf/Dao6hwZWCdeEgR7jqNu/QawaJSDheX07ehxz/t3rzKCW53zsoglfLdTaL
/LG3Uira9vekJ4slfXaIS05Rfggg6e0ucvqCGyniFp9NFRERcFPzT4nljolwRwgQYP5kwDClTaF5
c1xGWOQyy1TN40/QNX9Z4gEp5eYfdnwrwSa+FAMa/+pPEuc90h2vgKo3252zIBqry1e8l0rHROWN
tZJeZ/JS1ji6RDQSN6LPhkZzcPgeFrDsg41mnP/vMltaiNCbesTvWAy6Rv4KTv/73fGlNggMIZ91
dVEBUdmJoXPEKxSnPRp/DHB0t5aNyN0Z2Qm1xJP8AaTIbnL/ppWzENpiLa/MJAbJ3lOcXqLFsZq6
mYKs2KD9ilz1FyUeGV9lf1U8rEsjea2oXbe5WA5FYcAevyogtk8MXAzDmevVgRrECIY2Fo2MoFjI
JUvsGiw6fw8eMKwP2dtKUMzi/znjtLREZEzTfL5HDpAGjOyGi6u7r7kI7w+NcguZdEeCCJSHCjjm
/boo3Nh14xPjzr8dtN59hubLuHw0cJdvllU45+PAerJostVptc3oAcYEcCCHMbDl1wGb+kNWgAQc
GwNtad8SrZmO0YqWgdeZgOXECBgxI207GgNd3DLVyeQihHK+y6ndQDld1uMrXL5wYi2XuEzDcir6
TkGZjeeVOBwpER2LhPh+rO+KgoyI61+T+p+ZCbSObQoaR3Oq/dKqbckkK77kbg34T+K1Ud61WuT8
GACPhiT3Mfa3JOq2AzROAGo3SdtpkhaB9xahWbra6AfoRhW5MfdeOMfqH+wIU35FBNcPRfq0OsaA
mjpBEr6MD6+fG3G2w98DgqD/m63NR2MU0oCUDSqoAMQpd/DPEWxF+XKr2fk6aNRVnQKqBT4yWLYw
7T5yhkgP0oCEr/aSDMBGmq/Ui1nGT3cIYr0Jr2IMwM1V8x6PI1XjnplLj7lXK7pdNO9bLWDbYeUZ
Resbmvu3/1Ll8bUybnXzSB8l34h7ATijBiTQ6ldY+6PrSassHU7WlXFNLqFASHYcjkTMFIFO1dvL
FPd6r2I3vKCowdWa/ot8p+6Bu4c4kS04tc+QGBKJ+f1Iw70Jcnl/QWSf0EkNdJJZopJileyq8L+q
PEOp7MvXEh/qfM20Fip0ypqBUmkmN/V7ELEci5N4z9ZU8UMU8cMnTX42HpzOkXrnfvGFtm6dHXQW
vlBLqVsKUP6GabSjkTCUI6qtj7R18cmflsd28y3HASGroQUNCi7+t5S2gOr70tBi2vykUpeXL8UH
OSKOMkXmKiInX93QXaqzQyjD3H0zrrhrYvmKm7OOSUkDpuTARCpSpueAtSENWskyyMgdkG+LVVmM
p9L32lt6uwdXZhsN2KOXKsescvRXHBBkLU01QurEWU9nMz/Wxn1hmvI8SpvGibLRawS+1XM/1OJO
VMvYhjaKWhyhiPgguuFwiIPoQBiWBy3tO1vyma0dZEkYtfRc5mVkA3Wbc6HP9ynL8O/6wGOxypFg
GBGkshRC5Q5F9z1x5w4mLx4cmRiTwCcyXMtWKbSZEM7lO2vSC+ABhVPP7thTvZfwBj9VYt9AOoaG
xekEXIagM5Vap+AhhnBUTHCNp6IKU5SL0mcq5KZwJi97/yMr6Kl6WrxF+OPZ6uLhuNhTmXBbgVAM
FWp3SMw+DPS3HLBXioevMPXRjfahQatzvIr2yELquRu5VCqMrK/s4Yy98pmFQq2COZj669hCE9x7
W/W1XPtLBPUSV9Bkogcv3q9GyvStwlV2FpTtwV1ZMKfwGdp2GFDuJf6HyOX0woEctn1/St8NPPjr
GaI0nXP1RbBgzyBQylauzSojLDh8zfETA14i0rJYyIaA1379fWBw/ioWedKGXOXPx6qdHynnv62n
yu8DzP8S97hM6DDyt44o40hcuA78Fmp1Pejl2r540NtJjB6oUxFE8QTCAQ55sxY0mu2D9ufOVKYs
7nB78gzeyJa2eUALVLPZ/Sl/dSZDkfyB3BwEo6QScemDy3XK9/Mg9NcIdFe+1jYbi/Nuzy2PnKzh
ThIoS0eZ8NEON/31qtj3Xhqrhk/t8yYu0DwDDGFyIwr/I3VQ3irH7vOSw+33Rb7cSPGRfIlPG6GX
IMcjcanXn2XyS/7fagZ5nMVq3V6U4QeW2jFvrO0zP7duEwAWT5Ra6f/mEV2pzAt/C/4S8u0tSGNc
cuF3ZyZZZ7cmS3ijoMSv97uHpLgQM0+cEOYn3VxCUPNjRUmqAQ2i5f/dN4uzguGPUMFTZEaWutUr
rADoIZ9CqSXMYbAUrKk6JOz6XIP/K25YBo5ghK7YfQT6rlTPIYCqK1UPeSW4GwAk1f907S2y9ARo
hlbmElOY2S1ffKgJ5M5obTy8z+jf4b1sRRT8rirmlCukXBVFcjcwFgMCVaztyVpWL/uzRfofjYq9
EozYJ6Y5Mql+3zJmWnEG/a+LTpCSQeildITbEHZEn+8AIeXTGJLQNLf1oZjULeHidMT8Y7scY3I8
5TLXqFksBK/3UpQl9vJfXmbgWDg/1uS/E2dMfTqgjpxxHRJIGZlyoyXzaiPFNzPxQZ9ah5qHt+48
oihEMNkcXiwurHMMFGUPaOkbAlpDFlcvqSNRqwyG1ScwSYUEYvACQbVAMFN465d2sV9LgCzrYTZt
Oh4mvJW8qBjRhqwboMgCdXndhhF+mvdMSI+UHuNJnFcOsfAFdo03KxLd2MlTBKnbbmgqqM18/VOK
zFYJ3NVDOqsSA8bfFUcri5LfnhSkYQ+BIRgEBf3ZVvHQyq/KsSvKPMjHETPzB3pJYIA0w5PYSsnm
o/pfHQpz7Ds9FX1SmkibYPgvNLmRwO29iaiyhRl0QxX3xyplvfb9R7vGYi7qaujUaBXYHCdZXQju
fyS1Nd7SQUxkMiT7Lvn4Z9NEnRnPtvz/p9BkytHG08XSj5qPxTZggBZp7UvXxhWFKzD5GjHztGgA
4SDikRcRGiRh5TYsGGJbVRBYo3+YprwblKK68iraL0p/q6TZRZysbEb/jmIfYTHSyi8x5p5JmiSX
cU3H6u+Q3/pLIH2Z7AVeR+Huao490TikIM/q75cUa5RRKPgb06HnjSV3BwaMJa5Bp3bImZNZngJ8
YW7TAeWNwAi5T6AA0nhzlPfTjL5mluTvHU5LMfbu5lXrfpoZUPLjHpzU8Hv594fNR5DgGtGyPScN
WfdS+Wb4+G2qV9ixJbEMFRU78APCHk/yI/MMEVBYcVz9xY9Fv+jm6iwiLBjng5BtnF24Vrn+8Lcl
KEB1zdkD8tnLJvOXnkiq6E7f1zlhYXStGY1mVsKxeLYMNCVFe07l8SEcLz58M6Cxfgkt/UiosQwF
t726ArOlrmDwTgxENsX6pcsxWCDHpBlAAoN69LVyIrl99qqRKZTVlNw8f2hpjFyYdaholTLI7DCG
kdmRZuw/cE/6XK5Uw+JqEHt0iMcR7M+HsbFBScW75OIOycMguAlzGYMUHjwvml71/8M4autwy1WP
eZnpnr5ZM1+Mgd+DSTjOnTYGrugsbmv2arqvjqS01GqiXT9iz0NnhtRfNoVrJJU6xte3BphgD8N3
Yjai/Wsk2yqaVmUzSUKTF/nodbBbC+KYtJpTURverPqwF88KSCnxrf+xZq0YfTqRrtCy5moACZAd
vlyvzvIB9iMQFddBagi7W94V1x4Jcn/+L8lYR5GleE1RLEEenPCXgzKfnQOJ8AhW0YfRQXBrQaga
XTJrBf0F7lOyMKoRlYEdISYjbIyIC27nNBUBWsUaRzdh+8xXijx0FJsfy8Wkk54Ofqh+v/GJ67gY
9n+rabMChPC8ViJT6onfrTRXwcy0Pm7RAskQUgxZcKhm5bhkgViaP2HJu0QOJDLnL1Lbck/dSBzC
S8tRzwJDnLEeQiUjBXeagMxDMXcjOoq4Ml4SKww4rzfogI31mrDNXcCcWXaYsV6oKxKO+9xC2aYY
FqcOR78Iikvs0/HSRrahcAGRNbJu9cxhrPPERhc4OsGdi5fNKzyf30+lUb2d+xMo3tFwnD5klZYt
5AXbFBPZI2z5fRQvRIldtfb0I5fvxpaS2lr0M6zbv3suJ0t7zLgPKtHHxtsUSe5whA3dvGPzHjqA
DSqwDbdVpCGjEL748sL2YpucLXxjNr9Ia2QH93Y0OuVRX2HWC5xFddZS6Tr8MCVDBPArdZhc3zzf
WCfiZwhuPUHv8Ln1rJtPpO/tL04JcDMCKiXufz2xvov9JvSjZGQi2zMxBy58sB8wYgLm/z4AZvbz
Xta9S406J+FcpTKXFN08p6SVCxSVixDoLZmaEoog2aXut8zIP0H8MORLTZN5T2eAnWalfXfvd8e7
WV1HtL16y0PtF4Xm89+sFtmqgGB0ymtRqP+SH0oMMxWWKxWjYHXUISSq0l0mj6GlW1dGNy20dvTX
U4ULomm9+zXl40ddsz5R1BsGfSuI6aNLc+4UwZzFJjbSq0X0Bc+jrjZRvOO4r1PnfwqaYBUPuKwv
47GSmVkWk/sO8J1/PdUE/1YU+51d5DYOUSStX18FWFoXRiofEDJaHXY2zfAXcPJvirm9xlCGGpAE
ynU1FuPJGkNdKQoRPmZXy3wKAyWEVI3DEFcahHzCNKlVKyOms+5Odc7CowlROkmaI9EqU9y47qM2
v6jh91ngCoOJZOIl9ibUavPLvbHkTeQzzlRzl4dwFpoSSNbMVDh99ZLiNJtjZk432tv+nUI16F1f
acAKUwFXz1D+l24ga2Ou2dMctni3cKvLjUKnpDt6Hy8bWTsYsMW2iaumvQG/18ZW5NOFcWbzGCbZ
mxSVfpLIj4Ssv6eDaCsEXizN/zscQG8zz+Mn9zGAo3IfCPiMvYxjtTg4szGxF9C2bRYHS1+oAfME
wcK3XXXqzYcNDTHWs+hQq5ns9+ZHbFwWs3oqNMPe25yoZ6U15+dX8q/YYTu/eiUxJ1O+GRxS96rN
/OxfrihMAb5fPTzWrwezXfdpMOV9lJMMJULwFhc/rYjSEtFL0z2qOtVHm8y8WCbmlM5H0OtRc4g1
OmzwMYiavoJJc1baltg0iCcZNd7hGhEKFz6izgZnGDh2mKzH9K4wcCgCHWOZ/0Ls3L/RK3kd7ZH9
3nZqB6ow8chs32oTgAnTJocxH0rH+02w+I3hEHpxhf7+mrXSL3KtFExZbCmpA8zumVeXIe8xb9jQ
MJAwLJuxaSzrBVcsEPc6gyvvqg7W11Irf6DIvUcYtSgng34G9ENhT/uvEW7kfhh5Aw7ZNImiRZm0
0o+pmf9qBobc8YF3XhZoZcXI370AuClOX0sC2AC+uTyL0PexILhBSPVxmj/3j361FdUxy1q05LBn
Pf6t0VpjgUVRxzeX1aMF984v/tZ32kZks5i43jBklew9ppk7LOUa+XDfOndX67OdNnPLHLP6imUt
skAGHi+AnaDte+jUFHcZ6uPexPUE77KvxBcB+gVX18F465K3kLE+FwPHPVOKXhEQWDLmg1Z8bTHj
8CmtJ/Mi6PNXw1wjK49/HBYGSih1qQdPCLLRxUUVzs06+cCRmFDqi3RxsRgOn/4d95kDjOKe1vnz
sLj2Gyd14DhqpJxEX+NPStYvinJh6cSMTjMmyTBsiGcV0DdqBHcSFsi2j29ufbr0HOEJUEfEx2U9
uPIMQFVN3mSAdMux1VYBfOn4mLBEq4BrZBH1NAXYsP4qnNA6E2iwCnjsofOyee+LR46eYZtZ5KZx
qRqFxfEm6Kf5hpLGb0DNOZOGpAvfh32YzgaMoZn34+ng6LaCqFJZKKfrusx+PnS68A/JgY6c3Hfi
dQ2R4exvpXZSUTpfLaTBYgzNLtQzcmCjbbSHFgVRNascBBtI3lqt4Z9yY2mc5S9CvxKiyVbJ8qvU
yGaR8PxKAMhgfUgVqMsTW3I21VUpn1k4SH4xLwkdEOcIr0GcdERi6pPEmoThZnvqWcrmhMEvKIp+
yyPlMqVbwKFnXPFXw+E4PWkI6bKNuC0XWCH/5y8jivxyxDiVp5a3gR70+R9dl/spmQb2Rg99nsgS
EDN29oL4MtbTapWJK8DK+0nD39VVMFHMzcstgMupenRB7Onk4XeOKyc7W5Jl1Z7Mkn0/RycTx4bp
Jj5cJI5hsEblKaALwjMBExY75xtOMfIoEl0eI+vGoEBtgXBKsl1uEPVITcQBvd/Xarv/ukpRtxqZ
FnXU+4hc5G5a6Xfsn9Oq4ptSDSnIqVanqfdzkvDYlwlJAeCn6vydDifBPed8mF6Or6mPuABlkjrE
VQdJjCsNvWIvazrmZcLpLYbPZHhgWULvqKBJHVTOIDYKl0phu2bysr/tXWjQHi+fq0fZ/FMEaXci
it9uTvZw94r9BmneAXDlCFSUePXTm2Q97xCY/Orq3Pds4o+1eMTZcqAB9s54zg0sr+218DSDDwfs
8hq6vU46nr8plSbqJf5BlT6gcqF++IElJOFd9VdzhQhTJkuiX5P0RKvtKivXMc5YCgsKsp0jE7v2
uoZ2AtrMalM+K4i51ernFm1tHZuu5qCvTQ9QuKbpz71xDpVgl74ChyDK2KTWeGcdTSeZ6qBmvedS
YSgXJIc5mH3jLP1UPPxIbVawL2qvCc8UdBdixFVH2X4+XF+UuVgqpQnOWFvC+53b6CAFayhlNrvu
IyCD0TcOrJNQb4bsA44w0IpMg6A01W32xSyBHGhlaviWDABa5CzLHPEc5hyvLHnRCsqqBaZVnaRx
rdi90LfaLAKg21e1I2W1x46QKYy9FSpSPh3m/s6P4OETRJ53KRPSSkpPPyjI85AD1NmyJSObIw4y
emZRMP8AbEFZTnRH5bc9ayD8ltlR9HqVu6kQdkv56Yy8cXXCk6ypkKoW8IFeHy8E0mUiDff34FkO
Y5rFvZYMDOWGNwqcAJcln+to5PP8GVl7x9Re3g8FOFD2SDlq0ebyQvrCh9FadBvapka7jwjbX+Bb
ozzUm5ysnWxgElRg/WJH1iFua3jUfo9A+/WwQmcO3KiJ348jIv6MZ1aI7wKHrbpIWbgra6YkXzi7
2ktCQUyd+aYtLq59TghVzqrcmjrqdogZ6OrjT//mI3bXtANc6oRIzRwPSWsQbE4jaSS9dTlhIiLD
/pHwvdZFtUza0/CE77GJ5BR1B261mCb+Oo2k3lIkUcK3ufTh8K4I3FlqnTeC7WqihR0/Wv+Iuka9
dlJX94/1X7Uv5EbOn1kTgRuDjljb+hjWELFfB3Sp2cHFvFivbqgnoZZtEkev1sMeqNNZDJi6DW+k
xIh+c4vioWO6ocXw0xiF6y/DnJmgkOBkvSmrfVVWBMlEHyOtKrHiJPGHNoIXTwrAhbZeFy0vRHYp
hZzwF/rScm+yetu387FevneG8codC88Xn1opOaroKwbTyRf1GjMPrWu05ewbbVqrPZvfTD5bVNNb
Bl4UiqeGv7BaL/wN20YF2Sa4UonAgHypYst/4Yu4ezLXZPuO2ezuSwarEIw9mPlBdIgX+zr7vSca
K95dgEEvN4oahSxStiolOrfuM2Dvwg2ihiwF5gMerhOvcJM9A0qH0p130jNN/0KURb2DmdJBHPrW
l774NHVA1vtGCLAuMFNUb1VZD+qB5+hmXCDhZGJ+g1IwNILLJ9iNOmzgKSmqAzDFMVpcVU9wRBcj
/Lszl/k5+/Hzo0m3RKF7wow8GgaSZGKKbXTe06ZZ94RdjdqAxmkw/2MHS7V7vKiYt4MYNgXF5hnr
OcCVUcc4fcpUAWJZ/X6LxaBkNJkxozqe3h0bxwydonvhtO/nbjcHZZzXfKJsCFh+DmjvXvwzYPjk
ypM2B7KVKGqEPdtsaFwH5yQ5fmjUfOjxbLOCAkeXLwuWRfege3vKV9OC47x50wW+opcim1O6vDc4
u4b0+/J78EFYL0oomGOTZ5iLroxxczvN80BnAuXhmBhL/bKPUo/i75vLkLAIhK9wP2H3NNN/C1Lo
SvXLJCpBntLfeK7mOeSQ1RDlIss5YGTYbi8mSATRh2A8pE64EkFTtKXtc1Zbo7aymsfMtEWWi3SI
RPBUPuI3oUAObJv/GK6dk28GIDBvIGMb/SN23ljdOqyrL/WttiANsGkHs7ub6JkKlJ4vS/5j0KqU
P7W5lks99qnWiH5O2Iu10Xu+ROfuRnxDks2H1uPgW7cC+l1qNnfrtgd2dIJUZkO64qsKugotlHsD
9MbF1v1RToqUjNY7gWdPwI1fSjHmnIVIv5wgcoXKuRuUdog3s+EGgqw0VM7wQe1H0pq3TRHFblwL
U0oa6BPjac4LkCwwTGN/JD5D3WV/1tZfwW5RTpYbCiDul9f9WvICg1yYAm9FedaNFsFqb/23sOs1
4EbZi0KklMaABjzRukhf7xzkc0FevCKJiB06+x+89KZKNhI66FemHxCJLV1Vy3BRmZKGVXEAsu1k
7gG2gS8Om24KD92k1G5OC0WdvAbAflnNh6MpBk+ldMoeQ3oZiLuO7U2IYK4H/EiGRSOYjJN+U7Xs
R5MBTPvK7r6N3tDN/mvXfdQTdvUONFhNoVYdYm3KE4s7OuOeWG0dfblp//VMr2yS03gq6DslrDi6
T7WbXtvfXchxKTUaTvQj8jPnTY1ZUC6KvGpzZx1Yd46pkyAdhRQ5L+D0LJ4E6c9PaErnNKV4mg9T
GXIe4u4WMMFypKqjK8xTGGi+3RXi7HDLZfcZKnLyBMYDeJMYbbV7imKr79i351yJw6vs3553pKmP
XjWfXP8ADmGHLTSICKH6UWsIxRw14QbmBj7HdlDIrlTH6O+BKe52OpxKXL9gB48Wi2ZYeF4OKq84
DQ3jUnWk6pZrs2Y4Be7a6BPEQImv7R9nQN39i6YAhZaygafZvHskKF+Kmw1JzCFKa+KqEOSaZmF2
rKi1z31Y//Ry1/5nrR9D9GsENdFqrroIwYHAijZXNfcgEvi0SvIfa2BMgcFKx3XZGPa53KNouuGW
0bV1X3kkjQBqVxoToKV9nGBqMVS1TarZLwzs5U7aqlyPWGCxc229dKq+Qh0Mlc4Q8pd2/3OwgA2p
NX9qQKrZ5jfZKIp01RO7f8FJ4tcIlW1Cuz5KWOlyg45ydSlRLF18YSt0PZPIHjFeqvE4C97EYI98
OtnGRpxuFN0cczzQJBBCFeuQXB7yb6LmKr0b3hG8RsGmrxkr8N+DNuNx+ySGarL/hqQ4N8Py7IWN
lXpax8VmMb4EawzPUl31EleWHe4jyPGRMEx/MSIBGg+V6c6M0MS5LimeQ7n8OckdFE/JOavQN/Gu
KMR8BG2bqvHGUPgL1DXgkil3Fb8dMh4Ht6OHoK8V4OOJN08OiHUN3LkyzcRURTQhcxkZ8StAfNKL
xUjDdj9cgjJiGKPINkzhXkQUzcATKSPelkWgrqXGhD7Xc4ln83CwydootopmICFmUfHjb5HxyzWF
KIHYz3qYtI7DEN6ejtLTGnL2hQpLoyt1DoW1tCWwkYorZpihy0yD6seaEYCYi6tlhtcOzpt5hkBk
bYoMRueL+zaixR4Ssg+wZrKtL8IZr59MxHgdqXdUpMp2rsEqQaFYvP5O73lWB1R9BEhwBk1cCYVC
NrjaZIFPmM9IYK8nnSAPmplrBGWjFPUMMbO0tg6EsweOBygo1prBGFu6Zxzb6ToPRrsSrcZGr/dv
epNpDjTPSc9heb+65RAgeYOUiPsCisaQahv8Vug0TW7IVPluN4iKR6kt7MHL1LOWPLNvvcAOhPnk
41Vw+DG/y0kwj1LCvXn05QR4SzrIwjcETmF+zDkxqL65a9F5bN4WfZ9UtFODdl7vje77wTNDSl9w
tA2nhczQVI7ZMFtYf8kMDSWV1Ct9josZEvqrfSTnfUoqkjI0UhZ+UVFeP5ZEpel+caOTy95Usphc
OGH3PxgttqBrFuV/YScE38pjI9H3pEXmNtD5dbvltwG2T1E+y5mjJreDKzu3ukpcf6dOzCztrh6F
7n4fj2wtQrP9JhV27NddeNv2YYTkO+6BwpY1Fsj4iSuEpyFYwYA1+SAVFozhyIqdldBa+oLi2C38
wyub9q7hEzTKyem34ecy93x9zmAwIJKGLi6po/XbHIgnUE0QCVWGpZSVDuCEnLjhCvIZWeNwMNBb
z1PUwA9+fIWvls/vJa9rVudcvUruZLWnEZHkiJqlFudZqGFlqmzBBAF8a33h3IGYwz50TIDHmi2D
h9Im3H2dm7XugQD2wxScyywuHM0+JvfQhMKSExttLbCSVunaPfGWRh5C9dfonb6mveCAaTDEOz3p
q4YmTYrwOiJ/KQIhs67jIk29T4j6TMeg4ky2bIanHL+TCJl5k3ZWQUgODJKzpMdQAcVn0sfN1l1D
Vg7SWKqFQkeug1PHI9lfXBlfehyWcpp/M1e2hxDGeKDCOf81VSkFLgxoOaYuPSxDlbOCkepBrAjM
gMqqc8SGf+LH//K1vqSmtxIPQq3+EfYA8F0mamVyNzp+9RxgbxJFX7O49k5LZZRxJIYl4VfmKlfw
ItXuXgFAvnRA8dGIjrlShq+SmlXYTsj5R+gZOcJZTRQUyRNFA0jKaziKo6zUd9JIuBz//E+uPtvQ
VyQn0Yu6SJhrOhDuY52rPhBZBrZfYssSZx3TjRWIbqxpT5rsPERztYrGPnlsNvw9pG9w1QOfbOaq
685RZYDwZGIBStiDlw/angrzJiNzLls9UoIDFIcsFdjag2TCt4/cP9EdqLZao6M4256fMQDPNHFG
LQu/C4hwaDaLy5+hZASy6qrUlkYFKYkcdRfQjjQJCsAjmvAI/Mjpqtkw2dzwW2pSWFM6eWQ56hDx
erFqoNrtUOUzjeQ0ZPS8lTxGGGNUCxgvpx5/G70XmnoEebm1Tq4uK//0NjMkgcmanLFn7AGR24ny
sRniqr/8qYRnhARpjzR0qXJEcNBi2cCKYkLrjXlzVr+dtg/8/Qt42TevVVpQXVmiLDwjX9vq6US4
Z49YQfE/+ueS0xpz3NiuUg6hlXpCRoK9J7iE5JQ6L22deGwu2WSVeYmYL23oEBM0ecJashmFZtLZ
QRO4ggvERHx+F9ji4UlpoNKOBPVxmNyOplECUUuczks7imh1GhIrBJZkYZS8IXJxVqnKwtdtISPW
zt3Awf6jbej+s9oGqTGsQgako/XPPqNr2bA2XtgvxOI2u1Q1tt+ZFyHGu6ZPV5usAHx17bIW+Hpy
jacCbe12sHv7B7fFIk16VlcHtMMN382C0mvPoqvnUrShMZuSFWkeWow4A571Ajk58HVaUD+bKCeK
byaBi3YHD06t62Dt+iYWPegnCJCW7eX02Kj/7plaUq6FiJgJh9HcIbNPH42CcbiZURkhnIDajRES
Scd45TCOL4MqpS/Mq+amGUAubKcZnpPuAm+WFKOxHpzBQkykJrX4+3jMuZtV/F3dOTcwDsOhNfvV
jf3BnsEZSxwu61Xc0fFum4/uWo+g/TwdwFiIqb/E5T//UF8ADv8d/FUdRn7vKiJFGwPeLBf1dRtc
YOVnRhPEavlUG6SakC+EvkvBQrhPOp1w9n8EqncaFtKoS7RDP3ldGBy9+yBRgSTmoKvWMr2vb+k5
iDjEVohi1H2Gjo//wljW1j1C7w3LazJA0VI71xS5jAyvnIlzhx48uwMaqaQLl9UUNG2IE8LbKxzh
sP1zKT83Xm/F3WwoqHuuXThzde4a7bTIUADF8QSkrhWl1uB2lHWD4CE3i6oebSNd0KI7qM1Zp+KS
vjPYMMUN+wSoqOrOVtXkCCe8Q9RVyULRtp/2XhmUHLAwnQM1d0ADnoXg5+8W20/DLAyGUwxCDVn+
zT/Nq6cohAOXFCuWTpdEkKO9zRnRNupkpDP3JXzccXjPaOFYjaVXJWnBi/hB0DZZXK1i6oS7IFhP
JyifULSOATpmU2A8XR24s8c0svdCU6QMb05fl6rRjSFBpZ3mYHUP9cqFKw1yeska1SrHQim2YGZt
xGy4nva2fEQztUrUViCNTz6UpKqhMQnYcfqA9/4e9brhGS4s5RzDgo+Zb8NfzRq7J51kAV1Qp1AJ
Ya6mLK9cuQfUhs1SMP2dJoYXWM2wYr0vyJ0eq2+bTCR8RDkLgNv9Prj2Ybvgkq5B2n7UulnmVYUi
+mYvjZPoDp7N3BKcR6zDFydRjnGfTZ0Bdp8LjqpVXOdUUcUjmfE6XVAmYmPNj162U2qxHnnc5/dd
EonALk1BjXHtstvonWxAzuBxHRk0dxsN2yEk0igqCfYivwA0hZszFii3jEWM6C4BlH8ebiCVjfkR
54GaSSHzMDzX8U3SBH8IVUAQ9K/cmL5Sawzh6Ci4mcXmCdk7u5vPj9YZdRf/gADnQ2TV0E1kuEng
UOt0YHJhRlRBdvoqPtG8WUersSh7r/DgtgZtBUrbsdBroXETa7CmAw/o5p+GIIDtHHX+TuZajgsL
3i799xcJzRZaS6Y26sT58An0pr0K19uOSc2O/bh0ML1SjEtpLKeWl78tSMtnA4Sh1JKUy5Lk87It
woczH8S8H5ZqRRu3nDPLlLqbDxqUWto8T8Y4kySuDbb4UJswqtW5Pjr+dMKIo6zBJ19TZFrI/2JS
+UWvrwAFeN9blWuslpVnESiKb9flzw7m4RfkIVWE2X9XUH/WUdEN3XpX9fkBn+5C2HTIcUvqzWE1
mz1hyvhznpY2s7JGEk1qkdU/r++dXWf+fBxGNrGXk4PqO7jOyPHfNPxlYqx7+ZIYQ4HHV5Azc5OQ
wsqKteA8I0vw1mrkhES5ncaEpI/ridccIJW3nRdzRZtzUaKaHnR9kRU6VC8VQBs8zgZiC37udJKS
XSPdUvb4n8kuA3CTNyKWs2eCkAJjVUbml36Tu6EmSd6Z749yeRcLSSRsn+gh/s32EFjrNVVnoGCY
u/mqUaJhmW2EUZZ07+OOQSBG0FDrNtUpyck6dl4HEVQ3eqc46DezRMs6V85665iR8yw1XDWLIjU6
gRn9Pygd9O1rjdvfiOgSSoBR0vPWL8DrqqFpQUBVXleMz5YHNdnG3uCttXsHJ/s0mnCfzhVc013y
wFvAzB4UCv0CmTP6Nl3mOvvDV7/PmKBR/W2YiclHVkVywYKTA5pvk3KFyFH1Apx+9u3QiK89UnBZ
hP/f7CaIW7grK4v4h0TfyiMiHSll9Piu9n6GMN/4Mn5l/WbL42jGhZyeLCkag06GfS+XyHKlucTr
iJ+QAeh2HcFKM2itJM873D1qBsDezaCFHFxz4DlOcHdC2Mft9PxGNXaUnHaoeDLDQ3ncver3ZmQM
beIXkrDceMKzgZWIn+qlrnniDDNivGFWoVUd1msJgjD6q/zB6AqVjPyKVHbjRzMGW0mLYXRC9x7P
/U0kuifizsUAfjpArD4zdg059vDIQYmzxukbw8D2j/z4relfE6IG+1yTUeB3QREdmeUcY30Mhsqv
tBueokviC7upRSSbM2HToXxag/0AM0wiwi6yJFAef5JZy7pUoR1j9j8rs5cmaACIOwX0rF3hZD4t
wc1xq0I0igtFqgStR9IKK9oEz7IGRlMbactbC48vK/lwiLqpjNDrfgBQhEWsi55VdDxdgFtEGn3Z
Nz5m7FHrBqrAz2LlvEYHT2wf9V547GjaCh5RXzQBHNqxBOFQT6YaySsTqCfFfy1BBEHk9JT45Nm0
3sOIDQ+4piMq13/7ytd0g3V/fZOIkIDLA6aHLhbPv6O9HucBkL1S5ojiQ3fNQTcMEJg4Km2YiHHH
UnAm4iUFV5fz6VazyKRIq99wxAe2Fof5eiRR7jce2Wm22M/UdT2F/pCrKuIouAexBXDwePMr6Ue1
7TTHaAAs7LIuo1k3knAo/ff2yHKd2dTaDBkAV4A2nvCzMuPp2KQbu7pqu/9sN5RCbHUXsNwg6rwl
ZLZ5LN9rnnUwHO3gLeam62lQ75FEPWr3au4XWFwqlS6nZdA1Sd1mKCff1NIiKgzkS/86seg9AYv/
YUCQjRyR5SXWVNGf4d3UsxLLqPfjAmw3arNiKTnoHSFxaq6LuoX6LZXjSpj//Ees4vyu6p0oH3v5
N0XBs2dRoZM3gRoAwvYBOcz1dkyU86hs/c0n2mlUBofvMv/grFyA03oJfh9SFhCDJmgqE4XLjou1
VZ9Le16TFNS6dWw703kVaPpelEfLojaVinoJTquo2F5dywANgu4TZUV/xTfPV8S1z/CobVoc+sDq
hy4V/p63VaFqmDx02NIrr8149Xy+MdYhTJEwkyK80m0ZHcixZD5auFtmYgEPKG2WV4MO7jYgtMcz
2TrVDQ8b0aATA1zA8L4E8VBxnBT0q9FmqJIGl1G1N4jGVNLciwig6yHsu0dkzyvV3Yukv/rj6QDv
6X97qGP0BPGZ9QKcuZIySR6OhyXjzuRWu059FzQKvbNnX8W8wVHovaG6PgvDj8+ANLS7zwqITl0D
gxFLr72JAucsqDXCdIlHwPzmR89T3/5QgW5pAQEpTCPTIn8TGhngjkYf7HkKE17WBVb6k2cTEWJE
liQErPt2+mXb/8UJ1/romHkmgIWD02oNWQxly3ziOa33XP65ftaCdMp/fQsTRMb9UayOjWer5iq+
kyMIZlZafFM1/EJ8VX1dhTvt/vC+EbczlN8kBbnk3eLO5g2EmnBHlsi10XAYqRptbg4TKsANW8X9
xmoBvF3lkuM5PXecV2g+RsXt8N1WB5BeryYrFknlW6+BP/qEMVd9dGlaRv/WoW5dDRMHtBFplojh
F87GcVhrVFdwbjsxKad3k0jBsQ+m07F9IqAYqV9+VOnWfDqSJSgYxvpPdqdACvQDsj9oF0cdKFWf
VP5JBXaK1cAjjynB6BMP98q6Qh1hmik1np/qKNopL0Z2jyjVQBL8kxkIMQ+9t5fvIptTfRAruTkL
beeWbStPSHGfI8f0cUAZ6Pf7k2q07VuPR82AWkE8hZP+0/FipatNScCatvF6Ql9UlOBi9zs1O6/b
IRdpZyAp1mPJko4RFnBEwJ0a+L/qHsYWAeixXD8UvdJdIPQxyrswUIDhYfGx7Z9BT4Df/p9NrUph
i8e2xnTZeZ9LYsTQ3TaGz4UTVVj4yEWR4OqGFZHcy/L81CUmMI8CIKXKtpnlffoO43YQ8gncV2OG
K8dU/DrEUJEknYC8tsSWq6QK25/ikP5dXEsFzSUeSptgRLOUW2eMllHTwcyzvtBd5Vezk5djR7Y3
VjoEteTTDLB0v0XhwKbD57fa9x2aL+3rOXWp6Bn+RRMk+H30OVt3vFVY/IKieF8rQ0jxO6aAxWr+
bHx7Ddy0ON8ZXzuJTOT/kVXALqcFvAlQjLEsd3lwlsE45N7t3BfIbu+n01gIvCD4YtiQVBikXxHf
JyowKc8qlv2iTPL2ak8fxQh7ksD6mFvXiQ+VeyUw+W0hdRuFyRswgKtNGFKkoGKabncysUebRfBF
A/Bk9UPNUqf+fi8aZIk6y+Is8t/udQVGGIFC3kymSHm/nLssVPp4dZv4QqIl2CeAX0qVJa5KzuOv
3u/bMIh9OMfuTJ/tw59klZjEdZl7yVYOzApGdLe2a45am4r6CfLbcvLFvsvAbLLpCOuuk5X+HgIj
HsL6EOPfB7XS9y2AQ37bdXYykOewDE0yVUh8IxH8P3aVsWI/gtRuUFf+efjH2WKLDsQyq3gOLR3s
Nf/UUjcaQGo5xHwwXbh1TvLiNZeEFoOlSsyEfu7MxITHLmBkNQojPMIfhCFFF53DzKiSP6Z5iQ1o
XPfSQEWOyGwD4v4DqGpreCBGy73qE4RMMYjud9kqRlO0NyUR03suBV5JZ4RzAv+sYkA8Idnfa/JR
EumbldJxk5RLPVC/HrQXaUfdBgitZFBTF5Rn8Y3MfhujDVJDzs0eOTiiLtp92rgzMn5zCkXHxsqk
kYF2LDA7y9AVcf0iPDNDljA4rXhHI9LFHdCVWMaqDq/N46l0AQZFJRcNN0qaYacur5Ufsl+V/qG9
WUJPFQjW31G4dqI901VjMvGTLkK39XQuSxmrKiTvuu9CdUJNLg2nK+0DJ6BiVZGQETiw7DVYIzay
UF3dDQeZjbqryXpseJMrkuSfFudWI76LgIbHjxyfGKGmbjTO48pTy1r/8cgBsXVMkrtsJLSH31Bx
hcXluGdIrP7OHu4vJtG79D1L9MEW5lwGOFYqbDRR/fSkmh49dwdXt/ai3ETnnUCxABIWWPi1gz3v
UKhU+52LDK17i5KbQg+7la8hidjaAx3TKjwtBqWKnydKWee5C3AQTRUzS7CPumsYc7G2L407kekZ
xq0xn5QLy66DhJpay7QpSgEuFniUXX8P4Vs4MdY0UVIH7b2H/QVSmkfNSYZ1UXR1E7qwUhAcptfS
0RuUmxPB/mLb6FNqAALaWtBjrjfieabpB1U4PlDd0Q7EXhGJTUy/5Fu8k8YkPNVv7TVjjSz03RNc
PtSXoXpMVxFMrJk2BXwYYnMF2ln6euKQZcIOBs6BKbAZmlwNQqP9EIZ/3pX47vYbTRJZt0QyQBxL
chTt1wpIr8h8Mi2BzmLWsbsEmbg8T05z5zW32h0Ohb/qnbwh4rNoewfLv55TgJBFrShoes2l4Qob
nqxFadYIprKR9+7H1k/VSFlCjFRBZQZLkyFSt2AyUQuMfjdkcOAPi+beYLtUjRks+XZTUewSK+FA
xQaidDHnhnA3s0FRtk1BHaBbhlrybDqHbigQbTlO89vQpWPUpx9pc+87hmtz6WICVjZSfWZrAMKd
njL0WlufXUSX6s1lwhzICO5fWPLpIS32JIDNuqhLLcUQD6SWXa2GWGfCX/TZunw2W9hcmLdGc9Gz
KjpIY/qP+6SPFeC/+LIeizW8Ic2mfNbTgWZOj1MOmmuzOYgWylUCYHiOuOp4NEiQv6fwLtmoL7fC
g3FqUhfI7bLLM2R9M+++q0Gqee5aHRxXTg3aNCCMb9ahvqOz3tJYcPpF3k9u8TPyjWwWUvqvtjm1
iDqGyHL6J3XgZsouStrt5VZrT5AosormV7aGPZFhKDAT8zD5denQmrRP1OAh34qyPux5zwr0B02H
N51Es8Rt8d+OiXbtLQsuPGGS83NhOMHWYsk9vb5+ySb4u37qZBaf5Fx3dJpsEOwMZFZFGCjkbdQD
i4ShqmjjV3LEBfrubz+74hWibWEaHsH45nL6ndRrcaPk+WFN6msUT5s3FOcBjIGO/42zMTAvM8Zj
m6QKF+sol/sSWw/9nEf2LU8gax9dJzEbLwP7kmhlcTNde8DByguI294naTiR7CDXOrCr1dGKOHx/
hNUSQ3A9fZK90KRD3+wMYdtdTehHTlMWnitsi3MFLaU8wqhJ5q2HvSmNLNxKqsCeUYoSckUhyKC8
gfq8lGYBKAGDwXtaMFoWh5Muo1aVSgfOzjukENtuPa26mXFHCdUwYnTNpp+4DyB4NZftJrC4CxbZ
NyHP86Xg0FbhoSijLj6tW49gVcurjyYcx4I8UHp5cZOGgKvFoSLxwNwL5HwTzSsPeNrWHBnt8Aju
TcXW3PyPWM5VkMpgZVu2wQVVFiIAYlUgg024ANDH8f52Pw5W43D+BDduBhP7TVzxFUEV3ugguqHy
OMopSxG6M3X06VlFquiXJntOyH7/xHaVgYI8vVe+cxqML8Apkv0an5J1FbQF2cO/kvwrTFbyHR0E
Vae5B1CrO3Vat6YklIW5nNaS7NTxCDx5euhraCpaygoeL9j7gh8EAawu5es3m8z2ilg5xNScmBH/
1oQRQglNad1UqCtIh4qLEBTIjMsc/0nJ/dBoJsYyiuVuSCri5rz5N1AxnCMSV7G+XTOaOQb2HaGV
PvVuqPrfAV1bIdnlZdHpck4d8lpPZLjNfY9OgXfaZTwSJxhM8pV8e3En4LLvoMV35CpGEXHsslJ2
YC2N5SnKwa4+wI3lN0xrkdVVTMzgjWkyb/U1PElxhNX3A6sMuJTis0PWFTZ4K/ujtehg+dkgwYaM
XDMe5Q6KIsv3jmU7GiMVGTZvgJdshfNjyycoeyBtIneHIpcucdQ6iwltuReaNPnwrvu6LIahD84z
rHWk4/n6AiSp3SrxBzTrAyZn1yPr6FkgX4fbeFApEduK7IjVq4ztH6t8ERVUt5iMF1VcDmBPDkLb
QsJvbDyesqmTt60L6f/exwBf2SO3TLwJmeU213ap7tYxFVpSuAvHfaemtr9fr1NNW3Ua8NocB3Qs
MOmyhBsr4ZZhJFwoKVreLsp1lON1fySvv0+1SQM5UrKglsPvdmp5jSqRfeLZYoG2sjoHKeWkgNKx
ArPQo3nKs+R49VJlPsy2AlPq3cmHsNnPgq2IgK5rjcDYcDPoKaY25weZcLJMPbeuZNE2nfXE7d9S
XXJI3ZrOf9Kfyl/T8eKBGloPTSkSTlndzHVzPfxMOuJs2yi7OYWFe0miibeoQU/eFkcwB+C0lU2w
RT9nUabNk3T/PSkfcAL1SwQI3T1a1dpU32jNFtgOqLsMNuM+PBGnvggZz6w239tVR9CI+qgkLEUK
QG3KNPUyeN95d+ixPTjRwUpEOBaulUpnXued3ArmP+81StkTVbyMB3r3JkDG7zudYpkD3/J9u6sz
T72GRTubjI6a7R8N2fHluAsorYCdQ7tj8BdDfyPI37NGTqL6el2kIBP1sEY4tExqurJhA0ai/wTk
nayGFi/0Rr+KbRn2XP0LpAsd/fryDj1Xu9NftTG7RGRmcii0S6vM/gYuqsrtl6BrFmDfXW18s+hI
r50L0j4XuGgbXI4Vccv9ue7u2gWDLFkXBCNhjEbc23PJVduPzaIFXpyzoMkC27eTpS8c5QLronIJ
luDcwkFQKPVZJZzTMQzkoe2W9e2dn3H89OO/ahCC/emDVFF6iSJChUY4TZ7MY3JM6r7OuA5j6PyB
Ud58NpJPffCoQ3x+rKcU8pr+acivdz7fycA42yPuA6/WqIvqd3ZqwDy/+X3z2pFbk/zZjkwwF/jS
pr5VRHXu778wdbXXLP9/0r8Lm7pzs6jbF+dLcx0Xjatq9AgCX8eKRftI1TinzsbvljdcoTOfDo/u
2pJVkyVSBkAVH5thRwlQsuThLZwVFKj4nzWI3NZbIyNHVucE3cQB7qx7HdPvwXo3b2k958sjS8I+
RnIGifDpN/3I8btgcp5DjM8SdMgI+8KmbVbct/5sbiOmJfv2YnqcZ/nqyvY1nQoKBdqtrVzQ8tHB
kMS0bEZo8A0j7l/DAbLAMYkzcAOoeNyrVmVu4lXpovQtNT82j48gdzkgRuLrNMfVOAk/umJTL8DX
Yfq8noG0GKfTVuckbHARK+bBV3dLUWAkUDuLs/p8rj3urn9z3wLeUR2JBN123/p0RQgRVGFZPNwE
fbFMaCSxEomHOBcaXldGHVJt9LTDy+sNW+WSuoViaVqn968Wu76Zr2f7bPidPUNrdwxYwxPZ0dHb
BYb522Lwmf3mFf6ny7xRJZchADpa6W2wjzuW+6/2eVOpr+lQJ9/YVKpat6d+d8CzYK/mlY+77ctx
v0bRnA6kMTHiKghbguM4BU3Y0JaK91HNC6bnTvuCie+gD6hfYesX+3QU1TcroTtVhXXWH6lqcgVQ
VRILDVdIivXTJma7nl/U2j/fJQJ3mdsy/wno1fdJv11SufBTg6zB/iU/AaKBDdP9a1dkKXg5sbZE
hQvPen8rje5NXerF5XKnJvoD4atcUiuM+eSHvbaydmEAKSa5xKyv8AwUjrNPw9R4KYFGqo3GF7hw
r8Nibrg4TImnA1+nUynUXI3P7Y+kEZT7hA4hq5KzBEOZf3koMWPdrY2e7JS5y78f2U2VCabGdgiC
+YNIJ3Jr409cOuaxDct2QuW78nHDGMbM4Y763jtUbAzwcpXyFgeXBMt8b7TIUH5t1Begil3VaVuE
+nbkfdgKm+7EjGWpmbo6v9oFHbDO8hA3ERhL37Lpm8b2f80Zc/cROxToz7PgFCbJ03vrTTwubuV1
fRuLsODyBPGNOFtNbQBiLuuPXeBp2njxR5Ev8K3g8mZfmj6A1V2+ifgQiRsQ6e37XleMp5YPb4XX
BikzejItCyaSWhVjoR0LaOHYfL+AYkSD+iuV0HLCHFYJZhUPSt3VpxxZ0IlAdz6JnGab75AlU1e8
iJs16Bxu+N6W9hACR3uj0ayieNVeiSF+dMxjkWzNN+TGzPZpFSgneMgkLJO3K2KixSFazOcKGGNp
BlQMfF54UmKJEde9MZncNkVDaMaco16ecSEo92JoPHE1UrOC4dmvKChCOsky2MQuxLPh8w5yGPsj
zJJSfkCUJnpKit/NHeaT0RVQlYJXxzm/hM05bc4Y7UOAODmMKc3P4Ww8aRhsePhpldtE7Ckz0vRb
XEcqR9LMxPnX2hdAAIQlLU+7QfM9YngNZScnwz4U2W/b1o3wbv2J3i/oNfZR4htPvE0XJ+xwEL33
0glXm/EsRj9vB8o/jvwknpdbrcKPFdl9sBzo2AHMMoyd+DariE+j2EMsVgENUUQiUSTUhz7NHPBi
ApCXpdX6Y2OODecSCwK4GcQw/DHakIWgLDDOm0P9a038bOZKyBGXKboL8fbFP1ZxMYVThIvkcOed
+l0iwcK/x2QPAQMWRZaoVQODTjWnPsTN8R+M+dUOSoTqL6b6ZBaLj6QZTUYMYWrr0+wVo1weFSef
HUl+GuDqU7JsXA+gtuD6UWYYjM92oHBjWeHcWs4Nndcy8H9m/+WuFyKlL+HVx1X/sZ7albVAIIu/
t0WGxfT+wXyzB+LfQQ42N/A+VPbJRRpIJmSTmd6WTyoj3ixbtg8pEXN+E8DgDii+c4jef2wGZ6cK
2pdDrdw/oB5Ug3h3KY97ZMmx57Jvk/ugRKtvXTCxHTW0TSk6jDyLkJOCTriZWJZN1twDyLt97jKh
AFNZbZNybLANKvBSsPebCUK2xADtNRDPDYjwDi4ILm86z6ots1Xswh6QVkGVJeustZOo9HbLP0Q5
NxTWBwypf7noSy/WmHIq5tlA9f7y+x9f4sLVI6HPKCtRiH82ggZcxZwF3+EyZTYeUjQEmlXMsFCm
o9GpTqJN1cFvmeSzYNluqEzPO4UrWDyjJp+vvVjA5u/g/1AKS+ha4IXbIY/UOALC+wHZc4RIbpds
b+76m8xA6mkLC63tnPW52Qisd1LSWBePQ4LG55IPhT4P3z6BjNXmTZHoeu/jEn+Orj1BoGz2E4zJ
q7beI3A5FeZcXSUNyCl9Wr/Zhki7k6EtoZ5nDx+3iodvyihJND+acPD9Lnw30KwxE4WD+024AN+f
NyjzRrtMZul1dMW5MYnm1vuRWkm78uGOAZCXggtbNbkLPqAbNrV0VsQQlHx4pnMCnnqSPzWSLtAZ
/DPmG9SurMbeo5/3dnzw48WJ8vdhZQWmSGUyqRbQKmzYOeCP7M6iOfkHrgI+BGVuonjGrHm9do38
M8ri31XdtqZBNPTdX6b70pn/nx2AU4zHd2vIevJYfZPM23et0PI+UkzuNyUDS5s0faHYDbfFRu1d
rqglayaMpUGc13+DikF/yfekjI7raPrvo+U0TV2z04JJiLKS1s+1f5Qf/m5+bd5XuLWZklsL8NPo
rJOPT2vKe+M63fKKqeGLjy1E0RyufMyxbUlmbztfvc+VviFJnei7ljf2bo2NRsOhpvo94aGv+ZDh
7EKRpa1/Fv3NSsr2SlKwfQYLiS18JW+XI53eWWU0Y54OQJiMIsy8QnEIVHBr7NvAHZEre7WiA3VM
QEvjp3ditc57zmo/c+ahbxPM+S4WsK044OtYjHb04loAkK+3H8SJ3+XGpk64enH4u2T2YKr+IKgG
sAiyvu3EN2nXaZkAOVp1Atg4oMmlYKjsKwfXk6fxrgpB3rFkRra0ue8xiB9/woCezQ7I2ZogQFgw
pol10w8Wcr5SyvNiQvEuCpcbKbtqOmORw/wWJtvzu15zYvwjb2JKy7XCKX2v/LoFYIznkigRyZVg
TBsBkNCFty+8py/OtmMCKW+QizcVqN3K4KItDVjEjtVx7D+Oqk13772IjHD4AfQN4YTwWJVF11wY
GGCBOOw738Tf4ItIF3DSeXZL51LB6GDFpOe34YIj9EPAGSBlynRRkafStckuvbRf+h/uNEbByCBT
Qnb7pYEhMoqejgPWCpxnXAcP70Y/EA17+vk3ALQZsnrIfIPJOjlO+Z/4h1qUNWHLwiDwIF73449R
BjycTxFMi23U94Dq2ZchdGD7J780IjyABG8SAY8hpDi0JOS222VmhebLRgS9OlW6zwMtHriHHNeZ
ubOj30qL3obaizkYQV56m5QFyT4sfYis1PSOMtAEVRniOfjo+3C/8iCLLLrHg07RyE558N0XrrWI
XuA3zF/h9R+j1vkm4Oof6M1KxexBJESv0gbpQl3UxlG/FSzCNO5Q6E81fe/Y2xGvaGkd/mDkvrz/
1k/c81PcAQ==
`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/builtin/builtin_extdepth_low_latency.vhd
|
19
|
43742
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
L9EbKuxxzV/09pnAb0OGW9DxPQ+o+m/MvX4x5f3JCiR63+KWt2eYB17k+9mGgVY+K1VLxoYz0z6V
YvlDefublw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gJD53XIM6IXGcoGao7b+pChhlJwhGxOuVwSTI1iU+aaEVIG37JelabzUSiGlwgboK2Zv8N9/EzBK
Y9pDSGcMvhlTABOa75VEGmta9QvVzRVMjXtd0b/jrdUkZar600zvkPbB8+QESNshxT7B96klkdIo
XvMdlDR/SEQxmh4Mkpk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uMh613zg14bfl9MaiMXKdALr5q+gvlBiCCfJpnudkmj/VEzNaqE3gABSgWbIJEk6l3XEblsHwoSZ
2eueijgOoGBjZq9eDXqLeir52M0Z4RoybrJFqX7YgYE+2quggoW8XJjUPK7bExWH1Wd6un6XRwZo
+XQ53VUhkTgctFKNHRr7bEqxJa0qk8dm+fTRKVmCc1Tr5X6rd28yRrr4koH3+liBwEPKquwcMKJL
zK5B0g+bSiHJvGXlQQpKzQNF3+4MebcveUUQPOYG2FAjfRJs1t60dgE73q6y3I1DMI/3MguCuvoX
78TA3nOFRYGLkISVFXDX28xYA0EnciH3BlzGiA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2ADp5V47yVkwRII2+UsRY3zvclviExupZdil2h787eVOjYg5odQlZCOMnldkarIbxDBoj52vjMGc
rG04pAKa/Z3oDUnDkDe8ZMmBI29kynugqgc8aGxYPVKp3KD8EvhnicB6/4Tt66g9A8WsjHtxXLuC
0ImlGHU3T8u48JygeUs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
s5k0DDcwk1Yhkk6mc4rW2ITc+jBCojX0QPFrzARjmvIjcmc9EJT8pAYSdJK1ykoSIGmT8u4U6vaF
5pchZ1NWV4+0T78Lu7ir0M6lHPYDFRgXZTR6CNdPGqAe+Si56W7NnXEM0Yylf/w4tAQ0u+05yvCg
wK+mPCq/91Em5ZiPcvKOHOdJBSTTkSYC7/n0QNniR1mBmd7+dgsFr5yshClYY/q8HngDDE/aNYfx
P9AT4ECjL+OzARXCnbTA6RjbHEjVx1ewIc83WIXkwbZjUYAzp9rYNjFdx68zjq8U1XW92RXAEXCc
AYKv676uVGq/WAryucxGApaihL/izu2+HGUsYA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30640)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/proc_common_v4_0/hdl/src/vhdl/or_gate.vhd
|
15
|
9199
|
-------------------------------------------------------------------------------
-- $Id: or_gate.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_gate.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_gate.vhd
-- Version: v1.00a
-- Description: OR gate implementation
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- or_gate.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- History:
-- BLT 2001-05-23 First Version
-- ^^^^^^
-- First version of OPB Bus.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
use proc_common_v4_0.all;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_OR_WIDTH -- Which Xilinx FPGA family to target when
-- syntesizing, affect the RLOC string values
-- C_BUS_WIDTH -- Which Y position the RLOC should start from
--
-- Definition of Ports:
-- A -- Input. Input buses are concatenated together to
-- form input A. Example: to OR buses R, S, and T,
-- assign A <= R & S & T;
-- Y -- Output. Same width as input buses.
--
-------------------------------------------------------------------------------
entity or_gate is
generic (
C_OR_WIDTH : natural range 1 to 32 := 17;
C_BUS_WIDTH : natural range 1 to 64 := 1;
C_USE_LUT_OR : boolean := TRUE
);
port (
A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1);
Y : out std_logic_vector(0 to C_BUS_WIDTH-1)
);
end entity or_gate;
architecture imp of or_gate is
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component or_muxcy
generic (
C_NUM_BITS : integer := 8
);
port (
In_bus : in std_logic_vector(0 to C_NUM_BITS-1);
Or_out : out std_logic
);
end component or_muxcy;
signal test : std_logic_vector(0 to C_BUS_WIDTH-1);
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
USE_LUT_OR_GEN: if C_USE_LUT_OR generate
OR_PROCESS: process( A ) is
variable yi : std_logic_vector(0 to (C_OR_WIDTH));
begin
for j in 0 to C_BUS_WIDTH-1 loop
yi(0) := '0';
for i in 0 to C_OR_WIDTH-1 loop
yi(i+1) := yi(i) or A(i*C_BUS_WIDTH+j);
end loop;
Y(j) <= yi(C_OR_WIDTH);
end loop;
end process OR_PROCESS;
end generate USE_LUT_OR_GEN;
USE_MUXCY_OR_GEN: if not C_USE_LUT_OR generate
BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate
signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1);
begin
ORDER_INPUT_BUS_PROCESS: process( A ) is
begin
for k in 0 to C_OR_WIDTH-1 loop
in_Bus(k) <= A(k*C_BUS_WIDTH+i);
end loop;
end process ORDER_INPUT_BUS_PROCESS;
OR_BITS_I: or_muxcy
generic map (
C_NUM_BITS => C_OR_WIDTH
)
port map (
In_bus => in_Bus, --[in]
Or_out => Y(i) --[out]
);
end generate BUS_WIDTH_FOR_GEN;
end generate USE_MUXCY_OR_GEN;
end architecture imp;
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_xbar_1/fifo_generator_v11_0/fifo_generator_v11_0_defaults.vhd
|
19
|
30145
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lHZAv/MVAAt3F19GG6CyO2D9ozHTHXUyHUqVqPhHJ9Up8V3v4BMtL2rZCdPHvvrLl9m3lxdPLeMd
yZjuwpNKug==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V11pDh2GdTX922gInHRdE4PGQC5LocLJP7s9hbeXjPbTiX/dPLHGusbEN2B0toY0K8U4vuWNSniM
1aH2SNR2JV5BnhJYTc5D8l2e07TnA0V6ktY1z+NOBfbsIHPai5FO4rlYQdX0gfNxjRiE4WpTGufJ
+8B9yaPmasK5qJ0hmyc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
0akrUk3wQb4EqzKkib7F59nSOOeoy+q3qc0fQDYykXO49Ll/FgY0ewL69TWySlFx1Cac/+BCy6vf
iumuPLpTjOS55mFm1JTMxYzM9NsagXEQHLi1lEkcr65/dw7cjFH/RPICXrv18S5beJM408VyZvsr
NCAeZ9gbVAaeGzkHq6VNPIh/P5GGGWEK3241GOn4p1v1t2GkteaDbOSjGK7wX7a4kTfRzrAH+xYH
86BcPdOp3oyEseFdQgL0BZboHxt4zJr0bXL7Ln+oOm7kGCKk4PXPdudDDSsXKQUPtDHqr2MHJwZk
LDVjKe6pX7e2DnCF/lojAxyhWqtc4aJmRRvYWw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
P2FMFi4MUNbCcmQEmOw8kkGKpCf5liEfyrVflbrNDPfCQyQhrfO1z3elwJF/eYuRk4Q8ng49IhJM
QbJUTOajY+rTGsCSJpmNj13e1oNpCtCwEA2TBzHdzEyAxDwQ0hUh3ZqnFSNQ0MMnavo9wEIKRylK
MAHL5TjDsmLJG1Zi4ZQ=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GFuz3jjDcNus13vZfabnTsKTQz9Q9tOYpUUTv0v99miJHiWg9X4Bm37tDSsBPgge2ZWYV/fIZNhM
o9RFowO2ZPIK8CdMOp5y1r9QlxbgxiEVYj1tH56LRgvbv2A1ghGFDDY3Qvyz5G2dmEuSZ/58uAtK
A8Mm1zy2Ln16qChURWHrjkDuCcIOuGQ1GysEn2sqg3E/XWxojTbAmy+LaQrAOqIwoDTGFZ/Ek5fe
49U6fyDbugt8sjMOq32EEkOAQwWmc5uVOZWv3KIDCD6tRxPMIg8J9cwcCTEoanlasaaRs9KqN5go
7g24OWiCSjQz8Pf4KXR9USnCWt9Xh2mPsrZAPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block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=
`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
|
19
|
31831
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Pb7E+qNVEP4sE5d3TkwQJMYKTR/FjAPrexB6qdDJcLdscPV5w27UvNCqw/kg86JgS2hNrfoEvTNF
uJ9eNTpy4Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Egq1eCtVuCp02bpffloqFi7UMw6fphk3UOZCcejhe9NQNeC0Z0b1+S1NY8yEfAVY74l4oz8pZ1vA
hbrAzplanZae/BDY57rCQ6UjD8G9keaOwYv6mG13f+m77D7Y1nVpXOE4Uujw3cZ1QgwXR1H4YfYp
ysjb+lxmo0pqYRikRIQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KJqrZ5TKkbTlecBRrKRCsxKhAd1omWJvIin7DNafgTE5a5N2or7GsTSawdWWjYWHESLBvStvRGQE
jVUeK8m63dYVJN98fa8T9iAHTDt9yiBRki/VqfvAejvDOEI+l8row+LhhHMvCd29xmkCeQKiq4Qt
hsdsz+jNufnCYY4Y1CVO/4preMZeG5Ow85vRd/341CoWEOBji8o4pk0XyIttBBgjBzWO8JyhLpza
R+Z8LgFoZ5OTfgpyTJ4SjYRWp9IHP2HL9TShNo3PmM36nFNBvQSLoEjLgk4+rUr657++ugJH31/C
Y/QScvwJcbqMK15awb6twj42y2gxJSFzAPzSGg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KxmYEF19quU2lnDIx1hLVbiBV1iU7MlwBSbpQKNAVv6HLtZNpIjv2UPtz6sPs9Xac0T26s1Kjo2c
fAw+uaSeKdgWE1BMMV8ya3nIO40+wJlyaPYGp3qW9dt6kM+FZZl/3MCpgIMx24FXg4CPHrHNKu54
/3DZJ7o9x/QjyM8WSeM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
n4InNydlMoO1IH7Kq1VdB5tuRxM6d++erhleefbfKU7rQGdfSjRtqcQ+h67LKfA/jQJYdDdZMjd3
Jp84+E2i9v4ovZP9CPOifgPGXKRtOz0XzimXarAjLF+OJp3As1WqoTrPJI1DspdbqtDWx5caLezn
hcZVfRSFpZUoLc9H0HW6DXtxAWvJT8e4ntjJYO6koEzzHlZPpMhXvbbH/rbArm4iRGWLOVN205Pq
oJcFHv1n/e24XGuCRksBqssUXd+D0UgsxKn8Hy5kQi4Q8xdFEXxEOVBI7ivvG+HKnJFOOr+UNhLY
+rNFOKSwlDtT8tPfpzjKS5GdaTuv7j2GVoF5Tw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824)
`protect data_block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=
`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_auto_pc_3/fifo_generator_v11_0/ramfifo/wr_logic_pkt_fifo.vhd
|
19
|
31831
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Pb7E+qNVEP4sE5d3TkwQJMYKTR/FjAPrexB6qdDJcLdscPV5w27UvNCqw/kg86JgS2hNrfoEvTNF
uJ9eNTpy4Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Egq1eCtVuCp02bpffloqFi7UMw6fphk3UOZCcejhe9NQNeC0Z0b1+S1NY8yEfAVY74l4oz8pZ1vA
hbrAzplanZae/BDY57rCQ6UjD8G9keaOwYv6mG13f+m77D7Y1nVpXOE4Uujw3cZ1QgwXR1H4YfYp
ysjb+lxmo0pqYRikRIQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
KJqrZ5TKkbTlecBRrKRCsxKhAd1omWJvIin7DNafgTE5a5N2or7GsTSawdWWjYWHESLBvStvRGQE
jVUeK8m63dYVJN98fa8T9iAHTDt9yiBRki/VqfvAejvDOEI+l8row+LhhHMvCd29xmkCeQKiq4Qt
hsdsz+jNufnCYY4Y1CVO/4preMZeG5Ow85vRd/341CoWEOBji8o4pk0XyIttBBgjBzWO8JyhLpza
R+Z8LgFoZ5OTfgpyTJ4SjYRWp9IHP2HL9TShNo3PmM36nFNBvQSLoEjLgk4+rUr657++ugJH31/C
Y/QScvwJcbqMK15awb6twj42y2gxJSFzAPzSGg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KxmYEF19quU2lnDIx1hLVbiBV1iU7MlwBSbpQKNAVv6HLtZNpIjv2UPtz6sPs9Xac0T26s1Kjo2c
fAw+uaSeKdgWE1BMMV8ya3nIO40+wJlyaPYGp3qW9dt6kM+FZZl/3MCpgIMx24FXg4CPHrHNKu54
/3DZJ7o9x/QjyM8WSeM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
n4InNydlMoO1IH7Kq1VdB5tuRxM6d++erhleefbfKU7rQGdfSjRtqcQ+h67LKfA/jQJYdDdZMjd3
Jp84+E2i9v4ovZP9CPOifgPGXKRtOz0XzimXarAjLF+OJp3As1WqoTrPJI1DspdbqtDWx5caLezn
hcZVfRSFpZUoLc9H0HW6DXtxAWvJT8e4ntjJYO6koEzzHlZPpMhXvbbH/rbArm4iRGWLOVN205Pq
oJcFHv1n/e24XGuCRksBqssUXd+D0UgsxKn8Hy5kQi4Q8xdFEXxEOVBI7ivvG+HKnJFOOr+UNhLY
+rNFOKSwlDtT8tPfpzjKS5GdaTuv7j2GVoF5Tw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824)
`protect data_block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=
`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_0_0/fifo_generator_v11_0/ramfifo/bram_sync_reg.vhd
|
19
|
7904
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ISK+8BrzqbDVc2hIh4k9UuGvqsq6yFic71tfszsK7KRf52jFUoK33AosGVUYsGH1pmrUc2NUQcDQ
LseNrcojiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CxxZHetyKRTjg1ePIJzq+w/Yg+inN7g9nkhYUjpPSXav+SKIAQvdh174FZUi0SnoR2INo+rdZ3gz
yq46XymO3b/3npnRNCCU259giTvnOJxmkrtnjRyUpOg8jB2jnHg/f/BlL3OJUGGiFonBs+6rnNvW
4aiU6ycFpLQsNzqRlAw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HZ1Kttz7DNn3t428AVZ/hrbCqljpJfsdfcEo7T7pfqxl88ELioDFFp9rVcvvZiZMU++45qS8CpOD
SfwcEjOj8ndwnIsrDamIUHs+Qm4vUDDq8EtyiGhux+pwMtpg8rH6kCwLDCkdk848fWRbBOGctdAr
AiQz4Fie2ectzKGEhjERjquMNqkQkhNIuEu/CSTnyD7KnG+FK+llVBavN8lxjWeDvk+quMyk8Dbo
gA/SdzYI7TCZkNEFS/PvF3Z8fPBK4pBWz7TyfdHacMjMkaPd5zGsPBmQy77xwc4m/sfhM7ZX+YW6
VBTILiYtg7u194UVgu4fHE7f45jr0jTur9wbVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2VX2NPBJC/FYSjnVp8ueqtxuxLgenRIKbrff8tdhuTb77js7o9S4OVH2n84fEyvr3hl3lrO9ekVq
VvQQOlQBg7Zv5/tFAeI5YFisgygYrqeX9dQcI485CaCpeN9nanYXhtHWROH+ZOYckBZHUhhjC82p
LnYwoausKSjsi+rXE64=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HdQIwrCqCFDZv9OQZsva3DMtF+8TwiePvWLQndNAXK/1V46C6C4sVLdH6SK4FvPis45PZ52T91rx
x7mjaMnTgTVkK+VoFF3Ej7xzh/2PoR+YkiToyHCbvwHQXXvv3GAu3HyqWx9b4oOndnrx5Z1mco/s
lNgEY825qOfDqrTkPvvNBXThybVoOKs2SBHAdaQhQemuYVAjS7mEC/lA7vom+55/0dhIN44Q0vMz
6utkLeK9axPmrUz/LHNLm3BFQsfvacsQoIQe/Y7g5V8ehxANfnzft/Jgo74fJAU3odGS++0PsHF5
2T1joNptoFFljB/U6DScrAB2FxigoQal7I/OSA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_axi_gpio_1_1/fifo_generator_v11_0/ramfifo/bram_sync_reg.vhd
|
19
|
7904
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ISK+8BrzqbDVc2hIh4k9UuGvqsq6yFic71tfszsK7KRf52jFUoK33AosGVUYsGH1pmrUc2NUQcDQ
LseNrcojiQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CxxZHetyKRTjg1ePIJzq+w/Yg+inN7g9nkhYUjpPSXav+SKIAQvdh174FZUi0SnoR2INo+rdZ3gz
yq46XymO3b/3npnRNCCU259giTvnOJxmkrtnjRyUpOg8jB2jnHg/f/BlL3OJUGGiFonBs+6rnNvW
4aiU6ycFpLQsNzqRlAw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HZ1Kttz7DNn3t428AVZ/hrbCqljpJfsdfcEo7T7pfqxl88ELioDFFp9rVcvvZiZMU++45qS8CpOD
SfwcEjOj8ndwnIsrDamIUHs+Qm4vUDDq8EtyiGhux+pwMtpg8rH6kCwLDCkdk848fWRbBOGctdAr
AiQz4Fie2ectzKGEhjERjquMNqkQkhNIuEu/CSTnyD7KnG+FK+llVBavN8lxjWeDvk+quMyk8Dbo
gA/SdzYI7TCZkNEFS/PvF3Z8fPBK4pBWz7TyfdHacMjMkaPd5zGsPBmQy77xwc4m/sfhM7ZX+YW6
VBTILiYtg7u194UVgu4fHE7f45jr0jTur9wbVw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2VX2NPBJC/FYSjnVp8ueqtxuxLgenRIKbrff8tdhuTb77js7o9S4OVH2n84fEyvr3hl3lrO9ekVq
VvQQOlQBg7Zv5/tFAeI5YFisgygYrqeX9dQcI485CaCpeN9nanYXhtHWROH+ZOYckBZHUhhjC82p
LnYwoausKSjsi+rXE64=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HdQIwrCqCFDZv9OQZsva3DMtF+8TwiePvWLQndNAXK/1V46C6C4sVLdH6SK4FvPis45PZ52T91rx
x7mjaMnTgTVkK+VoFF3Ej7xzh/2PoR+YkiToyHCbvwHQXXvv3GAu3HyqWx9b4oOndnrx5Z1mco/s
lNgEY825qOfDqrTkPvvNBXThybVoOKs2SBHAdaQhQemuYVAjS7mEC/lA7vom+55/0dhIN44Q0vMz
6utkLeK9axPmrUz/LHNLm3BFQsfvacsQoIQe/Y7g5V8ehxANfnzft/Jgo74fJAU3odGS++0PsHF5
2T1joNptoFFljB/U6DScrAB2FxigoQal7I/OSA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112)
`protect data_block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`protect end_protected
|
mit
|
bpervan/zedboard
|
LRI-Lab5.srcs/sources_1/bd/ZynqDesign/ip/ZynqDesign_rst_processing_system7_0_100M_0/fifo_generator_v11_0/ramfifo/dc_ss_fwft.vhd
|
19
|
9156
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
eRz+leRSRPpou0Iyb6bnhB8hg9kPbBirrzFUAdKqw/be3+N8ZrhDizYaLfXqnwxlgZsSWJCzRfM7
HvMw/rTLhw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rl74r1iJC/bnSjzA+Rx4NZe56NnmjoVRFzUux12uAkwgT++rVuZ0cWQxVSY31Gff9TGn02lNxavo
U1xWF81U2u/Zi0XY7ZHmbpbdUEdpSv9huiEIrpuLuTgWjBSUwsGYqRxHLx1vq4vioRXFlAhPk9JA
iYodwxjKI7YbbZElfVA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lO1ylq105LQ/xiJNZcB3fPTy1RngsQ3yQ/KJ6FM1qs+SoXmUQjQaEb6hJLPAypYN8r4VdJAzSC/U
5nFe27DWNjEKmiIleROkH20okne+9N7+PhPIZQnib521U3SV/ecBImKKPYRpHhAeqE7OE/DzQFWx
10ISqR1I6WBii4R8gkz5k4dkFHhiTU6fgkIHLUXXclJrpQ6fHHlk7MPcpQDjK7bXjIiQ81qfpVmp
P5Kh8wiY7VppUj33GlIcYsNio8GAIV3e0kBKLoX73uDqdvJ/2zBzKOZoDd0As7C4AHF8YSixL0MC
djalIDRCSOBX8Rd9h057rIe8ZIXNMu/BHoKk/g==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aJoUzTg4Ju9hNY+ZPcuNUmGg+rCD8aivgSTst8VRB5/g9QHuzghA24ad2z08gxWDFeIOT/HFgT6H
g4nDsyLlbHK2gxUijkJ6ORkRfGOxb8UwHTzLEIRJ5zmkHtJXYM250JOsiukrgEDT40HqdtSgre6O
kXXliGFm9MU0LwRby+I=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ca/+TaSll/KHF7mIE37XMZRKDQpSdluwuJA9x/CRPHNmOrubSxRKoPtbXlxVM6ehE2hXp6yB6qBf
Fup9ZI873BFwgulDsuQHuOSUPGo4bBHwDnNbSi/4G2je8uxqj4KeP/bv0RKunNMT/FTascQdDh6n
SVSARZi75+ElUvhBfAjPHB+yugMvSxDk7TRPn1RomvNtW1CJTL51/PQt26FoAtnxmwYDcU5wo8WT
ATzZmP4jq9ClSvjXHkf/VnlLenBFunDj22Ef6vdvxByXWMZrDdZyqqIvDvktra69BBPdtD2LNyW1
FCI6v17qDRdmShLAB1bJHs4PPkDtQbDOwcgx6g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040)
`protect data_block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`protect end_protected
|
mit
|
dries007/Basys3
|
FPGA-Z/FPGA-Z.srcs/sim_1/new/test2.vhd
|
1
|
902
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 04/16/2016 09:56:37 PM
-- Design Name:
-- Module Name: test2 - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity test2 is
-- Port ( );
end test2;
architecture Behavioral of test2 is
begin
end Behavioral;
|
mit
|
dries007/Basys3
|
VGA_text/VGA_text.srcs/sources_1/ip/FrameBuffer/FrameBuffer_sim_netlist.vhdl
|
1
|
105072
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
-- Date : Fri Jun 3 00:16:36 2016
-- Host : Dries007-Arch running 64-bit unknown
-- Command : write_vhdl -force -mode funcsim
-- /home/dries/Projects/Basys3/VGA_text/VGA_text.srcs/sources_1/ip/FrameBuffer/FrameBuffer_sim_netlist.vhdl
-- Design : FrameBuffer
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_mux is
port (
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOADO : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
addra : in STD_LOGIC_VECTOR ( 2 downto 0 );
ena : in STD_LOGIC;
clka : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_mux : entity is "blk_mem_gen_mux";
end FrameBuffer_blk_mem_gen_mux;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_mux is
signal \no_softecc_sel_reg.ce_pri.sel_pipe[0]_i_1_n_0\ : STD_LOGIC;
signal \no_softecc_sel_reg.ce_pri.sel_pipe[1]_i_1_n_0\ : STD_LOGIC;
signal \no_softecc_sel_reg.ce_pri.sel_pipe[2]_i_1_n_0\ : STD_LOGIC;
signal sel_pipe : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \no_softecc_sel_reg.ce_pri.sel_pipe[1]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \no_softecc_sel_reg.ce_pri.sel_pipe[2]_i_1\ : label is "soft_lutpair0";
begin
\douta[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(0),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(0),
O => douta(0)
);
\douta[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(1),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(1),
O => douta(1)
);
\douta[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(2),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(2),
O => douta(2)
);
\douta[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(3),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(3),
O => douta(3)
);
\douta[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(4),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(4),
O => douta(4)
);
\douta[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(5),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(5),
O => douta(5)
);
\douta[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(6),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(6),
O => douta(6)
);
\douta[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOADO(7),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7),
O => douta(7)
);
\no_softecc_sel_reg.ce_pri.sel_pipe[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => addra(0),
I1 => ena,
I2 => sel_pipe(0),
O => \no_softecc_sel_reg.ce_pri.sel_pipe[0]_i_1_n_0\
);
\no_softecc_sel_reg.ce_pri.sel_pipe[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => addra(1),
I1 => ena,
I2 => sel_pipe(1),
O => \no_softecc_sel_reg.ce_pri.sel_pipe[1]_i_1_n_0\
);
\no_softecc_sel_reg.ce_pri.sel_pipe[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => addra(2),
I1 => ena,
I2 => sel_pipe(2),
O => \no_softecc_sel_reg.ce_pri.sel_pipe[2]_i_1_n_0\
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => '1',
D => \no_softecc_sel_reg.ce_pri.sel_pipe[0]_i_1_n_0\,
Q => sel_pipe(0),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => '1',
D => \no_softecc_sel_reg.ce_pri.sel_pipe[1]_i_1_n_0\,
Q => sel_pipe(1),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clka,
CE => '1',
D => \no_softecc_sel_reg.ce_pri.sel_pipe[2]_i_1_n_0\,
Q => sel_pipe(2),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_mux__parameterized0\ is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOBDO : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 2 downto 0 );
clkb : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_mux__parameterized0\ : entity is "blk_mem_gen_mux";
end \FrameBuffer_blk_mem_gen_mux__parameterized0\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_mux__parameterized0\ is
signal \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0]\ : STD_LOGIC;
signal \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1]\ : STD_LOGIC;
signal \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[2]\ : STD_LOGIC;
signal sel_pipe_d1 : STD_LOGIC_VECTOR ( 2 downto 0 );
begin
\doutb[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(0),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(0),
O => doutb(0)
);
\doutb[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(1),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(1),
O => doutb(1)
);
\doutb[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(2),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(2),
O => doutb(2)
);
\doutb[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(3),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(3),
O => doutb(3)
);
\doutb[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(4),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(4),
O => doutb(4)
);
\doutb[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(5),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(5),
O => doutb(5)
);
\doutb[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(6),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(6),
O => doutb(6)
);
\doutb[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(7),
I1 => sel_pipe_d1(0),
I2 => sel_pipe_d1(1),
I3 => sel_pipe_d1(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7),
O => doutb(7)
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0]\,
Q => sel_pipe_d1(0),
R => '0'
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1]\,
Q => sel_pipe_d1(1),
R => '0'
);
\no_softecc_norm_sel2.has_mem_regs.WITHOUT_ECC_PIPE.ce_pri.sel_pipe_d1_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[2]\,
Q => sel_pipe_d1(2),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => addrb(0),
Q => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[0]\,
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => addrb(1),
Q => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[1]\,
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => addrb(2),
Q => \no_softecc_sel_reg.ce_pri.sel_pipe_reg_n_0_[2]\,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_prim_wrapper_init is
port (
\douta[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_prim_wrapper_init : entity is "blk_mem_gen_prim_wrapper_init";
end FrameBuffer_blk_mem_gen_prim_wrapper_init;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_prim_wrapper_init is
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_88\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_92\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"2020202020202020202020202020202020202020202020202020202020202B80",
INIT_01 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_02 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_03 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_04 => X"802B202020202020202020202020202020202020202020202020202020202020",
INIT_05 => X"4646464646464646464646464646464646464620202020202020202020202B2B",
INIT_06 => X"4720202020202020202020205050505050505050505050505050505050464646",
INIT_07 => X"2020414141202020202020202020202020202020474747474747474747474747",
INIT_08 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_09 => X"2B2B202020202020202020202047474747474747474747474747202020202020",
INIT_0A => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A4620202020202020202020202020",
INIT_0B => X"3A47474720202020202020503A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A50463A3A",
INIT_0C => X"20413A3A3A412020202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A",
INIT_0D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0E => X"20202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A3A474747202020",
INIT_0F => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A4620202020202020202020202020",
INIT_10 => X"3A3A3A3A474720202020503A3A3A3A3A5050505050503A3A3A3A3A3A50463A3A",
INIT_11 => X"413A3A3A3A3A4120202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A",
INIT_12 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_13 => X"20202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A3A3A3A3A474720",
INIT_14 => X"3A3A4646464646464646463A3A3A3A3A3A464620202020202020202020202020",
INIT_15 => X"473A3A3A3A3A472020503A3A3A3A3A502020202020503A3A3A3A3A5050463A3A",
INIT_16 => X"3A3A3A3A3A3A3A41202020202020202020202020473A3A3A3A47474747474747",
INIT_17 => X"2020202020202020202020202020202020202020202020202020202020202041",
INIT_18 => X"20202020202020202020202020473A3A3A3A47474747474747473A3A3A3A3A47",
INIT_19 => X"46464620202020202020463A3A3A3A3A46202020202020202020202020202020",
INIT_1A => X"20473A3A3A3A3A4720503A3A3A3A3A502020202020503A3A3A3A502020464646",
INIT_1B => X"3A3A3A3A3A3A3A3A412020202020202020202020474747474747202020202020",
INIT_1C => X"472020202020202020202020202020202020202020202020202020202020413A",
INIT_1D => X"2020202020202020202020202047474747474720202020202020473A3A3A3A3A",
INIT_1E => X"20202020202020202020463A3A3A3A3A46202020202020202020202020202020",
INIT_1F => X"2020473A3A3A3A3A47503A3A3A3A3A502020202020503A3A3A3A502020202020",
INIT_20 => X"3A3A3A413A3A3A3A3A4120202020202020202020202020202020202020202020",
INIT_21 => X"3A47202020202020202020202020202020202020202020202020202020413A3A",
INIT_22 => X"202020202020202020202020202020202020202020202020202020473A3A3A3A",
INIT_23 => X"464646464646464646463A3A3A3A3A3A46202020202020202020202020202020",
INIT_24 => X"2020473A3A3A3A3A4720503A3A3A3A3A5050505050503A3A3A3A502020202020",
INIT_25 => X"3A3A4120413A3A3A3A3A41202020202020202020202020202020202020202020",
INIT_26 => X"3A472020202020202020202020202020202020202020202020202020413A3A3A",
INIT_27 => X"202020202020202020202020202020202020202020202020202020473A3A3A3A",
INIT_28 => X"463A3A3A3A3A3A3A3A3A3A3A3A3A3A3A46202020202020202020202020202020",
INIT_29 => X"2020473A3A3A3A3A47202050503A3A3A3A3A3A3A3A3A3A3A3A3A502020202020",
INIT_2A => X"3A41202020413A3A3A3A3A412020202020202020474747474747474747472020",
INIT_2B => X"3A47202D2D2D2D2D2D2D2D2D2D2D2D2D2D2D202020202020202020413A3A3A3A",
INIT_2C => X"202020202020202020202020204747474747474747474720202020473A3A3A3A",
INIT_2D => X"463A3A3A3A3A3A3A3A3A3A3A3A3A3A3A46202020202020202020202020202020",
INIT_2E => X"2020473A3A3A3A3A47202020205050505050505050503A3A3A3A502020202020",
INIT_2F => X"412020202020413A3A3A3A3A4120202020202020473A3A3A3A3A3A3A3A472020",
INIT_30 => X"3A47202D3A3A3A3A3A3A3A3A3A3A3A3A3A2D2020202020202020413A3A3A3A3A",
INIT_31 => X"20202020202020202020202020473A3A3A3A3A3A3A3A4720202020473A3A3A3A",
INIT_32 => X"464646464646464646463A3A3A3A3A3A46202020202020202020202020202020",
INIT_33 => X"2020473A3A3A3A3A47202020202020202020202020503A3A3A3A502020202020",
INIT_34 => X"41414141414141413A3A3A3A3A41202020202020473A3A3A3A47474747472020",
INIT_35 => X"3A47202D2D2D2D2D2D2D2D2D2D2D2D2D2D2D20202020202020413A3A3A3A3A41",
INIT_36 => X"20202020202020202020202020473A3A3A3A474747474720202020473A3A3A3A",
INIT_37 => X"20202020202020202020463A3A3A3A3A46202020202020202020202020202020",
INIT_38 => X"2020473A3A3A3A3A47202020202020202020202020503A3A3A3A502020202020",
INIT_39 => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A412020202020473A3A3A3A47202020202020",
INIT_3A => X"3A4720202020202020202020202020202020202020202020413A3A3A3A3A3A3A",
INIT_3B => X"20202020202020202020202020473A3A3A3A472020202020202020473A3A3A3A",
INIT_3C => X"20202020202020202020463A3A3A3A3A46202020202020202020202020202020",
INIT_3D => X"20473A3A3A3A3A4720202020202020202020202020503A3A3A3A502020202020",
INIT_3E => X"414141414141414141413A3A3A3A3A4120202020473A3A3A3A47202020202020",
INIT_3F => X"4720202020202020202020202020202020202020202020413A3A3A3A3A414141",
INIT_40 => X"20202020202020202020202020473A3A3A3A4720202020202020473A3A3A3A3A",
INIT_41 => X"202020202020202046463A3A3A3A3A3A3A464620202020202020202020202020",
INIT_42 => X"473A3A3A3A3A4720202020202020202020202050503A3A3A3A3A3A5050202020",
INIT_43 => X"20202020202020202020413A3A3A3A3A41202020473A3A3A3A47474747474747",
INIT_44 => X"20202020202020202020202020202020202020202020413A3A3A3A3A41202020",
INIT_45 => X"20202020202020202020202020473A3A3A3A47474747474747473A3A3A3A3A47",
INIT_46 => X"202020202020202046463A3A3A3A3A3A3A3A4620202020202020202020202020",
INIT_47 => X"3A3A3A3A474720202020202020202020202020503A3A3A3A3A3A3A3A50202020",
INIT_48 => X"2020202020202020202020413A3A3A3A3A412020473A3A3A3A3A3A3A3A3A3A3A",
INIT_49 => X"202020202020202020202020202020202020202020413A3A3A3A3A4120202020",
INIT_4A => X"20202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A3A3A3A3A474720",
INIT_4B => X"202020202020202046463A3A3A3A3A3A3A3A4620202020202020202020202020",
INIT_4C => X"3A474747202020202020202020202020202020503A3A3A3A3A3A3A3A50202020",
INIT_4D => X"202020202020202020202020413A3A3A3A3A4120473A3A3A4747473A3A3A3A3A",
INIT_4E => X"2020202020202020202020202020202020202020413A3A3A3A3A412020202020",
INIT_4F => X"20202020202020202020202020473A3A3A4747473A3A3A3A3A3A474747202020",
INIT_50 => X"2020202020202020464646464646464646464620202020202020202020202020",
INIT_51 => X"4720202020202020202020202020202020202050505050505050505050202020",
INIT_52 => X"2020202020202020202020202041414141414141474747472020204747474747",
INIT_53 => X"2020202020202020202020202020202020202041414141414141202020202020",
INIT_54 => X"2020202020202020202020202047474747202020474747474747202020202020",
INIT_55 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_56 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_57 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_58 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_59 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5C => X"6F6E6973616320696E696D206465736162204C444856202F2041475046206E41",
INIT_5D => X"202020202020202020202020202020202020202020202020202020202020202E",
INIT_5E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_60 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_61 => X"20217475706E69207478657420726F662064616F6279656B2061206573552020",
INIT_62 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_63 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_64 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_65 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_66 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_67 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_68 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_69 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6B => X"202020203A656B696C206427756F7920656D61672061206B6369502020202020",
INIT_6C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_70 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_71 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_72 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_73 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_74 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_75 => X"2020202020202020202020657474656C756F5220202020202020202020202020",
INIT_76 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_77 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_78 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_79 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7A => X"2020202020202020207265776F6C207265686769482020202020202020202020",
INIT_7B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 8) => B"000000000000000000000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => \douta[7]\(7 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \doutb[7]\(7 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_88\,
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_92\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0\,
ENBWREN => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0\,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '1',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => ena,
I1 => addra(12),
I2 => addra(13),
O => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1_n_0\
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => addrb(12),
I1 => addrb(13),
O => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\ is
port (
\douta[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init";
end \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__0_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__0_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_88\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_92\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_01 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_02 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_03 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_04 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_05 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_06 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_07 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_08 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_09 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_10 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_11 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_12 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_13 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_14 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_15 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_16 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_17 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_18 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_19 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_20 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_21 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_22 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_23 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_24 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_25 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_26 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_27 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_28 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_29 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_30 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_31 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_32 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_33 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_34 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_35 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_36 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_37 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_38 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_39 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_40 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_41 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_42 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_43 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_44 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_45 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_46 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_47 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_48 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_49 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_50 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_51 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_52 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_53 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_54 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_55 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_56 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_57 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_58 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_59 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_60 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_61 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_62 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_63 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_64 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_65 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_66 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_67 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_68 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_69 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_70 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_71 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_72 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_73 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_74 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_75 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_76 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_77 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_78 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_79 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 8) => B"000000000000000000000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 8),
DOADO(7 downto 0) => \douta[7]\(7 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \doutb[7]\(7 downto 0),
DOPADOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 1),
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_88\,
DOPBDOP(3 downto 1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 1),
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_n_92\,
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__0_n_0\,
ENBWREN => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__0_n_0\,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '1',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 4) => B"0000",
WEBWE(3) => web(0),
WEBWE(2) => web(0),
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => addra(12),
I1 => ena,
I2 => addra(13),
O => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_1__0_n_0\
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"4"
)
port map (
I0 => addrb(13),
I1 => addrb(12),
O => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_i_2__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\ is
port (
DOADO : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOBDO : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\ : entity is "blk_mem_gen_prim_wrapper_init";
end \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_n_33\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_n_35\ : STD_LOGIC;
signal ram_ena : STD_LOGIC;
signal ram_enb : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 to 1 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 to 1 );
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram\ : label is "INDEPENDENT";
attribute box_type : string;
attribute box_type of \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE";
begin
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 1,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_01 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_02 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_03 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_04 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_05 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_06 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_07 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_08 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_09 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_10 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_11 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_12 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_13 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_14 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_15 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_16 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_17 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_18 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_19 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_20 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_21 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_22 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_23 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_24 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_25 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_26 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_27 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_28 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_29 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_30 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_31 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_32 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_33 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_34 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_35 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_36 => X"2020202020202020202020202020202020202020202020202020202020202B2B",
INIT_37 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_38 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_39 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3A => X"2B2B202020202020202020202020202020202020202020202020202020202020",
INIT_3B => X"2020202020202020202020202020202020202020202020202020202020202B80",
INIT_3C => X"6867697279706F43202020202020202020202020202020202020202020202020",
INIT_3D => X"656972642F2F3A707474683C2037303073656972442036313032202943282074",
INIT_3E => X"20202020202020202020202020202020202020202020203E74656E2E37303073",
INIT_3F => X"802B202020202020202020202020202020202020202020202020202020202020",
INIT_A => X"00000",
INIT_B => X"00000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(13 downto 3) => addra(10 downto 0),
ADDRARDADDR(2 downto 0) => B"000",
ADDRBWRADDR(13 downto 3) => addrb(10 downto 0),
ADDRBWRADDR(2 downto 0) => B"000",
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DIADI(15 downto 8) => B"00000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(15 downto 8) => B"00000000",
DIBDI(7 downto 0) => dinb(7 downto 0),
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"00",
DOADO(15 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 8),
DOADO(7 downto 0) => DOADO(7 downto 0),
DOBDO(15 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 8),
DOBDO(7 downto 0) => DOBDO(7 downto 0),
DOPADOP(1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1),
DOPADOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_n_33\,
DOPBDOP(1) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1),
DOPBDOP(0) => \DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_n_35\,
ENARDEN => ram_ena,
ENBWREN => ram_enb,
REGCEAREGCE => '0',
REGCEB => '1',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(3 downto 2) => B"00",
WEBWE(1) => web(0),
WEBWE(0) => web(0)
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => addra(12),
I1 => addra(11),
I2 => addra(13),
I3 => ena,
O => ram_ena
);
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM18.ram_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => addrb(11),
I1 => addrb(13),
I2 => addrb(12),
O => ram_enb
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_prim_width is
port (
\douta[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end FrameBuffer_blk_mem_gen_prim_width;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_prim_width is
begin
\prim_init.ram\: entity work.FrameBuffer_blk_mem_gen_prim_wrapper_init
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
\douta[7]\(7 downto 0) => \douta[7]\(7 downto 0),
\doutb[7]\(7 downto 0) => \doutb[7]\(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_width__parameterized0\ is
port (
\douta[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \FrameBuffer_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_init.ram\: entity work.\FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
\douta[7]\(7 downto 0) => \douta[7]\(7 downto 0),
\doutb[7]\(7 downto 0) => \doutb[7]\(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_width__parameterized1\ is
port (
DOADO : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOBDO : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width";
end \FrameBuffer_blk_mem_gen_prim_width__parameterized1\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_width__parameterized1\ is
begin
\prim_init.ram\: entity work.\FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\
port map (
DOADO(7 downto 0) => DOADO(7 downto 0),
DOBDO(7 downto 0) => DOBDO(7 downto 0),
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_generic_cstr is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end FrameBuffer_blk_mem_gen_generic_cstr;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_generic_cstr is
signal ram_douta : STD_LOGIC_VECTOR ( 7 downto 0 );
signal ram_doutb : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \ramloop[1].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_10\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_11\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_12\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_13\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_14\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_15\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_8\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_9\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_10\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_11\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_12\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_13\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_14\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_15\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_8\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_9\ : STD_LOGIC;
begin
\has_mux_a.A\: entity work.FrameBuffer_blk_mem_gen_mux
port map (
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7) => \ramloop[1].ram.r_n_0\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6) => \ramloop[1].ram.r_n_1\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5) => \ramloop[1].ram.r_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4) => \ramloop[1].ram.r_n_3\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3) => \ramloop[1].ram.r_n_4\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2) => \ramloop[1].ram.r_n_5\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1) => \ramloop[1].ram.r_n_6\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0) => \ramloop[1].ram.r_n_7\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7 downto 0) => ram_douta(7 downto 0),
DOADO(7) => \ramloop[2].ram.r_n_0\,
DOADO(6) => \ramloop[2].ram.r_n_1\,
DOADO(5) => \ramloop[2].ram.r_n_2\,
DOADO(4) => \ramloop[2].ram.r_n_3\,
DOADO(3) => \ramloop[2].ram.r_n_4\,
DOADO(2) => \ramloop[2].ram.r_n_5\,
DOADO(1) => \ramloop[2].ram.r_n_6\,
DOADO(0) => \ramloop[2].ram.r_n_7\,
addra(2 downto 0) => addra(13 downto 11),
clka => clka,
douta(7 downto 0) => douta(7 downto 0),
ena => ena
);
\has_mux_b.B\: entity work.\FrameBuffer_blk_mem_gen_mux__parameterized0\
port map (
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(7) => \ramloop[1].ram.r_n_8\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(6) => \ramloop[1].ram.r_n_9\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(5) => \ramloop[1].ram.r_n_10\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(4) => \ramloop[1].ram.r_n_11\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(3) => \ramloop[1].ram.r_n_12\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(2) => \ramloop[1].ram.r_n_13\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(1) => \ramloop[1].ram.r_n_14\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram\(0) => \ramloop[1].ram.r_n_15\,
\DEVICE_7SERIES.NO_BMM_INFO.TRUE_DP.SIMPLE_PRIM36.ram_0\(7 downto 0) => ram_doutb(7 downto 0),
DOBDO(7) => \ramloop[2].ram.r_n_8\,
DOBDO(6) => \ramloop[2].ram.r_n_9\,
DOBDO(5) => \ramloop[2].ram.r_n_10\,
DOBDO(4) => \ramloop[2].ram.r_n_11\,
DOBDO(3) => \ramloop[2].ram.r_n_12\,
DOBDO(2) => \ramloop[2].ram.r_n_13\,
DOBDO(1) => \ramloop[2].ram.r_n_14\,
DOBDO(0) => \ramloop[2].ram.r_n_15\,
addrb(2 downto 0) => addrb(13 downto 11),
clkb => clkb,
doutb(7 downto 0) => doutb(7 downto 0)
);
\ramloop[0].ram.r\: entity work.FrameBuffer_blk_mem_gen_prim_width
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
\douta[7]\(7 downto 0) => ram_douta(7 downto 0),
\doutb[7]\(7 downto 0) => ram_doutb(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[1].ram.r\: entity work.\FrameBuffer_blk_mem_gen_prim_width__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
\douta[7]\(7) => \ramloop[1].ram.r_n_0\,
\douta[7]\(6) => \ramloop[1].ram.r_n_1\,
\douta[7]\(5) => \ramloop[1].ram.r_n_2\,
\douta[7]\(4) => \ramloop[1].ram.r_n_3\,
\douta[7]\(3) => \ramloop[1].ram.r_n_4\,
\douta[7]\(2) => \ramloop[1].ram.r_n_5\,
\douta[7]\(1) => \ramloop[1].ram.r_n_6\,
\douta[7]\(0) => \ramloop[1].ram.r_n_7\,
\doutb[7]\(7) => \ramloop[1].ram.r_n_8\,
\doutb[7]\(6) => \ramloop[1].ram.r_n_9\,
\doutb[7]\(5) => \ramloop[1].ram.r_n_10\,
\doutb[7]\(4) => \ramloop[1].ram.r_n_11\,
\doutb[7]\(3) => \ramloop[1].ram.r_n_12\,
\doutb[7]\(2) => \ramloop[1].ram.r_n_13\,
\doutb[7]\(1) => \ramloop[1].ram.r_n_14\,
\doutb[7]\(0) => \ramloop[1].ram.r_n_15\,
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
\ramloop[2].ram.r\: entity work.\FrameBuffer_blk_mem_gen_prim_width__parameterized1\
port map (
DOADO(7) => \ramloop[2].ram.r_n_0\,
DOADO(6) => \ramloop[2].ram.r_n_1\,
DOADO(5) => \ramloop[2].ram.r_n_2\,
DOADO(4) => \ramloop[2].ram.r_n_3\,
DOADO(3) => \ramloop[2].ram.r_n_4\,
DOADO(2) => \ramloop[2].ram.r_n_5\,
DOADO(1) => \ramloop[2].ram.r_n_6\,
DOADO(0) => \ramloop[2].ram.r_n_7\,
DOBDO(7) => \ramloop[2].ram.r_n_8\,
DOBDO(6) => \ramloop[2].ram.r_n_9\,
DOBDO(5) => \ramloop[2].ram.r_n_10\,
DOBDO(4) => \ramloop[2].ram.r_n_11\,
DOBDO(3) => \ramloop[2].ram.r_n_12\,
DOBDO(2) => \ramloop[2].ram.r_n_13\,
DOBDO(1) => \ramloop[2].ram.r_n_14\,
DOBDO(0) => \ramloop[2].ram.r_n_15\,
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_top is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_top : entity is "blk_mem_gen_top";
end FrameBuffer_blk_mem_gen_top;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_top is
begin
\valid.cstr\: entity work.FrameBuffer_blk_mem_gen_generic_cstr
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
douta(7 downto 0) => douta(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_v8_3_1_synth is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
web : in STD_LOGIC_VECTOR ( 0 to 0 );
ena : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_v8_3_1_synth : entity is "blk_mem_gen_v8_3_1_synth";
end FrameBuffer_blk_mem_gen_v8_3_1_synth;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_v8_3_1_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.FrameBuffer_blk_mem_gen_top
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
douta(7 downto 0) => douta(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_v8_3_1 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 );
sleep : in STD_LOGIC;
deepsleep : in STD_LOGIC;
shutdown : in STD_LOGIC;
rsta_busy : out STD_LOGIC;
rstb_busy : out STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 )
);
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 14;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 14;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "2";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "Estimated Power for IP : 4.61856 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "artix7";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "FrameBuffer.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "FrameBuffer.mif";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "artix7";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "blk_mem_gen_v8_3_1";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "yes";
end FrameBuffer_blk_mem_gen_v8_3_1;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_v8_3_1 is
signal \<const0>\ : STD_LOGIC;
begin
dbiterr <= \<const0>\;
rdaddrecc(13) <= \<const0>\;
rdaddrecc(12) <= \<const0>\;
rdaddrecc(11) <= \<const0>\;
rdaddrecc(10) <= \<const0>\;
rdaddrecc(9) <= \<const0>\;
rdaddrecc(8) <= \<const0>\;
rdaddrecc(7) <= \<const0>\;
rdaddrecc(6) <= \<const0>\;
rdaddrecc(5) <= \<const0>\;
rdaddrecc(4) <= \<const0>\;
rdaddrecc(3) <= \<const0>\;
rdaddrecc(2) <= \<const0>\;
rdaddrecc(1) <= \<const0>\;
rdaddrecc(0) <= \<const0>\;
rsta_busy <= \<const0>\;
rstb_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(3) <= \<const0>\;
s_axi_bid(2) <= \<const0>\;
s_axi_bid(1) <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_dbiterr <= \<const0>\;
s_axi_rdaddrecc(13) <= \<const0>\;
s_axi_rdaddrecc(12) <= \<const0>\;
s_axi_rdaddrecc(11) <= \<const0>\;
s_axi_rdaddrecc(10) <= \<const0>\;
s_axi_rdaddrecc(9) <= \<const0>\;
s_axi_rdaddrecc(8) <= \<const0>\;
s_axi_rdaddrecc(7) <= \<const0>\;
s_axi_rdaddrecc(6) <= \<const0>\;
s_axi_rdaddrecc(5) <= \<const0>\;
s_axi_rdaddrecc(4) <= \<const0>\;
s_axi_rdaddrecc(3) <= \<const0>\;
s_axi_rdaddrecc(2) <= \<const0>\;
s_axi_rdaddrecc(1) <= \<const0>\;
s_axi_rdaddrecc(0) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(3) <= \<const0>\;
s_axi_rid(2) <= \<const0>\;
s_axi_rid(1) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_sbiterr <= \<const0>\;
s_axi_wready <= \<const0>\;
sbiterr <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
inst_blk_mem_gen: entity work.FrameBuffer_blk_mem_gen_v8_3_1_synth
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
douta(7 downto 0) => douta(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
ena => ena,
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer is
port (
clka : in STD_LOGIC;
ena : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of FrameBuffer : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of FrameBuffer : entity is "FrameBuffer,blk_mem_gen_v8_3_1,{}";
attribute core_generation_info : string;
attribute core_generation_info of FrameBuffer : entity is "FrameBuffer,blk_mem_gen_v8_3_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=FrameBuffer.mif,C_INIT_FILE=FrameBuffer.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=8,C_READ_WIDTH_A=8,C_WRITE_DEPTH_A=10240,C_READ_DEPTH_A=10240,C_ADDRA_WIDTH=14,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=10240,C_READ_DEPTH_B=10240,C_ADDRB_WIDTH=14,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=1,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=2,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.61856 mW}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of FrameBuffer : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of FrameBuffer : entity is "blk_mem_gen_v8_3_1,Vivado 2015.4";
end FrameBuffer;
architecture STRUCTURE of FrameBuffer is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 14;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 14;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "2";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "0";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of U0 : label is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of U0 : label is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 4.61856 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "artix7";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 1;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 1;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "FrameBuffer.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "FrameBuffer.mif";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 1;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 2;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 10240;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 10240;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 8;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 8;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 0;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 10240;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 10240;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "WRITE_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 8;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 8;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.FrameBuffer_blk_mem_gen_v8_3_1
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
deepsleep => '0',
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => dinb(7 downto 0),
douta(7 downto 0) => douta(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
eccpipece => '0',
ena => ena,
enb => '0',
injectdbiterr => '0',
injectsbiterr => '0',
rdaddrecc(13 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(13 downto 0),
regcea => '0',
regceb => '0',
rsta => '0',
rsta_busy => NLW_U0_rsta_busy_UNCONNECTED,
rstb => '0',
rstb_busy => NLW_U0_rstb_busy_UNCONNECTED,
s_aclk => '0',
s_aresetn => '0',
s_axi_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_arburst(1 downto 0) => B"00",
s_axi_arid(3 downto 0) => B"0000",
s_axi_arlen(7 downto 0) => B"00000000",
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2 downto 0) => B"000",
s_axi_arvalid => '0',
s_axi_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_awburst(1 downto 0) => B"00",
s_axi_awid(3 downto 0) => B"0000",
s_axi_awlen(7 downto 0) => B"00000000",
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2 downto 0) => B"000",
s_axi_awvalid => '0',
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => '0',
s_axi_injectsbiterr => '0',
s_axi_rdaddrecc(13 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(13 downto 0),
s_axi_rdata(7 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(7 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(7 downto 0) => B"00000000",
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(0) => '0',
s_axi_wvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
shutdown => '0',
sleep => '0',
wea(0) => wea(0),
web(0) => web(0)
);
end STRUCTURE;
|
mit
|
huahu/zenturio-editor
|
public/vendor/ace-builds/kitchen-sink/docs/vhdl.vhd
|
472
|
830
|
library IEEE
user IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity COUNT16 is
port (
cOut :out std_logic_vector(15 downto 0); -- counter output
clkEn :in std_logic; -- count enable
clk :in std_logic; -- clock input
rst :in std_logic -- reset input
);
end entity;
architecture count_rtl of COUNT16 is
signal count :std_logic_vector (15 downto 0);
begin
process (clk, rst) begin
if(rst = '1') then
count <= (others=>'0');
elsif(rising_edge(clk)) then
if(clkEn = '1') then
count <= count + 1;
end if;
end if;
end process;
cOut <= count;
end architecture;
|
mit
|
whitef0x0/EECE353-Lab5
|
drawline.vhd
|
1
|
4263
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity drawline is
port(CLK : in std_logic;
RST : in std_logic;
DRAW : in std_logic
x_start : in std_logic_vector(7 downto 0);
y_start : in std_logic_vector(7 downto 0);
x_end : in std_logic_vector(7 downto 0);
y_end : in std_logic_vector(7 downto 0);
line_color : in std_logic_vector(2 downto 0);
VGA_R, VGA_G, VGA_B : out std_logic_vector(9 downto 0); -- The outs go to VGA controller
VGA_HS : out std_logic;
VGA_VS : out std_logic;
VGA_BLANK : out std_logic;
VGA_SYNC : out std_logic;
VGA_CLK : out std_logic);
end drawline;
architecture rtl of drawline is
-- Component from the Verilog file: vga_adapter.v
component vga_adapter
generic(RESOLUTION : string);
port (
resetn : in std_logic;
clock : in std_logic;
colour : in std_logic_vector(2 downto 0);
x : in std_logic_vector(7 downto 0);
y : in std_logic_vector(6 downto 0);
plot : in std_logic;
VGA_R, VGA_G, VGA_B : out std_logic_vector(9 downto 0);
VGA_HS, VGA_VS, VGA_BLANK, VGA_SYNC, VGA_CLK : out std_logic);
end component;
component fsm_line is
PORT (
clock : IN STD_LOGIC;
resetb : IN STD_LOGIC;
xdone, ydone, ldone : IN STD_LOGIC;
sw : IN STD_LOGIC_VECTOR(17 downto 0);
draw : IN STD_LOGIC;
resetx, resety, incr_y, incr_x, plot, initl, drawl : OUT STD_LOGIC;
colour : OUT STD_LOGIC_VECTOR(2 downto 0);
x : OUT STD_LOGIC_VECTOR(7 downto 0);
y : OUT STD_LOGIC_VECTOR(6 downto 0);
ledg : OUT STD_LOGIC_VECTOR(7 downto 0)
);
end component;
component datapath_line is
PORT (
clock : IN STD_LOGIC;
resetb : IN STD_LOGIC;
resetx, resety, incr_y, incr_x, initl, drawl : IN STD_LOGIC;
x : OUT STD_LOGIC_VECTOR(7 downto 0);
y : OUT STD_LOGIC_VECTOR(6 downto 0);
x0in : IN STD_LOGIC_VECTOR(7 downto 0); -- x1
y0in : IN STD_LOGIC_VECTOR(6 downto 0); -- y1
x1in : IN STD_LOGIC_VECTOR(7 downto 0); -- x0
y1in : IN STD_LOGIC_VECTOR(6 downto 0); -- y0
xdone, ydone, ldone : OUT STD_LOGIC
);
end component;
signal s_x : std_logic_vector(7 downto 0) := "00000000";
signal s_y : std_logic_vector(6 downto 0) := "0000000";
signal colour : std_logic_vector(2 downto 0);
signal plot : std_logic;
signal resety, resetx, initl : std_logic;
signal xdone, ydone, ldone : std_logic;
signal incr_y, incr_x, drawl : std_logic;
signal x_int : std_logic_vector(7 downto 0);
signal y_int : std_logic_vector(6 downto 0);
begin
vga_u0 : vga_adapter
generic map(RESOLUTION => "160x120")
port map(resetn => RST,
clock => CLK,
colour => colour,
x => s_x,
y => s_y,
plot => plot,
VGA_R => VGA_R,
VGA_G => VGA_G,
VGA_B => VGA_B,
VGA_HS => VGA_HS,
VGA_VS => VGA_VS,
VGA_BLANK => VGA_BLANK,
VGA_SYNC => VGA_SYNC,
VGA_CLK => VGA_CLK
);
fsm_line0 : fsm_line PORT MAP(
clock => CLK,
resetb => RST,
xdone => xdone,
ydone => ydone,
ldone => ldone,
--sw => SW,
draw => DRAW,
resetx => resetx,
resety => resety,
incr_y => incr_y,
incr_x => incr_x,
plot => plot,
initl => initl,
drawl => drawl,
colour_in => line_color,
colour_out => colour,
x => x_int,
y => y_int,
);
datapath_line0 : datapath_line PORT MAP(
clock => CLK,
resetb => RST,
resetx => resetx,
resety => resety,
initl => initl,
drawl => drawl,
x => s_x,
y => s_y,
xin => x_int,
yin => y_int,
xdone => xdone,
ydone => ydone,
ldone => ldone,
incr_y => incr_y,
incr_x => incr_x
);
end rtl;
|
mit
|
oetr/FPGA-I2C-Slave
|
txt_util.vhd
|
4
|
14508
|
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
package txt_util is
-- prints a message to the screen
procedure print(text: string);
-- prints the message when active
-- useful for debug switches
procedure print(active: boolean; text: string);
-- converts std_logic into a character
function chr(sl: std_logic) return character;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string;
-- converts std_logic_vector into a string (binary base)
function str(slv: std_logic_vector) return string;
-- converts boolean into a string
function str(b: boolean) return string;
-- converts an integer into a single character
-- (can also be used for hex conversion and other bases)
function chr(int: integer) return character;
-- converts integer into string using specified base
function str(int: integer; base: integer) return string;
-- converts integer to string, using base 10
function str(int: integer) return string;
-- convert std_logic_vector into a string in hex format
function hstr(slv: std_logic_vector) return string;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character;
-- convert a character to lower case
function to_lower(c: character) return character;
-- convert a string to upper case
function to_upper(s: string) return string;
-- convert a string to lower case
function to_lower(s: string) return string;
-- functions to convert strings into other formats
--------------------------------------------------
-- converts a character into std_logic
function to_std_logic(c: character) return std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector;
-- file I/O
-----------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string);
-- print string to a file and start new line
procedure print(file out_file: TEXT;
new_string: in string);
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character);
end txt_util;
package body txt_util is
-- prints text to the screen
procedure print(text: string) is
variable msg_line: line;
begin
write(msg_line, text);
writeline(output, msg_line);
end print;
-- prints text to the screen when active
procedure print(active: boolean; text: string) is
begin
if active then
print(text);
end if;
end print;
-- converts std_logic into a character
function chr(sl: std_logic) return character is
variable c: character;
begin
case sl is
when 'U' => c:= 'U';
when 'X' => c:= 'X';
when '0' => c:= '0';
when '1' => c:= '1';
when 'Z' => c:= 'Z';
when 'W' => c:= 'W';
when 'L' => c:= 'L';
when 'H' => c:= 'H';
when '-' => c:= '-';
end case;
return c;
end chr;
-- converts std_logic into a string (1 to 1)
function str(sl: std_logic) return string is
variable s: string(1 to 1);
begin
s(1) := chr(sl);
return s;
end str;
-- converts std_logic_vector into a string (binary base)
-- (this also takes care of the fact that the range of
-- a string is natural while a std_logic_vector may
-- have an integer range)
function str(slv: std_logic_vector) return string is
variable result : string (1 to slv'length);
variable r : integer;
begin
r := 1;
for i in slv'range loop
result(r) := chr(slv(i));
r := r + 1;
end loop;
return result;
end str;
function str(b: boolean) return string is
begin
if b then
return "true";
else
return "false";
end if;
end str;
-- converts an integer into a character
-- for 0 to 9 the obvious mapping is used, higher
-- values are mapped to the characters A-Z
-- (this is usefull for systems with base > 10)
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function chr(int: integer) return character is
variable c: character;
begin
case int is
when 0 => c := '0';
when 1 => c := '1';
when 2 => c := '2';
when 3 => c := '3';
when 4 => c := '4';
when 5 => c := '5';
when 6 => c := '6';
when 7 => c := '7';
when 8 => c := '8';
when 9 => c := '9';
when 10 => c := 'A';
when 11 => c := 'B';
when 12 => c := 'C';
when 13 => c := 'D';
when 14 => c := 'E';
when 15 => c := 'F';
when 16 => c := 'G';
when 17 => c := 'H';
when 18 => c := 'I';
when 19 => c := 'J';
when 20 => c := 'K';
when 21 => c := 'L';
when 22 => c := 'M';
when 23 => c := 'N';
when 24 => c := 'O';
when 25 => c := 'P';
when 26 => c := 'Q';
when 27 => c := 'R';
when 28 => c := 'S';
when 29 => c := 'T';
when 30 => c := 'U';
when 31 => c := 'V';
when 32 => c := 'W';
when 33 => c := 'X';
when 34 => c := 'Y';
when 35 => c := 'Z';
when others => c := '?';
end case;
return c;
end chr;
-- convert integer to string using specified base
-- (adapted from Steve Vogwell's posting in comp.lang.vhdl)
function str(int: integer; base: integer) return string is
variable temp: string(1 to 10);
variable num: integer;
variable abs_int: integer;
variable len: integer := 1;
variable power: integer := 1;
begin
-- bug fix for negative numbers
abs_int := abs(int);
num := abs_int;
while num >= base loop -- Determine how many
len := len + 1; -- characters required
num := num / base; -- to represent the
end loop ; -- number.
for i in len downto 1 loop -- Convert the number to
temp(i) := chr(abs_int/power mod base); -- a string starting
power := power * base; -- with the right hand
end loop ; -- side.
-- return result and add sign if required
if int < 0 then
return '-'& temp(1 to len);
else
return temp(1 to len);
end if;
end str;
-- convert integer to string, using base 10
function str(int: integer) return string is
begin
return str(int, 10) ;
end str;
-- converts a std_logic_vector into a hex string.
function hstr(slv: std_logic_vector) return string is
variable hexlen: integer;
variable longslv : std_logic_vector(67 downto 0) := (others => '0');
variable hex : string(1 to 16);
variable fourbit : std_logic_vector(3 downto 0);
begin
hexlen := (slv'left+1)/4;
if (slv'left+1) mod 4 /= 0 then
hexlen := hexlen + 1;
end if;
longslv(slv'left downto 0) := slv;
for i in (hexlen -1) downto 0 loop
fourbit := longslv(((i*4)+3) downto (i*4));
case fourbit is
when "0000" => hex(hexlen -I) := '0';
when "0001" => hex(hexlen -I) := '1';
when "0010" => hex(hexlen -I) := '2';
when "0011" => hex(hexlen -I) := '3';
when "0100" => hex(hexlen -I) := '4';
when "0101" => hex(hexlen -I) := '5';
when "0110" => hex(hexlen -I) := '6';
when "0111" => hex(hexlen -I) := '7';
when "1000" => hex(hexlen -I) := '8';
when "1001" => hex(hexlen -I) := '9';
when "1010" => hex(hexlen -I) := 'A';
when "1011" => hex(hexlen -I) := 'B';
when "1100" => hex(hexlen -I) := 'C';
when "1101" => hex(hexlen -I) := 'D';
when "1110" => hex(hexlen -I) := 'E';
when "1111" => hex(hexlen -I) := 'F';
when "ZZZZ" => hex(hexlen -I) := 'z';
when "UUUU" => hex(hexlen -I) := 'u';
when "XXXX" => hex(hexlen -I) := 'x';
when others => hex(hexlen -I) := '?';
end case;
end loop;
return hex(1 to hexlen);
end hstr;
-- functions to manipulate strings
-----------------------------------
-- convert a character to upper case
function to_upper(c: character) return character is
variable u: character;
begin
case c is
when 'a' => u := 'A';
when 'b' => u := 'B';
when 'c' => u := 'C';
when 'd' => u := 'D';
when 'e' => u := 'E';
when 'f' => u := 'F';
when 'g' => u := 'G';
when 'h' => u := 'H';
when 'i' => u := 'I';
when 'j' => u := 'J';
when 'k' => u := 'K';
when 'l' => u := 'L';
when 'm' => u := 'M';
when 'n' => u := 'N';
when 'o' => u := 'O';
when 'p' => u := 'P';
when 'q' => u := 'Q';
when 'r' => u := 'R';
when 's' => u := 'S';
when 't' => u := 'T';
when 'u' => u := 'U';
when 'v' => u := 'V';
when 'w' => u := 'W';
when 'x' => u := 'X';
when 'y' => u := 'Y';
when 'z' => u := 'Z';
when others => u := c;
end case;
return u;
end to_upper;
-- convert a character to lower case
function to_lower(c: character) return character is
variable l: character;
begin
case c is
when 'A' => l := 'a';
when 'B' => l := 'b';
when 'C' => l := 'c';
when 'D' => l := 'd';
when 'E' => l := 'e';
when 'F' => l := 'f';
when 'G' => l := 'g';
when 'H' => l := 'h';
when 'I' => l := 'i';
when 'J' => l := 'j';
when 'K' => l := 'k';
when 'L' => l := 'l';
when 'M' => l := 'm';
when 'N' => l := 'n';
when 'O' => l := 'o';
when 'P' => l := 'p';
when 'Q' => l := 'q';
when 'R' => l := 'r';
when 'S' => l := 's';
when 'T' => l := 't';
when 'U' => l := 'u';
when 'V' => l := 'v';
when 'W' => l := 'w';
when 'X' => l := 'x';
when 'Y' => l := 'y';
when 'Z' => l := 'z';
when others => l := c;
end case;
return l;
end to_lower;
-- convert a string to upper case
function to_upper(s: string) return string is
variable uppercase: string (s'range);
begin
for i in s'range loop
uppercase(i):= to_upper(s(i));
end loop;
return uppercase;
end to_upper;
-- convert a string to lower case
function to_lower(s: string) return string is
variable lowercase: string (s'range);
begin
for i in s'range loop
lowercase(i):= to_lower(s(i));
end loop;
return lowercase;
end to_lower;
-- functions to convert strings into other types
-- converts a character into a std_logic
function to_std_logic(c: character) return std_logic is
variable sl: std_logic;
begin
case c is
when 'U' =>
sl := 'U';
when 'X' =>
sl := 'X';
when '0' =>
sl := '0';
when '1' =>
sl := '1';
when 'Z' =>
sl := 'Z';
when 'W' =>
sl := 'W';
when 'L' =>
sl := 'L';
when 'H' =>
sl := 'H';
when '-' =>
sl := '-';
when others =>
sl := 'X';
end case;
return sl;
end to_std_logic;
-- converts a string into std_logic_vector
function to_std_logic_vector(s: string) return std_logic_vector is
variable slv: std_logic_vector(s'high-s'low downto 0);
variable k: integer;
begin
k := s'high-s'low;
for i in s'range loop
slv(k) := to_std_logic(s(i));
k := k - 1;
end loop;
return slv;
end to_std_logic_vector;
----------------
-- file I/O --
----------------
-- read variable length string from input file
procedure str_read(file in_file: TEXT;
res_string: out string) is
variable l: line;
variable c: character;
variable is_string: boolean;
begin
readline(in_file, l);
-- clear the contents of the result string
for i in res_string'range loop
res_string(i) := ' ';
end loop;
-- read all characters of the line, up to the length
-- of the results string
for i in res_string'range loop
read(l, c, is_string);
res_string(i) := c;
if not is_string then -- found end of line
exit;
end if;
end loop;
end str_read;
-- print string to a file
procedure print(file out_file: TEXT;
new_string: in string) is
variable l: line;
begin
write(l, new_string);
writeline(out_file, l);
end print;
-- print character to a file and start new line
procedure print(file out_file: TEXT;
char: in character) is
variable l: line;
begin
write(l, char);
writeline(out_file, l);
end print;
-- appends contents of a string to a file until line feed occurs
-- (LF is considered to be the end of the string)
procedure str_write(file out_file: TEXT;
new_string: in string) is
begin
for i in new_string'range loop
print(out_file, new_string(i));
if new_string(i) = LF then -- end of string
exit;
end if;
end loop;
end str_write;
end txt_util;
|
mit
|
dries007/Basys3
|
VGA/VGA.srcs/sources_1/ip/dist_mem_gen_0/dist_mem_gen_v8_0_9/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd
|
3
|
173089
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FljaF+ZK5aTHOSoz3Vm0NikqVWMDJsa6B0n5auKkcpK2yfoh4NMkFSOGE7i5hT2G2OmDqFCWm9tc
YAtAWO+FxQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VuHaklO7fcZbgvP/LjbDl/EkRXQ49xbJLUgv+LZx08h9iHpdX2Ad3aJUyi+Mm9SkBjkQeTn5r1+S
UG63i7k74LO8DLOaV3ZAhFR26xemCFTNJhRV4WTlZbaDLwwTwolHtox5pPvqWrwcNRDKm9g8NMnd
YlHXjrXG4dqROwhGPUI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WAq9qlahy6KlJsiHBBevZuw6t0Jp82EaHyCmJmjvHJivVcOW3KZdOOfURBNRkusEitAk1MkxNe6L
L6cmOfxHAEuRdaZIV66SXnaQlslUC+pq4qMr/jfAGJ3DJdTsESR1ZFBYPC2OjmQQSJYrsuq3Mzog
08Frm4578r9zPyPrm0LgntCsWpMTxRK41Sng54PqFpRAYq5QhuP+3dDRR24uHiQVUuazpUojsMHr
qoIx1CP9ZqTvjPRnXBxIpH5eLoDYj6bFmQgexpJ3bvvAW0WiugaGTZVovP2cvJMkLs3ZGRRaO+zq
FcUqeyIIJHBNVqylq/pvPhOFst8y5+ciHZ3t4w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Yq33ayD7wgTkUY+RWI1XonEAiab9AzVkA7dXTmOZfa7ISu17TZwSbltbMAhnr4GF4Jl3Y8HG3hjT
7bY0kOMVsdKjGf3kSU5+vTAIjgCdYljUCBTv4kT9dfjWV6Cjp5/SibdrzHrc5SJeVkq4q17t+yNg
WkIseRKbFrq4ezUzVC8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HXfm/AZ/ud2Y4S5qqMYsPiQ3g9n/rykDHG5PRuN+zMAnkGd8WacaI+RNCKTOz5kVKZEHmiuyVO7m
1f7BH8YYiPHdY7sTsETmBlHyDUg2KYlA9kBZNgl1/G4UfFybzqB1hPjNeDlYrx2hGl2Rn1ga2d+k
kR5dguhyx3SrvtKq8b7grLjcVoYJNAsdUWd25TxYjciRhkm6LOn5xR3KhhMzwf7Mn9IUOAtJSm5g
SDyWFCRkMly40iesFXFhz8mgoZwCWK/2uXxtKyxESDgdOF4wzmw5gPExNb8F3K3/nozp7O9hDVl4
I/hme3WpwnYqWOds8jCaZjXYkAkFo5lDr8v9uA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
i6v3YdDbVzL18BogPhzR4t1Ahtm6V8UqO0yAPKBsHgo9MYOjFBrsCPG7wE0IZu3KCaepIeukpdPs
2i7EenNWDS0NuzR7XhYir4/YLj/TW3UNGyw8BWzcbuHgp7lJ1O6oUBgtEVjwFusGzg0l6YW0jxe2
KlNoNBiWZ6+APdl3zMTqLZUEiHw0RErAbJMWmHUC5gkwy4LoVN1MgV+1yNdbLiEVTBBAGkyJOWj6
NdxX1huqIDLcNSytSgE3qVLshELpJxqJbzOtoDeE2t+opV/i4GnmARwrKWC2T81imMwyqbKhcVYw
MbWPxDjPMyBa8uxgADiFBOwP42jGZ5pNWTt3Aw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 126000)
`protect data_block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`protect end_protected
|
mit
|
whitef0x0/EECE353-Lab5
|
lab5.vhd
|
1
|
630
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity lab5 is
port(CLOCK_50 : in std_logic;
KEY : in std_logic_vector(3 downto 0);
SW : in std_logic_vector(17 downto 0);
VGA_R, VGA_G, VGA_B : out std_logic_vector(9 downto 0); -- The outs go to VGA controller
VGA_HS : out std_logic;
VGA_VS : out std_logic;
VGA_BLANK : out std_logic;
VGA_SYNC : out std_logic;
VGA_CLK : out std_logic);
end lab5;
architecture RTL of lab5 is
begin
end RTL;
|
mit
|
oetr/FPGA-I2C-Slave
|
debounce.vhd
|
1
|
2024
|
------------------------------------------------------------
-- File : debounce.vhd
------------------------------------------------------------
-- Author : Peter Samarin <[email protected]>
------------------------------------------------------------
-- Copyright (c) 2019 Peter Samarin
------------------------------------------------------------
-- Description: debouncing circuit that forwards only
-- signals that have been stable for the whole duration of
-- the counter
------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
------------------------------------------------------------
entity debounce is
generic (
WAIT_CYCLES : integer := 5);
port (
signal_in : in std_logic;
signal_out : out std_logic;
clk : in std_logic);
end entity debounce;
------------------------------------------------------------
architecture arch of debounce is
type state_t is (idle, check_input_stable);
signal state_reg : state_t := idle;
signal out_reg : std_logic := signal_in;
signal signal_in_reg : std_logic;
signal counter : integer range 0 to WAIT_CYCLES-1 := 0;
begin
process (clk) is
begin
if rising_edge(clk) then
case state_reg is
when idle =>
if out_reg /= signal_in then
signal_in_reg <= signal_in;
state_reg <= check_input_stable;
counter <= WAIT_CYCLES-1;
end if;
when check_input_stable =>
if counter = 0 then
if signal_in = signal_in_reg then
out_reg <= signal_in;
end if;
state_reg <= idle;
else
if signal_in /= signal_in_reg then
state_reg <= idle;
end if;
counter <= counter - 1;
end if;
end case;
end if;
end process;
-- output
signal_out <= out_reg;
end architecture arch;
|
mit
|
dries007/Basys3
|
FPGA-Z/FPGA-Z.srcs/sim_2/new/test2.vhd
|
1
|
874
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.Font.all;
use ieee.std_logic_textio.all;
use std.textio.all;
entity test2 is
end test2;
architecture Behavioral of test2 is
begin
process
variable output : boolean;
variable y : integer;
variable x : integer;
file outfile : text is out "out.txt"; --declare output file
variable outline : line; --line number declaration
begin
for yl in 0 to 15 loop
for xl in 0 to 7 loop
x := xl;
y := yl;
output := draw_char(xl, yl, 33);
if output then
write(outline, string'("1"));
else
write(outline, string'("0"));
end if;
wait for 1ps;
end loop;
writeline(outfile, outline);
end loop;
writeline(outfile, outline);
end process;
end Behavioral;
|
mit
|
dries007/Basys3
|
VGA_text/VGA_text.srcs/sources_1/new/Vga.vhd
|
1
|
3873
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
use ieee.math_real.all;
use work.Font.all;
-- Information from http://tinyvga.com/vga-timing/1280x1024@60Hz
entity Vga is
Generic (
H_PIX : integer := 1280; -- Horizontal frame size
H_FP : integer := 48; -- Horizontal Front Porch
H_SY : integer := 112; -- Horizontal Sync
H_BP : integer := 248; -- Horizontal Back Porch
H_POL : std_logic := '1'; -- Horizontal Sync Polarity
V_PIX : integer := 1024; -- Vertical frame size
V_FP : integer := 1; -- Vertical Front Porch
V_SY : integer := 3; -- Vertical Sync
V_BP : integer := 36; -- Vertical Back Porch
V_POL : std_logic := '1' -- Vertical Sync Polarity
);
Port
(
clk : in std_logic;
hSync : out std_logic;
vSync : out std_logic;
vgaRed : out std_logic_vector (3 downto 0);
vgaGreen : out std_logic_vector (3 downto 0);
vgaBlue : out std_logic_vector (3 downto 0);
fbOutAddr : out std_logic_vector(13 downto 0);
fbOutDat : in std_logic_vector(7 downto 0)
);
end Vga;
architecture Behavioral of Vga is
constant H_MAX : integer := H_PIX + H_FP + H_SY + H_BP; -- 1688 for 1280x1024@60Hz
constant V_MAX : integer := V_PIX + V_FP + V_SY + V_BP; -- 1066 for 1280x1024@60Hz
--------------------------------------------------------
begin -- BEGIN
--------------------------------------------------------
process(clk)
variable h_count : integer range 0 to H_MAX - 1 := 0; --horizontal counter (counts the columns)
variable v_count : integer range 0 to V_MAX - 1 := 0; --vertical counter (counts the rows)
variable char : std_logic_vector(7 downto 0);
--variable nextChar : std_logic_vector(7 downto 0);
variable charX : integer range 0 to 8;
variable charY : integer range 0 to 16;
begin
if (rising_edge(clk)) then
--counters
if (h_count < H_MAX - 1) then
h_count := h_count + 1;
else
h_count := 0;
if (v_count < V_MAX - 1) then
v_count := v_count + 1;
else
v_count := 0;
end if;
end if;
--horizontal sync signal
if (h_count < H_PIX + H_FP or h_count > H_PIX + H_FP + H_SY) then
hSync <= not H_POL;
else
hSync <= H_POL;
end if;
--vertical sync signal
if (v_count < V_PIX + V_FP or v_count > V_PIX + V_FP + V_SY) then
vSync <= not V_POL;
else
vSync <= V_POL;
end if;
--text display
if (h_count < H_PIX AND v_count < V_PIX) then
charX := h_count mod 8;
charY := v_count mod 16;
if (charX = 0) then -- Set up next character
char := fbOutDat;
elsif (charX = 1) then
fbOutAddr <= std_logic_vector(to_unsigned(1 + (h_count / 8) + ((v_count / 16) * 160), fbOutAddr'LENGTH));
end if;
-- char[7] = invert bit
if (char(7) = '1' xor draw_char(charX, charY, to_integer(unsigned(char and "01111111")))) then
vgaRed <= "1111";
vgaGreen <= "1111";
vgaBlue <= "1111";
else
vgaRed <= "0000";
vgaGreen <= "0000";
vgaBlue <= "0000";
end if;
else
fbOutAddr <= std_logic_vector(to_unsigned(((v_count / 16) * 160), fbOutAddr'LENGTH));
vgaRed <= "0000";
vgaGreen <= "0000";
vgaBlue <= "0000";
end if;
end if;
end process;
--------------------------------------------------------
end Behavioral; -- END
--------------------------------------------------------
|
mit
|
dries007/Basys3
|
FPGA-Z/FPGA-Z.sim/sim_1/impl/func/test1_func_impl.vhd
|
1
|
420761
|
-- Copyright 1986-2015 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2015.4 (lin64) Build 1412921 Wed Nov 18 09:44:32 MST 2015
-- Date : Fri Apr 15 21:41:42 2016
-- Host : Dries007-Arch running 64-bit unknown
-- Command : write_vhdl -mode funcsim -nolib -force -file
-- /home/dries/Projects/Basys3/FPGA-Z/FPGA-Z.sim/sim_1/impl/func/test1_func_impl.vhd
-- Design : top
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7a35tcpg236-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity ClockDivider_ClockDivider_clk_wiz is
port (
clkIn : in STD_LOGIC;
clk108M : out STD_LOGIC;
clk10M : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of ClockDivider_ClockDivider_clk_wiz : entity is "ClockDivider_clk_wiz";
end ClockDivider_ClockDivider_clk_wiz;
architecture STRUCTURE of ClockDivider_ClockDivider_clk_wiz is
signal clk108M_ClockDivider : STD_LOGIC;
signal clk10M_ClockDivider : STD_LOGIC;
signal clkfbout_ClockDivider : STD_LOGIC;
signal clkfbout_buf_ClockDivider : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC;
signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE";
attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE";
attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE";
begin
clkf_buf: unisim.vcomponents.BUFG
port map (
I => clkfbout_ClockDivider,
O => clkfbout_buf_ClockDivider
);
clkout1_buf: unisim.vcomponents.BUFG
port map (
I => clk108M_ClockDivider,
O => clk108M
);
clkout2_buf: unisim.vcomponents.BUFG
port map (
I => clk10M_ClockDivider,
O => clk10M
);
mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV
generic map(
BANDWIDTH => "OPTIMIZED",
CLKFBOUT_MULT_F => 54.000000,
CLKFBOUT_PHASE => 0.000000,
CLKFBOUT_USE_FINE_PS => false,
CLKIN1_PERIOD => 10.000000,
CLKIN2_PERIOD => 0.000000,
CLKOUT0_DIVIDE_F => 10.000000,
CLKOUT0_DUTY_CYCLE => 0.500000,
CLKOUT0_PHASE => 0.000000,
CLKOUT0_USE_FINE_PS => false,
CLKOUT1_DIVIDE => 108,
CLKOUT1_DUTY_CYCLE => 0.500000,
CLKOUT1_PHASE => 0.000000,
CLKOUT1_USE_FINE_PS => false,
CLKOUT2_DIVIDE => 1,
CLKOUT2_DUTY_CYCLE => 0.500000,
CLKOUT2_PHASE => 0.000000,
CLKOUT2_USE_FINE_PS => false,
CLKOUT3_DIVIDE => 1,
CLKOUT3_DUTY_CYCLE => 0.500000,
CLKOUT3_PHASE => 0.000000,
CLKOUT3_USE_FINE_PS => false,
CLKOUT4_CASCADE => false,
CLKOUT4_DIVIDE => 1,
CLKOUT4_DUTY_CYCLE => 0.500000,
CLKOUT4_PHASE => 0.000000,
CLKOUT4_USE_FINE_PS => false,
CLKOUT5_DIVIDE => 1,
CLKOUT5_DUTY_CYCLE => 0.500000,
CLKOUT5_PHASE => 0.000000,
CLKOUT5_USE_FINE_PS => false,
CLKOUT6_DIVIDE => 1,
CLKOUT6_DUTY_CYCLE => 0.500000,
CLKOUT6_PHASE => 0.000000,
CLKOUT6_USE_FINE_PS => false,
COMPENSATION => "BUF_IN",
DIVCLK_DIVIDE => 5,
IS_CLKINSEL_INVERTED => '0',
IS_PSEN_INVERTED => '0',
IS_PSINCDEC_INVERTED => '0',
IS_PWRDWN_INVERTED => '0',
IS_RST_INVERTED => '0',
REF_JITTER1 => 0.010000,
REF_JITTER2 => 0.010000,
SS_EN => "FALSE",
SS_MODE => "CENTER_HIGH",
SS_MOD_PERIOD => 10000,
STARTUP_WAIT => false
)
port map (
CLKFBIN => clkfbout_buf_ClockDivider,
CLKFBOUT => clkfbout_ClockDivider,
CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED,
CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED,
CLKIN1 => clkIn,
CLKIN2 => '0',
CLKINSEL => '1',
CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED,
CLKOUT0 => clk108M_ClockDivider,
CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED,
CLKOUT1 => clk10M_ClockDivider,
CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED,
CLKOUT2 => NLW_mmcm_adv_inst_CLKOUT2_UNCONNECTED,
CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED,
CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED,
CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED,
CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED,
CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED,
CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED,
DADDR(6 downto 0) => B"0000000",
DCLK => '0',
DEN => '0',
DI(15 downto 0) => B"0000000000000000",
DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0),
DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED,
DWE => '0',
LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED,
PSCLK => '0',
PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED,
PSEN => '0',
PSINCDEC => '0',
PWRDWN => '0',
RST => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_mux__parameterized0\ is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
DOBDO : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\ : in STD_LOGIC_VECTOR ( 7 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 2 downto 0 );
clkb : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_mux__parameterized0\ : entity is "blk_mem_gen_mux";
end \FrameBuffer_blk_mem_gen_mux__parameterized0\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_mux__parameterized0\ is
signal sel_pipe : STD_LOGIC_VECTOR ( 2 downto 0 );
begin
\doutb[0]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(0),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(0),
O => doutb(0)
);
\doutb[1]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(1),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(1),
O => doutb(1)
);
\doutb[2]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(2),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(2),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(2),
O => doutb(2)
);
\doutb[3]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(3),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(3),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(3),
O => doutb(3)
);
\doutb[4]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(4),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(4),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(4),
O => doutb(4)
);
\doutb[5]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(5),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(5),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(5),
O => doutb(5)
);
\doutb[6]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(6),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(6),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(6),
O => doutb(6)
);
\doutb[7]_INST_0\: unisim.vcomponents.LUT6
generic map(
INIT => X"02FF020F02F00200"
)
port map (
I0 => DOBDO(7),
I1 => sel_pipe(0),
I2 => sel_pipe(1),
I3 => sel_pipe(2),
I4 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(7),
I5 => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(7),
O => doutb(7)
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => addrb(0),
Q => sel_pipe(0),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => addrb(1),
Q => sel_pipe(1),
R => '0'
);
\no_softecc_sel_reg.ce_pri.sel_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clkb,
CE => '1',
D => addrb(2),
Q => sel_pipe(2),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_prim_wrapper_init is
port (
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_prim_wrapper_init : entity is "blk_mem_gen_prim_wrapper_init";
end FrameBuffer_blk_mem_gen_prim_wrapper_init;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_prim_wrapper_init is
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2_n_0\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT";
attribute PWROPT_WRITE_MODE_CHANGE_A : string;
attribute PWROPT_WRITE_MODE_CHANGE_A of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "READ_FIRST:NO_CHANGE_2";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_01 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_02 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_03 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_04 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_05 => X"4646464646464646464646464646464646462020202020202020202020202020",
INIT_06 => X"2020202020202020202020505050505050505050505050505050505046464646",
INIT_07 => X"2041414120202020202020202020202020202047474747474747474747474747",
INIT_08 => X"5A20202020202020202020202020202020202020202020202020202020202020",
INIT_09 => X"20202020202020202020202020205A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A",
INIT_0A => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A462020202020202020202020202020",
INIT_0B => X"47474720202020202020503A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A50463A3A3A",
INIT_0C => X"413A3A3A412020202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A3A",
INIT_0D => X"5A20202020202020202020202020202020202020202020202020202020202020",
INIT_0E => X"20202020202020202020202020205A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A",
INIT_0F => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A462020202020202020202020202020",
INIT_10 => X"3A3A3A474720202020503A3A3A3A3A5050505050503A3A3A3A3A3A50463A3A3A",
INIT_11 => X"3A3A3A3A3A4120202020202020202020202020473A3A3A3A3A3A3A3A3A3A3A3A",
INIT_12 => X"5A20202020202020202020202020202020202020202020202020202020202041",
INIT_13 => X"20202020202020202020202020205A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A",
INIT_14 => X"3A4646464646464646463A3A3A3A3A3A46462020202020202020202020202020",
INIT_15 => X"3A3A3A3A3A472020503A3A3A3A3A502020202020503A3A3A3A3A5050463A3A3A",
INIT_16 => X"3A3A3A3A3A3A41202020202020202020202020473A3A3A3A4747474747474747",
INIT_17 => X"5A2020202020202020202020202020202020202020202020202020202020413A",
INIT_18 => X"2020202020202020202020202020205A3A3A3A3A3A5A5A5A5A5A5A5A5A3A3A3A",
INIT_19 => X"464620202020202020463A3A3A3A3A4620202020202020202020202020202020",
INIT_1A => X"473A3A3A3A3A4720503A3A3A3A3A502020202020503A3A3A3A50202046464646",
INIT_1B => X"3A3A3A3A3A3A3A41202020202020202020202047474747474720202020202020",
INIT_1C => X"5A20202020202020202020202020202020202020202020202020202020413A3A",
INIT_1D => X"202020202020202020202020202020205A3A3A3A3A3A5A20202020205A5A5A5A",
INIT_1E => X"202020202020202020463A3A3A3A3A4620202020202020202020202020202020",
INIT_1F => X"20473A3A3A3A3A47503A3A3A3A3A502020202020503A3A3A3A50202020202020",
INIT_20 => X"3A3A413A3A3A3A3A412020202020202020202020202020202020202020202020",
INIT_21 => X"20202020202020202020202020202020202020202020202020202020413A3A3A",
INIT_22 => X"2020202020202020202020202020202020205A3A3A3A3A3A5A20202020202020",
INIT_23 => X"4646464646464646463A3A3A3A3A3A4620202020202020202020202020202020",
INIT_24 => X"20473A3A3A3A3A4720503A3A3A3A3A5050505050503A3A3A3A50202020202046",
INIT_25 => X"3A4120413A3A3A3A3A4120202020202020202020202020202020202020202020",
INIT_26 => X"202020202020202020202020202020202020202020202020202020413A3A3A3A",
INIT_27 => X"202020202020202020202020202020202020205A3A3A3A3A3A5A202020202020",
INIT_28 => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A4620202020202020202020202020202020",
INIT_29 => X"20473A3A3A3A3A47202050503A3A3A3A3A3A3A3A3A3A3A3A3A50202020202046",
INIT_2A => X"41202020413A3A3A3A3A41202020202020202047474747474747474747202020",
INIT_2B => X"20202D2D2D2D2D2D2D2D2D2D2D2D2D2D2D202020202020202020413A3A3A3A3A",
INIT_2C => X"20202020202020202020202020202020202020205A3A3A3A3A3A5A2020202020",
INIT_2D => X"3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A4620202020202020202020202020202020",
INIT_2E => X"20473A3A3A3A3A47202020205050505050505050503A3A3A3A50202020202046",
INIT_2F => X"2020202020413A3A3A3A3A4120202020202020473A3A3A3A3A3A3A3A47202020",
INIT_30 => X"20202D3A3A3A3A3A3A3A3A3A3A3A3A3A2D2020202020202020413A3A3A3A3A41",
INIT_31 => X"2020202020202020202020202020202020202020205A3A3A3A3A3A5A20202020",
INIT_32 => X"4646464646464646463A3A3A3A3A3A4620202020202020202020202020202020",
INIT_33 => X"20473A3A3A3A3A47202020202020202020202020503A3A3A3A50202020202046",
INIT_34 => X"414141414141413A3A3A3A3A41202020202020473A3A3A3A4747474747202020",
INIT_35 => X"20202D2D2D2D2D2D2D2D2D2D2D2D2D2D2D20202020202020413A3A3A3A3A4141",
INIT_36 => X"202020202020202020202020202020202020202020205A3A3A3A3A3A5A202020",
INIT_37 => X"202020202020202020463A3A3A3A3A4620202020202020202020202020202020",
INIT_38 => X"20473A3A3A3A3A47202020202020202020202020503A3A3A3A50202020202020",
INIT_39 => X"3A3A3A3A3A3A3A3A3A3A3A3A3A412020202020473A3A3A3A4720202020202020",
INIT_3A => X"2020202020202020202020202020202020202020202020413A3A3A3A3A3A3A3A",
INIT_3B => X"20202020202020202020202020202020202020202020205A3A3A3A3A3A5A2020",
INIT_3C => X"202020202020202020463A3A3A3A3A4620202020202020202020202020202020",
INIT_3D => X"473A3A3A3A3A4720202020202020202020202020503A3A3A3A50202020202020",
INIT_3E => X"4141414141414141413A3A3A3A3A4120202020473A3A3A3A4720202020202020",
INIT_3F => X"5A202020202020202020202020202020202020202020413A3A3A3A3A41414141",
INIT_40 => X"20202020202020202020202020205A5A5A5A5A20202020205A3A3A3A3A3A5A5A",
INIT_41 => X"2020202020202046463A3A3A3A3A3A3A46462020202020202020202020202020",
INIT_42 => X"3A3A3A3A3A4720202020202020202020202050503A3A3A3A3A3A505020202020",
INIT_43 => X"202020202020202020413A3A3A3A3A41202020473A3A3A3A4747474747474747",
INIT_44 => X"5A2020202020202020202020202020202020202020413A3A3A3A3A4120202020",
INIT_45 => X"20202020202020202020202020205A3A3A3A5A5A5A5A5A5A5A5A3A3A3A3A3A3A",
INIT_46 => X"2020202020202046463A3A3A3A3A3A3A3A462020202020202020202020202020",
INIT_47 => X"3A3A3A474720202020202020202020202020503A3A3A3A3A3A3A3A5020202020",
INIT_48 => X"20202020202020202020413A3A3A3A3A412020473A3A3A3A3A3A3A3A3A3A3A3A",
INIT_49 => X"5A20202020202020202020202020202020202020413A3A3A3A3A412020202020",
INIT_4A => X"20202020202020202020202020205A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A",
INIT_4B => X"2020202020202046463A3A3A3A3A3A3A3A462020202020202020202020202020",
INIT_4C => X"474747202020202020202020202020202020503A3A3A3A3A3A3A3A5020202020",
INIT_4D => X"2020202020202020202020413A3A3A3A3A4120473A3A3A4747473A3A3A3A3A3A",
INIT_4E => X"5A202020202020202020202020202020202020413A3A3A3A3A41202020202020",
INIT_4F => X"20202020202020202020202020205A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A3A",
INIT_50 => X"2020202020202046464646464646464646462020202020202020202020202020",
INIT_51 => X"2020202020202020202020202020202020205050505050505050505020202020",
INIT_52 => X"2020202020202020202020204141414141414147474747202020474747474747",
INIT_53 => X"5A20202020202020202020202020202020204141414141414120202020202020",
INIT_54 => X"20202020202020202020202020205A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A5A",
INIT_55 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_56 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_57 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_58 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_59 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5B => X"2041475046206E41202020202020202020202020202020202020202020202020",
INIT_5C => X"6D656C706D692033206E6F697372655620656E696863614D2D5A206465736162",
INIT_5D => X"20202020202020202020202020202020202020202020202E6E6F697461746E65",
INIT_5E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_60 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_61 => X"202E7475706E69207478657420726F662064616F6279656B2061206573552020",
INIT_62 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_63 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_64 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_65 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_66 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_67 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_68 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_69 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6B => X"20202E2E2E65756E69746E6F63206F742079656B20796E612073736572502020",
INIT_6C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_70 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_71 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_72 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_73 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_74 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_75 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_76 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_77 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_78 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_79 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "NO_CHANGE",
WRITE_MODE_B => "READ_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 0) => B"00000000000000000000000000000000",
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \doutb[7]\(7 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1_n_0\,
ENBWREN => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2_n_0\,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 0) => B"00000000"
);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => wea(0),
I1 => addra(12),
I2 => addra(13),
O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1_n_0\
);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => addrb(12),
I1 => addrb(13),
O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\ is
port (
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\ : entity is "blk_mem_gen_prim_wrapper_init";
end \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\ is
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__0_n_0\ : STD_LOGIC;
signal \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__0_n_0\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\ : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "PRIMITIVE";
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "INDEPENDENT";
attribute PWROPT_WRITE_MODE_CHANGE_A : string;
attribute PWROPT_WRITE_MODE_CHANGE_A of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\ : label is "READ_FIRST:NO_CHANGE_2";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\: unisim.vcomponents.RAMB36E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
EN_ECC_READ => false,
EN_ECC_WRITE => false,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_01 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_02 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_03 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_04 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_05 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_06 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_07 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_08 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_09 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_10 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_11 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_12 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_13 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_14 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_15 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_16 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_17 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_18 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_19 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_20 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_21 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_22 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_23 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_24 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_25 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_26 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_27 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_28 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_29 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_30 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_31 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_32 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_33 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_34 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_35 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_36 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_37 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_38 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_39 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_40 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_41 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_42 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_43 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_44 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_45 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_46 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_47 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_48 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_49 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_4F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_50 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_51 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_52 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_53 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_54 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_55 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_56 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_57 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_58 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_59 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_5F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_60 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_61 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_62 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_63 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_64 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_65 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_66 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_67 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_68 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_69 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_6F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_70 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_71 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_72 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_73 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_74 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_75 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_76 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_77 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_78 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_79 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_7F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE",
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"000000000",
SRVAL_B => X"000000000",
WRITE_MODE_A => "NO_CHANGE",
WRITE_MODE_B => "READ_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(15) => '1',
ADDRARDADDR(14 downto 3) => addra(11 downto 0),
ADDRARDADDR(2 downto 0) => B"111",
ADDRBWRADDR(15) => '1',
ADDRBWRADDR(14 downto 3) => addrb(11 downto 0),
ADDRBWRADDR(2 downto 0) => B"111",
CASCADEINA => '0',
CASCADEINB => '0',
CASCADEOUTA => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTA_UNCONNECTED\,
CASCADEOUTB => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_CASCADEOUTB_UNCONNECTED\,
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DBITERR_UNCONNECTED\,
DIADI(31 downto 8) => B"000000000000000000000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(31 downto 0) => B"00000000000000000000000000000000",
DIPADIP(3 downto 0) => B"0000",
DIPBDIP(3 downto 0) => B"0000",
DOADO(31 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOADO_UNCONNECTED\(31 downto 0),
DOBDO(31 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOBDO_UNCONNECTED\(31 downto 8),
DOBDO(7 downto 0) => \doutb[7]\(7 downto 0),
DOPADOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPADOP_UNCONNECTED\(3 downto 0),
DOPBDOP(3 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_DOPBDOP_UNCONNECTED\(3 downto 0),
ECCPARITY(7 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_ECCPARITY_UNCONNECTED\(7 downto 0),
ENARDEN => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__0_n_0\,
ENBWREN => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__0_n_0\,
INJECTDBITERR => '0',
INJECTSBITERR => '0',
RDADDRECC(8 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_RDADDRECC_UNCONNECTED\(8 downto 0),
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
SBITERR => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_SBITERR_UNCONNECTED\,
WEA(3) => wea(0),
WEA(2) => wea(0),
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(7 downto 0) => B"00000000"
);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => addra(12),
I1 => wea(0),
I2 => addra(13),
O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_1__0_n_0\
);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"4"
)
port map (
I0 => addrb(13),
I1 => addrb(12),
O => \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_i_2__0_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\ is
port (
DOBDO : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\ : entity is "blk_mem_gen_prim_wrapper_init";
end \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\ is
signal ram_ena : STD_LOGIC;
signal ram_enb : STD_LOGIC;
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 15 downto 8 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "PRIMITIVE";
attribute CLOCK_DOMAINS : string;
attribute CLOCK_DOMAINS of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "INDEPENDENT";
attribute PWROPT_WRITE_MODE_CHANGE_A : string;
attribute PWROPT_WRITE_MODE_CHANGE_A of \DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\ : label is "READ_FIRST:NO_CHANGE_2";
begin
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram\: unisim.vcomponents.RAMB18E1
generic map(
DOA_REG => 0,
DOB_REG => 0,
INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000",
INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000",
INIT_00 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_01 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_02 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_03 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_04 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_05 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_06 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_07 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_08 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_09 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_0F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_10 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_11 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_12 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_13 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_14 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_15 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_16 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_17 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_18 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_19 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_1F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_20 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_21 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_22 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_23 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_24 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_25 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_26 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_27 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_28 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_29 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2C => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2D => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2E => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_2F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_30 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_31 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_32 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_33 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_34 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_35 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_36 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_37 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_38 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_39 => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3A => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3B => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_3C => X"6867697279706F43202020202020202020202020202020202020202020202020",
INIT_3D => X"656972642F2F3A707474683C2037303073656972442036313032202943282074",
INIT_3E => X"20202020202020202020202020202020202020202020203E74656E2E37303073",
INIT_3F => X"2020202020202020202020202020202020202020202020202020202020202020",
INIT_A => X"00000",
INIT_B => X"00000",
INIT_FILE => "NONE",
IS_CLKARDCLK_INVERTED => '0',
IS_CLKBWRCLK_INVERTED => '0',
IS_ENARDEN_INVERTED => '0',
IS_ENBWREN_INVERTED => '0',
IS_RSTRAMARSTRAM_INVERTED => '0',
IS_RSTRAMB_INVERTED => '0',
IS_RSTREGARSTREG_INVERTED => '0',
IS_RSTREGB_INVERTED => '0',
RAM_MODE => "TDP",
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SIM_COLLISION_CHECK => "ALL",
SIM_DEVICE => "7SERIES",
SRVAL_A => X"00000",
SRVAL_B => X"00000",
WRITE_MODE_A => "NO_CHANGE",
WRITE_MODE_B => "READ_FIRST",
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9
)
port map (
ADDRARDADDR(13 downto 3) => addra(10 downto 0),
ADDRARDADDR(2 downto 0) => B"000",
ADDRBWRADDR(13 downto 3) => addrb(10 downto 0),
ADDRBWRADDR(2 downto 0) => B"000",
CLKARDCLK => clka,
CLKBWRCLK => clkb,
DIADI(15 downto 8) => B"00000000",
DIADI(7 downto 0) => dina(7 downto 0),
DIBDI(15 downto 0) => B"0000000000000000",
DIPADIP(1 downto 0) => B"00",
DIPBDIP(1 downto 0) => B"00",
DOADO(15 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOADO_UNCONNECTED\(15 downto 0),
DOBDO(15 downto 8) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOBDO_UNCONNECTED\(15 downto 8),
DOBDO(7 downto 0) => DOBDO(7 downto 0),
DOPADOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPADOP_UNCONNECTED\(1 downto 0),
DOPBDOP(1 downto 0) => \NLW_DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_DOPBDOP_UNCONNECTED\(1 downto 0),
ENARDEN => ram_ena,
ENBWREN => ram_enb,
REGCEAREGCE => '0',
REGCEB => '0',
RSTRAMARSTRAM => '0',
RSTRAMB => '0',
RSTREGARSTREG => '0',
RSTREGB => '0',
WEA(1) => wea(0),
WEA(0) => wea(0),
WEBWE(3 downto 0) => B"0000"
);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => addra(12),
I1 => addra(11),
I2 => addra(13),
I3 => wea(0),
O => ram_ena
);
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM18.ram_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => addrb(11),
I1 => addrb(13),
I2 => addrb(12),
O => ram_enb
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity Vga is
port (
addrb : out STD_LOGIC_VECTOR ( 13 downto 0 );
Hsync_OBUF : out STD_LOGIC;
Vsync_OBUF : out STD_LOGIC;
vgaBlue_OBUF : out STD_LOGIC_VECTOR ( 0 to 0 );
clk108M : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 7 downto 0 )
);
end Vga;
architecture STRUCTURE of Vga is
signal X : STD_LOGIC_VECTOR ( 2 downto 0 );
signal Y : STD_LOGIC_VECTOR ( 3 downto 0 );
signal char : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \char[0]_i_1_n_0\ : STD_LOGIC;
signal \char[1]_i_1_n_0\ : STD_LOGIC;
signal \char[2]_i_1_n_0\ : STD_LOGIC;
signal \char[3]_i_1_n_0\ : STD_LOGIC;
signal \char[4]_i_1_n_0\ : STD_LOGIC;
signal \char[5]_i_1_n_0\ : STD_LOGIC;
signal \char[6]_i_1_n_0\ : STD_LOGIC;
signal \char[7]_i_1_n_0\ : STD_LOGIC;
signal enable : STD_LOGIC;
signal enable_i_1_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_10_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_11_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_12_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_13_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_14_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_15_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_16_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_17_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_18_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_19_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_1_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_20_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_21_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_22_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_23_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_24_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_25_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_26_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_27_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_28_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_2_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_3_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_4_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_5_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_6_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_7_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_8_n_0 : STD_LOGIC;
signal fbOutAddr_reg_i_9_n_0 : STD_LOGIC;
signal g0_b0_n_0 : STD_LOGIC;
signal g0_b1_n_0 : STD_LOGIC;
signal g0_b2_i_1_n_0 : STD_LOGIC;
signal g0_b2_i_2_n_0 : STD_LOGIC;
signal g0_b2_n_0 : STD_LOGIC;
signal g0_b3_n_0 : STD_LOGIC;
signal g0_b4_n_0 : STD_LOGIC;
signal g0_b5_n_0 : STD_LOGIC;
signal g0_b6_n_0 : STD_LOGIC;
signal g0_b7_n_0 : STD_LOGIC;
signal g10_b0_n_0 : STD_LOGIC;
signal g10_b1_n_0 : STD_LOGIC;
signal g10_b2_n_0 : STD_LOGIC;
signal g10_b3_n_0 : STD_LOGIC;
signal g10_b4_n_0 : STD_LOGIC;
signal g10_b5_n_0 : STD_LOGIC;
signal g11_b0_n_0 : STD_LOGIC;
signal g11_b1_n_0 : STD_LOGIC;
signal g11_b2_n_0 : STD_LOGIC;
signal g11_b3_n_0 : STD_LOGIC;
signal g11_b4_n_0 : STD_LOGIC;
signal g11_b5_n_0 : STD_LOGIC;
signal g11_b6_n_0 : STD_LOGIC;
signal g12_b0_n_0 : STD_LOGIC;
signal g12_b1_n_0 : STD_LOGIC;
signal g12_b2_n_0 : STD_LOGIC;
signal g12_b3_n_0 : STD_LOGIC;
signal g12_b4_n_0 : STD_LOGIC;
signal g12_b5_n_0 : STD_LOGIC;
signal g12_b6_n_0 : STD_LOGIC;
signal g13_b0_n_0 : STD_LOGIC;
signal g13_b1_n_0 : STD_LOGIC;
signal g13_b2_n_0 : STD_LOGIC;
signal g13_b3_n_0 : STD_LOGIC;
signal g13_b4_n_0 : STD_LOGIC;
signal g13_b5_n_0 : STD_LOGIC;
signal g13_b6_n_0 : STD_LOGIC;
signal g14_b0_n_0 : STD_LOGIC;
signal g14_b1_n_0 : STD_LOGIC;
signal g14_b2_n_0 : STD_LOGIC;
signal g14_b3_n_0 : STD_LOGIC;
signal g14_b4_n_0 : STD_LOGIC;
signal g14_b5_n_0 : STD_LOGIC;
signal g14_b6_n_0 : STD_LOGIC;
signal g15_b0_n_0 : STD_LOGIC;
signal g15_b1_n_0 : STD_LOGIC;
signal g15_b2_n_0 : STD_LOGIC;
signal g15_b3_n_0 : STD_LOGIC;
signal g15_b4_n_0 : STD_LOGIC;
signal g15_b5_n_0 : STD_LOGIC;
signal g15_b6_n_0 : STD_LOGIC;
signal g16_b0_n_0 : STD_LOGIC;
signal g16_b1_n_0 : STD_LOGIC;
signal g16_b2_n_0 : STD_LOGIC;
signal g16_b3_n_0 : STD_LOGIC;
signal g16_b4_n_0 : STD_LOGIC;
signal g16_b5_n_0 : STD_LOGIC;
signal g16_b6_n_0 : STD_LOGIC;
signal g17_b0_n_0 : STD_LOGIC;
signal g17_b1_n_0 : STD_LOGIC;
signal g17_b2_n_0 : STD_LOGIC;
signal g17_b3_n_0 : STD_LOGIC;
signal g17_b4_n_0 : STD_LOGIC;
signal g17_b5_n_0 : STD_LOGIC;
signal g17_b6_n_0 : STD_LOGIC;
signal g18_b0_n_0 : STD_LOGIC;
signal g18_b1_n_0 : STD_LOGIC;
signal g18_b2_n_0 : STD_LOGIC;
signal g18_b3_n_0 : STD_LOGIC;
signal g18_b4_n_0 : STD_LOGIC;
signal g18_b5_n_0 : STD_LOGIC;
signal g18_b6_n_0 : STD_LOGIC;
signal g19_b0_n_0 : STD_LOGIC;
signal g19_b1_n_0 : STD_LOGIC;
signal g19_b2_n_0 : STD_LOGIC;
signal g19_b3_n_0 : STD_LOGIC;
signal g19_b4_n_0 : STD_LOGIC;
signal g19_b5_n_0 : STD_LOGIC;
signal g19_b6_n_0 : STD_LOGIC;
signal g19_b7_n_0 : STD_LOGIC;
signal g1_b0_n_0 : STD_LOGIC;
signal g1_b1_n_0 : STD_LOGIC;
signal g1_b2_n_0 : STD_LOGIC;
signal g1_b3_n_0 : STD_LOGIC;
signal g1_b4_n_0 : STD_LOGIC;
signal g1_b5_n_0 : STD_LOGIC;
signal g1_b6_n_0 : STD_LOGIC;
signal g1_b7_n_0 : STD_LOGIC;
signal g20_b0_n_0 : STD_LOGIC;
signal g20_b1_n_0 : STD_LOGIC;
signal g20_b2_n_0 : STD_LOGIC;
signal g20_b3_n_0 : STD_LOGIC;
signal g20_b4_n_0 : STD_LOGIC;
signal g20_b5_n_0 : STD_LOGIC;
signal g20_b6_n_0 : STD_LOGIC;
signal g21_b0_n_0 : STD_LOGIC;
signal g21_b1_n_0 : STD_LOGIC;
signal g21_b2_n_0 : STD_LOGIC;
signal g21_b3_n_0 : STD_LOGIC;
signal g21_b5_n_0 : STD_LOGIC;
signal g21_b6_n_0 : STD_LOGIC;
signal g21_b7_n_0 : STD_LOGIC;
signal g22_b0_n_0 : STD_LOGIC;
signal g22_b1_n_0 : STD_LOGIC;
signal g22_b2_n_0 : STD_LOGIC;
signal g22_b3_n_0 : STD_LOGIC;
signal g22_b4_n_0 : STD_LOGIC;
signal g22_b5_n_0 : STD_LOGIC;
signal g22_b6_n_0 : STD_LOGIC;
signal g22_b7_n_0 : STD_LOGIC;
signal g23_b0_n_0 : STD_LOGIC;
signal g23_b1_n_0 : STD_LOGIC;
signal g23_b2_n_0 : STD_LOGIC;
signal g23_b3_n_0 : STD_LOGIC;
signal g23_b4_n_0 : STD_LOGIC;
signal g23_b5_n_0 : STD_LOGIC;
signal g23_b6_n_0 : STD_LOGIC;
signal g23_b7_n_0 : STD_LOGIC;
signal g24_b0_n_0 : STD_LOGIC;
signal g24_b1_n_0 : STD_LOGIC;
signal g24_b2_n_0 : STD_LOGIC;
signal g24_b3_n_0 : STD_LOGIC;
signal g24_b4_n_0 : STD_LOGIC;
signal g24_b5_n_0 : STD_LOGIC;
signal g24_b6_n_0 : STD_LOGIC;
signal g25_b0_n_0 : STD_LOGIC;
signal g25_b1_n_0 : STD_LOGIC;
signal g25_b2_n_0 : STD_LOGIC;
signal g25_b3_n_0 : STD_LOGIC;
signal g25_b4_n_0 : STD_LOGIC;
signal g25_b5_n_0 : STD_LOGIC;
signal g25_b6_n_0 : STD_LOGIC;
signal g26_b0_n_0 : STD_LOGIC;
signal g26_b1_n_0 : STD_LOGIC;
signal g26_b2_n_0 : STD_LOGIC;
signal g26_b3_n_0 : STD_LOGIC;
signal g26_b4_n_0 : STD_LOGIC;
signal g26_b5_n_0 : STD_LOGIC;
signal g26_b6_n_0 : STD_LOGIC;
signal g27_b0_n_0 : STD_LOGIC;
signal g27_b1_n_0 : STD_LOGIC;
signal g27_b2_n_0 : STD_LOGIC;
signal g27_b3_n_0 : STD_LOGIC;
signal g27_b5_n_0 : STD_LOGIC;
signal g27_b6_n_0 : STD_LOGIC;
signal g27_b7_n_0 : STD_LOGIC;
signal g28_b0_n_0 : STD_LOGIC;
signal g28_b1_n_0 : STD_LOGIC;
signal g28_b2_n_0 : STD_LOGIC;
signal g28_b3_n_0 : STD_LOGIC;
signal g28_b4_n_0 : STD_LOGIC;
signal g28_b5_n_0 : STD_LOGIC;
signal g28_b6_n_0 : STD_LOGIC;
signal g29_b0_n_0 : STD_LOGIC;
signal g29_b1_n_0 : STD_LOGIC;
signal g29_b2_n_0 : STD_LOGIC;
signal g29_b3_n_0 : STD_LOGIC;
signal g29_b4_n_0 : STD_LOGIC;
signal g29_b5_n_0 : STD_LOGIC;
signal g29_b6_n_0 : STD_LOGIC;
signal g29_b7_n_0 : STD_LOGIC;
signal g2_b0_n_0 : STD_LOGIC;
signal g2_b1_n_0 : STD_LOGIC;
signal g2_b2_n_0 : STD_LOGIC;
signal g2_b3_n_0 : STD_LOGIC;
signal g2_b4_n_0 : STD_LOGIC;
signal g2_b5_n_0 : STD_LOGIC;
signal g2_b6_n_0 : STD_LOGIC;
signal g2_b7_n_0 : STD_LOGIC;
signal g30_b0_n_0 : STD_LOGIC;
signal g30_b1_n_0 : STD_LOGIC;
signal g30_b2_n_0 : STD_LOGIC;
signal g30_b3_n_0 : STD_LOGIC;
signal g30_b4_n_0 : STD_LOGIC;
signal g30_b5_n_0 : STD_LOGIC;
signal g30_b6_n_0 : STD_LOGIC;
signal g30_b7_n_0 : STD_LOGIC;
signal g31_b0_n_0 : STD_LOGIC;
signal g31_b1_n_0 : STD_LOGIC;
signal g31_b2_n_0 : STD_LOGIC;
signal g31_b3_n_0 : STD_LOGIC;
signal g31_b4_n_0 : STD_LOGIC;
signal g31_b5_n_0 : STD_LOGIC;
signal g31_b6_n_0 : STD_LOGIC;
signal g3_b0_n_0 : STD_LOGIC;
signal g3_b1_n_0 : STD_LOGIC;
signal g3_b2_n_0 : STD_LOGIC;
signal g3_b3_n_0 : STD_LOGIC;
signal g3_b4_n_0 : STD_LOGIC;
signal g3_b5_n_0 : STD_LOGIC;
signal g3_b6_n_0 : STD_LOGIC;
signal g3_b7_n_0 : STD_LOGIC;
signal g4_b0_n_0 : STD_LOGIC;
signal g4_b1_n_0 : STD_LOGIC;
signal g4_b2_n_0 : STD_LOGIC;
signal g4_b3_n_0 : STD_LOGIC;
signal g4_b4_n_0 : STD_LOGIC;
signal g4_b5_n_0 : STD_LOGIC;
signal g4_b6_n_0 : STD_LOGIC;
signal g5_b0_n_0 : STD_LOGIC;
signal g5_b1_n_0 : STD_LOGIC;
signal g5_b2_n_0 : STD_LOGIC;
signal g5_b3_n_0 : STD_LOGIC;
signal g5_b4_n_0 : STD_LOGIC;
signal g5_b5_n_0 : STD_LOGIC;
signal g5_b6_n_0 : STD_LOGIC;
signal g5_b7_n_0 : STD_LOGIC;
signal g6_b0_n_0 : STD_LOGIC;
signal g6_b1_n_0 : STD_LOGIC;
signal g6_b2_n_0 : STD_LOGIC;
signal g6_b3_n_0 : STD_LOGIC;
signal g6_b4_n_0 : STD_LOGIC;
signal g6_b5_n_0 : STD_LOGIC;
signal g6_b6_n_0 : STD_LOGIC;
signal g7_b0_n_0 : STD_LOGIC;
signal g7_b1_n_0 : STD_LOGIC;
signal g7_b2_n_0 : STD_LOGIC;
signal g7_b3_n_0 : STD_LOGIC;
signal g7_b4_n_0 : STD_LOGIC;
signal g7_b5_n_0 : STD_LOGIC;
signal g7_b6_n_0 : STD_LOGIC;
signal g7_b7_n_0 : STD_LOGIC;
signal g8_b0_n_0 : STD_LOGIC;
signal g8_b1_n_0 : STD_LOGIC;
signal g8_b2_n_0 : STD_LOGIC;
signal g8_b3_n_0 : STD_LOGIC;
signal g8_b4_n_0 : STD_LOGIC;
signal g8_b6_n_0 : STD_LOGIC;
signal g9_b0_n_0 : STD_LOGIC;
signal g9_b1_n_0 : STD_LOGIC;
signal g9_b2_n_0 : STD_LOGIC;
signal g9_b3_n_0 : STD_LOGIC;
signal g9_b4_n_0 : STD_LOGIC;
signal g9_b5_n_0 : STD_LOGIC;
signal g9_b6_n_0 : STD_LOGIC;
signal hSync_i_1_n_0 : STD_LOGIC;
signal hSync_i_2_n_0 : STD_LOGIC;
signal hSync_i_3_n_0 : STD_LOGIC;
signal \h_count[2]_i_1_n_0\ : STD_LOGIC;
signal \h_count_reg__0\ : STD_LOGIC_VECTOR ( 10 downto 3 );
signal nextChar : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \nextChar[7]_i_1_n_0\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \p_0_in__0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal vSync_i_1_n_0 : STD_LOGIC;
signal vSync_i_2_n_0 : STD_LOGIC;
signal \v_count[0]_rep_i_1_n_0\ : STD_LOGIC;
signal \v_count[1]_rep_i_1_n_0\ : STD_LOGIC;
signal \v_count[2]_rep_i_1_n_0\ : STD_LOGIC;
signal \v_count[3]_rep__0_i_1_n_0\ : STD_LOGIC;
signal \v_count[3]_rep_i_1_n_0\ : STD_LOGIC;
signal \v_count_reg[0]_rep_n_0\ : STD_LOGIC;
signal \v_count_reg[1]_rep_n_0\ : STD_LOGIC;
signal \v_count_reg[2]_rep_n_0\ : STD_LOGIC;
signal \v_count_reg[3]_rep__0_n_0\ : STD_LOGIC;
signal \v_count_reg[3]_rep_n_0\ : STD_LOGIC;
signal \v_count_reg__0\ : STD_LOGIC_VECTOR ( 10 downto 4 );
signal \vgaRed[0]_i_10_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_11_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_13_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_14_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_15_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_16_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_17_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_18_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_19_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_1_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_20_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_21_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_22_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_23_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_29_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_33_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_34_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_40_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_41_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_42_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_48_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_4_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_56_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_5_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_64_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_68_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_69_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_6_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_7_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_80_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_8_n_0\ : STD_LOGIC;
signal \vgaRed[0]_i_9_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_100_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_101_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_102_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_103_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_104_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_105_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_106_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_107_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_108_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_109_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_110_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_111_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_112_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_113_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_114_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_115_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_116_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_117_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_118_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_119_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_120_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_121_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_122_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_123_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_124_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_125_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_126_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_127_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_128_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_129_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_12_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_130_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_131_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_132_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_133_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_134_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_135_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_136_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_137_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_138_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_139_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_140_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_141_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_142_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_143_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_144_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_145_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_146_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_147_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_148_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_149_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_150_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_151_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_152_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_153_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_154_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_155_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_156_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_157_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_158_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_159_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_160_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_161_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_162_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_163_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_164_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_165_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_166_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_167_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_168_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_169_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_170_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_171_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_172_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_173_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_174_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_175_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_176_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_177_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_178_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_179_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_180_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_24_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_25_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_26_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_27_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_28_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_30_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_31_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_32_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_35_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_36_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_37_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_38_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_39_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_3_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_43_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_44_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_45_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_46_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_47_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_49_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_50_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_51_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_52_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_53_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_54_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_55_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_57_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_58_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_59_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_60_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_61_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_62_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_63_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_65_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_66_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_67_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_70_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_71_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_72_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_73_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_74_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_75_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_76_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_77_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_78_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_79_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_81_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_82_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_83_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_84_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_85_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_86_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_87_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_88_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_89_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_90_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_91_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_92_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_93_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_94_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_95_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_96_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_97_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_98_n_0\ : STD_LOGIC;
signal \vgaRed_reg[0]_i_99_n_0\ : STD_LOGIC;
signal NLW_fbOutAddr_reg_CARRYCASCOUT_UNCONNECTED : STD_LOGIC;
signal NLW_fbOutAddr_reg_MULTSIGNOUT_UNCONNECTED : STD_LOGIC;
signal NLW_fbOutAddr_reg_OVERFLOW_UNCONNECTED : STD_LOGIC;
signal NLW_fbOutAddr_reg_PATTERNBDETECT_UNCONNECTED : STD_LOGIC;
signal NLW_fbOutAddr_reg_PATTERNDETECT_UNCONNECTED : STD_LOGIC;
signal NLW_fbOutAddr_reg_UNDERFLOW_UNCONNECTED : STD_LOGIC;
signal NLW_fbOutAddr_reg_ACOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 29 downto 0 );
signal NLW_fbOutAddr_reg_BCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 17 downto 0 );
signal NLW_fbOutAddr_reg_CARRYOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_fbOutAddr_reg_P_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 14 );
signal NLW_fbOutAddr_reg_PCOUT_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \v_count[0]_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \v_count[0]_rep_i_1\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \v_count[1]_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \v_count[1]_rep_i_1\ : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \v_count[2]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \v_count[3]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \v_count[3]_rep__0_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \v_count[3]_rep_i_1\ : label is "soft_lutpair14";
attribute ORIG_CELL_NAME : string;
attribute ORIG_CELL_NAME of \v_count_reg[0]\ : label is "v_count_reg[0]";
attribute ORIG_CELL_NAME of \v_count_reg[0]_rep\ : label is "v_count_reg[0]";
attribute ORIG_CELL_NAME of \v_count_reg[1]\ : label is "v_count_reg[1]";
attribute ORIG_CELL_NAME of \v_count_reg[1]_rep\ : label is "v_count_reg[1]";
attribute ORIG_CELL_NAME of \v_count_reg[2]\ : label is "v_count_reg[2]";
attribute ORIG_CELL_NAME of \v_count_reg[2]_rep\ : label is "v_count_reg[2]";
attribute ORIG_CELL_NAME of \v_count_reg[3]\ : label is "v_count_reg[3]";
attribute ORIG_CELL_NAME of \v_count_reg[3]_rep\ : label is "v_count_reg[3]";
attribute ORIG_CELL_NAME of \v_count_reg[3]_rep__0\ : label is "v_count_reg[3]";
begin
\char[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(0),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(0),
O => \char[0]_i_1_n_0\
);
\char[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(1),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(1),
O => \char[1]_i_1_n_0\
);
\char[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(2),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(2),
O => \char[2]_i_1_n_0\
);
\char[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(3),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(3),
O => \char[3]_i_1_n_0\
);
\char[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(4),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(4),
O => \char[4]_i_1_n_0\
);
\char[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(5),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(5),
O => \char[5]_i_1_n_0\
);
\char[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(6),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(6),
O => \char[6]_i_1_n_0\
);
\char[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(7),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(7),
O => \char[7]_i_1_n_0\
);
\char_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[0]_i_1_n_0\,
Q => char(0),
R => '0'
);
\char_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[1]_i_1_n_0\,
Q => char(1),
R => '0'
);
\char_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[2]_i_1_n_0\,
Q => char(2),
R => '0'
);
\char_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[3]_i_1_n_0\,
Q => char(3),
R => '0'
);
\char_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[4]_i_1_n_0\,
Q => char(4),
R => '0'
);
\char_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[5]_i_1_n_0\,
Q => char(5),
R => '0'
);
\char_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[6]_i_1_n_0\,
Q => char(6),
R => '0'
);
\char_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => enable,
D => \char[7]_i_1_n_0\,
Q => char(7),
R => '0'
);
enable_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0057"
)
port map (
I0 => \h_count_reg__0\(10),
I1 => \h_count_reg__0\(8),
I2 => \h_count_reg__0\(9),
I3 => \v_count_reg__0\(10),
O => enable_i_1_n_0
);
enable_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => enable_i_1_n_0,
Q => enable,
R => '0'
);
fbOutAddr_reg: unisim.vcomponents.DSP48E1
generic map(
ACASCREG => 1,
ADREG => 1,
ALUMODEREG => 0,
AREG => 1,
AUTORESET_PATDET => "NO_RESET",
A_INPUT => "DIRECT",
BCASCREG => 0,
BREG => 0,
B_INPUT => "DIRECT",
CARRYINREG => 0,
CARRYINSELREG => 0,
CREG => 1,
DREG => 1,
INMODEREG => 0,
MASK => X"3FFFFFFFFFFF",
MREG => 0,
OPMODEREG => 0,
PATTERN => X"000000000000",
PREG => 1,
SEL_MASK => "MASK",
SEL_PATTERN => "PATTERN",
USE_DPORT => false,
USE_MULT => "MULTIPLY",
USE_PATTERN_DETECT => "NO_PATDET",
USE_SIMD => "ONE48"
)
port map (
A(29 downto 7) => B"00000000000000000000000",
A(6) => fbOutAddr_reg_i_5_n_0,
A(5) => fbOutAddr_reg_i_6_n_0,
A(4) => fbOutAddr_reg_i_7_n_0,
A(3) => fbOutAddr_reg_i_8_n_0,
A(2) => fbOutAddr_reg_i_9_n_0,
A(1) => fbOutAddr_reg_i_10_n_0,
A(0) => fbOutAddr_reg_i_11_n_0,
ACIN(29 downto 0) => B"000000000000000000000000000000",
ACOUT(29 downto 0) => NLW_fbOutAddr_reg_ACOUT_UNCONNECTED(29 downto 0),
ALUMODE(3 downto 0) => B"0000",
B(17 downto 0) => B"000000000010100000",
BCIN(17 downto 0) => B"000000000000000000",
BCOUT(17 downto 0) => NLW_fbOutAddr_reg_BCOUT_UNCONNECTED(17 downto 0),
C(47 downto 8) => B"0000000000000000000000000000000000000000",
C(7) => fbOutAddr_reg_i_12_n_0,
C(6) => fbOutAddr_reg_i_13_n_0,
C(5) => fbOutAddr_reg_i_14_n_0,
C(4) => fbOutAddr_reg_i_15_n_0,
C(3) => fbOutAddr_reg_i_16_n_0,
C(2) => fbOutAddr_reg_i_17_n_0,
C(1) => fbOutAddr_reg_i_18_n_0,
C(0) => fbOutAddr_reg_i_19_n_0,
CARRYCASCIN => '0',
CARRYCASCOUT => NLW_fbOutAddr_reg_CARRYCASCOUT_UNCONNECTED,
CARRYIN => '0',
CARRYINSEL(2 downto 0) => B"000",
CARRYOUT(3 downto 0) => NLW_fbOutAddr_reg_CARRYOUT_UNCONNECTED(3 downto 0),
CEA1 => '0',
CEA2 => fbOutAddr_reg_i_1_n_0,
CEAD => '0',
CEALUMODE => '0',
CEB1 => '0',
CEB2 => '0',
CEC => '1',
CECARRYIN => '0',
CECTRL => '0',
CED => '0',
CEINMODE => '0',
CEM => '0',
CEP => fbOutAddr_reg_i_2_n_0,
CLK => clk108M,
D(24 downto 0) => B"0000000000000000000000000",
INMODE(4 downto 0) => B"00000",
MULTSIGNIN => '0',
MULTSIGNOUT => NLW_fbOutAddr_reg_MULTSIGNOUT_UNCONNECTED,
OPMODE(6) => '0',
OPMODE(5) => enable,
OPMODE(4) => enable,
OPMODE(3 downto 0) => B"0101",
OVERFLOW => NLW_fbOutAddr_reg_OVERFLOW_UNCONNECTED,
P(47 downto 14) => NLW_fbOutAddr_reg_P_UNCONNECTED(47 downto 14),
P(13 downto 0) => addrb(13 downto 0),
PATTERNBDETECT => NLW_fbOutAddr_reg_PATTERNBDETECT_UNCONNECTED,
PATTERNDETECT => NLW_fbOutAddr_reg_PATTERNDETECT_UNCONNECTED,
PCIN(47 downto 0) => B"000000000000000000000000000000000000000000000000",
PCOUT(47 downto 0) => NLW_fbOutAddr_reg_PCOUT_UNCONNECTED(47 downto 0),
RSTA => fbOutAddr_reg_i_3_n_0,
RSTALLCARRYIN => '0',
RSTALUMODE => '0',
RSTB => '0',
RSTC => fbOutAddr_reg_i_1_n_0,
RSTCTRL => '0',
RSTD => '0',
RSTINMODE => '0',
RSTM => '0',
RSTP => fbOutAddr_reg_i_4_n_0,
UNDERFLOW => NLW_fbOutAddr_reg_UNDERFLOW_UNCONNECTED
);
fbOutAddr_reg_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"88808888"
)
port map (
I0 => \h_count_reg__0\(9),
I1 => \h_count_reg__0\(10),
I2 => \h_count_reg__0\(8),
I3 => fbOutAddr_reg_i_20_n_0,
I4 => fbOutAddr_reg_i_21_n_0,
O => fbOutAddr_reg_i_1_n_0
);
fbOutAddr_reg_i_10: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => Y(3),
I1 => Y(1),
I2 => Y(0),
I3 => Y(2),
I4 => \v_count_reg__0\(4),
I5 => \v_count_reg__0\(5),
O => fbOutAddr_reg_i_10_n_0
);
fbOutAddr_reg_i_11: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \v_count_reg__0\(4),
I1 => Y(2),
I2 => Y(0),
I3 => Y(1),
I4 => Y(3),
O => fbOutAddr_reg_i_11_n_0
);
fbOutAddr_reg_i_12: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \h_count_reg__0\(10),
I1 => \h_count_reg__0\(8),
I2 => fbOutAddr_reg_i_27_n_0,
I3 => \h_count_reg__0\(7),
I4 => \h_count_reg__0\(9),
O => fbOutAddr_reg_i_12_n_0
);
fbOutAddr_reg_i_13: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \h_count_reg__0\(9),
I1 => \h_count_reg__0\(7),
I2 => fbOutAddr_reg_i_27_n_0,
I3 => \h_count_reg__0\(8),
O => fbOutAddr_reg_i_13_n_0
);
fbOutAddr_reg_i_14: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAA6AAAAAAAAAAA"
)
port map (
I0 => \h_count_reg__0\(8),
I1 => \h_count_reg__0\(6),
I2 => \h_count_reg__0\(5),
I3 => \h_count_reg__0\(4),
I4 => fbOutAddr_reg_i_28_n_0,
I5 => \h_count_reg__0\(7),
O => fbOutAddr_reg_i_14_n_0
);
fbOutAddr_reg_i_15: unisim.vcomponents.LUT5
generic map(
INIT => X"9AAAAAAA"
)
port map (
I0 => \h_count_reg__0\(7),
I1 => fbOutAddr_reg_i_28_n_0,
I2 => \h_count_reg__0\(4),
I3 => \h_count_reg__0\(5),
I4 => \h_count_reg__0\(6),
O => fbOutAddr_reg_i_15_n_0
);
fbOutAddr_reg_i_16: unisim.vcomponents.LUT4
generic map(
INIT => X"BF40"
)
port map (
I0 => fbOutAddr_reg_i_28_n_0,
I1 => \h_count_reg__0\(4),
I2 => \h_count_reg__0\(5),
I3 => \h_count_reg__0\(6),
O => fbOutAddr_reg_i_16_n_0
);
fbOutAddr_reg_i_17: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \h_count_reg__0\(5),
I1 => X(2),
I2 => X(0),
I3 => X(1),
I4 => \h_count_reg__0\(3),
I5 => \h_count_reg__0\(4),
O => fbOutAddr_reg_i_17_n_0
);
fbOutAddr_reg_i_18: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => X(2),
I1 => X(0),
I2 => X(1),
I3 => \h_count_reg__0\(3),
I4 => \h_count_reg__0\(4),
O => fbOutAddr_reg_i_18_n_0
);
fbOutAddr_reg_i_19: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \h_count_reg__0\(3),
I1 => X(2),
I2 => X(0),
I3 => X(1),
O => fbOutAddr_reg_i_19_n_0
);
fbOutAddr_reg_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAABAAA"
)
port map (
I0 => fbOutAddr_reg_i_22_n_0,
I1 => X(2),
I2 => enable,
I3 => X(1),
I4 => X(0),
O => fbOutAddr_reg_i_2_n_0
);
fbOutAddr_reg_i_20: unisim.vcomponents.LUT3
generic map(
INIT => X"A8"
)
port map (
I0 => \h_count_reg__0\(7),
I1 => \h_count_reg__0\(6),
I2 => \h_count_reg__0\(5),
O => fbOutAddr_reg_i_20_n_0
);
fbOutAddr_reg_i_21: unisim.vcomponents.LUT6
generic map(
INIT => X"1555FFFFFFFFFFFF"
)
port map (
I0 => \h_count_reg__0\(3),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => \h_count_reg__0\(7),
I5 => \h_count_reg__0\(4),
O => fbOutAddr_reg_i_21_n_0
);
fbOutAddr_reg_i_22: unisim.vcomponents.LUT4
generic map(
INIT => X"4440"
)
port map (
I0 => enable,
I1 => \h_count_reg__0\(10),
I2 => \h_count_reg__0\(8),
I3 => \h_count_reg__0\(9),
O => fbOutAddr_reg_i_22_n_0
);
fbOutAddr_reg_i_23: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \v_count_reg__0\(6),
I1 => \v_count_reg__0\(7),
I2 => \v_count_reg__0\(9),
I3 => \v_count_reg__0\(8),
O => fbOutAddr_reg_i_23_n_0
);
fbOutAddr_reg_i_24: unisim.vcomponents.LUT3
generic map(
INIT => X"7F"
)
port map (
I0 => Y(1),
I1 => Y(0),
I2 => Y(2),
O => fbOutAddr_reg_i_24_n_0
);
fbOutAddr_reg_i_25: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => Y(3),
I1 => \v_count_reg__0\(4),
O => fbOutAddr_reg_i_25_n_0
);
fbOutAddr_reg_i_26: unisim.vcomponents.LUT6
generic map(
INIT => X"8000000000000000"
)
port map (
I0 => \v_count_reg__0\(5),
I1 => \v_count_reg__0\(4),
I2 => Y(2),
I3 => Y(0),
I4 => Y(1),
I5 => Y(3),
O => fbOutAddr_reg_i_26_n_0
);
fbOutAddr_reg_i_27: unisim.vcomponents.LUT4
generic map(
INIT => X"0080"
)
port map (
I0 => \h_count_reg__0\(6),
I1 => \h_count_reg__0\(5),
I2 => \h_count_reg__0\(4),
I3 => fbOutAddr_reg_i_28_n_0,
O => fbOutAddr_reg_i_27_n_0
);
fbOutAddr_reg_i_28: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => X(2),
I1 => X(0),
I2 => X(1),
I3 => \h_count_reg__0\(3),
O => fbOutAddr_reg_i_28_n_0
);
fbOutAddr_reg_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"AA8A888800000000"
)
port map (
I0 => fbOutAddr_reg_i_1_n_0,
I1 => fbOutAddr_reg_i_23_n_0,
I2 => fbOutAddr_reg_i_24_n_0,
I3 => fbOutAddr_reg_i_25_n_0,
I4 => \v_count_reg__0\(5),
I5 => \v_count_reg__0\(10),
O => fbOutAddr_reg_i_3_n_0
);
fbOutAddr_reg_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"0000A800"
)
port map (
I0 => \v_count_reg__0\(10),
I1 => \h_count_reg__0\(9),
I2 => \h_count_reg__0\(8),
I3 => \h_count_reg__0\(10),
I4 => enable,
O => fbOutAddr_reg_i_4_n_0
);
fbOutAddr_reg_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAAAAAAAAAAAAA"
)
port map (
I0 => \v_count_reg__0\(10),
I1 => \v_count_reg__0\(8),
I2 => \v_count_reg__0\(6),
I3 => fbOutAddr_reg_i_26_n_0,
I4 => \v_count_reg__0\(7),
I5 => \v_count_reg__0\(9),
O => fbOutAddr_reg_i_5_n_0
);
fbOutAddr_reg_i_6: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \v_count_reg__0\(9),
I1 => \v_count_reg__0\(7),
I2 => fbOutAddr_reg_i_26_n_0,
I3 => \v_count_reg__0\(6),
I4 => \v_count_reg__0\(8),
O => fbOutAddr_reg_i_6_n_0
);
fbOutAddr_reg_i_7: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \v_count_reg__0\(8),
I1 => \v_count_reg__0\(6),
I2 => fbOutAddr_reg_i_26_n_0,
I3 => \v_count_reg__0\(7),
O => fbOutAddr_reg_i_7_n_0
);
fbOutAddr_reg_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"AA6AAAAAAAAAAAAA"
)
port map (
I0 => \v_count_reg__0\(7),
I1 => \v_count_reg__0\(5),
I2 => \v_count_reg__0\(4),
I3 => fbOutAddr_reg_i_24_n_0,
I4 => Y(3),
I5 => \v_count_reg__0\(6),
O => fbOutAddr_reg_i_8_n_0
);
fbOutAddr_reg_i_9: unisim.vcomponents.LUT5
generic map(
INIT => X"A6AAAAAA"
)
port map (
I0 => \v_count_reg__0\(6),
I1 => Y(3),
I2 => fbOutAddr_reg_i_24_n_0,
I3 => \v_count_reg__0\(4),
I4 => \v_count_reg__0\(5),
O => fbOutAddr_reg_i_9_n_0
);
g0_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"000007F807F80000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g0_b0_n_0
);
g0_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"01E00FFC08040000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g0_b1_n_0
);
g0_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"03F00F6C08940000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g0_b2_n_0
);
g0_b2_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(0),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(0),
O => g0_b2_i_1_n_0
);
g0_b2_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFE0002"
)
port map (
I0 => nextChar(1),
I1 => X(1),
I2 => X(0),
I3 => X(2),
I4 => char(1),
O => g0_b2_i_2_n_0
);
g0_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"07F00E7C09840000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g0_b3_n_0
);
g0_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0FE00E7C09840000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g0_b4_n_0
);
g0_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"07F00F6C08940000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g0_b5_n_0
);
g0_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"03F00FFC08040000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g0_b6_n_0
);
g0_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"01E007F807F80000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g0_b7_n_0
);
g10_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0000008000000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g10_b0_n_0
);
g10_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"008002A000000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g10_b1_n_0
);
g10_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"008003E0080403F0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g10_b2_n_0
);
g10_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"03E001C00C0C07F8"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g10_b3_n_0
);
g10_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"03E001C007F80C0C"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g10_b4_n_0
);
g10_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"008003E003F00804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g10_b5_n_0
);
g11_b0: unisim.vcomponents.LUT5
generic map(
INIT => X"20000000"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g11_b0_n_0
);
g11_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0600000000800000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g11_b1_n_0
);
g11_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0300000000801000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g11_b2_n_0
);
g11_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"01800C0000801E00"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g11_b3_n_0
);
g11_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"00C00C0000800E00"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g11_b4_n_0
);
g11_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0060000000800000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g11_b5_n_0
);
g11_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0030000000800000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g11_b6_n_0
);
g12_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"04080E08000007F8"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g12_b0_n_0
);
g12_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0C0F0C08100FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g12_b1_n_0
);
g12_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0844098408180984"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g12_b2_n_0
);
g12_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"084408C40FFC08C4"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g12_b3_n_0
);
g12_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"084408640FFC0864"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g12_b4_n_0
);
g12_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC0C3C08000FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g12_b5_n_0
);
g12_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"07B80C18080007F8"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g12_b6_n_0
);
g13_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"000C07F0047C00C0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g13_b0_n_0
);
g13_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"000C0FF80C7C00E0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g13_b1_n_0
);
g13_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0F04084C084400B0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g13_b2_n_0
);
g13_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0F84084408440898"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g13_b3_n_0
);
g13_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"00C4084408440FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g13_b4_n_0
);
g13_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"007C0FC00FC40FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g13_b5_n_0
);
g13_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"003C078007840880"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g13_b6_n_0
);
g14_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000003807B8"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g14_b0_n_0
);
g14_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000087C0FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g14_b1_n_0
);
g14_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0800000008440844"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g14_b2_n_0
);
g14_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0E30063008440844"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g14_b3_n_0
);
g14_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"063006300C440844"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g14_b4_n_0
);
g14_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000007FC0FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g14_b5_n_0
);
g14_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000003F807B8"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g14_b6_n_0
);
g15_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0018000000000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g15_b0_n_0
);
g15_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"001C080801200080"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g15_b1_n_0
);
g15_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"00040C18012001C0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g15_b2_n_0
);
g15_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0DC4063001200360"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g15_b3_n_0
);
g15_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0DE4036001200630"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g15_b4_n_0
);
g15_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"003C01C001200C18"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g15_b5_n_0
);
g15_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0018008001200808"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g15_b6_n_0
);
g16_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"03F008040FE007F8"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g16_b0_n_0
);
g16_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"07F80FFC0FF00FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g16_b1_n_0
);
g16_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0C0FFC00980804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g16_b2_n_0
);
g16_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"08040844008C0BC4"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g16_b3_n_0
);
g16_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0804084400980BC4"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g16_b4_n_0
);
g16_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0C0FFC0FF00BFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g16_b5_n_0
);
g16_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"061807B80FE001F8"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g16_b6_n_0
);
g17_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"03F0080408040804"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g17_b0_n_0
);
g17_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"07F80FFC0FFC0FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g17_b1_n_0
);
g17_b2: unisim.vcomponents.LUT5
generic map(
INIT => X"223E3E3E"
)
port map (
I0 => \v_count_reg[1]_rep_n_0\,
I1 => Y(2),
I2 => \v_count_reg[3]_rep__0_n_0\,
I3 => g0_b2_i_1_n_0,
I4 => g0_b2_i_2_n_0,
O => g17_b2_n_0
);
g17_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0884084408440804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g17_b3_n_0
);
g17_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"088400E408E40C0C"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g17_b4_n_0
);
g17_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"078C000C0C0C07F8"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g17_b5_n_0
);
g17_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0F98001C0E1C03F0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g17_b6_n_0
);
g18_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0804070000000FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g18_b0_n_0
);
g18_b1: unisim.vcomponents.LUT5
generic map(
INIT => X"3E30003E"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g18_b1_n_0
);
g18_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC080008040040"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g18_b2_n_0
);
g18_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"00C008040FFC0040"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g18_b3_n_0
);
g18_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"01E00FFC0FFC0040"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g18_b4_n_0
);
g18_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0F3C07FC08040FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g18_b5_n_0
);
g18_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0E1C000400000FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g18_b6_n_0
);
g19_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"07F80FFC0FFC0804"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g19_b0_n_0
);
g19_b1: unisim.vcomponents.LUT3
generic map(
INIT => X"3E"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
O => g19_b1_n_0
);
g19_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0804003800380FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g19_b2_n_0
);
g19_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0804007000700804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g19_b3_n_0
);
g19_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"080400E000700800"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g19_b4_n_0
);
g19_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC0FFC00380C00"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g19_b5_n_0
);
g19_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"07F80FFC0FFC0E00"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g19_b6_n_0
);
g19_b7: unisim.vcomponents.LUT5
generic map(
INIT => X"00003E00"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g19_b7_n_0
);
g1_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"000000C001C00080"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g1_b0_n_0
);
g1_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"000001E001C001C0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g1_b1_n_0
);
g1_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"018009F009F003E0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g1_b2_n_0
);
g1_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"03C00FF80E3807F0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g1_b3_n_0
);
g1_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"03C00FF80E3803E0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g1_b4_n_0
);
g1_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"018009F009F001C0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g1_b5_n_0
);
g1_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"000001E001C00080"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g1_b6_n_0
);
g1_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"000000C001C00000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g1_b7_n_0
);
g20_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0618080407F80804"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g20_b0_n_0
);
g20_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0E3C0FFC0FFC0FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g20_b1_n_0
);
g20_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"08640FFC08040FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g20_b2_n_0
);
g20_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"084400440E040844"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g20_b3_n_0
);
g20_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"08C400C43C040044"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g20_b4_n_0
);
g20_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0F9C0FFC3FFC007C"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g20_b5_n_0
);
g20_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"07180F3827F80038"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g20_b6_n_0
);
g21_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"03FC01FC07FC001C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g21_b0_n_0
);
g21_b1: unisim.vcomponents.LUT5
generic map(
INIT => X"3E1E3E02"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g21_b1_n_0
);
g21_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0E00060008000804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g21_b2_n_0
);
g21_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"03800C0008000FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g21_b3_n_0
);
g21_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0E0006000FFC0804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g21_b5_n_0
);
g21_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC03FC07FC000C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g21_b6_n_0
);
g21_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"03FC01FC0000001C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g21_b7_n_0
);
g22_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"00000E1C001C0C0C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g22_b0_n_0
);
g22_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000F0C003C0E1C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g22_b1_n_0
);
g22_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC098408600330"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g22_b2_n_0
);
g22_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC08C40FC001E0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g22_b3_n_0
);
g22_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"080408640FC001E0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g22_b4_n_0
);
g22_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0804083408600330"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g22_b5_n_0
);
g22_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"00000C1C003C0E1C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g22_b6_n_0
);
g22_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"00000E0C001C0C0C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g22_b7_n_0
);
g23_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"2000000800000038"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g23_b0_n_0
);
g23_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"2000000C00000070"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g23_b1_n_0
);
g23_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"20000006080400E0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g23_b2_n_0
);
g23_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"20000003080401C0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g23_b3_n_0
);
g23_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"200000060FFC0380"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g23_b4_n_0
);
g23_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"2000000C0FFC0700"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g23_b5_n_0
);
g23_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"2000000800000E00"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g23_b6_n_0
);
g23_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"2000000000000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g23_b7_n_0
);
g24_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"07C0000407000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g24_b0_n_0
);
g24_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0FE00FFC0FA00000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g24_b1_n_0
);
g24_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"08200FFC08A00003"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g24_b2_n_0
);
g24_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0820082008A00007"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g24_b3_n_0
);
g24_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0820086007E00004"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g24_b4_n_0
);
g24_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0C600FC00FC00000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g24_b5_n_0
);
g24_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0440078008000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g24_b6_n_0
);
g25_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"27C0084007C00780"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g25_b0_n_0
);
g25_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"6FE00FF80FE00FC0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g25_b1_n_0
);
g25_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"48200FFC08A00860"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g25_b2_n_0
);
g25_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"4820084408A00824"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g25_b3_n_0
);
g25_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"7FC0000C08A007FC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g25_b4_n_0
);
g25_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"3FE000180CE00FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g25_b5_n_0
);
g25_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0020000004C00800"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g25_b6_n_0
);
g26_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0804000000000804"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g26_b0_n_0
);
g26_b1: unisim.vcomponents.LUT5
generic map(
INIT => X"3E40003E"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g26_b1_n_0
);
g26_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC700008200FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g26_b2_n_0
);
g26_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"018040000FEC0040"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g26_b3_n_0
);
g26_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"03C040200FEC0020"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g26_b4_n_0
);
g26_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0E607FEC08000FE0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g26_b5_n_0
);
g26_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0C203FEC00000FC0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g26_b6_n_0
);
g27_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"07C000200FE00000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g27_b0_n_0
);
g27_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0FE00FE00FE00000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g27_b1_n_0
);
g27_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"08200FC000600804"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g27_b2_n_0
);
g27_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"082000200FC00FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g27_b3_n_0
);
g27_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0FE00FE000600800"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g27_b5_n_0
);
g27_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"07C00FC00FE00000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g27_b6_n_0
);
g27_b7: unisim.vcomponents.LUT5
generic map(
INIT => X"00003800"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g27_b7_n_0
);
g28_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0440082007C04020"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g28_b0_n_0
);
g28_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0CE00FE00FE07FE0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g28_b1_n_0
);
g28_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"09A00FC008207FC0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g28_b2_n_0
);
g28_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0920086048204820"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g28_b3_n_0
);
g28_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0B2000207FC00820"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g28_b4_n_0
);
g28_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0E6000E07FE00FE0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g28_b5_n_0
);
g28_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"044000C0402007C0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g28_b6_n_0
);
g29_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"07E001E007E00020"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g29_b0_n_0
);
g29_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0FE003E00FE00020"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g29_b1_n_0
);
g29_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0C000600080007F8"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g29_b2_n_0
);
g29_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"07000C0008000FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g29_b3_n_0
);
g29_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"07000C0007E00820"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g29_b4_n_0
);
g29_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0C0006000FE00C20"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g29_b5_n_0
);
g29_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0FE003E008000400"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g29_b6_n_0
);
g29_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"07E001E000000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g29_b7_n_0
);
g2_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0780FFFF0000FFFF"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g2_b0_n_0
);
g2_b1: unisim.vcomponents.LUT5
generic map(
INIT => X"38E718FF"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g2_b1_n_0
);
g2_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0860F99F0660FE7F"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g2_b2_n_0
);
g2_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0874FBDF0420FC3F"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g2_b3_n_0
);
g2_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0FDCFBDF0420FC3F"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g2_b4_n_0
);
g2_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"078CF99F0660FE7F"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g2_b5_n_0
);
g2_b6: unisim.vcomponents.LUT5
generic map(
INIT => X"06E718FF"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g2_b6_n_0
);
g2_b7: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \char[0]_i_1_n_0\,
O => g2_b7_n_0
);
g30_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"00000C6047E00820"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g30_b0_n_0
);
g30_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"00400E604FE00C60"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g30_b1_n_0
);
g30_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"00400B20480006C0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g30_b2_n_0
);
g30_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"07F809A048000380"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g30_b3_n_0
);
g30_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0FBC08E068000380"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g30_b4_n_0
);
g30_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"08040C603FE006C0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g30_b5_n_0
);
g30_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"08040C201FE00C60"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g30_b6_n_0
);
g30_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000820"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g30_b7_n_0
);
g31_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0780000800000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g31_b0_n_0
);
g31_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"07C0000C08040000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g31_b1_n_0
);
g31_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0460000408040000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g31_b2_n_0
);
g31_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0430000C0FBC0FBC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g31_b3_n_0
);
g31_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0460000807F80FBC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g31_b4_n_0
);
g31_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"07C0000C00400000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g31_b5_n_0
);
g31_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0780000400400000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g31_b6_n_0
);
g3_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"02A01C000C000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g3_b0_n_0
);
g3_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"02A01FFC0E000278"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g3_b1_n_0
);
g3_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"01C00FFC0FFC02FC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g3_b2_n_0
);
g3_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"0F78001407FC0F84"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g3_b3_n_0
);
g3_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0F78001400140F84"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g3_b4_n_0
);
g3_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"01C00E14001402FC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g3_b5_n_0
);
g3_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"02A00FFC001C0278"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g3_b6_n_0
);
g3_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"02A007FC001C0000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g3_b7_n_0
);
g4_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000400FFE"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g4_b0_n_0
);
g4_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0DFC0110004007FC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g4_b1_n_0
);
g4_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0DFC031800E003F8"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g4_b2_n_0
);
g4_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"000007FC01F001F0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g4_b3_n_0
);
g4_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"000007FC03F800E0"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g4_b4_n_0
);
g4_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0DFC031807FC0040"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g4_b5_n_0
);
g4_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0DFC01100FFE0040"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g4_b6_n_0
);
g5_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"00000F0008C40038"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g5_b0_n_0
);
g5_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"09100F0019EE007C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g5_b1_n_0
);
g5_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"1B180F00133A0044"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g5_b2_n_0
);
g5_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"1FFC0F0012120FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g5_b3_n_0
);
g5_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFC0F0017320FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g5_b4_n_0
);
g5_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"0B180F001DE60004"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g5_b5_n_0
);
g5_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"09100F0008C40FFC"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g5_b6_n_0
);
g5_b7: unisim.vcomponents.LUT5
generic map(
INIT => X"0000003E"
)
port map (
I0 => Y(1),
I1 => \v_count_reg[2]_rep_n_0\,
I2 => \v_count_reg[3]_rep_n_0\,
I3 => \char[0]_i_1_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g5_b7_n_0
);
g6_b0: unisim.vcomponents.LUT5
generic map(
INIT => X"00800000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[1]_i_1_n_0\,
O => g6_b0_n_0
);
g6_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"01C0008002000010"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g6_b1_n_0
);
g6_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"03E0008006000018"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g6_b2_n_0
);
g6_b3: unisim.vcomponents.LUT5
generic map(
INIT => X"02A00FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_2_n_0,
O => g6_b3_n_0
);
g6_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"008003E00FFC0FFC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g6_b4_n_0
);
g6_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"008001C006000018"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g6_b5_n_0
);
g6_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0080008002000010"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g6_b6_n_0
);
g7_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"00300600008003C0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g7_b0_n_0
);
g7_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"00F0078001C003C0"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g7_b1_n_0
);
g7_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"03F007E003E00200"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g7_b2_n_0
);
g7_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"07F007F000800200"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g7_b3_n_0
);
g7_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"03F007E000800200"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g7_b4_n_0
);
g7_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"00F0078003E00200"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g7_b5_n_0
);
g7_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0030060001C00200"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g7_b6_n_0
);
g7_b7: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000800000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g7_b7_n_0
);
g8_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"0220000000000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g8_b0_n_0
);
g8_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"0FF8000E00000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g8_b1_n_0
);
g8_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"0FF8001E00380000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g8_b2_n_0
);
g8_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"022000000DFC0000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g8_b3_n_0
);
g8_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"0FF800000DFC0000"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g8_b4_n_0
);
g8_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"0220000E00000000"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g8_b6_n_0
);
g9_b0: unisim.vcomponents.LUT6
generic map(
INIT => X"000007800C300638"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g9_b0_n_0
);
g9_b1: unisim.vcomponents.LUT6
generic map(
INIT => X"00100FD806300C7C"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g9_b1_n_0
);
g9_b2: unisim.vcomponents.LUT6
generic map(
INIT => X"001E087C03000844"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g9_b2_n_0
);
g9_b3: unisim.vcomponents.LUT6
generic map(
INIT => X"000E08E401803847"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g9_b3_n_0
);
g9_b4: unisim.vcomponents.LUT6
generic map(
INIT => X"000007BC00C03847"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g9_b4_n_0
);
g9_b5: unisim.vcomponents.LUT6
generic map(
INIT => X"00000FD80C600FCC"
)
port map (
I0 => Y(0),
I1 => \v_count_reg[1]_rep_n_0\,
I2 => Y(2),
I3 => \v_count_reg[3]_rep__0_n_0\,
I4 => g0_b2_i_1_n_0,
I5 => g0_b2_i_2_n_0,
O => g9_b5_n_0
);
g9_b6: unisim.vcomponents.LUT6
generic map(
INIT => X"000008400C300798"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => \v_count_reg[3]_rep_n_0\,
I4 => \char[0]_i_1_n_0\,
I5 => \char[1]_i_1_n_0\,
O => g9_b6_n_0
);
hSync_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000AAFFAFC0"
)
port map (
I0 => hSync_i_2_n_0,
I1 => \h_count_reg__0\(4),
I2 => \h_count_reg__0\(5),
I3 => \h_count_reg__0\(7),
I4 => \h_count_reg__0\(6),
I5 => hSync_i_3_n_0,
O => hSync_i_1_n_0
);
hSync_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => X(2),
I1 => X(0),
I2 => X(1),
I3 => \h_count_reg__0\(3),
I4 => \h_count_reg__0\(4),
I5 => \h_count_reg__0\(6),
O => hSync_i_2_n_0
);
hSync_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"BF"
)
port map (
I0 => \h_count_reg__0\(9),
I1 => \h_count_reg__0\(8),
I2 => \h_count_reg__0\(10),
O => hSync_i_3_n_0
);
hSync_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => hSync_i_1_n_0,
Q => Hsync_OBUF,
R => '0'
);
\h_count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => X(0),
O => p_0_in(0)
);
\h_count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => X(0),
I1 => X(1),
O => p_0_in(1)
);
\h_count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => X(2),
I1 => X(1),
I2 => X(0),
O => \h_count[2]_i_1_n_0\
);
\h_count_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => p_0_in(0),
Q => X(0),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_12_n_0,
Q => \h_count_reg__0\(10),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => p_0_in(1),
Q => X(1),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => \h_count[2]_i_1_n_0\,
Q => X(2),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_19_n_0,
Q => \h_count_reg__0\(3),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_18_n_0,
Q => \h_count_reg__0\(4),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_17_n_0,
Q => \h_count_reg__0\(5),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_16_n_0,
Q => \h_count_reg__0\(6),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_15_n_0,
Q => \h_count_reg__0\(7),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_14_n_0,
Q => \h_count_reg__0\(8),
R => fbOutAddr_reg_i_1_n_0
);
\h_count_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => fbOutAddr_reg_i_13_n_0,
Q => \h_count_reg__0\(9),
R => fbOutAddr_reg_i_1_n_0
);
\nextChar[7]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => X(1),
I1 => X(0),
I2 => enable,
I3 => X(2),
O => \nextChar[7]_i_1_n_0\
);
\nextChar_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(0),
Q => nextChar(0),
R => '0'
);
\nextChar_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(1),
Q => nextChar(1),
R => '0'
);
\nextChar_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(2),
Q => nextChar(2),
R => '0'
);
\nextChar_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(3),
Q => nextChar(3),
R => '0'
);
\nextChar_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(4),
Q => nextChar(4),
R => '0'
);
\nextChar_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(5),
Q => nextChar(5),
R => '0'
);
\nextChar_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(6),
Q => nextChar(6),
R => '0'
);
\nextChar_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => \nextChar[7]_i_1_n_0\,
D => D(7),
Q => nextChar(7),
R => '0'
);
vSync_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0000001E"
)
port map (
I0 => \v_count_reg[0]_rep_n_0\,
I1 => Y(1),
I2 => \v_count_reg[2]_rep_n_0\,
I3 => vSync_i_2_n_0,
I4 => fbOutAddr_reg_i_23_n_0,
O => vSync_i_1_n_0
);
vSync_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"FFEF"
)
port map (
I0 => \v_count_reg__0\(4),
I1 => Y(3),
I2 => \v_count_reg__0\(10),
I3 => \v_count_reg__0\(5),
O => vSync_i_2_n_0
);
vSync_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => vSync_i_1_n_0,
Q => Vsync_OBUF,
R => '0'
);
\v_count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => Y(0),
O => \p_0_in__0\(0)
);
\v_count[0]_rep_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => Y(0),
O => \v_count[0]_rep_i_1_n_0\
);
\v_count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Y(0),
I1 => Y(1),
O => \p_0_in__0\(1)
);
\v_count[1]_rep_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => Y(0),
I1 => Y(1),
O => \v_count[1]_rep_i_1_n_0\
);
\v_count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => Y(2),
I1 => Y(0),
I2 => Y(1),
O => \p_0_in__0\(2)
);
\v_count[2]_rep_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => Y(2),
I1 => Y(0),
I2 => Y(1),
O => \v_count[2]_rep_i_1_n_0\
);
\v_count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => Y(3),
I1 => Y(1),
I2 => Y(0),
I3 => Y(2),
O => \p_0_in__0\(3)
);
\v_count[3]_rep__0_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => Y(3),
I1 => Y(1),
I2 => Y(0),
I3 => Y(2),
O => \v_count[3]_rep__0_i_1_n_0\
);
\v_count[3]_rep_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => Y(3),
I1 => Y(1),
I2 => Y(0),
I3 => Y(2),
O => \v_count[3]_rep_i_1_n_0\
);
\v_count_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \p_0_in__0\(0),
Q => Y(0),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[0]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \v_count[0]_rep_i_1_n_0\,
Q => \v_count_reg[0]_rep_n_0\,
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_5_n_0,
Q => \v_count_reg__0\(10),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \p_0_in__0\(1),
Q => Y(1),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[1]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \v_count[1]_rep_i_1_n_0\,
Q => \v_count_reg[1]_rep_n_0\,
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \p_0_in__0\(2),
Q => Y(2),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[2]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \v_count[2]_rep_i_1_n_0\,
Q => \v_count_reg[2]_rep_n_0\,
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \p_0_in__0\(3),
Q => Y(3),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[3]_rep\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \v_count[3]_rep_i_1_n_0\,
Q => \v_count_reg[3]_rep_n_0\,
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[3]_rep__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => \v_count[3]_rep__0_i_1_n_0\,
Q => \v_count_reg[3]_rep__0_n_0\,
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_11_n_0,
Q => \v_count_reg__0\(4),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_10_n_0,
Q => \v_count_reg__0\(5),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_9_n_0,
Q => \v_count_reg__0\(6),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_8_n_0,
Q => \v_count_reg__0\(7),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_7_n_0,
Q => \v_count_reg__0\(8),
R => fbOutAddr_reg_i_3_n_0
);
\v_count_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => fbOutAddr_reg_i_1_n_0,
D => fbOutAddr_reg_i_6_n_0,
Q => \v_count_reg__0\(9),
R => fbOutAddr_reg_i_3_n_0
);
\vgaRed[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"28222888"
)
port map (
I0 => enable,
I1 => \char[7]_i_1_n_0\,
I2 => \vgaRed_reg[0]_i_2_n_0\,
I3 => X(2),
I4 => \vgaRed_reg[0]_i_3_n_0\,
O => \vgaRed[0]_i_1_n_0\
);
\vgaRed[0]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_32_n_0\,
I1 => \vgaRed[0]_i_33_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed[0]_i_34_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_35_n_0\,
O => \vgaRed[0]_i_10_n_0\
);
\vgaRed[0]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_36_n_0\,
I1 => \vgaRed_reg[0]_i_37_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_38_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_39_n_0\,
O => \vgaRed[0]_i_11_n_0\
);
\vgaRed[0]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"0020FFFF00200000"
)
port map (
I0 => g10_b0_n_0,
I1 => \char[2]_i_1_n_0\,
I2 => \char[3]_i_1_n_0\,
I3 => \char[4]_i_1_n_0\,
I4 => \char[5]_i_1_n_0\,
I5 => \vgaRed[0]_i_42_n_0\,
O => \vgaRed[0]_i_13_n_0\
);
\vgaRed[0]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_43_n_0\,
I1 => \vgaRed_reg[0]_i_44_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_45_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_46_n_0\,
O => \vgaRed[0]_i_14_n_0\
);
\vgaRed[0]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_47_n_0\,
I1 => \vgaRed[0]_i_48_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_49_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_50_n_0\,
O => \vgaRed[0]_i_15_n_0\
);
\vgaRed[0]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_51_n_0\,
I1 => \vgaRed_reg[0]_i_52_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_53_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_54_n_0\,
O => \vgaRed[0]_i_16_n_0\
);
\vgaRed[0]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_55_n_0\,
I1 => \vgaRed[0]_i_56_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_57_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_58_n_0\,
O => \vgaRed[0]_i_17_n_0\
);
\vgaRed[0]_i_18\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_59_n_0\,
I1 => \vgaRed_reg[0]_i_60_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_61_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_62_n_0\,
O => \vgaRed[0]_i_18_n_0\
);
\vgaRed[0]_i_19\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_63_n_0\,
I1 => \vgaRed[0]_i_64_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_65_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_66_n_0\,
O => \vgaRed[0]_i_19_n_0\
);
\vgaRed[0]_i_20\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_67_n_0\,
I1 => \vgaRed[0]_i_68_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed[0]_i_69_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_70_n_0\,
O => \vgaRed[0]_i_20_n_0\
);
\vgaRed[0]_i_21\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_71_n_0\,
I1 => \vgaRed_reg[0]_i_72_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_73_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_74_n_0\,
O => \vgaRed[0]_i_21_n_0\
);
\vgaRed[0]_i_22\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_75_n_0\,
I1 => \vgaRed_reg[0]_i_76_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_77_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_78_n_0\,
O => \vgaRed[0]_i_22_n_0\
);
\vgaRed[0]_i_23\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_79_n_0\,
I1 => \vgaRed[0]_i_80_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_81_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_82_n_0\,
O => \vgaRed[0]_i_23_n_0\
);
\vgaRed[0]_i_29\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g11_b5_n_0,
I1 => g10_b5_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g9_b5_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g8_b2_n_0,
O => \vgaRed[0]_i_29_n_0\
);
\vgaRed[0]_i_33\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g27_b3_n_0,
I1 => g26_b4_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g25_b4_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g24_b4_n_0,
O => \vgaRed[0]_i_33_n_0\
);
\vgaRed[0]_i_34\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g23_b4_n_0,
I1 => g22_b4_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g21_b3_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g20_b4_n_0,
O => \vgaRed[0]_i_34_n_0\
);
\vgaRed[0]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed[0]_i_8_n_0\,
I1 => \vgaRed[0]_i_9_n_0\,
I2 => X(0),
I3 => \vgaRed[0]_i_10_n_0\,
I4 => \char[6]_i_1_n_0\,
I5 => \vgaRed[0]_i_11_n_0\,
O => \vgaRed[0]_i_4_n_0\
);
\vgaRed[0]_i_40\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFC0A0C0A000A000"
)
port map (
I0 => \vgaRed_reg[0]_i_109_n_0\,
I1 => g21_b7_n_0,
I2 => \char[4]_i_1_n_0\,
I3 => \char[3]_i_1_n_0\,
I4 => g19_b7_n_0,
I5 => \char[2]_i_1_n_0\,
O => \vgaRed[0]_i_40_n_0\
);
\vgaRed[0]_i_41\: unisim.vcomponents.LUT6
generic map(
INIT => X"0FC000C0A000A000"
)
port map (
I0 => g30_b7_n_0,
I1 => g29_b7_n_0,
I2 => \char[4]_i_1_n_0\,
I3 => \char[3]_i_1_n_0\,
I4 => g27_b7_n_0,
I5 => \char[2]_i_1_n_0\,
O => \vgaRed[0]_i_41_n_0\
);
\vgaRed[0]_i_42\: unisim.vcomponents.LUT6
generic map(
INIT => X"B080FFFFB0800000"
)
port map (
I0 => g7_b7_n_0,
I1 => \char[3]_i_1_n_0\,
I2 => \char[2]_i_1_n_0\,
I3 => g5_b7_n_0,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_110_n_0\,
O => \vgaRed[0]_i_42_n_0\
);
\vgaRed[0]_i_48\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g11_b6_n_0,
I1 => g10_b1_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g9_b6_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g8_b6_n_0,
O => \vgaRed[0]_i_48_n_0\
);
\vgaRed[0]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_12_n_0\,
I1 => \vgaRed[0]_i_13_n_0\,
I2 => X(0),
I3 => \vgaRed[0]_i_14_n_0\,
I4 => \char[6]_i_1_n_0\,
I5 => \vgaRed[0]_i_15_n_0\,
O => \vgaRed[0]_i_5_n_0\
);
\vgaRed[0]_i_56\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g11_b1_n_0,
I1 => g10_b1_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g9_b1_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g8_b1_n_0,
O => \vgaRed[0]_i_56_n_0\
);
\vgaRed[0]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed[0]_i_16_n_0\,
I1 => \vgaRed[0]_i_17_n_0\,
I2 => X(0),
I3 => \vgaRed[0]_i_18_n_0\,
I4 => \char[6]_i_1_n_0\,
I5 => \vgaRed[0]_i_19_n_0\,
O => \vgaRed[0]_i_6_n_0\
);
\vgaRed[0]_i_64\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g11_b0_n_0,
I1 => g10_b0_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g9_b0_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g8_b0_n_0,
O => \vgaRed[0]_i_64_n_0\
);
\vgaRed[0]_i_68\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g27_b3_n_0,
I1 => g26_b3_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g25_b3_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g24_b3_n_0,
O => \vgaRed[0]_i_68_n_0\
);
\vgaRed[0]_i_69\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g23_b3_n_0,
I1 => g22_b3_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g21_b3_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g20_b3_n_0,
O => \vgaRed[0]_i_69_n_0\
);
\vgaRed[0]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed[0]_i_20_n_0\,
I1 => \vgaRed[0]_i_21_n_0\,
I2 => X(0),
I3 => \vgaRed[0]_i_22_n_0\,
I4 => \char[6]_i_1_n_0\,
I5 => \vgaRed[0]_i_23_n_0\,
O => \vgaRed[0]_i_7_n_0\
);
\vgaRed[0]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_24_n_0\,
I1 => \vgaRed_reg[0]_i_25_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_26_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_27_n_0\,
O => \vgaRed[0]_i_8_n_0\
);
\vgaRed[0]_i_80\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => g11_b2_n_0,
I1 => g10_b2_n_0,
I2 => \char[3]_i_1_n_0\,
I3 => g9_b2_n_0,
I4 => \char[2]_i_1_n_0\,
I5 => g8_b2_n_0,
O => \vgaRed[0]_i_80_n_0\
);
\vgaRed[0]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"AFA0CFCFAFA0C0C0"
)
port map (
I0 => \vgaRed_reg[0]_i_28_n_0\,
I1 => \vgaRed[0]_i_29_n_0\,
I2 => \char[5]_i_1_n_0\,
I3 => \vgaRed_reg[0]_i_30_n_0\,
I4 => \char[4]_i_1_n_0\,
I5 => \vgaRed_reg[0]_i_31_n_0\,
O => \vgaRed[0]_i_9_n_0\
);
\vgaRed_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk108M,
CE => '1',
D => \vgaRed[0]_i_1_n_0\,
Q => vgaBlue_OBUF(0),
R => '0'
);
\vgaRed_reg[0]_i_100\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b4_n_0,
I1 => g19_b4_n_0,
O => \vgaRed_reg[0]_i_100_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_101\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b4_n_0,
I1 => g13_b4_n_0,
O => \vgaRed_reg[0]_i_101_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_102\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b4_n_0,
I1 => g15_b4_n_0,
O => \vgaRed_reg[0]_i_102_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_103\: unisim.vcomponents.MUXF7
port map (
I0 => g8_b4_n_0,
I1 => g9_b4_n_0,
O => \vgaRed_reg[0]_i_103_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_104\: unisim.vcomponents.MUXF7
port map (
I0 => g10_b4_n_0,
I1 => g11_b4_n_0,
O => \vgaRed_reg[0]_i_104_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_105\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b4_n_0,
I1 => g5_b4_n_0,
O => \vgaRed_reg[0]_i_105_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_106\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b4_n_0,
I1 => g7_b4_n_0,
O => \vgaRed_reg[0]_i_106_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_107\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b4_n_0,
I1 => g1_b4_n_0,
O => \vgaRed_reg[0]_i_107_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_108\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b4_n_0,
I1 => g3_b4_n_0,
O => \vgaRed_reg[0]_i_108_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_109\: unisim.vcomponents.MUXF7
port map (
I0 => g22_b7_n_0,
I1 => g23_b7_n_0,
O => \vgaRed_reg[0]_i_109_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_110\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_179_n_0\,
I1 => \vgaRed_reg[0]_i_180_n_0\,
O => \vgaRed_reg[0]_i_110_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_111\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b6_n_0,
I1 => g29_b6_n_0,
O => \vgaRed_reg[0]_i_111_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_112\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b6_n_0,
I1 => g31_b6_n_0,
O => \vgaRed_reg[0]_i_112_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_113\: unisim.vcomponents.MUXF7
port map (
I0 => g24_b6_n_0,
I1 => g25_b6_n_0,
O => \vgaRed_reg[0]_i_113_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_114\: unisim.vcomponents.MUXF7
port map (
I0 => g26_b6_n_0,
I1 => g27_b6_n_0,
O => \vgaRed_reg[0]_i_114_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_115\: unisim.vcomponents.MUXF7
port map (
I0 => g20_b6_n_0,
I1 => g21_b6_n_0,
O => \vgaRed_reg[0]_i_115_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_116\: unisim.vcomponents.MUXF7
port map (
I0 => g22_b6_n_0,
I1 => g23_b6_n_0,
O => \vgaRed_reg[0]_i_116_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_117\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b6_n_0,
I1 => g17_b6_n_0,
O => \vgaRed_reg[0]_i_117_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_118\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b6_n_0,
I1 => g19_b6_n_0,
O => \vgaRed_reg[0]_i_118_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_119\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b6_n_0,
I1 => g13_b6_n_0,
O => \vgaRed_reg[0]_i_119_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_12\: unisim.vcomponents.MUXF7
port map (
I0 => \vgaRed[0]_i_40_n_0\,
I1 => \vgaRed[0]_i_41_n_0\,
O => \vgaRed_reg[0]_i_12_n_0\,
S => \char[5]_i_1_n_0\
);
\vgaRed_reg[0]_i_120\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b6_n_0,
I1 => g15_b6_n_0,
O => \vgaRed_reg[0]_i_120_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_121\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b6_n_0,
I1 => g5_b6_n_0,
O => \vgaRed_reg[0]_i_121_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_122\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b6_n_0,
I1 => g7_b6_n_0,
O => \vgaRed_reg[0]_i_122_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_123\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b6_n_0,
I1 => g1_b6_n_0,
O => \vgaRed_reg[0]_i_123_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_124\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b6_n_0,
I1 => g3_b6_n_0,
O => \vgaRed_reg[0]_i_124_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_125\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b1_n_0,
I1 => g29_b1_n_0,
O => \vgaRed_reg[0]_i_125_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_126\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b1_n_0,
I1 => g31_b1_n_0,
O => \vgaRed_reg[0]_i_126_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_127\: unisim.vcomponents.MUXF7
port map (
I0 => g24_b1_n_0,
I1 => g25_b1_n_0,
O => \vgaRed_reg[0]_i_127_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_128\: unisim.vcomponents.MUXF7
port map (
I0 => g26_b1_n_0,
I1 => g27_b1_n_0,
O => \vgaRed_reg[0]_i_128_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_129\: unisim.vcomponents.MUXF7
port map (
I0 => g20_b1_n_0,
I1 => g21_b1_n_0,
O => \vgaRed_reg[0]_i_129_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_130\: unisim.vcomponents.MUXF7
port map (
I0 => g22_b1_n_0,
I1 => g23_b1_n_0,
O => \vgaRed_reg[0]_i_130_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_131\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b1_n_0,
I1 => g17_b1_n_0,
O => \vgaRed_reg[0]_i_131_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_132\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b1_n_0,
I1 => g19_b1_n_0,
O => \vgaRed_reg[0]_i_132_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_133\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b1_n_0,
I1 => g13_b1_n_0,
O => \vgaRed_reg[0]_i_133_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_134\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b1_n_0,
I1 => g15_b1_n_0,
O => \vgaRed_reg[0]_i_134_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_135\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b1_n_0,
I1 => g5_b1_n_0,
O => \vgaRed_reg[0]_i_135_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_136\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b1_n_0,
I1 => g7_b1_n_0,
O => \vgaRed_reg[0]_i_136_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_137\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b1_n_0,
I1 => g1_b1_n_0,
O => \vgaRed_reg[0]_i_137_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_138\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b1_n_0,
I1 => g3_b1_n_0,
O => \vgaRed_reg[0]_i_138_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_139\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b0_n_0,
I1 => g29_b0_n_0,
O => \vgaRed_reg[0]_i_139_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_140\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b0_n_0,
I1 => g31_b0_n_0,
O => \vgaRed_reg[0]_i_140_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_141\: unisim.vcomponents.MUXF7
port map (
I0 => g24_b0_n_0,
I1 => g25_b0_n_0,
O => \vgaRed_reg[0]_i_141_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_142\: unisim.vcomponents.MUXF7
port map (
I0 => g26_b0_n_0,
I1 => g27_b0_n_0,
O => \vgaRed_reg[0]_i_142_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_143\: unisim.vcomponents.MUXF7
port map (
I0 => g20_b0_n_0,
I1 => g21_b0_n_0,
O => \vgaRed_reg[0]_i_143_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_144\: unisim.vcomponents.MUXF7
port map (
I0 => g22_b0_n_0,
I1 => g23_b0_n_0,
O => \vgaRed_reg[0]_i_144_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_145\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b0_n_0,
I1 => g17_b0_n_0,
O => \vgaRed_reg[0]_i_145_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_146\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b0_n_0,
I1 => g19_b0_n_0,
O => \vgaRed_reg[0]_i_146_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_147\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b0_n_0,
I1 => g13_b0_n_0,
O => \vgaRed_reg[0]_i_147_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_148\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b0_n_0,
I1 => g15_b0_n_0,
O => \vgaRed_reg[0]_i_148_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_149\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b0_n_0,
I1 => g5_b0_n_0,
O => \vgaRed_reg[0]_i_149_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_150\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b0_n_0,
I1 => g7_b0_n_0,
O => \vgaRed_reg[0]_i_150_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_151\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b0_n_0,
I1 => g1_b0_n_0,
O => \vgaRed_reg[0]_i_151_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_152\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b0_n_0,
I1 => g3_b0_n_0,
O => \vgaRed_reg[0]_i_152_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_153\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b3_n_0,
I1 => g29_b3_n_0,
O => \vgaRed_reg[0]_i_153_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_154\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b3_n_0,
I1 => g31_b3_n_0,
O => \vgaRed_reg[0]_i_154_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_155\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b3_n_0,
I1 => g17_b3_n_0,
O => \vgaRed_reg[0]_i_155_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_156\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b3_n_0,
I1 => g19_b3_n_0,
O => \vgaRed_reg[0]_i_156_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_157\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b3_n_0,
I1 => g13_b3_n_0,
O => \vgaRed_reg[0]_i_157_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_158\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b3_n_0,
I1 => g15_b3_n_0,
O => \vgaRed_reg[0]_i_158_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_159\: unisim.vcomponents.MUXF7
port map (
I0 => g8_b3_n_0,
I1 => g9_b3_n_0,
O => \vgaRed_reg[0]_i_159_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_160\: unisim.vcomponents.MUXF7
port map (
I0 => g10_b3_n_0,
I1 => g11_b3_n_0,
O => \vgaRed_reg[0]_i_160_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_161\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b3_n_0,
I1 => g5_b3_n_0,
O => \vgaRed_reg[0]_i_161_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_162\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b3_n_0,
I1 => g7_b3_n_0,
O => \vgaRed_reg[0]_i_162_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_163\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b3_n_0,
I1 => g1_b3_n_0,
O => \vgaRed_reg[0]_i_163_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_164\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b3_n_0,
I1 => g3_b3_n_0,
O => \vgaRed_reg[0]_i_164_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_165\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b2_n_0,
I1 => g29_b2_n_0,
O => \vgaRed_reg[0]_i_165_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_166\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b2_n_0,
I1 => g31_b2_n_0,
O => \vgaRed_reg[0]_i_166_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_167\: unisim.vcomponents.MUXF7
port map (
I0 => g24_b2_n_0,
I1 => g25_b2_n_0,
O => \vgaRed_reg[0]_i_167_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_168\: unisim.vcomponents.MUXF7
port map (
I0 => g26_b2_n_0,
I1 => g27_b2_n_0,
O => \vgaRed_reg[0]_i_168_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_169\: unisim.vcomponents.MUXF7
port map (
I0 => g20_b2_n_0,
I1 => g21_b2_n_0,
O => \vgaRed_reg[0]_i_169_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_170\: unisim.vcomponents.MUXF7
port map (
I0 => g22_b2_n_0,
I1 => g23_b2_n_0,
O => \vgaRed_reg[0]_i_170_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_171\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b2_n_0,
I1 => g17_b2_n_0,
O => \vgaRed_reg[0]_i_171_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_172\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b2_n_0,
I1 => g19_b2_n_0,
O => \vgaRed_reg[0]_i_172_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_173\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b2_n_0,
I1 => g13_b2_n_0,
O => \vgaRed_reg[0]_i_173_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_174\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b2_n_0,
I1 => g15_b2_n_0,
O => \vgaRed_reg[0]_i_174_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_175\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b2_n_0,
I1 => g5_b2_n_0,
O => \vgaRed_reg[0]_i_175_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_176\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b2_n_0,
I1 => g7_b2_n_0,
O => \vgaRed_reg[0]_i_176_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_177\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b2_n_0,
I1 => g1_b2_n_0,
O => \vgaRed_reg[0]_i_177_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_178\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b2_n_0,
I1 => g3_b2_n_0,
O => \vgaRed_reg[0]_i_178_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_179\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b7_n_0,
I1 => g1_b7_n_0,
O => \vgaRed_reg[0]_i_179_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_180\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b7_n_0,
I1 => g3_b7_n_0,
O => \vgaRed_reg[0]_i_180_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_2\: unisim.vcomponents.MUXF7
port map (
I0 => \vgaRed[0]_i_4_n_0\,
I1 => \vgaRed[0]_i_5_n_0\,
O => \vgaRed_reg[0]_i_2_n_0\,
S => X(1)
);
\vgaRed_reg[0]_i_24\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_83_n_0\,
I1 => \vgaRed_reg[0]_i_84_n_0\,
O => \vgaRed_reg[0]_i_24_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_25\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_85_n_0\,
I1 => \vgaRed_reg[0]_i_86_n_0\,
O => \vgaRed_reg[0]_i_25_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_26\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_87_n_0\,
I1 => \vgaRed_reg[0]_i_88_n_0\,
O => \vgaRed_reg[0]_i_26_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_27\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_89_n_0\,
I1 => \vgaRed_reg[0]_i_90_n_0\,
O => \vgaRed_reg[0]_i_27_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_28\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_91_n_0\,
I1 => \vgaRed_reg[0]_i_92_n_0\,
O => \vgaRed_reg[0]_i_28_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_3\: unisim.vcomponents.MUXF7
port map (
I0 => \vgaRed[0]_i_6_n_0\,
I1 => \vgaRed[0]_i_7_n_0\,
O => \vgaRed_reg[0]_i_3_n_0\,
S => X(1)
);
\vgaRed_reg[0]_i_30\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_93_n_0\,
I1 => \vgaRed_reg[0]_i_94_n_0\,
O => \vgaRed_reg[0]_i_30_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_31\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_95_n_0\,
I1 => \vgaRed_reg[0]_i_96_n_0\,
O => \vgaRed_reg[0]_i_31_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_32\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_97_n_0\,
I1 => \vgaRed_reg[0]_i_98_n_0\,
O => \vgaRed_reg[0]_i_32_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_35\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_99_n_0\,
I1 => \vgaRed_reg[0]_i_100_n_0\,
O => \vgaRed_reg[0]_i_35_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_36\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_101_n_0\,
I1 => \vgaRed_reg[0]_i_102_n_0\,
O => \vgaRed_reg[0]_i_36_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_37\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_103_n_0\,
I1 => \vgaRed_reg[0]_i_104_n_0\,
O => \vgaRed_reg[0]_i_37_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_38\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_105_n_0\,
I1 => \vgaRed_reg[0]_i_106_n_0\,
O => \vgaRed_reg[0]_i_38_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_39\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_107_n_0\,
I1 => \vgaRed_reg[0]_i_108_n_0\,
O => \vgaRed_reg[0]_i_39_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_43\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_111_n_0\,
I1 => \vgaRed_reg[0]_i_112_n_0\,
O => \vgaRed_reg[0]_i_43_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_44\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_113_n_0\,
I1 => \vgaRed_reg[0]_i_114_n_0\,
O => \vgaRed_reg[0]_i_44_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_45\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_115_n_0\,
I1 => \vgaRed_reg[0]_i_116_n_0\,
O => \vgaRed_reg[0]_i_45_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_46\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_117_n_0\,
I1 => \vgaRed_reg[0]_i_118_n_0\,
O => \vgaRed_reg[0]_i_46_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_47\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_119_n_0\,
I1 => \vgaRed_reg[0]_i_120_n_0\,
O => \vgaRed_reg[0]_i_47_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_49\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_121_n_0\,
I1 => \vgaRed_reg[0]_i_122_n_0\,
O => \vgaRed_reg[0]_i_49_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_50\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_123_n_0\,
I1 => \vgaRed_reg[0]_i_124_n_0\,
O => \vgaRed_reg[0]_i_50_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_51\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_125_n_0\,
I1 => \vgaRed_reg[0]_i_126_n_0\,
O => \vgaRed_reg[0]_i_51_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_52\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_127_n_0\,
I1 => \vgaRed_reg[0]_i_128_n_0\,
O => \vgaRed_reg[0]_i_52_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_53\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_129_n_0\,
I1 => \vgaRed_reg[0]_i_130_n_0\,
O => \vgaRed_reg[0]_i_53_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_54\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_131_n_0\,
I1 => \vgaRed_reg[0]_i_132_n_0\,
O => \vgaRed_reg[0]_i_54_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_55\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_133_n_0\,
I1 => \vgaRed_reg[0]_i_134_n_0\,
O => \vgaRed_reg[0]_i_55_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_57\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_135_n_0\,
I1 => \vgaRed_reg[0]_i_136_n_0\,
O => \vgaRed_reg[0]_i_57_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_58\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_137_n_0\,
I1 => \vgaRed_reg[0]_i_138_n_0\,
O => \vgaRed_reg[0]_i_58_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_59\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_139_n_0\,
I1 => \vgaRed_reg[0]_i_140_n_0\,
O => \vgaRed_reg[0]_i_59_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_60\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_141_n_0\,
I1 => \vgaRed_reg[0]_i_142_n_0\,
O => \vgaRed_reg[0]_i_60_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_61\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_143_n_0\,
I1 => \vgaRed_reg[0]_i_144_n_0\,
O => \vgaRed_reg[0]_i_61_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_62\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_145_n_0\,
I1 => \vgaRed_reg[0]_i_146_n_0\,
O => \vgaRed_reg[0]_i_62_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_63\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_147_n_0\,
I1 => \vgaRed_reg[0]_i_148_n_0\,
O => \vgaRed_reg[0]_i_63_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_65\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_149_n_0\,
I1 => \vgaRed_reg[0]_i_150_n_0\,
O => \vgaRed_reg[0]_i_65_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_66\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_151_n_0\,
I1 => \vgaRed_reg[0]_i_152_n_0\,
O => \vgaRed_reg[0]_i_66_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_67\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_153_n_0\,
I1 => \vgaRed_reg[0]_i_154_n_0\,
O => \vgaRed_reg[0]_i_67_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_70\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_155_n_0\,
I1 => \vgaRed_reg[0]_i_156_n_0\,
O => \vgaRed_reg[0]_i_70_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_71\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_157_n_0\,
I1 => \vgaRed_reg[0]_i_158_n_0\,
O => \vgaRed_reg[0]_i_71_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_72\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_159_n_0\,
I1 => \vgaRed_reg[0]_i_160_n_0\,
O => \vgaRed_reg[0]_i_72_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_73\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_161_n_0\,
I1 => \vgaRed_reg[0]_i_162_n_0\,
O => \vgaRed_reg[0]_i_73_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_74\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_163_n_0\,
I1 => \vgaRed_reg[0]_i_164_n_0\,
O => \vgaRed_reg[0]_i_74_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_75\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_165_n_0\,
I1 => \vgaRed_reg[0]_i_166_n_0\,
O => \vgaRed_reg[0]_i_75_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_76\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_167_n_0\,
I1 => \vgaRed_reg[0]_i_168_n_0\,
O => \vgaRed_reg[0]_i_76_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_77\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_169_n_0\,
I1 => \vgaRed_reg[0]_i_170_n_0\,
O => \vgaRed_reg[0]_i_77_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_78\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_171_n_0\,
I1 => \vgaRed_reg[0]_i_172_n_0\,
O => \vgaRed_reg[0]_i_78_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_79\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_173_n_0\,
I1 => \vgaRed_reg[0]_i_174_n_0\,
O => \vgaRed_reg[0]_i_79_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_81\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_175_n_0\,
I1 => \vgaRed_reg[0]_i_176_n_0\,
O => \vgaRed_reg[0]_i_81_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_82\: unisim.vcomponents.MUXF8
port map (
I0 => \vgaRed_reg[0]_i_177_n_0\,
I1 => \vgaRed_reg[0]_i_178_n_0\,
O => \vgaRed_reg[0]_i_82_n_0\,
S => \char[3]_i_1_n_0\
);
\vgaRed_reg[0]_i_83\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b5_n_0,
I1 => g29_b5_n_0,
O => \vgaRed_reg[0]_i_83_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_84\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b5_n_0,
I1 => g31_b5_n_0,
O => \vgaRed_reg[0]_i_84_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_85\: unisim.vcomponents.MUXF7
port map (
I0 => g24_b5_n_0,
I1 => g25_b5_n_0,
O => \vgaRed_reg[0]_i_85_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_86\: unisim.vcomponents.MUXF7
port map (
I0 => g26_b5_n_0,
I1 => g27_b5_n_0,
O => \vgaRed_reg[0]_i_86_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_87\: unisim.vcomponents.MUXF7
port map (
I0 => g20_b5_n_0,
I1 => g21_b5_n_0,
O => \vgaRed_reg[0]_i_87_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_88\: unisim.vcomponents.MUXF7
port map (
I0 => g22_b5_n_0,
I1 => g23_b5_n_0,
O => \vgaRed_reg[0]_i_88_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_89\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b5_n_0,
I1 => g17_b5_n_0,
O => \vgaRed_reg[0]_i_89_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_90\: unisim.vcomponents.MUXF7
port map (
I0 => g18_b5_n_0,
I1 => g19_b5_n_0,
O => \vgaRed_reg[0]_i_90_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_91\: unisim.vcomponents.MUXF7
port map (
I0 => g12_b5_n_0,
I1 => g13_b5_n_0,
O => \vgaRed_reg[0]_i_91_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_92\: unisim.vcomponents.MUXF7
port map (
I0 => g14_b5_n_0,
I1 => g15_b5_n_0,
O => \vgaRed_reg[0]_i_92_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_93\: unisim.vcomponents.MUXF7
port map (
I0 => g4_b5_n_0,
I1 => g5_b5_n_0,
O => \vgaRed_reg[0]_i_93_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_94\: unisim.vcomponents.MUXF7
port map (
I0 => g6_b5_n_0,
I1 => g7_b5_n_0,
O => \vgaRed_reg[0]_i_94_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_95\: unisim.vcomponents.MUXF7
port map (
I0 => g0_b5_n_0,
I1 => g1_b5_n_0,
O => \vgaRed_reg[0]_i_95_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_96\: unisim.vcomponents.MUXF7
port map (
I0 => g2_b5_n_0,
I1 => g3_b5_n_0,
O => \vgaRed_reg[0]_i_96_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_97\: unisim.vcomponents.MUXF7
port map (
I0 => g28_b4_n_0,
I1 => g29_b4_n_0,
O => \vgaRed_reg[0]_i_97_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_98\: unisim.vcomponents.MUXF7
port map (
I0 => g30_b4_n_0,
I1 => g31_b4_n_0,
O => \vgaRed_reg[0]_i_98_n_0\,
S => \char[2]_i_1_n_0\
);
\vgaRed_reg[0]_i_99\: unisim.vcomponents.MUXF7
port map (
I0 => g16_b4_n_0,
I1 => g17_b4_n_0,
O => \vgaRed_reg[0]_i_99_n_0\,
S => \char[2]_i_1_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity ps2_keyboard is
port (
ps2_code_new : out STD_LOGIC;
break_reg : out STD_LOGIC;
shift_l_reg : out STD_LOGIC;
shift_r_reg : out STD_LOGIC;
e0_code_reg : out STD_LOGIC;
control_r_reg : out STD_LOGIC;
control_l_reg : out STD_LOGIC;
caps_lock_reg : out STD_LOGIC;
\ascii_reg[7]\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
\ascii_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
PS2Clk_IBUF : in STD_LOGIC;
clk_BUFG : in STD_LOGIC;
PS2Data_IBUF : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
break : in STD_LOGIC;
shift_l : in STD_LOGIC;
shift_r : in STD_LOGIC;
e0_code : in STD_LOGIC;
control_r_reg_0 : in STD_LOGIC;
control_l_reg_0 : in STD_LOGIC;
caps_lock_reg_0 : in STD_LOGIC;
\ascii_reg[7]_0\ : in STD_LOGIC;
prev_ps2_code_new : in STD_LOGIC
);
end ps2_keyboard;
architecture STRUCTURE of ps2_keyboard is
signal \ascii[0]_i_10_n_0\ : STD_LOGIC;
signal \ascii[0]_i_11_n_0\ : STD_LOGIC;
signal \ascii[0]_i_2_n_0\ : STD_LOGIC;
signal \ascii[0]_i_3_n_0\ : STD_LOGIC;
signal \ascii[0]_i_4_n_0\ : STD_LOGIC;
signal \ascii[0]_i_5_n_0\ : STD_LOGIC;
signal \ascii[0]_i_6_n_0\ : STD_LOGIC;
signal \ascii[0]_i_8_n_0\ : STD_LOGIC;
signal \ascii[0]_i_9_n_0\ : STD_LOGIC;
signal \ascii[1]_i_2_n_0\ : STD_LOGIC;
signal \ascii[1]_i_3_n_0\ : STD_LOGIC;
signal \ascii[1]_i_4_n_0\ : STD_LOGIC;
signal \ascii[1]_i_5_n_0\ : STD_LOGIC;
signal \ascii[1]_i_6_n_0\ : STD_LOGIC;
signal \ascii[1]_i_7_n_0\ : STD_LOGIC;
signal \ascii[1]_i_8_n_0\ : STD_LOGIC;
signal \ascii[1]_i_9_n_0\ : STD_LOGIC;
signal \ascii[2]_i_10_n_0\ : STD_LOGIC;
signal \ascii[2]_i_11_n_0\ : STD_LOGIC;
signal \ascii[2]_i_12_n_0\ : STD_LOGIC;
signal \ascii[2]_i_3_n_0\ : STD_LOGIC;
signal \ascii[2]_i_4_n_0\ : STD_LOGIC;
signal \ascii[2]_i_5_n_0\ : STD_LOGIC;
signal \ascii[2]_i_6_n_0\ : STD_LOGIC;
signal \ascii[2]_i_7_n_0\ : STD_LOGIC;
signal \ascii[2]_i_9_n_0\ : STD_LOGIC;
signal \ascii[3]_i_10_n_0\ : STD_LOGIC;
signal \ascii[3]_i_3_n_0\ : STD_LOGIC;
signal \ascii[3]_i_4_n_0\ : STD_LOGIC;
signal \ascii[3]_i_5_n_0\ : STD_LOGIC;
signal \ascii[3]_i_6_n_0\ : STD_LOGIC;
signal \ascii[3]_i_7_n_0\ : STD_LOGIC;
signal \ascii[3]_i_8_n_0\ : STD_LOGIC;
signal \ascii[3]_i_9_n_0\ : STD_LOGIC;
signal \ascii[4]_i_10_n_0\ : STD_LOGIC;
signal \ascii[4]_i_2_n_0\ : STD_LOGIC;
signal \ascii[4]_i_3_n_0\ : STD_LOGIC;
signal \ascii[4]_i_4_n_0\ : STD_LOGIC;
signal \ascii[4]_i_5_n_0\ : STD_LOGIC;
signal \ascii[4]_i_7_n_0\ : STD_LOGIC;
signal \ascii[4]_i_8_n_0\ : STD_LOGIC;
signal \ascii[4]_i_9_n_0\ : STD_LOGIC;
signal \ascii[5]_i_10_n_0\ : STD_LOGIC;
signal \ascii[5]_i_11_n_0\ : STD_LOGIC;
signal \ascii[5]_i_12_n_0\ : STD_LOGIC;
signal \ascii[5]_i_13_n_0\ : STD_LOGIC;
signal \ascii[5]_i_14_n_0\ : STD_LOGIC;
signal \ascii[5]_i_15_n_0\ : STD_LOGIC;
signal \ascii[5]_i_16_n_0\ : STD_LOGIC;
signal \ascii[5]_i_17_n_0\ : STD_LOGIC;
signal \ascii[5]_i_2_n_0\ : STD_LOGIC;
signal \ascii[5]_i_3_n_0\ : STD_LOGIC;
signal \ascii[5]_i_4_n_0\ : STD_LOGIC;
signal \ascii[6]_i_10_n_0\ : STD_LOGIC;
signal \ascii[6]_i_11_n_0\ : STD_LOGIC;
signal \ascii[6]_i_12_n_0\ : STD_LOGIC;
signal \ascii[6]_i_13_n_0\ : STD_LOGIC;
signal \ascii[6]_i_14_n_0\ : STD_LOGIC;
signal \ascii[6]_i_15_n_0\ : STD_LOGIC;
signal \ascii[6]_i_16_n_0\ : STD_LOGIC;
signal \ascii[6]_i_17_n_0\ : STD_LOGIC;
signal \ascii[6]_i_3_n_0\ : STD_LOGIC;
signal \ascii[6]_i_4_n_0\ : STD_LOGIC;
signal \ascii[6]_i_5_n_0\ : STD_LOGIC;
signal \ascii[6]_i_6_n_0\ : STD_LOGIC;
signal \ascii[6]_i_7_n_0\ : STD_LOGIC;
signal \ascii[6]_i_8_n_0\ : STD_LOGIC;
signal \ascii[6]_i_9_n_0\ : STD_LOGIC;
signal \ascii_reg[0]_i_7_n_0\ : STD_LOGIC;
signal \ascii_reg[2]_i_2_n_0\ : STD_LOGIC;
signal \ascii_reg[2]_i_8_n_0\ : STD_LOGIC;
signal \ascii_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \ascii_reg[4]_i_6_n_0\ : STD_LOGIC;
signal \ascii_reg[5]_i_5_n_0\ : STD_LOGIC;
signal \ascii_reg[5]_i_6_n_0\ : STD_LOGIC;
signal \ascii_reg[5]_i_7_n_0\ : STD_LOGIC;
signal \ascii_reg[5]_i_8_n_0\ : STD_LOGIC;
signal \ascii_reg[5]_i_9_n_0\ : STD_LOGIC;
signal break_i_2_n_0 : STD_LOGIC;
signal caps_lock_i_2_n_0 : STD_LOGIC;
signal clear : STD_LOGIC;
signal control_l_i_2_n_0 : STD_LOGIC;
signal control_r_i_2_n_0 : STD_LOGIC;
signal control_r_i_3_n_0 : STD_LOGIC;
signal \count_idle[0]_i_2_n_0\ : STD_LOGIC;
signal \count_idle[0]_i_4_n_0\ : STD_LOGIC;
signal \count_idle[0]_i_8_n_0\ : STD_LOGIC;
signal \count_idle[0]_i_9_n_0\ : STD_LOGIC;
signal count_idle_reg : STD_LOGIC_VECTOR ( 12 downto 0 );
signal \count_idle_reg[0]_i_3_n_0\ : STD_LOGIC;
signal \count_idle_reg[0]_i_3_n_4\ : STD_LOGIC;
signal \count_idle_reg[0]_i_3_n_5\ : STD_LOGIC;
signal \count_idle_reg[0]_i_3_n_6\ : STD_LOGIC;
signal \count_idle_reg[0]_i_3_n_7\ : STD_LOGIC;
signal \count_idle_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \count_idle_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \count_idle_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \count_idle_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \count_idle_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \count_idle_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \count_idle_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \count_idle_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \count_idle_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \count_idle_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \count_idle_reg[8]_i_1_n_7\ : STD_LOGIC;
signal e0_code_i_2_n_0 : STD_LOGIC;
signal ps2_clk_int : STD_LOGIC;
signal ps2_code : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \^ps2_code_new\ : STD_LOGIC;
signal ps2_code_new0 : STD_LOGIC;
signal ps2_code_new_i_2_n_0 : STD_LOGIC;
signal ps2_code_new_i_3_n_0 : STD_LOGIC;
signal ps2_code_new_i_4_n_0 : STD_LOGIC;
signal ps2_code_new_i_5_n_0 : STD_LOGIC;
signal ps2_code_new_i_6_n_0 : STD_LOGIC;
signal ps2_code_new_i_7_n_0 : STD_LOGIC;
signal ps2_data_int : STD_LOGIC;
signal ps2_word : STD_LOGIC_VECTOR ( 10 downto 0 );
signal shift_l_i_2_n_0 : STD_LOGIC;
signal shift_r_i_2_n_0 : STD_LOGIC;
signal shift_r_i_3_n_0 : STD_LOGIC;
signal \state[1]_i_2_n_0\ : STD_LOGIC;
signal \NLW_count_idle_reg[0]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_count_idle_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_count_idle_reg[12]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_count_idle_reg[4]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_count_idle_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ascii[5]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \ascii[6]_i_2\ : label is "soft_lutpair1";
begin
ps2_code_new <= \^ps2_code_new\;
\ascii[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii[0]_i_2_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[0]_i_3_n_0\,
O => \ascii_reg[6]\(0)
);
\ascii[0]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"DCFDFFDF01000888"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(3),
I3 => ps2_code(0),
I4 => ps2_code(5),
I5 => ps2_code(4),
O => \ascii[0]_i_10_n_0\
);
\ascii[0]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"FDBDFDFFEEBD030C"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(5),
I4 => ps2_code(3),
I5 => ps2_code(4),
O => \ascii[0]_i_11_n_0\
);
\ascii[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"C0CFC0C0CACACFCF"
)
port map (
I0 => ps2_code(2),
I1 => \ascii[0]_i_4_n_0\,
I2 => ps2_code(1),
I3 => ps2_code(6),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[0]_i_2_n_0\
);
\ascii[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"CDC8CDCDCDC8C8C8"
)
port map (
I0 => shift_l,
I1 => \ascii[0]_i_5_n_0\,
I2 => shift_r,
I3 => \ascii[0]_i_6_n_0\,
I4 => ps2_code(7),
I5 => \ascii_reg[0]_i_7_n_0\,
O => \ascii[0]_i_3_n_0\
);
\ascii[0]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"6515"
)
port map (
I0 => ps2_code(5),
I1 => ps2_code(0),
I2 => ps2_code(3),
I3 => ps2_code(4),
O => \ascii[0]_i_4_n_0\
);
\ascii[0]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"EFE0CFCFEFE0C0C0"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(4),
I2 => ps2_code(7),
I3 => \ascii[0]_i_8_n_0\,
I4 => ps2_code(2),
I5 => \ascii[0]_i_9_n_0\,
O => \ascii[0]_i_5_n_0\
);
\ascii[0]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"F8"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(2),
I2 => ps2_code(4),
O => \ascii[0]_i_6_n_0\
);
\ascii[0]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"EDBDFDFFEEB90344"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(5),
I4 => ps2_code(3),
I5 => ps2_code(4),
O => \ascii[0]_i_8_n_0\
);
\ascii[0]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"DCFDFFD701000888"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(3),
I3 => ps2_code(0),
I4 => ps2_code(5),
I5 => ps2_code(4),
O => \ascii[0]_i_9_n_0\
);
\ascii[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii[1]_i_2_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[1]_i_3_n_0\,
O => \ascii_reg[6]\(1)
);
\ascii[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"6632FFFF66320000"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(0),
I2 => ps2_code(4),
I3 => ps2_code(3),
I4 => ps2_code(2),
I5 => \ascii[1]_i_4_n_0\,
O => \ascii[1]_i_2_n_0\
);
\ascii[1]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => shift_l,
I1 => \ascii[1]_i_5_n_0\,
I2 => shift_r,
I3 => \ascii[1]_i_6_n_0\,
O => \ascii[1]_i_3_n_0\
);
\ascii[1]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"55559DCDFFFFFFFF"
)
port map (
I0 => ps2_code(0),
I1 => ps2_code(3),
I2 => ps2_code(5),
I3 => ps2_code(4),
I4 => ps2_code(6),
I5 => ps2_code(1),
O => \ascii[1]_i_4_n_0\
);
\ascii[1]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"2F202F2F2F202020"
)
port map (
I0 => ps2_code(4),
I1 => ps2_code(3),
I2 => ps2_code(7),
I3 => \ascii[1]_i_7_n_0\,
I4 => ps2_code(2),
I5 => \ascii[1]_i_8_n_0\,
O => \ascii[1]_i_5_n_0\
);
\ascii[1]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"2F202F2F2F202020"
)
port map (
I0 => ps2_code(4),
I1 => ps2_code(3),
I2 => ps2_code(7),
I3 => \ascii[1]_i_9_n_0\,
I4 => ps2_code(2),
I5 => \ascii[1]_i_8_n_0\,
O => \ascii[1]_i_6_n_0\
);
\ascii[1]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"1120100EEEFD0024"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[1]_i_7_n_0\
);
\ascii[1]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"20222248DFFF1008"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(5),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[1]_i_8_n_0\
);
\ascii[1]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"11021004EAFD0024"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[1]_i_9_n_0\
);
\ascii[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii_reg[2]_i_2_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[2]_i_3_n_0\,
O => \ascii_reg[6]\(2)
);
\ascii[2]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA8E8ADEDDF777"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(4),
I3 => ps2_code(0),
I4 => ps2_code(5),
I5 => ps2_code(3),
O => \ascii[2]_i_10_n_0\
);
\ascii[2]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAA8E8ADEDDF7F7"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(4),
I3 => ps2_code(0),
I4 => ps2_code(5),
I5 => ps2_code(3),
O => \ascii[2]_i_11_n_0\
);
\ascii[2]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"1504001010303814"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(3),
I4 => ps2_code(4),
I5 => ps2_code(0),
O => \ascii[2]_i_12_n_0\
);
\ascii[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"CDC8CDCDCDC8C8C8"
)
port map (
I0 => shift_l,
I1 => \ascii[2]_i_6_n_0\,
I2 => shift_r,
I3 => \ascii[2]_i_7_n_0\,
I4 => ps2_code(7),
I5 => \ascii_reg[2]_i_8_n_0\,
O => \ascii[2]_i_3_n_0\
);
\ascii[2]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"0FAFF0C0EF00CFC0"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(3),
I2 => ps2_code(1),
I3 => ps2_code(5),
I4 => ps2_code(4),
I5 => ps2_code(0),
O => \ascii[2]_i_4_n_0\
);
\ascii[2]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"5FF0AAFA4EF0FFFF"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[2]_i_5_n_0\
);
\ascii[2]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"0F00DFDF0F00D0D0"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(1),
I2 => ps2_code(7),
I3 => \ascii[2]_i_9_n_0\,
I4 => ps2_code(2),
I5 => \ascii[2]_i_10_n_0\,
O => \ascii[2]_i_6_n_0\
);
\ascii[2]_i_7\: unisim.vcomponents.LUT3
generic map(
INIT => X"0D"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(1),
I2 => ps2_code(2),
O => \ascii[2]_i_7_n_0\
);
\ascii[2]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"1500001010303A14"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(3),
I4 => ps2_code(4),
I5 => ps2_code(0),
O => \ascii[2]_i_9_n_0\
);
\ascii[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii_reg[3]_i_2_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[3]_i_3_n_0\,
O => \ascii_reg[6]\(3)
);
\ascii[3]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEECFECDEBCDEBCF"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(3),
I5 => ps2_code(4),
O => \ascii[3]_i_10_n_0\
);
\ascii[3]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => shift_l,
I1 => \ascii[3]_i_6_n_0\,
I2 => shift_r,
I3 => \ascii[3]_i_7_n_0\,
O => \ascii[3]_i_3_n_0\
);
\ascii[3]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"EFE2333F"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(5),
I2 => ps2_code(0),
I3 => ps2_code(3),
I4 => ps2_code(4),
O => \ascii[3]_i_4_n_0\
);
\ascii[3]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF444444F4F444F4"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(1),
I2 => ps2_code(6),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(5),
O => \ascii[3]_i_5_n_0\
);
\ascii[3]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0DFDFFFF0D0D0"
)
port map (
I0 => ps2_code(0),
I1 => ps2_code(6),
I2 => ps2_code(7),
I3 => \ascii[3]_i_8_n_0\,
I4 => ps2_code(2),
I5 => \ascii[3]_i_9_n_0\,
O => \ascii[3]_i_6_n_0\
);
\ascii[3]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF0DFDFFFF0D0D0"
)
port map (
I0 => ps2_code(0),
I1 => ps2_code(6),
I2 => ps2_code(7),
I3 => \ascii[3]_i_10_n_0\,
I4 => ps2_code(2),
I5 => \ascii[3]_i_9_n_0\,
O => \ascii[3]_i_7_n_0\
);
\ascii[3]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"EEECFEEDEBCFEFCF"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(3),
I5 => ps2_code(4),
O => \ascii[3]_i_8_n_0\
);
\ascii[3]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFDFFB313D333"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(0),
I2 => ps2_code(5),
I3 => ps2_code(4),
I4 => ps2_code(3),
I5 => ps2_code(6),
O => \ascii[3]_i_9_n_0\
);
\ascii[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii[4]_i_2_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[4]_i_3_n_0\,
O => \ascii_reg[6]\(4)
);
\ascii[4]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"15321434FFEF1428"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[4]_i_10_n_0\
);
\ascii[4]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFCCC3FFCCCC8CB8"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(2),
I2 => ps2_code(5),
I3 => ps2_code(4),
I4 => ps2_code(0),
I5 => ps2_code(3),
O => \ascii[4]_i_2_n_0\
);
\ascii[4]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"CDC8CDCDCDC8C8C8"
)
port map (
I0 => shift_l,
I1 => \ascii[4]_i_4_n_0\,
I2 => shift_r,
I3 => \ascii[4]_i_5_n_0\,
I4 => ps2_code(7),
I5 => \ascii_reg[4]_i_6_n_0\,
O => \ascii[4]_i_3_n_0\
);
\ascii[4]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"2F202F2F2F202020"
)
port map (
I0 => ps2_code(4),
I1 => ps2_code(3),
I2 => ps2_code(7),
I3 => \ascii[4]_i_7_n_0\,
I4 => ps2_code(2),
I5 => \ascii[4]_i_8_n_0\,
O => \ascii[4]_i_4_n_0\
);
\ascii[4]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ps2_code(4),
I1 => ps2_code(3),
O => \ascii[4]_i_5_n_0\
);
\ascii[4]_i_7\: unisim.vcomponents.LUT6
generic map(
INIT => X"0510141EFBED0000"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[4]_i_7_n_0\
);
\ascii[4]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"00A20248CDF70240"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(5),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[4]_i_8_n_0\
);
\ascii[4]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"00A20200CDF70200"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(5),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[4]_i_9_n_0\
);
\ascii[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii[6]_i_4_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[5]_i_2_n_0\,
O => \ascii_reg[6]\(5)
);
\ascii[5]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF8F4C8080"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(1),
I2 => ps2_code(4),
I3 => ps2_code(0),
I4 => ps2_code(6),
I5 => ps2_code(5),
O => \ascii[5]_i_10_n_0\
);
\ascii[5]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"111131335544166C"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(3),
I3 => ps2_code(4),
I4 => ps2_code(0),
I5 => ps2_code(5),
O => \ascii[5]_i_11_n_0\
);
\ascii[5]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"F5F5F0F590201080"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(4),
I2 => ps2_code(6),
I3 => ps2_code(0),
I4 => ps2_code(3),
I5 => ps2_code(5),
O => \ascii[5]_i_12_n_0\
);
\ascii[5]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"1202001240064648"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(4),
I4 => ps2_code(3),
I5 => ps2_code(5),
O => \ascii[5]_i_13_n_0\
);
\ascii[5]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF0F4C8080"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(1),
I2 => ps2_code(4),
I3 => ps2_code(0),
I4 => ps2_code(6),
I5 => ps2_code(5),
O => \ascii[5]_i_14_n_0\
);
\ascii[5]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"11113333154432EC"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(3),
I3 => ps2_code(4),
I4 => ps2_code(0),
I5 => ps2_code(5),
O => \ascii[5]_i_15_n_0\
);
\ascii[5]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"F5F5F0F510201080"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(4),
I2 => ps2_code(6),
I3 => ps2_code(0),
I4 => ps2_code(3),
I5 => ps2_code(5),
O => \ascii[5]_i_16_n_0\
);
\ascii[5]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"12020212020E4248"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(4),
I4 => ps2_code(3),
I5 => ps2_code(5),
O => \ascii[5]_i_17_n_0\
);
\ascii[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"CDC8CDCDCDC8C8C8"
)
port map (
I0 => shift_l,
I1 => \ascii[5]_i_3_n_0\,
I2 => shift_r,
I3 => \ascii[5]_i_4_n_0\,
I4 => ps2_code(7),
I5 => \ascii_reg[5]_i_5_n_0\,
O => \ascii[5]_i_2_n_0\
);
\ascii[5]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"2F202F2F2F202020"
)
port map (
I0 => ps2_code(5),
I1 => ps2_code(2),
I2 => ps2_code(7),
I3 => \ascii_reg[5]_i_6_n_0\,
I4 => caps_lock_reg_0,
I5 => \ascii_reg[5]_i_7_n_0\,
O => \ascii[5]_i_3_n_0\
);
\ascii[5]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ps2_code(5),
I1 => ps2_code(2),
O => \ascii[5]_i_4_n_0\
);
\ascii[6]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \ascii[6]_i_3_n_0\,
I1 => Q(0),
O => E(0)
);
\ascii[6]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"00B030300000C0C0"
)
port map (
I0 => e0_code,
I1 => ps2_code(6),
I2 => ps2_code(0),
I3 => ps2_code(3),
I4 => ps2_code(4),
I5 => ps2_code(5),
O => \ascii[6]_i_10_n_0\
);
\ascii[6]_i_11\: unisim.vcomponents.LUT5
generic map(
INIT => X"3333F4CC"
)
port map (
I0 => ps2_code(0),
I1 => ps2_code(6),
I2 => ps2_code(3),
I3 => ps2_code(4),
I4 => ps2_code(5),
O => \ascii[6]_i_11_n_0\
);
\ascii[6]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"11113B3B555436EC"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(3),
I3 => ps2_code(4),
I4 => ps2_code(0),
I5 => ps2_code(5),
O => \ascii[6]_i_12_n_0\
);
\ascii[6]_i_13\: unisim.vcomponents.LUT6
generic map(
INIT => X"0C040404C8C8F0C0"
)
port map (
I0 => ps2_code(4),
I1 => ps2_code(1),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(3),
I5 => ps2_code(6),
O => \ascii[6]_i_13_n_0\
);
\ascii[6]_i_14\: unisim.vcomponents.LUT6
generic map(
INIT => X"0513141811340014"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[6]_i_14_n_0\
);
\ascii[6]_i_15\: unisim.vcomponents.LUT6
generic map(
INIT => X"0513141A11340014"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[6]_i_15_n_0\
);
\ascii[6]_i_16\: unisim.vcomponents.LUT6
generic map(
INIT => X"FCFFFCFCC8400840"
)
port map (
I0 => ps2_code(4),
I1 => ps2_code(1),
I2 => ps2_code(6),
I3 => ps2_code(3),
I4 => ps2_code(0),
I5 => ps2_code(5),
O => \ascii[6]_i_16_n_0\
);
\ascii[6]_i_17\: unisim.vcomponents.LUT6
generic map(
INIT => X"0511141211140014"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(6),
I2 => ps2_code(5),
I3 => ps2_code(0),
I4 => ps2_code(4),
I5 => ps2_code(3),
O => \ascii[6]_i_17_n_0\
);
\ascii[6]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => control_r_reg_0,
I1 => \ascii[6]_i_4_n_0\,
I2 => control_l_reg_0,
I3 => \ascii[6]_i_5_n_0\,
O => \ascii_reg[6]\(6)
);
\ascii[6]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"F0E20000"
)
port map (
I0 => \ascii[6]_i_6_n_0\,
I1 => control_l_reg_0,
I2 => \ascii[6]_i_7_n_0\,
I3 => control_r_reg_0,
I4 => Q(1),
O => \ascii[6]_i_3_n_0\
);
\ascii[6]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"0008"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(3),
I2 => ps2_code(0),
I3 => ps2_code(2),
O => \ascii[6]_i_4_n_0\
);
\ascii[6]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"CDC8"
)
port map (
I0 => shift_l,
I1 => \ascii[6]_i_8_n_0\,
I2 => shift_r,
I3 => \ascii[6]_i_9_n_0\,
O => \ascii[6]_i_5_n_0\
);
\ascii[6]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFE200E2"
)
port map (
I0 => \ascii[6]_i_10_n_0\,
I1 => ps2_code(1),
I2 => \ascii[6]_i_11_n_0\,
I3 => ps2_code(2),
I4 => \ascii[6]_i_12_n_0\,
I5 => ps2_code(7),
O => \ascii[6]_i_6_n_0\
);
\ascii[6]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"00E2"
)
port map (
I0 => \ascii[6]_i_13_n_0\,
I1 => ps2_code(2),
I2 => \ascii[6]_i_14_n_0\,
I3 => ps2_code(7),
O => \ascii[6]_i_7_n_0\
);
\ascii[6]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"0F008F8F0F008080"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(5),
I2 => ps2_code(7),
I3 => \ascii[6]_i_15_n_0\,
I4 => ps2_code(2),
I5 => \ascii[6]_i_16_n_0\,
O => \ascii[6]_i_8_n_0\
);
\ascii[6]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"0F008F8F0F008080"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(5),
I2 => ps2_code(7),
I3 => \ascii[6]_i_17_n_0\,
I4 => ps2_code(2),
I5 => \ascii[6]_i_16_n_0\,
O => \ascii[6]_i_9_n_0\
);
\ascii[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FF0FFFFF0D0F0D00"
)
port map (
I0 => ps2_code(7),
I1 => \state[1]_i_2_n_0\,
I2 => Q(1),
I3 => Q(0),
I4 => \ascii[6]_i_3_n_0\,
I5 => \ascii_reg[7]_0\,
O => \ascii_reg[7]\
);
\ascii_reg[0]_i_7\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[0]_i_10_n_0\,
I1 => \ascii[0]_i_11_n_0\,
O => \ascii_reg[0]_i_7_n_0\,
S => ps2_code(2)
);
\ascii_reg[2]_i_2\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[2]_i_4_n_0\,
I1 => \ascii[2]_i_5_n_0\,
O => \ascii_reg[2]_i_2_n_0\,
S => ps2_code(2)
);
\ascii_reg[2]_i_8\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[2]_i_11_n_0\,
I1 => \ascii[2]_i_12_n_0\,
O => \ascii_reg[2]_i_8_n_0\,
S => ps2_code(2)
);
\ascii_reg[3]_i_2\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[3]_i_4_n_0\,
I1 => \ascii[3]_i_5_n_0\,
O => \ascii_reg[3]_i_2_n_0\,
S => ps2_code(2)
);
\ascii_reg[4]_i_6\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[4]_i_9_n_0\,
I1 => \ascii[4]_i_10_n_0\,
O => \ascii_reg[4]_i_6_n_0\,
S => ps2_code(2)
);
\ascii_reg[5]_i_5\: unisim.vcomponents.MUXF8
port map (
I0 => \ascii_reg[5]_i_8_n_0\,
I1 => \ascii_reg[5]_i_9_n_0\,
O => \ascii_reg[5]_i_5_n_0\,
S => caps_lock_reg_0
);
\ascii_reg[5]_i_6\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[5]_i_10_n_0\,
I1 => \ascii[5]_i_11_n_0\,
O => \ascii_reg[5]_i_6_n_0\,
S => ps2_code(2)
);
\ascii_reg[5]_i_7\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[5]_i_12_n_0\,
I1 => \ascii[5]_i_13_n_0\,
O => \ascii_reg[5]_i_7_n_0\,
S => ps2_code(2)
);
\ascii_reg[5]_i_8\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[5]_i_14_n_0\,
I1 => \ascii[5]_i_15_n_0\,
O => \ascii_reg[5]_i_8_n_0\,
S => ps2_code(2)
);
\ascii_reg[5]_i_9\: unisim.vcomponents.MUXF7
port map (
I0 => \ascii[5]_i_16_n_0\,
I1 => \ascii[5]_i_17_n_0\,
O => \ascii_reg[5]_i_9_n_0\,
S => ps2_code(2)
);
break_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00FFFF00000800"
)
port map (
I0 => ps2_code(7),
I1 => break_i_2_n_0,
I2 => ps2_code(2),
I3 => Q(0),
I4 => Q(1),
I5 => break,
O => break_reg
);
break_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000200000"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(0),
I2 => ps2_code(4),
I3 => ps2_code(3),
I4 => ps2_code(5),
I5 => ps2_code(1),
O => break_i_2_n_0
);
caps_lock_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEFFFFF00100000"
)
port map (
I0 => Q(0),
I1 => break,
I2 => caps_lock_i_2_n_0,
I3 => ps2_code(7),
I4 => Q(1),
I5 => caps_lock_reg_0,
O => caps_lock_reg
);
caps_lock_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000010000"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(5),
I2 => shift_r_i_3_n_0,
I3 => ps2_code(0),
I4 => ps2_code(6),
I5 => ps2_code(2),
O => caps_lock_i_2_n_0
);
control_l_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF7F00000040"
)
port map (
I0 => break,
I1 => Q(1),
I2 => control_l_i_2_n_0,
I3 => ps2_code(7),
I4 => Q(0),
I5 => control_l_reg_0,
O => control_l_reg
);
control_l_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000001000000000"
)
port map (
I0 => ps2_code(1),
I1 => e0_code,
I2 => control_r_i_3_n_0,
I3 => ps2_code(5),
I4 => ps2_code(6),
I5 => ps2_code(2),
O => control_l_i_2_n_0
);
control_r_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF7F00000040"
)
port map (
I0 => break,
I1 => Q(1),
I2 => control_r_i_2_n_0,
I3 => ps2_code(7),
I4 => Q(0),
I5 => control_r_reg_0,
O => control_r_reg
);
control_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000004000000000"
)
port map (
I0 => ps2_code(1),
I1 => e0_code,
I2 => control_r_i_3_n_0,
I3 => ps2_code(5),
I4 => ps2_code(6),
I5 => ps2_code(2),
O => control_r_i_2_n_0
);
control_r_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(4),
I2 => ps2_code(0),
O => control_r_i_3_n_0
);
\count_idle[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => ps2_clk_int,
O => clear
);
\count_idle[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"DF"
)
port map (
I0 => count_idle_reg(10),
I1 => \count_idle[0]_i_4_n_0\,
I2 => count_idle_reg(12),
O => \count_idle[0]_i_2_n_0\
);
\count_idle[0]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF7FFFFFFFFFF"
)
port map (
I0 => count_idle_reg(7),
I1 => count_idle_reg(5),
I2 => \count_idle[0]_i_9_n_0\,
I3 => count_idle_reg(4),
I4 => count_idle_reg(9),
I5 => count_idle_reg(8),
O => \count_idle[0]_i_4_n_0\
);
\count_idle[0]_i_8\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => count_idle_reg(0),
O => \count_idle[0]_i_8_n_0\
);
\count_idle[0]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFDFFFFFFFF"
)
port map (
I0 => count_idle_reg(0),
I1 => count_idle_reg(2),
I2 => count_idle_reg(6),
I3 => count_idle_reg(11),
I4 => count_idle_reg(3),
I5 => count_idle_reg(1),
O => \count_idle[0]_i_9_n_0\
);
\count_idle_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[0]_i_3_n_7\,
Q => count_idle_reg(0),
R => clear
);
\count_idle_reg[0]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \count_idle_reg[0]_i_3_n_0\,
CO(2 downto 0) => \NLW_count_idle_reg[0]_i_3_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0001",
O(3) => \count_idle_reg[0]_i_3_n_4\,
O(2) => \count_idle_reg[0]_i_3_n_5\,
O(1) => \count_idle_reg[0]_i_3_n_6\,
O(0) => \count_idle_reg[0]_i_3_n_7\,
S(3 downto 1) => count_idle_reg(3 downto 1),
S(0) => \count_idle[0]_i_8_n_0\
);
\count_idle_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[8]_i_1_n_5\,
Q => count_idle_reg(10),
R => clear
);
\count_idle_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[8]_i_1_n_4\,
Q => count_idle_reg(11),
R => clear
);
\count_idle_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[12]_i_1_n_7\,
Q => count_idle_reg(12),
R => clear
);
\count_idle_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \count_idle_reg[8]_i_1_n_0\,
CO(3 downto 0) => \NLW_count_idle_reg[12]_i_1_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_count_idle_reg[12]_i_1_O_UNCONNECTED\(3 downto 1),
O(0) => \count_idle_reg[12]_i_1_n_7\,
S(3 downto 1) => B"000",
S(0) => count_idle_reg(12)
);
\count_idle_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[0]_i_3_n_6\,
Q => count_idle_reg(1),
R => clear
);
\count_idle_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[0]_i_3_n_5\,
Q => count_idle_reg(2),
R => clear
);
\count_idle_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[0]_i_3_n_4\,
Q => count_idle_reg(3),
R => clear
);
\count_idle_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[4]_i_1_n_7\,
Q => count_idle_reg(4),
R => clear
);
\count_idle_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \count_idle_reg[0]_i_3_n_0\,
CO(3) => \count_idle_reg[4]_i_1_n_0\,
CO(2 downto 0) => \NLW_count_idle_reg[4]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \count_idle_reg[4]_i_1_n_4\,
O(2) => \count_idle_reg[4]_i_1_n_5\,
O(1) => \count_idle_reg[4]_i_1_n_6\,
O(0) => \count_idle_reg[4]_i_1_n_7\,
S(3 downto 0) => count_idle_reg(7 downto 4)
);
\count_idle_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[4]_i_1_n_6\,
Q => count_idle_reg(5),
R => clear
);
\count_idle_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[4]_i_1_n_5\,
Q => count_idle_reg(6),
R => clear
);
\count_idle_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[4]_i_1_n_4\,
Q => count_idle_reg(7),
R => clear
);
\count_idle_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[8]_i_1_n_7\,
Q => count_idle_reg(8),
R => clear
);
\count_idle_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \count_idle_reg[4]_i_1_n_0\,
CO(3) => \count_idle_reg[8]_i_1_n_0\,
CO(2 downto 0) => \NLW_count_idle_reg[8]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \count_idle_reg[8]_i_1_n_4\,
O(2) => \count_idle_reg[8]_i_1_n_5\,
O(1) => \count_idle_reg[8]_i_1_n_6\,
O(0) => \count_idle_reg[8]_i_1_n_7\,
S(3 downto 0) => count_idle_reg(11 downto 8)
);
\count_idle_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \count_idle[0]_i_2_n_0\,
D => \count_idle_reg[8]_i_1_n_6\,
Q => count_idle_reg(9),
R => clear
);
e0_code_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00FFFF00000800"
)
port map (
I0 => ps2_code(7),
I1 => e0_code_i_2_n_0,
I2 => ps2_code(2),
I3 => Q(0),
I4 => Q(1),
I5 => e0_code,
O => e0_code_reg
);
e0_code_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000020000"
)
port map (
I0 => ps2_code(6),
I1 => ps2_code(0),
I2 => ps2_code(4),
I3 => ps2_code(3),
I4 => ps2_code(5),
I5 => ps2_code(1),
O => e0_code_i_2_n_0
);
ps2_clk_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => PS2Clk_IBUF,
Q => ps2_clk_int,
R => '0'
);
ps2_code_new_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"8000"
)
port map (
I0 => count_idle_reg(10),
I1 => ps2_code_new_i_2_n_0,
I2 => count_idle_reg(8),
I3 => count_idle_reg(12),
O => ps2_code_new0
);
ps2_code_new_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"4000000000000000"
)
port map (
I0 => count_idle_reg(9),
I1 => count_idle_reg(4),
I2 => ps2_code_new_i_3_n_0,
I3 => count_idle_reg(1),
I4 => count_idle_reg(5),
I5 => count_idle_reg(7),
O => ps2_code_new_i_2_n_0
);
ps2_code_new_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000001000000000"
)
port map (
I0 => count_idle_reg(3),
I1 => count_idle_reg(11),
I2 => ps2_code_new_i_4_n_0,
I3 => count_idle_reg(6),
I4 => count_idle_reg(2),
I5 => count_idle_reg(0),
O => ps2_code_new_i_3_n_0
);
ps2_code_new_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"FF96FF6969009600"
)
port map (
I0 => ps2_word(9),
I1 => ps2_word(7),
I2 => ps2_word(8),
I3 => ps2_code_new_i_5_n_0,
I4 => ps2_word(5),
I5 => ps2_code_new_i_6_n_0,
O => ps2_code_new_i_4_n_0
);
ps2_code_new_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"6996000096690000"
)
port map (
I0 => ps2_word(6),
I1 => ps2_word(3),
I2 => ps2_word(4),
I3 => ps2_word(1),
I4 => ps2_code_new_i_7_n_0,
I5 => ps2_word(2),
O => ps2_code_new_i_5_n_0
);
ps2_code_new_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"9669000069960000"
)
port map (
I0 => ps2_word(6),
I1 => ps2_word(3),
I2 => ps2_word(4),
I3 => ps2_word(1),
I4 => ps2_code_new_i_7_n_0,
I5 => ps2_word(2),
O => ps2_code_new_i_6_n_0
);
ps2_code_new_i_7: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => ps2_word(10),
I1 => ps2_word(0),
O => ps2_code_new_i_7_n_0
);
ps2_code_new_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_code_new0,
Q => \^ps2_code_new\,
R => '0'
);
\ps2_code_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(1),
Q => ps2_code(0),
R => '0'
);
\ps2_code_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(2),
Q => ps2_code(1),
R => '0'
);
\ps2_code_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(3),
Q => ps2_code(2),
R => '0'
);
\ps2_code_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(4),
Q => ps2_code(3),
R => '0'
);
\ps2_code_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(5),
Q => ps2_code(4),
R => '0'
);
\ps2_code_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(6),
Q => ps2_code(5),
R => '0'
);
\ps2_code_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(7),
Q => ps2_code(6),
R => '0'
);
\ps2_code_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ps2_code_new0,
D => ps2_word(8),
Q => ps2_code(7),
R => '0'
);
ps2_data_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => PS2Data_IBUF,
Q => ps2_data_int,
R => '0'
);
\ps2_word_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(1),
Q => ps2_word(0),
R => '0'
);
\ps2_word_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_data_int,
Q => ps2_word(10),
R => '0'
);
\ps2_word_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(2),
Q => ps2_word(1),
R => '0'
);
\ps2_word_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(3),
Q => ps2_word(2),
R => '0'
);
\ps2_word_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(4),
Q => ps2_word(3),
R => '0'
);
\ps2_word_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(5),
Q => ps2_word(4),
R => '0'
);
\ps2_word_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(6),
Q => ps2_word(5),
R => '0'
);
\ps2_word_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(7),
Q => ps2_word(6),
R => '0'
);
\ps2_word_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(8),
Q => ps2_word(7),
R => '0'
);
\ps2_word_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(9),
Q => ps2_word(8),
R => '0'
);
\ps2_word_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '1'
)
port map (
C => ps2_clk_int,
CE => '1',
D => ps2_word(10),
Q => ps2_word(9),
R => '0'
);
shift_l_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF7F00000040"
)
port map (
I0 => break,
I1 => Q(1),
I2 => shift_l_i_2_n_0,
I3 => ps2_code(7),
I4 => Q(0),
I5 => shift_l,
O => shift_l_reg
);
shift_l_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000020"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(5),
I2 => \ascii[4]_i_5_n_0\,
I3 => ps2_code(0),
I4 => ps2_code(6),
I5 => ps2_code(2),
O => shift_l_i_2_n_0
);
shift_r_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF7F00000040"
)
port map (
I0 => break,
I1 => Q(1),
I2 => shift_r_i_2_n_0,
I3 => ps2_code(7),
I4 => Q(0),
I5 => shift_r,
O => shift_r_reg
);
shift_r_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000001000000"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(5),
I2 => shift_r_i_3_n_0,
I3 => ps2_code(0),
I4 => ps2_code(6),
I5 => ps2_code(2),
O => shift_r_i_2_n_0
);
shift_r_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => ps2_code(3),
I1 => ps2_code(4),
O => shift_r_i_3_n_0
);
\state[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"000004F4"
)
port map (
I0 => prev_ps2_code_new,
I1 => \^ps2_code_new\,
I2 => Q(1),
I3 => break,
I4 => Q(0),
O => D(0)
);
\state[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00D00FD0"
)
port map (
I0 => ps2_code(7),
I1 => \state[1]_i_2_n_0\,
I2 => Q(0),
I3 => Q(1),
I4 => break,
O => D(1)
);
\state[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFBFFFF"
)
port map (
I0 => ps2_code(1),
I1 => ps2_code(5),
I2 => ps2_code(3),
I3 => ps2_code(0),
I4 => ps2_code(6),
I5 => ps2_code(2),
O => \state[1]_i_2_n_0\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity ClockDivider is
port (
clkIn : in STD_LOGIC;
clk108M : out STD_LOGIC;
clk10M : out STD_LOGIC
);
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of ClockDivider : entity is "ClockDivider,clk_wiz_v5_2_1,{component_name=ClockDivider,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,enable_axi=0,feedback_source=FDBK_AUTO,PRIMITIVE=MMCM,num_out_clk=2,clkin1_period=10.0,clkin2_period=10.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,feedback_type=SINGLE,CLOCK_MGR_TYPE=NA,manual_override=false}";
end ClockDivider;
architecture STRUCTURE of ClockDivider is
begin
inst: entity work.ClockDivider_ClockDivider_clk_wiz
port map (
clk108M => clk108M,
clk10M => clk10M,
clkIn => clkIn
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_prim_width is
port (
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_prim_width : entity is "blk_mem_gen_prim_width";
end FrameBuffer_blk_mem_gen_prim_width;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_prim_width is
begin
\prim_init.ram\: entity work.FrameBuffer_blk_mem_gen_prim_wrapper_init
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
\doutb[7]\(7 downto 0) => \doutb[7]\(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_width__parameterized0\ is
port (
\doutb[7]\ : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_width__parameterized0\ : entity is "blk_mem_gen_prim_width";
end \FrameBuffer_blk_mem_gen_prim_width__parameterized0\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_width__parameterized0\ is
begin
\prim_init.ram\: entity work.\FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
\doutb[7]\(7 downto 0) => \doutb[7]\(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \FrameBuffer_blk_mem_gen_prim_width__parameterized1\ is
port (
DOBDO : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \FrameBuffer_blk_mem_gen_prim_width__parameterized1\ : entity is "blk_mem_gen_prim_width";
end \FrameBuffer_blk_mem_gen_prim_width__parameterized1\;
architecture STRUCTURE of \FrameBuffer_blk_mem_gen_prim_width__parameterized1\ is
begin
\prim_init.ram\: entity work.\FrameBuffer_blk_mem_gen_prim_wrapper_init__parameterized1\
port map (
DOBDO(7 downto 0) => DOBDO(7 downto 0),
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity ps2_keyboard_to_ascii is
port (
\next_s_reg[1]\ : out STD_LOGIC;
\next_s_reg[0]\ : out STD_LOGIC;
\counter_reg[0]\ : out STD_LOGIC;
\fb_in_dat_reg[6]\ : out STD_LOGIC_VECTOR ( 6 downto 0 );
\counter_reg[12]\ : out STD_LOGIC;
PS2Clk_IBUF : in STD_LOGIC;
clk_BUFG : in STD_LOGIC;
PS2Data_IBUF : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 1 downto 0 );
\current_s_reg[0]\ : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 1 downto 0 );
\counter_reg[0]_0\ : in STD_LOGIC;
\counter_reg[0]_1\ : in STD_LOGIC;
\counter_reg[0]_2\ : in STD_LOGIC;
\counter_reg[0]_3\ : in STD_LOGIC;
\counter_reg[0]_4\ : in STD_LOGIC;
\counter_reg[0]_5\ : in STD_LOGIC;
\counter_reg[0]_6\ : in STD_LOGIC;
\counter_reg[4]\ : in STD_LOGIC;
\counter_reg[4]_0\ : in STD_LOGIC
);
end ps2_keyboard_to_ascii;
architecture STRUCTURE of ps2_keyboard_to_ascii is
signal ascii_code : STD_LOGIC_VECTOR ( 6 downto 0 );
signal ascii_new : STD_LOGIC;
signal ascii_new_i_1_n_0 : STD_LOGIC;
signal ascii_new_i_2_n_0 : STD_LOGIC;
signal ascii_new_i_3_n_0 : STD_LOGIC;
signal ascii_new_i_4_n_0 : STD_LOGIC;
signal ascii_new_i_5_n_0 : STD_LOGIC;
signal ascii_new_i_6_n_0 : STD_LOGIC;
signal ascii_new_i_7_n_0 : STD_LOGIC;
signal ascii_new_i_8_n_0 : STD_LOGIC;
signal ascii_new_i_9_n_0 : STD_LOGIC;
signal \ascii_reg_n_0_[0]\ : STD_LOGIC;
signal \ascii_reg_n_0_[1]\ : STD_LOGIC;
signal \ascii_reg_n_0_[2]\ : STD_LOGIC;
signal \ascii_reg_n_0_[3]\ : STD_LOGIC;
signal \ascii_reg_n_0_[4]\ : STD_LOGIC;
signal \ascii_reg_n_0_[5]\ : STD_LOGIC;
signal \ascii_reg_n_0_[6]\ : STD_LOGIC;
signal \ascii_reg_n_0_[7]\ : STD_LOGIC;
signal break : STD_LOGIC;
signal caps_lock_reg_n_0 : STD_LOGIC;
signal control_l_reg_n_0 : STD_LOGIC;
signal control_r_reg_n_0 : STD_LOGIC;
signal e0_code : STD_LOGIC;
signal prev_ps2_code_new : STD_LOGIC;
signal ps2_code_new : STD_LOGIC;
signal ps2_keyboard_0_n_1 : STD_LOGIC;
signal ps2_keyboard_0_n_10 : STD_LOGIC;
signal ps2_keyboard_0_n_11 : STD_LOGIC;
signal ps2_keyboard_0_n_12 : STD_LOGIC;
signal ps2_keyboard_0_n_13 : STD_LOGIC;
signal ps2_keyboard_0_n_14 : STD_LOGIC;
signal ps2_keyboard_0_n_15 : STD_LOGIC;
signal ps2_keyboard_0_n_16 : STD_LOGIC;
signal ps2_keyboard_0_n_17 : STD_LOGIC;
signal ps2_keyboard_0_n_18 : STD_LOGIC;
signal ps2_keyboard_0_n_2 : STD_LOGIC;
signal ps2_keyboard_0_n_3 : STD_LOGIC;
signal ps2_keyboard_0_n_4 : STD_LOGIC;
signal ps2_keyboard_0_n_5 : STD_LOGIC;
signal ps2_keyboard_0_n_6 : STD_LOGIC;
signal ps2_keyboard_0_n_7 : STD_LOGIC;
signal ps2_keyboard_0_n_8 : STD_LOGIC;
signal ps2_keyboard_0_n_9 : STD_LOGIC;
signal \repeat_counter[0]_i_10_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_11_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_12_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_1_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_3_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_4_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_5_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_6_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_7_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_8_n_0\ : STD_LOGIC;
signal \repeat_counter[0]_i_9_n_0\ : STD_LOGIC;
signal \repeat_counter[12]_i_2_n_0\ : STD_LOGIC;
signal \repeat_counter[12]_i_3_n_0\ : STD_LOGIC;
signal \repeat_counter[12]_i_4_n_0\ : STD_LOGIC;
signal \repeat_counter[12]_i_5_n_0\ : STD_LOGIC;
signal \repeat_counter[16]_i_2_n_0\ : STD_LOGIC;
signal \repeat_counter[16]_i_3_n_0\ : STD_LOGIC;
signal \repeat_counter[16]_i_4_n_0\ : STD_LOGIC;
signal \repeat_counter[16]_i_5_n_0\ : STD_LOGIC;
signal \repeat_counter[20]_i_2_n_0\ : STD_LOGIC;
signal \repeat_counter[4]_i_2_n_0\ : STD_LOGIC;
signal \repeat_counter[4]_i_3_n_0\ : STD_LOGIC;
signal \repeat_counter[4]_i_4_n_0\ : STD_LOGIC;
signal \repeat_counter[4]_i_5_n_0\ : STD_LOGIC;
signal \repeat_counter[8]_i_2_n_0\ : STD_LOGIC;
signal \repeat_counter[8]_i_3_n_0\ : STD_LOGIC;
signal \repeat_counter[8]_i_4_n_0\ : STD_LOGIC;
signal \repeat_counter[8]_i_5_n_0\ : STD_LOGIC;
signal repeat_counter_reg : STD_LOGIC_VECTOR ( 20 downto 0 );
signal \repeat_counter_reg[0]_i_2_n_0\ : STD_LOGIC;
signal \repeat_counter_reg[0]_i_2_n_4\ : STD_LOGIC;
signal \repeat_counter_reg[0]_i_2_n_5\ : STD_LOGIC;
signal \repeat_counter_reg[0]_i_2_n_6\ : STD_LOGIC;
signal \repeat_counter_reg[0]_i_2_n_7\ : STD_LOGIC;
signal \repeat_counter_reg[12]_i_1_n_0\ : STD_LOGIC;
signal \repeat_counter_reg[12]_i_1_n_4\ : STD_LOGIC;
signal \repeat_counter_reg[12]_i_1_n_5\ : STD_LOGIC;
signal \repeat_counter_reg[12]_i_1_n_6\ : STD_LOGIC;
signal \repeat_counter_reg[12]_i_1_n_7\ : STD_LOGIC;
signal \repeat_counter_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \repeat_counter_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \repeat_counter_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \repeat_counter_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \repeat_counter_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \repeat_counter_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \repeat_counter_reg[4]_i_1_n_0\ : STD_LOGIC;
signal \repeat_counter_reg[4]_i_1_n_4\ : STD_LOGIC;
signal \repeat_counter_reg[4]_i_1_n_5\ : STD_LOGIC;
signal \repeat_counter_reg[4]_i_1_n_6\ : STD_LOGIC;
signal \repeat_counter_reg[4]_i_1_n_7\ : STD_LOGIC;
signal \repeat_counter_reg[8]_i_1_n_0\ : STD_LOGIC;
signal \repeat_counter_reg[8]_i_1_n_4\ : STD_LOGIC;
signal \repeat_counter_reg[8]_i_1_n_5\ : STD_LOGIC;
signal \repeat_counter_reg[8]_i_1_n_6\ : STD_LOGIC;
signal \repeat_counter_reg[8]_i_1_n_7\ : STD_LOGIC;
signal shift_l : STD_LOGIC;
signal shift_r : STD_LOGIC;
signal state : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \NLW_repeat_counter_reg[0]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_repeat_counter_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_repeat_counter_reg[16]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_repeat_counter_reg[20]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_repeat_counter_reg[20]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_repeat_counter_reg[4]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_repeat_counter_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
begin
\ascii_code_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[0]\,
Q => ascii_code(0),
R => '0'
);
\ascii_code_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[1]\,
Q => ascii_code(1),
R => '0'
);
\ascii_code_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[2]\,
Q => ascii_code(2),
R => '0'
);
\ascii_code_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[3]\,
Q => ascii_code(3),
R => '0'
);
\ascii_code_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[4]\,
Q => ascii_code(4),
R => '0'
);
\ascii_code_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[5]\,
Q => ascii_code(5),
R => '0'
);
\ascii_code_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => ascii_new_i_1_n_0,
D => \ascii_reg_n_0_[6]\,
Q => ascii_code(6),
R => '0'
);
ascii_new_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000A80800000000"
)
port map (
I0 => state(1),
I1 => ascii_new_i_2_n_0,
I2 => repeat_counter_reg(16),
I3 => ascii_new_i_3_n_0,
I4 => \ascii_reg_n_0_[7]\,
I5 => state(0),
O => ascii_new_i_1_n_0
);
ascii_new_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FF00FF01FF00FE00"
)
port map (
I0 => repeat_counter_reg(19),
I1 => repeat_counter_reg(12),
I2 => repeat_counter_reg(11),
I3 => ascii_new_i_3_n_0,
I4 => repeat_counter_reg(10),
I5 => ascii_new_i_4_n_0,
O => ascii_new_i_2_n_0
);
ascii_new_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \ascii_reg_n_0_[3]\,
I1 => \ascii_reg_n_0_[5]\,
I2 => ascii_new_i_5_n_0,
I3 => \ascii_reg_n_0_[0]\,
I4 => \ascii_reg_n_0_[4]\,
I5 => \ascii_reg_n_0_[6]\,
O => ascii_new_i_3_n_0
);
ascii_new_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"EFFFFFFF40000000"
)
port map (
I0 => repeat_counter_reg(7),
I1 => ascii_new_i_6_n_0,
I2 => repeat_counter_reg(2),
I3 => repeat_counter_reg(0),
I4 => repeat_counter_reg(18),
I5 => ascii_new_i_3_n_0,
O => ascii_new_i_4_n_0
);
ascii_new_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => \ascii_reg_n_0_[2]\,
I1 => \ascii_reg_n_0_[1]\,
O => ascii_new_i_5_n_0
);
ascii_new_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"EFFFFFFF40000000"
)
port map (
I0 => repeat_counter_reg(5),
I1 => ascii_new_i_7_n_0,
I2 => repeat_counter_reg(6),
I3 => repeat_counter_reg(17),
I4 => repeat_counter_reg(1),
I5 => ascii_new_i_3_n_0,
O => ascii_new_i_6_n_0
);
ascii_new_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"BFFFFFFF80000000"
)
port map (
I0 => ascii_new_i_8_n_0,
I1 => repeat_counter_reg(9),
I2 => repeat_counter_reg(4),
I3 => repeat_counter_reg(14),
I4 => repeat_counter_reg(15),
I5 => ascii_new_i_3_n_0,
O => ascii_new_i_7_n_0
);
ascii_new_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFF8000"
)
port map (
I0 => repeat_counter_reg(13),
I1 => repeat_counter_reg(20),
I2 => repeat_counter_reg(3),
I3 => repeat_counter_reg(8),
I4 => ascii_new_i_9_n_0,
I5 => \ascii_reg_n_0_[6]\,
O => ascii_new_i_8_n_0
);
ascii_new_i_9: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => \ascii_reg_n_0_[4]\,
I1 => \ascii_reg_n_0_[0]\,
I2 => \ascii_reg_n_0_[2]\,
I3 => \ascii_reg_n_0_[1]\,
I4 => \ascii_reg_n_0_[5]\,
I5 => \ascii_reg_n_0_[3]\,
O => ascii_new_i_9_n_0
);
ascii_new_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ascii_new_i_1_n_0,
Q => ascii_new,
R => '0'
);
\ascii_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_17,
Q => \ascii_reg_n_0_[0]\,
R => '0'
);
\ascii_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_16,
Q => \ascii_reg_n_0_[1]\,
R => '0'
);
\ascii_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_15,
Q => \ascii_reg_n_0_[2]\,
R => '0'
);
\ascii_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_14,
Q => \ascii_reg_n_0_[3]\,
R => '0'
);
\ascii_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_13,
Q => \ascii_reg_n_0_[4]\,
R => '0'
);
\ascii_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_12,
Q => \ascii_reg_n_0_[5]\,
R => '0'
);
\ascii_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => ps2_keyboard_0_n_18,
D => ps2_keyboard_0_n_11,
Q => \ascii_reg_n_0_[6]\,
R => '0'
);
\ascii_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_8,
Q => \ascii_reg_n_0_[7]\,
R => '0'
);
break_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_1,
Q => break,
R => '0'
);
caps_lock_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_7,
Q => caps_lock_reg_n_0,
R => '0'
);
control_l_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_6,
Q => control_l_reg_n_0,
R => '0'
);
control_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_5,
Q => control_r_reg_n_0,
R => '0'
);
\counter[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"0003A0F3"
)
port map (
I0 => ascii_new,
I1 => \counter_reg[4]\,
I2 => Q(1),
I3 => Q(0),
I4 => \counter_reg[4]_0\,
O => \counter_reg[12]\
);
\counter[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"8F"
)
port map (
I0 => Q(1),
I1 => ascii_new,
I2 => Q(0),
O => \counter_reg[0]\
);
e0_code_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_4,
Q => e0_code,
R => '0'
);
\fb_in_dat[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(0),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_0\,
O => \fb_in_dat_reg[6]\(0)
);
\fb_in_dat[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(1),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_1\,
O => \fb_in_dat_reg[6]\(1)
);
\fb_in_dat[2]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(2),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_2\,
O => \fb_in_dat_reg[6]\(2)
);
\fb_in_dat[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(3),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_3\,
O => \fb_in_dat_reg[6]\(3)
);
\fb_in_dat[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(4),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_4\,
O => \fb_in_dat_reg[6]\(4)
);
\fb_in_dat[5]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(5),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_5\,
O => \fb_in_dat_reg[6]\(5)
);
\fb_in_dat[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8380"
)
port map (
I0 => ascii_code(6),
I1 => Q(0),
I2 => Q(1),
I3 => \counter_reg[0]_6\,
O => \fb_in_dat_reg[6]\(6)
);
\next_s[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EEE0EEEE"
)
port map (
I0 => D(0),
I1 => \current_s_reg[0]\,
I2 => Q(0),
I3 => Q(1),
I4 => ascii_new,
O => \next_s_reg[0]\
);
\next_s[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"EEEEEEFE"
)
port map (
I0 => D(1),
I1 => \current_s_reg[0]\,
I2 => ascii_new,
I3 => Q(1),
I4 => Q(0),
O => \next_s_reg[1]\
);
prev_ps2_code_new_reg: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_code_new,
Q => prev_ps2_code_new,
R => '0'
);
ps2_keyboard_0: entity work.ps2_keyboard
port map (
D(1) => ps2_keyboard_0_n_9,
D(0) => ps2_keyboard_0_n_10,
E(0) => ps2_keyboard_0_n_18,
PS2Clk_IBUF => PS2Clk_IBUF,
PS2Data_IBUF => PS2Data_IBUF,
Q(1 downto 0) => state(1 downto 0),
\ascii_reg[6]\(6) => ps2_keyboard_0_n_11,
\ascii_reg[6]\(5) => ps2_keyboard_0_n_12,
\ascii_reg[6]\(4) => ps2_keyboard_0_n_13,
\ascii_reg[6]\(3) => ps2_keyboard_0_n_14,
\ascii_reg[6]\(2) => ps2_keyboard_0_n_15,
\ascii_reg[6]\(1) => ps2_keyboard_0_n_16,
\ascii_reg[6]\(0) => ps2_keyboard_0_n_17,
\ascii_reg[7]\ => ps2_keyboard_0_n_8,
\ascii_reg[7]_0\ => \ascii_reg_n_0_[7]\,
break => break,
break_reg => ps2_keyboard_0_n_1,
caps_lock_reg => ps2_keyboard_0_n_7,
caps_lock_reg_0 => caps_lock_reg_n_0,
clk_BUFG => clk_BUFG,
control_l_reg => ps2_keyboard_0_n_6,
control_l_reg_0 => control_l_reg_n_0,
control_r_reg => ps2_keyboard_0_n_5,
control_r_reg_0 => control_r_reg_n_0,
e0_code => e0_code,
e0_code_reg => ps2_keyboard_0_n_4,
prev_ps2_code_new => prev_ps2_code_new,
ps2_code_new => ps2_code_new,
shift_l => shift_l,
shift_l_reg => ps2_keyboard_0_n_2,
shift_r => shift_r,
shift_r_reg => ps2_keyboard_0_n_3
);
\repeat_counter[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"00A80000"
)
port map (
I0 => state(1),
I1 => repeat_counter_reg(16),
I2 => \repeat_counter[0]_i_3_n_0\,
I3 => \ascii_reg_n_0_[7]\,
I4 => state(0),
O => \repeat_counter[0]_i_1_n_0\
);
\repeat_counter[0]_i_10\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => \ascii_reg_n_0_[3]\,
I1 => \ascii_reg_n_0_[5]\,
I2 => ascii_new_i_5_n_0,
I3 => \ascii_reg_n_0_[0]\,
I4 => \ascii_reg_n_0_[4]\,
I5 => \ascii_reg_n_0_[6]\,
O => \repeat_counter[0]_i_10_n_0\
);
\repeat_counter[0]_i_11\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7FFFFFFFFFFFFFF"
)
port map (
I0 => repeat_counter_reg(6),
I1 => repeat_counter_reg(14),
I2 => \repeat_counter[0]_i_12_n_0\,
I3 => repeat_counter_reg(4),
I4 => repeat_counter_reg(15),
I5 => repeat_counter_reg(17),
O => \repeat_counter[0]_i_11_n_0\
);
\repeat_counter[0]_i_12\: unisim.vcomponents.LUT6
generic map(
INIT => X"F7FFFFFFFFFFFFFF"
)
port map (
I0 => repeat_counter_reg(8),
I1 => repeat_counter_reg(20),
I2 => ascii_new_i_3_n_0,
I3 => repeat_counter_reg(13),
I4 => repeat_counter_reg(3),
I5 => repeat_counter_reg(9),
O => \repeat_counter[0]_i_12_n_0\
);
\repeat_counter[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFFFFFE"
)
port map (
I0 => repeat_counter_reg(12),
I1 => repeat_counter_reg(10),
I2 => \repeat_counter[0]_i_9_n_0\,
I3 => repeat_counter_reg(7),
I4 => repeat_counter_reg(11),
I5 => repeat_counter_reg(19),
O => \repeat_counter[0]_i_3_n_0\
);
\repeat_counter[0]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(0),
O => \repeat_counter[0]_i_4_n_0\
);
\repeat_counter[0]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(3),
O => \repeat_counter[0]_i_5_n_0\
);
\repeat_counter[0]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(2),
O => \repeat_counter[0]_i_6_n_0\
);
\repeat_counter[0]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(1),
O => \repeat_counter[0]_i_7_n_0\
);
\repeat_counter[0]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"4"
)
port map (
I0 => repeat_counter_reg(0),
I1 => \repeat_counter[0]_i_10_n_0\,
O => \repeat_counter[0]_i_8_n_0\
);
\repeat_counter[0]_i_9\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFF7FFFFFFFFFFFF"
)
port map (
I0 => repeat_counter_reg(0),
I1 => repeat_counter_reg(1),
I2 => \repeat_counter[0]_i_11_n_0\,
I3 => repeat_counter_reg(5),
I4 => repeat_counter_reg(2),
I5 => repeat_counter_reg(18),
O => \repeat_counter[0]_i_9_n_0\
);
\repeat_counter[12]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(15),
O => \repeat_counter[12]_i_2_n_0\
);
\repeat_counter[12]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(14),
O => \repeat_counter[12]_i_3_n_0\
);
\repeat_counter[12]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(13),
O => \repeat_counter[12]_i_4_n_0\
);
\repeat_counter[12]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(12),
O => \repeat_counter[12]_i_5_n_0\
);
\repeat_counter[16]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(19),
O => \repeat_counter[16]_i_2_n_0\
);
\repeat_counter[16]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(18),
O => \repeat_counter[16]_i_3_n_0\
);
\repeat_counter[16]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(17),
O => \repeat_counter[16]_i_4_n_0\
);
\repeat_counter[16]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(16),
O => \repeat_counter[16]_i_5_n_0\
);
\repeat_counter[20]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(20),
O => \repeat_counter[20]_i_2_n_0\
);
\repeat_counter[4]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(7),
O => \repeat_counter[4]_i_2_n_0\
);
\repeat_counter[4]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(6),
O => \repeat_counter[4]_i_3_n_0\
);
\repeat_counter[4]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(5),
O => \repeat_counter[4]_i_4_n_0\
);
\repeat_counter[4]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(4),
O => \repeat_counter[4]_i_5_n_0\
);
\repeat_counter[8]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(11),
O => \repeat_counter[8]_i_2_n_0\
);
\repeat_counter[8]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(10),
O => \repeat_counter[8]_i_3_n_0\
);
\repeat_counter[8]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(9),
O => \repeat_counter[8]_i_4_n_0\
);
\repeat_counter[8]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \repeat_counter[0]_i_10_n_0\,
I1 => repeat_counter_reg(8),
O => \repeat_counter[8]_i_5_n_0\
);
\repeat_counter_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[0]_i_2_n_7\,
Q => repeat_counter_reg(0),
R => '0'
);
\repeat_counter_reg[0]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \repeat_counter_reg[0]_i_2_n_0\,
CO(2 downto 0) => \NLW_repeat_counter_reg[0]_i_2_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \repeat_counter[0]_i_4_n_0\,
O(3) => \repeat_counter_reg[0]_i_2_n_4\,
O(2) => \repeat_counter_reg[0]_i_2_n_5\,
O(1) => \repeat_counter_reg[0]_i_2_n_6\,
O(0) => \repeat_counter_reg[0]_i_2_n_7\,
S(3) => \repeat_counter[0]_i_5_n_0\,
S(2) => \repeat_counter[0]_i_6_n_0\,
S(1) => \repeat_counter[0]_i_7_n_0\,
S(0) => \repeat_counter[0]_i_8_n_0\
);
\repeat_counter_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[8]_i_1_n_5\,
Q => repeat_counter_reg(10),
R => '0'
);
\repeat_counter_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[8]_i_1_n_4\,
Q => repeat_counter_reg(11),
R => '0'
);
\repeat_counter_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[12]_i_1_n_7\,
Q => repeat_counter_reg(12),
R => '0'
);
\repeat_counter_reg[12]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \repeat_counter_reg[8]_i_1_n_0\,
CO(3) => \repeat_counter_reg[12]_i_1_n_0\,
CO(2 downto 0) => \NLW_repeat_counter_reg[12]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \repeat_counter_reg[12]_i_1_n_4\,
O(2) => \repeat_counter_reg[12]_i_1_n_5\,
O(1) => \repeat_counter_reg[12]_i_1_n_6\,
O(0) => \repeat_counter_reg[12]_i_1_n_7\,
S(3) => \repeat_counter[12]_i_2_n_0\,
S(2) => \repeat_counter[12]_i_3_n_0\,
S(1) => \repeat_counter[12]_i_4_n_0\,
S(0) => \repeat_counter[12]_i_5_n_0\
);
\repeat_counter_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[12]_i_1_n_6\,
Q => repeat_counter_reg(13),
R => '0'
);
\repeat_counter_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[12]_i_1_n_5\,
Q => repeat_counter_reg(14),
R => '0'
);
\repeat_counter_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[12]_i_1_n_4\,
Q => repeat_counter_reg(15),
R => '0'
);
\repeat_counter_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[16]_i_1_n_7\,
Q => repeat_counter_reg(16),
R => '0'
);
\repeat_counter_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \repeat_counter_reg[12]_i_1_n_0\,
CO(3) => \repeat_counter_reg[16]_i_1_n_0\,
CO(2 downto 0) => \NLW_repeat_counter_reg[16]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \repeat_counter_reg[16]_i_1_n_4\,
O(2) => \repeat_counter_reg[16]_i_1_n_5\,
O(1) => \repeat_counter_reg[16]_i_1_n_6\,
O(0) => \repeat_counter_reg[16]_i_1_n_7\,
S(3) => \repeat_counter[16]_i_2_n_0\,
S(2) => \repeat_counter[16]_i_3_n_0\,
S(1) => \repeat_counter[16]_i_4_n_0\,
S(0) => \repeat_counter[16]_i_5_n_0\
);
\repeat_counter_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[16]_i_1_n_6\,
Q => repeat_counter_reg(17),
R => '0'
);
\repeat_counter_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[16]_i_1_n_5\,
Q => repeat_counter_reg(18),
R => '0'
);
\repeat_counter_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[16]_i_1_n_4\,
Q => repeat_counter_reg(19),
R => '0'
);
\repeat_counter_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[0]_i_2_n_6\,
Q => repeat_counter_reg(1),
R => '0'
);
\repeat_counter_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[20]_i_1_n_7\,
Q => repeat_counter_reg(20),
R => '0'
);
\repeat_counter_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \repeat_counter_reg[16]_i_1_n_0\,
CO(3 downto 0) => \NLW_repeat_counter_reg[20]_i_1_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_repeat_counter_reg[20]_i_1_O_UNCONNECTED\(3 downto 1),
O(0) => \repeat_counter_reg[20]_i_1_n_7\,
S(3 downto 1) => B"000",
S(0) => \repeat_counter[20]_i_2_n_0\
);
\repeat_counter_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[0]_i_2_n_5\,
Q => repeat_counter_reg(2),
R => '0'
);
\repeat_counter_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[0]_i_2_n_4\,
Q => repeat_counter_reg(3),
R => '0'
);
\repeat_counter_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[4]_i_1_n_7\,
Q => repeat_counter_reg(4),
R => '0'
);
\repeat_counter_reg[4]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \repeat_counter_reg[0]_i_2_n_0\,
CO(3) => \repeat_counter_reg[4]_i_1_n_0\,
CO(2 downto 0) => \NLW_repeat_counter_reg[4]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \repeat_counter_reg[4]_i_1_n_4\,
O(2) => \repeat_counter_reg[4]_i_1_n_5\,
O(1) => \repeat_counter_reg[4]_i_1_n_6\,
O(0) => \repeat_counter_reg[4]_i_1_n_7\,
S(3) => \repeat_counter[4]_i_2_n_0\,
S(2) => \repeat_counter[4]_i_3_n_0\,
S(1) => \repeat_counter[4]_i_4_n_0\,
S(0) => \repeat_counter[4]_i_5_n_0\
);
\repeat_counter_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[4]_i_1_n_6\,
Q => repeat_counter_reg(5),
R => '0'
);
\repeat_counter_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[4]_i_1_n_5\,
Q => repeat_counter_reg(6),
R => '0'
);
\repeat_counter_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[4]_i_1_n_4\,
Q => repeat_counter_reg(7),
R => '0'
);
\repeat_counter_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[8]_i_1_n_7\,
Q => repeat_counter_reg(8),
R => '0'
);
\repeat_counter_reg[8]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \repeat_counter_reg[4]_i_1_n_0\,
CO(3) => \repeat_counter_reg[8]_i_1_n_0\,
CO(2 downto 0) => \NLW_repeat_counter_reg[8]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \repeat_counter_reg[8]_i_1_n_4\,
O(2) => \repeat_counter_reg[8]_i_1_n_5\,
O(1) => \repeat_counter_reg[8]_i_1_n_6\,
O(0) => \repeat_counter_reg[8]_i_1_n_7\,
S(3) => \repeat_counter[8]_i_2_n_0\,
S(2) => \repeat_counter[8]_i_3_n_0\,
S(1) => \repeat_counter[8]_i_4_n_0\,
S(0) => \repeat_counter[8]_i_5_n_0\
);
\repeat_counter_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => \repeat_counter[0]_i_1_n_0\,
D => \repeat_counter_reg[8]_i_1_n_6\,
Q => repeat_counter_reg(9),
R => '0'
);
shift_l_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_2,
Q => shift_l,
R => '0'
);
shift_r_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_3,
Q => shift_r,
R => '0'
);
\state_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_10,
Q => state(0),
R => '0'
);
\state_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => ps2_keyboard_0_n_9,
Q => state(1),
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_generic_cstr is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_generic_cstr : entity is "blk_mem_gen_generic_cstr";
end FrameBuffer_blk_mem_gen_generic_cstr;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_generic_cstr is
signal ram_doutb : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \ramloop[1].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[1].ram.r_n_7\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_0\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_1\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_2\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_3\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_4\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_5\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_6\ : STD_LOGIC;
signal \ramloop[2].ram.r_n_7\ : STD_LOGIC;
begin
\has_mux_b.B\: entity work.\FrameBuffer_blk_mem_gen_mux__parameterized0\
port map (
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(7) => \ramloop[1].ram.r_n_0\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(6) => \ramloop[1].ram.r_n_1\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(5) => \ramloop[1].ram.r_n_2\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(4) => \ramloop[1].ram.r_n_3\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(3) => \ramloop[1].ram.r_n_4\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(2) => \ramloop[1].ram.r_n_5\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(1) => \ramloop[1].ram.r_n_6\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram\(0) => \ramloop[1].ram.r_n_7\,
\DEVICE_7SERIES.NO_BMM_INFO.SDP.SIMPLE_PRIM36.ram_0\(7 downto 0) => ram_doutb(7 downto 0),
DOBDO(7) => \ramloop[2].ram.r_n_0\,
DOBDO(6) => \ramloop[2].ram.r_n_1\,
DOBDO(5) => \ramloop[2].ram.r_n_2\,
DOBDO(4) => \ramloop[2].ram.r_n_3\,
DOBDO(3) => \ramloop[2].ram.r_n_4\,
DOBDO(2) => \ramloop[2].ram.r_n_5\,
DOBDO(1) => \ramloop[2].ram.r_n_6\,
DOBDO(0) => \ramloop[2].ram.r_n_7\,
addrb(2 downto 0) => addrb(13 downto 11),
clkb => clkb,
doutb(7 downto 0) => doutb(7 downto 0)
);
\ramloop[0].ram.r\: entity work.FrameBuffer_blk_mem_gen_prim_width
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
\doutb[7]\(7 downto 0) => ram_doutb(7 downto 0),
wea(0) => wea(0)
);
\ramloop[1].ram.r\: entity work.\FrameBuffer_blk_mem_gen_prim_width__parameterized0\
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
\doutb[7]\(7) => \ramloop[1].ram.r_n_0\,
\doutb[7]\(6) => \ramloop[1].ram.r_n_1\,
\doutb[7]\(5) => \ramloop[1].ram.r_n_2\,
\doutb[7]\(4) => \ramloop[1].ram.r_n_3\,
\doutb[7]\(3) => \ramloop[1].ram.r_n_4\,
\doutb[7]\(2) => \ramloop[1].ram.r_n_5\,
\doutb[7]\(1) => \ramloop[1].ram.r_n_6\,
\doutb[7]\(0) => \ramloop[1].ram.r_n_7\,
wea(0) => wea(0)
);
\ramloop[2].ram.r\: entity work.\FrameBuffer_blk_mem_gen_prim_width__parameterized1\
port map (
DOBDO(7) => \ramloop[2].ram.r_n_0\,
DOBDO(6) => \ramloop[2].ram.r_n_1\,
DOBDO(5) => \ramloop[2].ram.r_n_2\,
DOBDO(4) => \ramloop[2].ram.r_n_3\,
DOBDO(3) => \ramloop[2].ram.r_n_4\,
DOBDO(2) => \ramloop[2].ram.r_n_5\,
DOBDO(1) => \ramloop[2].ram.r_n_6\,
DOBDO(0) => \ramloop[2].ram.r_n_7\,
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_top is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_top : entity is "blk_mem_gen_top";
end FrameBuffer_blk_mem_gen_top;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_top is
begin
\valid.cstr\: entity work.FrameBuffer_blk_mem_gen_generic_cstr
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_v8_3_1_synth is
port (
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
clka : in STD_LOGIC;
clkb : in STD_LOGIC;
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
wea : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_v8_3_1_synth : entity is "blk_mem_gen_v8_3_1_synth";
end FrameBuffer_blk_mem_gen_v8_3_1_synth;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_v8_3_1_synth is
begin
\gnativebmg.native_blk_mem_gen\: entity work.FrameBuffer_blk_mem_gen_top
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer_blk_mem_gen_v8_3_1 is
port (
clka : in STD_LOGIC;
rsta : in STD_LOGIC;
ena : in STD_LOGIC;
regcea : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
douta : out STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
rstb : in STD_LOGIC;
enb : in STD_LOGIC;
regceb : in STD_LOGIC;
web : in STD_LOGIC_VECTOR ( 0 to 0 );
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
dinb : in STD_LOGIC_VECTOR ( 7 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 );
injectsbiterr : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
eccpipece : in STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 );
sleep : in STD_LOGIC;
deepsleep : in STD_LOGIC;
shutdown : in STD_LOGIC;
rsta_busy : out STD_LOGIC;
rstb_busy : out STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_injectsbiterr : in STD_LOGIC;
s_axi_injectdbiterr : in STD_LOGIC;
s_axi_sbiterr : out STD_LOGIC;
s_axi_dbiterr : out STD_LOGIC;
s_axi_rdaddrecc : out STD_LOGIC_VECTOR ( 13 downto 0 )
);
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 14;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 14;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "2";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "20";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "Estimated Power for IP : 4.58651 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "artix7";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "FrameBuffer.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "FrameBuffer.mif";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 10240;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "READ_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of FrameBuffer_blk_mem_gen_v8_3_1 : entity is 8;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "artix7";
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "blk_mem_gen_v8_3_1";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of FrameBuffer_blk_mem_gen_v8_3_1 : entity is "yes";
end FrameBuffer_blk_mem_gen_v8_3_1;
architecture STRUCTURE of FrameBuffer_blk_mem_gen_v8_3_1 is
begin
dbiterr <= 'Z';
rsta_busy <= 'Z';
rstb_busy <= 'Z';
s_axi_arready <= 'Z';
s_axi_awready <= 'Z';
s_axi_bvalid <= 'Z';
s_axi_dbiterr <= 'Z';
s_axi_rlast <= 'Z';
s_axi_rvalid <= 'Z';
s_axi_sbiterr <= 'Z';
s_axi_wready <= 'Z';
sbiterr <= 'Z';
douta(0) <= 'Z';
douta(1) <= 'Z';
douta(2) <= 'Z';
douta(3) <= 'Z';
douta(4) <= 'Z';
douta(5) <= 'Z';
douta(6) <= 'Z';
douta(7) <= 'Z';
rdaddrecc(0) <= 'Z';
rdaddrecc(1) <= 'Z';
rdaddrecc(2) <= 'Z';
rdaddrecc(3) <= 'Z';
rdaddrecc(4) <= 'Z';
rdaddrecc(5) <= 'Z';
rdaddrecc(6) <= 'Z';
rdaddrecc(7) <= 'Z';
rdaddrecc(8) <= 'Z';
rdaddrecc(9) <= 'Z';
rdaddrecc(10) <= 'Z';
rdaddrecc(11) <= 'Z';
rdaddrecc(12) <= 'Z';
rdaddrecc(13) <= 'Z';
s_axi_bid(0) <= 'Z';
s_axi_bid(1) <= 'Z';
s_axi_bid(2) <= 'Z';
s_axi_bid(3) <= 'Z';
s_axi_bresp(0) <= 'Z';
s_axi_bresp(1) <= 'Z';
s_axi_rdaddrecc(0) <= 'Z';
s_axi_rdaddrecc(1) <= 'Z';
s_axi_rdaddrecc(2) <= 'Z';
s_axi_rdaddrecc(3) <= 'Z';
s_axi_rdaddrecc(4) <= 'Z';
s_axi_rdaddrecc(5) <= 'Z';
s_axi_rdaddrecc(6) <= 'Z';
s_axi_rdaddrecc(7) <= 'Z';
s_axi_rdaddrecc(8) <= 'Z';
s_axi_rdaddrecc(9) <= 'Z';
s_axi_rdaddrecc(10) <= 'Z';
s_axi_rdaddrecc(11) <= 'Z';
s_axi_rdaddrecc(12) <= 'Z';
s_axi_rdaddrecc(13) <= 'Z';
s_axi_rdata(0) <= 'Z';
s_axi_rdata(1) <= 'Z';
s_axi_rdata(2) <= 'Z';
s_axi_rdata(3) <= 'Z';
s_axi_rdata(4) <= 'Z';
s_axi_rdata(5) <= 'Z';
s_axi_rdata(6) <= 'Z';
s_axi_rdata(7) <= 'Z';
s_axi_rid(0) <= 'Z';
s_axi_rid(1) <= 'Z';
s_axi_rid(2) <= 'Z';
s_axi_rid(3) <= 'Z';
s_axi_rresp(0) <= 'Z';
s_axi_rresp(1) <= 'Z';
inst_blk_mem_gen: entity work.FrameBuffer_blk_mem_gen_v8_3_1_synth
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => wea(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity FrameBuffer is
port (
clka : in STD_LOGIC;
wea : in STD_LOGIC_VECTOR ( 0 to 0 );
addra : in STD_LOGIC_VECTOR ( 13 downto 0 );
dina : in STD_LOGIC_VECTOR ( 7 downto 0 );
clkb : in STD_LOGIC;
addrb : in STD_LOGIC_VECTOR ( 13 downto 0 );
doutb : out STD_LOGIC_VECTOR ( 7 downto 0 )
);
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of FrameBuffer : entity is "FrameBuffer,blk_mem_gen_v8_3_1,{}";
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of FrameBuffer : entity is "FrameBuffer,blk_mem_gen_v8_3_1,{x_ipProduct=Vivado 2015.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=1,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_XDEVICEFAMILY=artix7,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=FrameBuffer.mif,C_INIT_FILE=FrameBuffer.mem,C_USE_DEFAULT_DATA=1,C_DEFAULT_DATA=20,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=1,C_WRITE_MODE_A=READ_FIRST,C_WRITE_WIDTH_A=8,C_READ_WIDTH_A=8,C_WRITE_DEPTH_A=10240,C_READ_DEPTH_A=10240,C_ADDRA_WIDTH=14,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=10240,C_READ_DEPTH_B=10240,C_ADDRB_WIDTH=14,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=2,C_COUNT_18K_BRAM=1,C_EST_POWER_SUMMARY=Estimated Power for IP _ 4.58651 mW}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of FrameBuffer : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of FrameBuffer : entity is "blk_mem_gen_v8_3_1,Vivado 2015.4";
end FrameBuffer;
architecture STRUCTURE of FrameBuffer is
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_deepsleep_UNCONNECTED : STD_LOGIC;
signal NLW_U0_eccpipece_UNCONNECTED : STD_LOGIC;
signal NLW_U0_ena_UNCONNECTED : STD_LOGIC;
signal NLW_U0_enb_UNCONNECTED : STD_LOGIC;
signal NLW_U0_injectdbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_injectsbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_regcea_UNCONNECTED : STD_LOGIC;
signal NLW_U0_regceb_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rsta_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rstb_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_aclk_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_aresetn_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_injectdbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_injectsbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_shutdown_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sleep_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dinb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_douta_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 );
signal NLW_U0_s_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_s_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rdaddrecc_UNCONNECTED : STD_LOGIC_VECTOR ( 13 downto 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_s_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_web_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_ADDRA_WIDTH : integer;
attribute C_ADDRA_WIDTH of U0 : label is 14;
attribute C_ADDRB_WIDTH : integer;
attribute C_ADDRB_WIDTH of U0 : label is 14;
attribute C_ALGORITHM : integer;
attribute C_ALGORITHM of U0 : label is 1;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 4;
attribute C_AXI_SLAVE_TYPE : integer;
attribute C_AXI_SLAVE_TYPE of U0 : label is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_BYTE_SIZE : integer;
attribute C_BYTE_SIZE of U0 : label is 8;
attribute C_COMMON_CLK : integer;
attribute C_COMMON_CLK of U0 : label is 0;
attribute C_COUNT_18K_BRAM : string;
attribute C_COUNT_18K_BRAM of U0 : label is "1";
attribute C_COUNT_36K_BRAM : string;
attribute C_COUNT_36K_BRAM of U0 : label is "2";
attribute C_CTRL_ECC_ALGO : string;
attribute C_CTRL_ECC_ALGO of U0 : label is "NONE";
attribute C_DEFAULT_DATA : string;
attribute C_DEFAULT_DATA of U0 : label is "20";
attribute C_DISABLE_WARN_BHV_COLL : integer;
attribute C_DISABLE_WARN_BHV_COLL of U0 : label is 0;
attribute C_DISABLE_WARN_BHV_RANGE : integer;
attribute C_DISABLE_WARN_BHV_RANGE of U0 : label is 0;
attribute C_ELABORATION_DIR : string;
attribute C_ELABORATION_DIR of U0 : label is "./";
attribute C_ENABLE_32BIT_ADDRESS : integer;
attribute C_ENABLE_32BIT_ADDRESS of U0 : label is 0;
attribute C_EN_DEEPSLEEP_PIN : integer;
attribute C_EN_DEEPSLEEP_PIN of U0 : label is 0;
attribute C_EN_ECC_PIPE : integer;
attribute C_EN_ECC_PIPE of U0 : label is 0;
attribute C_EN_RDADDRA_CHG : integer;
attribute C_EN_RDADDRA_CHG of U0 : label is 0;
attribute C_EN_RDADDRB_CHG : integer;
attribute C_EN_RDADDRB_CHG of U0 : label is 0;
attribute C_EN_SAFETY_CKT : integer;
attribute C_EN_SAFETY_CKT of U0 : label is 0;
attribute C_EN_SHUTDOWN_PIN : integer;
attribute C_EN_SHUTDOWN_PIN of U0 : label is 0;
attribute C_EN_SLEEP_PIN : integer;
attribute C_EN_SLEEP_PIN of U0 : label is 0;
attribute C_EST_POWER_SUMMARY : string;
attribute C_EST_POWER_SUMMARY of U0 : label is "Estimated Power for IP : 4.58651 mW";
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "artix7";
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_ENA : integer;
attribute C_HAS_ENA of U0 : label is 0;
attribute C_HAS_ENB : integer;
attribute C_HAS_ENB of U0 : label is 0;
attribute C_HAS_INJECTERR : integer;
attribute C_HAS_INJECTERR of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_A : integer;
attribute C_HAS_MEM_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MEM_OUTPUT_REGS_B : integer;
attribute C_HAS_MEM_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_A : integer;
attribute C_HAS_MUX_OUTPUT_REGS_A of U0 : label is 0;
attribute C_HAS_MUX_OUTPUT_REGS_B : integer;
attribute C_HAS_MUX_OUTPUT_REGS_B of U0 : label is 0;
attribute C_HAS_REGCEA : integer;
attribute C_HAS_REGCEA of U0 : label is 0;
attribute C_HAS_REGCEB : integer;
attribute C_HAS_REGCEB of U0 : label is 0;
attribute C_HAS_RSTA : integer;
attribute C_HAS_RSTA of U0 : label is 0;
attribute C_HAS_RSTB : integer;
attribute C_HAS_RSTB of U0 : label is 0;
attribute C_HAS_SOFTECC_INPUT_REGS_A : integer;
attribute C_HAS_SOFTECC_INPUT_REGS_A of U0 : label is 0;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B : integer;
attribute C_HAS_SOFTECC_OUTPUT_REGS_B of U0 : label is 0;
attribute C_INITA_VAL : string;
attribute C_INITA_VAL of U0 : label is "0";
attribute C_INITB_VAL : string;
attribute C_INITB_VAL of U0 : label is "0";
attribute C_INIT_FILE : string;
attribute C_INIT_FILE of U0 : label is "FrameBuffer.mem";
attribute C_INIT_FILE_NAME : string;
attribute C_INIT_FILE_NAME of U0 : label is "FrameBuffer.mif";
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_LOAD_INIT_FILE : integer;
attribute C_LOAD_INIT_FILE of U0 : label is 1;
attribute C_MEM_TYPE : integer;
attribute C_MEM_TYPE of U0 : label is 1;
attribute C_MUX_PIPELINE_STAGES : integer;
attribute C_MUX_PIPELINE_STAGES of U0 : label is 0;
attribute C_PRIM_TYPE : integer;
attribute C_PRIM_TYPE of U0 : label is 1;
attribute C_READ_DEPTH_A : integer;
attribute C_READ_DEPTH_A of U0 : label is 10240;
attribute C_READ_DEPTH_B : integer;
attribute C_READ_DEPTH_B of U0 : label is 10240;
attribute C_READ_WIDTH_A : integer;
attribute C_READ_WIDTH_A of U0 : label is 8;
attribute C_READ_WIDTH_B : integer;
attribute C_READ_WIDTH_B of U0 : label is 8;
attribute C_RSTRAM_A : integer;
attribute C_RSTRAM_A of U0 : label is 0;
attribute C_RSTRAM_B : integer;
attribute C_RSTRAM_B of U0 : label is 0;
attribute C_RST_PRIORITY_A : string;
attribute C_RST_PRIORITY_A of U0 : label is "CE";
attribute C_RST_PRIORITY_B : string;
attribute C_RST_PRIORITY_B of U0 : label is "CE";
attribute C_SIM_COLLISION_CHECK : string;
attribute C_SIM_COLLISION_CHECK of U0 : label is "ALL";
attribute C_USE_BRAM_BLOCK : integer;
attribute C_USE_BRAM_BLOCK of U0 : label is 0;
attribute C_USE_BYTE_WEA : integer;
attribute C_USE_BYTE_WEA of U0 : label is 1;
attribute C_USE_BYTE_WEB : integer;
attribute C_USE_BYTE_WEB of U0 : label is 1;
attribute C_USE_DEFAULT_DATA : integer;
attribute C_USE_DEFAULT_DATA of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_SOFTECC : integer;
attribute C_USE_SOFTECC of U0 : label is 0;
attribute C_USE_URAM : integer;
attribute C_USE_URAM of U0 : label is 0;
attribute C_WEA_WIDTH : integer;
attribute C_WEA_WIDTH of U0 : label is 1;
attribute C_WEB_WIDTH : integer;
attribute C_WEB_WIDTH of U0 : label is 1;
attribute C_WRITE_DEPTH_A : integer;
attribute C_WRITE_DEPTH_A of U0 : label is 10240;
attribute C_WRITE_DEPTH_B : integer;
attribute C_WRITE_DEPTH_B of U0 : label is 10240;
attribute C_WRITE_MODE_A : string;
attribute C_WRITE_MODE_A of U0 : label is "READ_FIRST";
attribute C_WRITE_MODE_B : string;
attribute C_WRITE_MODE_B of U0 : label is "WRITE_FIRST";
attribute C_WRITE_WIDTH_A : integer;
attribute C_WRITE_WIDTH_A of U0 : label is 8;
attribute C_WRITE_WIDTH_B : integer;
attribute C_WRITE_WIDTH_B of U0 : label is 8;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "artix7";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.FrameBuffer_blk_mem_gen_v8_3_1
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => addrb(13 downto 0),
clka => clka,
clkb => clkb,
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
deepsleep => NLW_U0_deepsleep_UNCONNECTED,
dina(7 downto 0) => dina(7 downto 0),
dinb(7 downto 0) => NLW_U0_dinb_UNCONNECTED(7 downto 0),
douta(7 downto 0) => NLW_U0_douta_UNCONNECTED(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
eccpipece => NLW_U0_eccpipece_UNCONNECTED,
ena => NLW_U0_ena_UNCONNECTED,
enb => NLW_U0_enb_UNCONNECTED,
injectdbiterr => NLW_U0_injectdbiterr_UNCONNECTED,
injectsbiterr => NLW_U0_injectsbiterr_UNCONNECTED,
rdaddrecc(13 downto 0) => NLW_U0_rdaddrecc_UNCONNECTED(13 downto 0),
regcea => NLW_U0_regcea_UNCONNECTED,
regceb => NLW_U0_regceb_UNCONNECTED,
rsta => NLW_U0_rsta_UNCONNECTED,
rsta_busy => NLW_U0_rsta_busy_UNCONNECTED,
rstb => NLW_U0_rstb_UNCONNECTED,
rstb_busy => NLW_U0_rstb_busy_UNCONNECTED,
s_aclk => NLW_U0_s_aclk_UNCONNECTED,
s_aresetn => NLW_U0_s_aresetn_UNCONNECTED,
s_axi_araddr(31 downto 0) => NLW_U0_s_axi_araddr_UNCONNECTED(31 downto 0),
s_axi_arburst(1 downto 0) => NLW_U0_s_axi_arburst_UNCONNECTED(1 downto 0),
s_axi_arid(3 downto 0) => NLW_U0_s_axi_arid_UNCONNECTED(3 downto 0),
s_axi_arlen(7 downto 0) => NLW_U0_s_axi_arlen_UNCONNECTED(7 downto 0),
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arsize(2 downto 0) => NLW_U0_s_axi_arsize_UNCONNECTED(2 downto 0),
s_axi_arvalid => NLW_U0_s_axi_arvalid_UNCONNECTED,
s_axi_awaddr(31 downto 0) => NLW_U0_s_axi_awaddr_UNCONNECTED(31 downto 0),
s_axi_awburst(1 downto 0) => NLW_U0_s_axi_awburst_UNCONNECTED(1 downto 0),
s_axi_awid(3 downto 0) => NLW_U0_s_axi_awid_UNCONNECTED(3 downto 0),
s_axi_awlen(7 downto 0) => NLW_U0_s_axi_awlen_UNCONNECTED(7 downto 0),
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awsize(2 downto 0) => NLW_U0_s_axi_awsize_UNCONNECTED(2 downto 0),
s_axi_awvalid => NLW_U0_s_axi_awvalid_UNCONNECTED,
s_axi_bid(3 downto 0) => NLW_U0_s_axi_bid_UNCONNECTED(3 downto 0),
s_axi_bready => NLW_U0_s_axi_bready_UNCONNECTED,
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_dbiterr => NLW_U0_s_axi_dbiterr_UNCONNECTED,
s_axi_injectdbiterr => NLW_U0_s_axi_injectdbiterr_UNCONNECTED,
s_axi_injectsbiterr => NLW_U0_s_axi_injectsbiterr_UNCONNECTED,
s_axi_rdaddrecc(13 downto 0) => NLW_U0_s_axi_rdaddrecc_UNCONNECTED(13 downto 0),
s_axi_rdata(7 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(7 downto 0),
s_axi_rid(3 downto 0) => NLW_U0_s_axi_rid_UNCONNECTED(3 downto 0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => NLW_U0_s_axi_rready_UNCONNECTED,
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_sbiterr => NLW_U0_s_axi_sbiterr_UNCONNECTED,
s_axi_wdata(7 downto 0) => NLW_U0_s_axi_wdata_UNCONNECTED(7 downto 0),
s_axi_wlast => NLW_U0_s_axi_wlast_UNCONNECTED,
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(0) => NLW_U0_s_axi_wstrb_UNCONNECTED(0),
s_axi_wvalid => NLW_U0_s_axi_wvalid_UNCONNECTED,
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
shutdown => NLW_U0_shutdown_UNCONNECTED,
sleep => NLW_U0_sleep_UNCONNECTED,
wea(0) => wea(0),
web(0) => NLW_U0_web_UNCONNECTED(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity top is
port (
vgaRed : out STD_LOGIC_VECTOR ( 3 downto 0 );
vgaGreen : out STD_LOGIC_VECTOR ( 3 downto 0 );
vgaBlue : out STD_LOGIC_VECTOR ( 3 downto 0 );
Hsync : out STD_LOGIC;
Vsync : out STD_LOGIC;
led : out STD_LOGIC_VECTOR ( 15 downto 0 );
sw : in STD_LOGIC_VECTOR ( 15 downto 0 );
clk : in STD_LOGIC;
btnC : in STD_LOGIC;
btnU : in STD_LOGIC;
btnL : in STD_LOGIC;
btnR : in STD_LOGIC;
btnD : in STD_LOGIC;
PS2Clk : in STD_LOGIC;
PS2Data : in STD_LOGIC;
RsRx : inout STD_LOGIC;
RsTx : inout STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of top : entity is true;
attribute ECO_CHECKSUM : string;
attribute ECO_CHECKSUM of top : entity is "d678d57b";
attribute POWER_OPT_BRAM_CDC : integer;
attribute POWER_OPT_BRAM_CDC of top : entity is 0;
attribute POWER_OPT_BRAM_SR_ADDR : integer;
attribute POWER_OPT_BRAM_SR_ADDR of top : entity is 0;
attribute POWER_OPT_LOOPED_NET_PERCENTAGE : integer;
attribute POWER_OPT_LOOPED_NET_PERCENTAGE of top : entity is 0;
end top;
architecture STRUCTURE of top is
signal Hsync_OBUF : STD_LOGIC;
signal PS2Clk_IBUF : STD_LOGIC;
signal PS2Data_IBUF : STD_LOGIC;
signal Vsync_OBUF : STD_LOGIC;
signal addra : STD_LOGIC_VECTOR ( 13 downto 0 );
signal btnC_IBUF : STD_LOGIC;
signal btnD_IBUF : STD_LOGIC;
signal btnL_IBUF : STD_LOGIC;
signal btnR_IBUF : STD_LOGIC;
signal btnU_IBUF : STD_LOGIC;
signal clk108M : STD_LOGIC;
signal clk10M : STD_LOGIC;
signal clk_1_i_1_n_0 : STD_LOGIC;
signal clk_1_i_2_n_0 : STD_LOGIC;
signal clk_1_i_3_n_0 : STD_LOGIC;
signal clk_1_i_4_n_0 : STD_LOGIC;
signal clk_1_i_5_n_0 : STD_LOGIC;
signal clk_1_reg_n_0 : STD_LOGIC;
signal clk_BUFG : STD_LOGIC;
signal clk_IBUF : STD_LOGIC;
signal \counter[0]__0_i_1_n_0\ : STD_LOGIC;
signal \counter[0]_i_1_n_0\ : STD_LOGIC;
signal \counter[10]_i_1_n_0\ : STD_LOGIC;
signal \counter[13]_i_2_n_0\ : STD_LOGIC;
signal \counter[13]_i_3_n_0\ : STD_LOGIC;
signal \counter[13]_i_4_n_0\ : STD_LOGIC;
signal \counter[13]_i_6_n_0\ : STD_LOGIC;
signal \counter[13]_i_7_n_0\ : STD_LOGIC;
signal \counter[1]_i_1_n_0\ : STD_LOGIC;
signal \counter[22]_i_10_n_0\ : STD_LOGIC;
signal \counter[22]_i_11_n_0\ : STD_LOGIC;
signal \counter[22]_i_12_n_0\ : STD_LOGIC;
signal \counter[22]_i_13_n_0\ : STD_LOGIC;
signal \counter[22]_i_14_n_0\ : STD_LOGIC;
signal \counter[22]_i_15_n_0\ : STD_LOGIC;
signal \counter[22]_i_16_n_0\ : STD_LOGIC;
signal \counter[22]_i_17_n_0\ : STD_LOGIC;
signal \counter[22]_i_18_n_0\ : STD_LOGIC;
signal \counter[22]_i_19_n_0\ : STD_LOGIC;
signal \counter[22]_i_1_n_0\ : STD_LOGIC;
signal \counter[22]_i_20_n_0\ : STD_LOGIC;
signal \counter[22]_i_21_n_0\ : STD_LOGIC;
signal \counter[22]_i_22_n_0\ : STD_LOGIC;
signal \counter[22]_i_7_n_0\ : STD_LOGIC;
signal \counter[2]_i_1_n_0\ : STD_LOGIC;
signal \counter[4]_i_1_n_0\ : STD_LOGIC;
signal \counter[5]_i_1_n_0\ : STD_LOGIC;
signal \counter[6]_i_1_n_0\ : STD_LOGIC;
signal \counter[7]_i_1_n_0\ : STD_LOGIC;
signal \counter[8]_i_1_n_0\ : STD_LOGIC;
signal \counter[9]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[0]__0_n_0\ : STD_LOGIC;
signal \counter_reg[10]__0_n_0\ : STD_LOGIC;
signal \counter_reg[11]__0_n_0\ : STD_LOGIC;
signal \counter_reg[12]__0_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[12]__0_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[12]__0_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[12]__0_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[12]__0_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[12]__0_n_0\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[12]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[13]__0_n_0\ : STD_LOGIC;
signal \counter_reg[13]_i_5_n_7\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[16]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[1]__0_n_0\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[20]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[22]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[22]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[22]_i_3_n_3\ : STD_LOGIC;
signal \counter_reg[22]_i_6_n_0\ : STD_LOGIC;
signal \counter_reg[22]_i_9_n_0\ : STD_LOGIC;
signal \counter_reg[2]__0_n_0\ : STD_LOGIC;
signal \counter_reg[3]__0_n_0\ : STD_LOGIC;
signal \counter_reg[3]_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[3]_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[3]_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[3]_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[3]_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[4]__0_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[4]__0_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[4]__0_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[4]__0_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[4]__0_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[4]__0_n_0\ : STD_LOGIC;
signal \counter_reg[5]__0_n_0\ : STD_LOGIC;
signal \counter_reg[6]__0_n_0\ : STD_LOGIC;
signal \counter_reg[7]__0_n_0\ : STD_LOGIC;
signal \counter_reg[8]__0_i_1_n_0\ : STD_LOGIC;
signal \counter_reg[8]__0_i_1_n_4\ : STD_LOGIC;
signal \counter_reg[8]__0_i_1_n_5\ : STD_LOGIC;
signal \counter_reg[8]__0_i_1_n_6\ : STD_LOGIC;
signal \counter_reg[8]__0_i_1_n_7\ : STD_LOGIC;
signal \counter_reg[8]__0_n_0\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_0\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_4\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_5\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_6\ : STD_LOGIC;
signal \counter_reg[8]_i_2_n_7\ : STD_LOGIC;
signal \counter_reg[9]__0_n_0\ : STD_LOGIC;
signal \counter_reg_n_0_[0]\ : STD_LOGIC;
signal \counter_reg_n_0_[10]\ : STD_LOGIC;
signal \counter_reg_n_0_[11]\ : STD_LOGIC;
signal \counter_reg_n_0_[12]\ : STD_LOGIC;
signal \counter_reg_n_0_[13]\ : STD_LOGIC;
signal \counter_reg_n_0_[14]\ : STD_LOGIC;
signal \counter_reg_n_0_[15]\ : STD_LOGIC;
signal \counter_reg_n_0_[16]\ : STD_LOGIC;
signal \counter_reg_n_0_[17]\ : STD_LOGIC;
signal \counter_reg_n_0_[18]\ : STD_LOGIC;
signal \counter_reg_n_0_[19]\ : STD_LOGIC;
signal \counter_reg_n_0_[1]\ : STD_LOGIC;
signal \counter_reg_n_0_[20]\ : STD_LOGIC;
signal \counter_reg_n_0_[21]\ : STD_LOGIC;
signal \counter_reg_n_0_[22]\ : STD_LOGIC;
signal \counter_reg_n_0_[2]\ : STD_LOGIC;
signal \counter_reg_n_0_[3]\ : STD_LOGIC;
signal \counter_reg_n_0_[4]\ : STD_LOGIC;
signal \counter_reg_n_0_[5]\ : STD_LOGIC;
signal \counter_reg_n_0_[6]\ : STD_LOGIC;
signal \counter_reg_n_0_[7]\ : STD_LOGIC;
signal \counter_reg_n_0_[8]\ : STD_LOGIC;
signal \counter_reg_n_0_[9]\ : STD_LOGIC;
signal current_s : STD_LOGIC_VECTOR ( 1 downto 0 );
signal dina : STD_LOGIC_VECTOR ( 7 downto 0 );
signal doutb : STD_LOGIC_VECTOR ( 7 downto 0 );
signal fbOutAddr : STD_LOGIC_VECTOR ( 13 downto 0 );
signal fb_in_addr0 : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \fb_in_addr[0]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[10]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_3_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_4_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_5_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_6_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_7_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_8_n_0\ : STD_LOGIC;
signal \fb_in_addr[11]_i_9_n_0\ : STD_LOGIC;
signal \fb_in_addr[12]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[13]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[13]_i_3_n_0\ : STD_LOGIC;
signal \fb_in_addr[13]_i_4_n_0\ : STD_LOGIC;
signal \fb_in_addr[1]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[2]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[3]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[3]_i_3_n_0\ : STD_LOGIC;
signal \fb_in_addr[3]_i_4_n_0\ : STD_LOGIC;
signal \fb_in_addr[3]_i_5_n_0\ : STD_LOGIC;
signal \fb_in_addr[3]_i_6_n_0\ : STD_LOGIC;
signal \fb_in_addr[3]_i_7_n_0\ : STD_LOGIC;
signal \fb_in_addr[4]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[5]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[6]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_3_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_4_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_5_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_6_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_7_n_0\ : STD_LOGIC;
signal \fb_in_addr[7]_i_8_n_0\ : STD_LOGIC;
signal \fb_in_addr[8]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr[9]_i_1_n_0\ : STD_LOGIC;
signal \fb_in_addr_reg[11]_i_2_n_0\ : STD_LOGIC;
signal \fb_in_addr_reg[3]_i_2_n_0\ : STD_LOGIC;
signal \fb_in_addr_reg[7]_i_2_n_0\ : STD_LOGIC;
signal fb_in_dat : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \g0_b0__0_n_0\ : STD_LOGIC;
signal \g0_b1__0_n_0\ : STD_LOGIC;
signal \g0_b2__0_n_0\ : STD_LOGIC;
signal \g0_b3__0_n_0\ : STD_LOGIC;
signal \g0_b4__0_n_0\ : STD_LOGIC;
signal \g0_b5__0_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_1_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_2_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_3_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_4_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_5_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_6_n_0\ : STD_LOGIC;
signal \g0_b6__0_i_7_n_0\ : STD_LOGIC;
signal \g0_b6__0_n_0\ : STD_LOGIC;
signal keyboard0_n_0 : STD_LOGIC;
signal keyboard0_n_1 : STD_LOGIC;
signal keyboard0_n_10 : STD_LOGIC;
signal keyboard0_n_2 : STD_LOGIC;
signal next_s : STD_LOGIC_VECTOR ( 1 downto 0 );
signal \next_s[1]_i_2_n_0\ : STD_LOGIC;
signal \sw[0]\ : STD_LOGIC;
signal \sw[0]_IBUF\ : STD_LOGIC;
signal \sw[10]\ : STD_LOGIC;
signal \sw[10]_IBUF\ : STD_LOGIC;
signal \sw[11]\ : STD_LOGIC;
signal \sw[11]_IBUF\ : STD_LOGIC;
signal \sw[12]\ : STD_LOGIC;
signal \sw[12]_IBUF\ : STD_LOGIC;
signal \sw[13]\ : STD_LOGIC;
signal \sw[13]_IBUF\ : STD_LOGIC;
signal \sw[14]\ : STD_LOGIC;
signal \sw[14]_IBUF\ : STD_LOGIC;
signal \sw[15]\ : STD_LOGIC;
signal \sw[15]_IBUF\ : STD_LOGIC;
signal \sw[1]\ : STD_LOGIC;
signal \sw[1]_IBUF\ : STD_LOGIC;
signal \sw[2]\ : STD_LOGIC;
signal \sw[2]_IBUF\ : STD_LOGIC;
signal \sw[3]\ : STD_LOGIC;
signal \sw[3]_IBUF\ : STD_LOGIC;
signal \sw[4]\ : STD_LOGIC;
signal \sw[4]_IBUF\ : STD_LOGIC;
signal \sw[5]\ : STD_LOGIC;
signal \sw[5]_IBUF\ : STD_LOGIC;
signal \sw[6]\ : STD_LOGIC;
signal \sw[6]_IBUF\ : STD_LOGIC;
signal \sw[7]\ : STD_LOGIC;
signal \sw[7]_IBUF\ : STD_LOGIC;
signal \sw[8]\ : STD_LOGIC;
signal \sw[8]_IBUF\ : STD_LOGIC;
signal \sw[9]\ : STD_LOGIC;
signal \sw[9]_IBUF\ : STD_LOGIC;
signal vgaBlue_OBUF : STD_LOGIC_VECTOR ( 0 to 0 );
signal \PS2Clk^Mid\ : STD_LOGIC;
signal \PS2Data^Mid\ : STD_LOGIC;
signal \NLW_counter_reg[12]__0_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[12]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[13]_i_5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter_reg[13]_i_5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_counter_reg[16]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[20]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[22]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter_reg[22]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_counter_reg[22]_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_counter_reg[22]_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter_reg[22]_i_6_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[22]_i_6_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter_reg[22]_i_9_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[22]_i_9_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_counter_reg[3]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[4]__0_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[8]__0_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_counter_reg[8]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_fb_in_addr_reg[11]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_fb_in_addr_reg[13]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \NLW_fb_in_addr_reg[13]_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
signal \NLW_fb_in_addr_reg[3]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \NLW_fb_in_addr_reg[7]_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute OPT_INSERTED : boolean;
attribute OPT_INSERTED of btnC_IBUF_inst : label is std.standard.true;
attribute OPT_INSERTED of btnD_IBUF_inst : label is std.standard.true;
attribute OPT_INSERTED of btnL_IBUF_inst : label is std.standard.true;
attribute OPT_INSERTED of btnR_IBUF_inst : label is std.standard.true;
attribute OPT_INSERTED of btnU_IBUF_inst : label is std.standard.true;
attribute OPT_INSERTED of clk_IBUF_inst : label is std.standard.true;
attribute syn_black_box : string;
attribute syn_black_box of clock0 : label is "TRUE";
attribute syn_black_box of frameBuffer0 : label is "TRUE";
attribute x_core_info : string;
attribute x_core_info of frameBuffer0 : label is "blk_mem_gen_v8_3_1,Vivado 2015.4";
attribute OPT_INSERTED of \sw[0]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[10]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[11]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[12]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[13]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[14]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[15]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[1]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[2]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[3]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[4]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[5]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[6]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[7]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[8]_IBUF_inst\ : label is std.standard.true;
attribute OPT_INSERTED of \sw[9]_IBUF_inst\ : label is std.standard.true;
begin
\PS2Clk^Mid\ <= PS2Clk;
\PS2Data^Mid\ <= PS2Data;
\sw[0]\ <= sw(0);
\sw[10]\ <= sw(10);
\sw[11]\ <= sw(11);
\sw[12]\ <= sw(12);
\sw[13]\ <= sw(13);
\sw[14]\ <= sw(14);
\sw[15]\ <= sw(15);
\sw[1]\ <= sw(1);
\sw[2]\ <= sw(2);
\sw[3]\ <= sw(3);
\sw[4]\ <= sw(4);
\sw[5]\ <= sw(5);
\sw[6]\ <= sw(6);
\sw[7]\ <= sw(7);
\sw[8]\ <= sw(8);
\sw[9]\ <= sw(9);
\pullup_PS2Clk^Midinst\: unisim.vcomponents.PULLUP
port map (
O => \PS2Clk^Mid\
);
\pullup_PS2Data^Midinst\: unisim.vcomponents.PULLUP
port map (
O => \PS2Data^Mid\
);
led(0) <= 'Z';
led(1) <= 'Z';
led(2) <= 'Z';
led(3) <= 'Z';
led(4) <= 'Z';
led(5) <= 'Z';
led(6) <= 'Z';
led(7) <= 'Z';
led(8) <= 'Z';
led(9) <= 'Z';
led(10) <= 'Z';
led(11) <= 'Z';
led(12) <= 'Z';
led(13) <= 'Z';
led(14) <= 'Z';
led(15) <= 'Z';
Hsync_OBUF_inst: unisim.vcomponents.OBUF
port map (
I => Hsync_OBUF,
O => Hsync
);
PS2Clk_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => \PS2Clk^Mid\,
O => PS2Clk_IBUF
);
PS2Data_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => \PS2Data^Mid\,
O => PS2Data_IBUF
);
Vsync_OBUF_inst: unisim.vcomponents.OBUF
port map (
I => Vsync_OBUF,
O => Vsync
);
btnC_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => btnC,
O => btnC_IBUF
);
btnD_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => btnD,
O => btnD_IBUF
);
btnL_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => btnL,
O => btnL_IBUF
);
btnR_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => btnR,
O => btnR_IBUF
);
btnU_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => btnU,
O => btnU_IBUF
);
clk_1_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"2AAAAAAAD5555555"
)
port map (
I0 => \counter_reg[22]_i_3_n_3\,
I1 => clk_1_i_2_n_0,
I2 => clk_1_i_3_n_0,
I3 => clk_1_i_4_n_0,
I4 => clk_1_i_5_n_0,
I5 => clk_1_reg_n_0,
O => clk_1_i_1_n_0
);
clk_1_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => \counter_reg[16]_i_1_n_6\,
I1 => \counter_reg[16]_i_1_n_5\,
I2 => \counter_reg[12]__0_i_1_n_4\,
I3 => \counter_reg[16]_i_1_n_7\,
I4 => \counter_reg[20]_i_1_n_7\,
I5 => \counter_reg[16]_i_1_n_4\,
O => clk_1_i_2_n_0
);
clk_1_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000100000000"
)
port map (
I0 => \counter_reg[20]_i_1_n_4\,
I1 => \counter_reg[22]_i_2_n_7\,
I2 => \counter_reg[20]_i_1_n_6\,
I3 => \counter_reg[20]_i_1_n_5\,
I4 => \counter_reg[22]_i_2_n_6\,
I5 => \counter_reg[0]__0_n_0\,
O => clk_1_i_3_n_0
);
clk_1_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => \counter_reg[8]__0_i_1_n_4\,
I1 => \counter_reg[12]__0_i_1_n_7\,
I2 => \counter_reg[8]__0_i_1_n_6\,
I3 => \counter_reg[8]__0_i_1_n_5\,
I4 => \counter_reg[12]__0_i_1_n_5\,
I5 => \counter_reg[12]__0_i_1_n_6\,
O => clk_1_i_4_n_0
);
clk_1_i_5: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => \counter_reg[4]__0_i_1_n_7\,
I1 => \counter_reg[4]__0_i_1_n_6\,
I2 => \counter_reg[4]__0_i_1_n_5\,
I3 => \counter_reg[8]__0_i_1_n_7\,
I4 => \counter_reg[4]__0_i_1_n_4\,
O => clk_1_i_5_n_0
);
clk_1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => clk_1_i_1_n_0,
Q => clk_1_reg_n_0,
R => '0'
);
clk_BUFG_inst: unisim.vcomponents.BUFG
port map (
I => clk_IBUF,
O => clk_BUFG
);
clk_IBUF_inst: unisim.vcomponents.IBUF
port map (
I => clk,
O => clk_IBUF
);
clock0: entity work.ClockDivider
port map (
clk108M => clk108M,
clk10M => clk10M,
clkIn => clk_BUFG
);
\counter[0]__0_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg[0]__0_n_0\,
O => \counter[0]__0_i_1_n_0\
);
\counter[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg_n_0_[0]\,
O => \counter[0]_i_1_n_0\
);
\counter[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[12]_i_2_n_6\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[10]_i_1_n_0\
);
\counter[13]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[13]_i_5_n_7\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[13]_i_2_n_0\
);
\counter[13]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFFFFFE"
)
port map (
I0 => \counter_reg_n_0_[4]\,
I1 => \counter_reg_n_0_[2]\,
I2 => \counter_reg_n_0_[1]\,
I3 => \counter[13]_i_7_n_0\,
I4 => \g0_b6__0_i_6_n_0\,
O => \counter[13]_i_3_n_0\
);
\counter[13]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"4F"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => current_s(0),
O => \counter[13]_i_4_n_0\
);
\counter[13]_i_6\: unisim.vcomponents.LUT3
generic map(
INIT => X"32"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => current_s(0),
I2 => current_s(1),
O => \counter[13]_i_6_n_0\
);
\counter[13]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFDF"
)
port map (
I0 => \counter_reg_n_0_[13]\,
I1 => \counter_reg_n_0_[0]\,
I2 => \counter_reg_n_0_[11]\,
I3 => \counter_reg_n_0_[3]\,
O => \counter[13]_i_7_n_0\
);
\counter[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[3]_i_1_n_7\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[1]_i_1_n_0\
);
\counter[22]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg[22]_i_3_n_3\,
O => \counter[22]_i_1_n_0\
);
\counter[22]_i_10\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \counter_reg_n_0_[18]\,
I1 => \counter_reg_n_0_[19]\,
O => \counter[22]_i_10_n_0\
);
\counter[22]_i_11\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg_n_0_[14]\,
I1 => \counter_reg_n_0_[15]\,
O => \counter[22]_i_11_n_0\
);
\counter[22]_i_12\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg_n_0_[20]\,
I1 => \counter_reg_n_0_[21]\,
O => \counter[22]_i_12_n_0\
);
\counter[22]_i_13\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg_n_0_[18]\,
I1 => \counter_reg_n_0_[19]\,
O => \counter[22]_i_13_n_0\
);
\counter[22]_i_14\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg_n_0_[16]\,
I1 => \counter_reg_n_0_[17]\,
O => \counter[22]_i_14_n_0\
);
\counter[22]_i_15\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \counter_reg_n_0_[14]\,
I1 => \counter_reg_n_0_[15]\,
O => \counter[22]_i_15_n_0\
);
\counter[22]_i_16\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg[11]__0_n_0\,
O => \counter[22]_i_16_n_0\
);
\counter[22]_i_17\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \counter_reg[8]__0_n_0\,
I1 => \counter_reg[9]__0_n_0\,
O => \counter[22]_i_17_n_0\
);
\counter[22]_i_18\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg[6]__0_n_0\,
I1 => \counter_reg[7]__0_n_0\,
O => \counter[22]_i_18_n_0\
);
\counter[22]_i_19\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg[12]__0_n_0\,
I1 => \counter_reg[13]__0_n_0\,
O => \counter[22]_i_19_n_0\
);
\counter[22]_i_20\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \counter_reg[11]__0_n_0\,
I1 => \counter_reg[10]__0_n_0\,
O => \counter[22]_i_20_n_0\
);
\counter[22]_i_21\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[8]__0_n_0\,
I1 => \counter_reg[9]__0_n_0\,
O => \counter[22]_i_21_n_0\
);
\counter[22]_i_22\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \counter_reg[6]__0_n_0\,
I1 => \counter_reg[7]__0_n_0\,
O => \counter[22]_i_22_n_0\
);
\counter[22]_i_7\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \counter_reg_n_0_[22]\,
O => \counter[22]_i_7_n_0\
);
\counter[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[3]_i_1_n_6\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[2]_i_1_n_0\
);
\counter[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[3]_i_1_n_4\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[4]_i_1_n_0\
);
\counter[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[8]_i_2_n_7\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[5]_i_1_n_0\
);
\counter[6]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[8]_i_2_n_6\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[6]_i_1_n_0\
);
\counter[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[8]_i_2_n_5\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[7]_i_1_n_0\
);
\counter[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[8]_i_2_n_4\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[8]_i_1_n_0\
);
\counter[9]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF01FF0FFF01"
)
port map (
I0 => \counter[13]_i_3_n_0\,
I1 => current_s(1),
I2 => \counter[13]_i_4_n_0\,
I3 => \next_s[1]_i_2_n_0\,
I4 => \counter_reg[12]_i_2_n_7\,
I5 => \counter[13]_i_6_n_0\,
O => \counter[9]_i_1_n_0\
);
\counter_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[0]_i_1_n_0\,
Q => \counter_reg_n_0_[0]\,
R => keyboard0_n_10
);
\counter_reg[0]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter[0]__0_i_1_n_0\,
Q => \counter_reg[0]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[10]_i_1_n_0\,
Q => \counter_reg_n_0_[10]\,
R => '0'
);
\counter_reg[10]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[12]__0_i_1_n_6\,
Q => \counter_reg[10]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter_reg[12]_i_2_n_5\,
Q => \counter_reg_n_0_[11]\,
R => keyboard0_n_10
);
\counter_reg[11]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[12]__0_i_1_n_5\,
Q => \counter_reg[11]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter_reg[12]_i_2_n_4\,
Q => \counter_reg_n_0_[12]\,
R => keyboard0_n_10
);
\counter_reg[12]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[12]__0_i_1_n_4\,
Q => \counter_reg[12]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[12]__0_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[8]__0_i_1_n_0\,
CO(3) => \counter_reg[12]__0_i_1_n_0\,
CO(2 downto 0) => \NLW_counter_reg[12]__0_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[12]__0_i_1_n_4\,
O(2) => \counter_reg[12]__0_i_1_n_5\,
O(1) => \counter_reg[12]__0_i_1_n_6\,
O(0) => \counter_reg[12]__0_i_1_n_7\,
S(3) => \counter_reg[12]__0_n_0\,
S(2) => \counter_reg[11]__0_n_0\,
S(1) => \counter_reg[10]__0_n_0\,
S(0) => \counter_reg[9]__0_n_0\
);
\counter_reg[12]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[8]_i_2_n_0\,
CO(3) => \counter_reg[12]_i_2_n_0\,
CO(2 downto 0) => \NLW_counter_reg[12]_i_2_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[12]_i_2_n_4\,
O(2) => \counter_reg[12]_i_2_n_5\,
O(1) => \counter_reg[12]_i_2_n_6\,
O(0) => \counter_reg[12]_i_2_n_7\,
S(3) => \counter_reg_n_0_[12]\,
S(2) => \counter_reg_n_0_[11]\,
S(1) => \counter_reg_n_0_[10]\,
S(0) => \counter_reg_n_0_[9]\
);
\counter_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[13]_i_2_n_0\,
Q => \counter_reg_n_0_[13]\,
R => '0'
);
\counter_reg[13]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[16]_i_1_n_7\,
Q => \counter_reg[13]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[13]_i_5\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[12]_i_2_n_0\,
CO(3 downto 0) => \NLW_counter_reg[13]_i_5_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 1) => \NLW_counter_reg[13]_i_5_O_UNCONNECTED\(3 downto 1),
O(0) => \counter_reg[13]_i_5_n_7\,
S(3 downto 1) => B"000",
S(0) => \counter_reg_n_0_[13]\
);
\counter_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[16]_i_1_n_6\,
Q => \counter_reg_n_0_[14]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[16]_i_1_n_5\,
Q => \counter_reg_n_0_[15]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[16]_i_1_n_4\,
Q => \counter_reg_n_0_[16]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[16]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[12]__0_i_1_n_0\,
CO(3) => \counter_reg[16]_i_1_n_0\,
CO(2 downto 0) => \NLW_counter_reg[16]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[16]_i_1_n_4\,
O(2) => \counter_reg[16]_i_1_n_5\,
O(1) => \counter_reg[16]_i_1_n_6\,
O(0) => \counter_reg[16]_i_1_n_7\,
S(3) => \counter_reg_n_0_[16]\,
S(2) => \counter_reg_n_0_[15]\,
S(1) => \counter_reg_n_0_[14]\,
S(0) => \counter_reg[13]__0_n_0\
);
\counter_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[20]_i_1_n_7\,
Q => \counter_reg_n_0_[17]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[20]_i_1_n_6\,
Q => \counter_reg_n_0_[18]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[20]_i_1_n_5\,
Q => \counter_reg_n_0_[19]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[1]_i_1_n_0\,
Q => \counter_reg_n_0_[1]\,
R => '0'
);
\counter_reg[1]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[4]__0_i_1_n_7\,
Q => \counter_reg[1]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[20]_i_1_n_4\,
Q => \counter_reg_n_0_[20]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[20]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[16]_i_1_n_0\,
CO(3) => \counter_reg[20]_i_1_n_0\,
CO(2 downto 0) => \NLW_counter_reg[20]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[20]_i_1_n_4\,
O(2) => \counter_reg[20]_i_1_n_5\,
O(1) => \counter_reg[20]_i_1_n_6\,
O(0) => \counter_reg[20]_i_1_n_7\,
S(3) => \counter_reg_n_0_[20]\,
S(2) => \counter_reg_n_0_[19]\,
S(1) => \counter_reg_n_0_[18]\,
S(0) => \counter_reg_n_0_[17]\
);
\counter_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[22]_i_2_n_7\,
Q => \counter_reg_n_0_[21]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[22]_i_2_n_6\,
Q => \counter_reg_n_0_[22]\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[22]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[20]_i_1_n_0\,
CO(3 downto 0) => \NLW_counter_reg[22]_i_2_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_counter_reg[22]_i_2_O_UNCONNECTED\(3 downto 2),
O(1) => \counter_reg[22]_i_2_n_6\,
O(0) => \counter_reg[22]_i_2_n_7\,
S(3 downto 2) => B"00",
S(1) => \counter_reg_n_0_[22]\,
S(0) => \counter_reg_n_0_[21]\
);
\counter_reg[22]_i_3\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[22]_i_6_n_0\,
CO(3 downto 1) => \NLW_counter_reg[22]_i_3_CO_UNCONNECTED\(3 downto 1),
CO(0) => \counter_reg[22]_i_3_n_3\,
CYINIT => '0',
DI(3 downto 1) => B"000",
DI(0) => \counter[22]_i_7_n_0\,
O(3 downto 0) => \NLW_counter_reg[22]_i_3_O_UNCONNECTED\(3 downto 0),
S(3 downto 1) => B"000",
S(0) => \counter_reg_n_0_[22]\
);
\counter_reg[22]_i_6\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[22]_i_9_n_0\,
CO(3) => \counter_reg[22]_i_6_n_0\,
CO(2 downto 0) => \NLW_counter_reg[22]_i_6_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3) => '0',
DI(2) => \counter[22]_i_10_n_0\,
DI(1) => '0',
DI(0) => \counter[22]_i_11_n_0\,
O(3 downto 0) => \NLW_counter_reg[22]_i_6_O_UNCONNECTED\(3 downto 0),
S(3) => \counter[22]_i_12_n_0\,
S(2) => \counter[22]_i_13_n_0\,
S(1) => \counter[22]_i_14_n_0\,
S(0) => \counter[22]_i_15_n_0\
);
\counter_reg[22]_i_9\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \counter_reg[22]_i_9_n_0\,
CO(2 downto 0) => \NLW_counter_reg[22]_i_9_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3) => '0',
DI(2) => \counter[22]_i_16_n_0\,
DI(1) => \counter[22]_i_17_n_0\,
DI(0) => \counter[22]_i_18_n_0\,
O(3 downto 0) => \NLW_counter_reg[22]_i_9_O_UNCONNECTED\(3 downto 0),
S(3) => \counter[22]_i_19_n_0\,
S(2) => \counter[22]_i_20_n_0\,
S(1) => \counter[22]_i_21_n_0\,
S(0) => \counter[22]_i_22_n_0\
);
\counter_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[2]_i_1_n_0\,
Q => \counter_reg_n_0_[2]\,
R => '0'
);
\counter_reg[2]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[4]__0_i_1_n_6\,
Q => \counter_reg[2]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter_reg[3]_i_1_n_5\,
Q => \counter_reg_n_0_[3]\,
R => keyboard0_n_10
);
\counter_reg[3]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[4]__0_i_1_n_5\,
Q => \counter_reg[3]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \counter_reg[3]_i_1_n_0\,
CO(2 downto 0) => \NLW_counter_reg[3]_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => \counter_reg_n_0_[0]\,
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[3]_i_1_n_4\,
O(2) => \counter_reg[3]_i_1_n_5\,
O(1) => \counter_reg[3]_i_1_n_6\,
O(0) => \counter_reg[3]_i_1_n_7\,
S(3) => \counter_reg_n_0_[4]\,
S(2) => \counter_reg_n_0_[3]\,
S(1) => \counter_reg_n_0_[2]\,
S(0) => \counter_reg_n_0_[1]\
);
\counter_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[4]_i_1_n_0\,
Q => \counter_reg_n_0_[4]\,
R => '0'
);
\counter_reg[4]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[4]__0_i_1_n_4\,
Q => \counter_reg[4]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[4]__0_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \counter_reg[4]__0_i_1_n_0\,
CO(2 downto 0) => \NLW_counter_reg[4]__0_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => \counter_reg[0]__0_n_0\,
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[4]__0_i_1_n_4\,
O(2) => \counter_reg[4]__0_i_1_n_5\,
O(1) => \counter_reg[4]__0_i_1_n_6\,
O(0) => \counter_reg[4]__0_i_1_n_7\,
S(3) => \counter_reg[4]__0_n_0\,
S(2) => \counter_reg[3]__0_n_0\,
S(1) => \counter_reg[2]__0_n_0\,
S(0) => \counter_reg[1]__0_n_0\
);
\counter_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[5]_i_1_n_0\,
Q => \counter_reg_n_0_[5]\,
R => '0'
);
\counter_reg[5]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[8]__0_i_1_n_7\,
Q => \counter_reg[5]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[6]_i_1_n_0\,
Q => \counter_reg_n_0_[6]\,
R => '0'
);
\counter_reg[6]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[8]__0_i_1_n_6\,
Q => \counter_reg[6]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[7]_i_1_n_0\,
Q => \counter_reg_n_0_[7]\,
R => '0'
);
\counter_reg[7]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[8]__0_i_1_n_5\,
Q => \counter_reg[7]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[8]_i_1_n_0\,
Q => \counter_reg_n_0_[8]\,
R => '0'
);
\counter_reg[8]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[8]__0_i_1_n_4\,
Q => \counter_reg[8]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\counter_reg[8]__0_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[4]__0_i_1_n_0\,
CO(3) => \counter_reg[8]__0_i_1_n_0\,
CO(2 downto 0) => \NLW_counter_reg[8]__0_i_1_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[8]__0_i_1_n_4\,
O(2) => \counter_reg[8]__0_i_1_n_5\,
O(1) => \counter_reg[8]__0_i_1_n_6\,
O(0) => \counter_reg[8]__0_i_1_n_7\,
S(3) => \counter_reg[8]__0_n_0\,
S(2) => \counter_reg[7]__0_n_0\,
S(1) => \counter_reg[6]__0_n_0\,
S(0) => \counter_reg[5]__0_n_0\
);
\counter_reg[8]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \counter_reg[3]_i_1_n_0\,
CO(3) => \counter_reg[8]_i_2_n_0\,
CO(2 downto 0) => \NLW_counter_reg[8]_i_2_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3) => \counter_reg[8]_i_2_n_4\,
O(2) => \counter_reg[8]_i_2_n_5\,
O(1) => \counter_reg[8]_i_2_n_6\,
O(0) => \counter_reg[8]_i_2_n_7\,
S(3) => \counter_reg_n_0_[8]\,
S(2) => \counter_reg_n_0_[7]\,
S(1) => \counter_reg_n_0_[6]\,
S(0) => \counter_reg_n_0_[5]\
);
\counter_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \counter[9]_i_1_n_0\,
Q => \counter_reg_n_0_[9]\,
R => '0'
);
\counter_reg[9]__0\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk10M,
CE => '1',
D => \counter_reg[12]__0_i_1_n_7\,
Q => \counter_reg[9]__0_n_0\,
R => \counter[22]_i_1_n_0\
);
\current_s_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => next_s(0),
Q => current_s(0),
R => '0'
);
\current_s_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => next_s(1),
Q => current_s(1),
R => '0'
);
\fb_in_addr[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"11F100E0"
)
port map (
I0 => current_s(1),
I1 => current_s(0),
I2 => \counter[13]_i_3_n_0\,
I3 => \counter_reg_n_0_[0]\,
I4 => fb_in_addr0(0),
O => \fb_in_addr[0]_i_1_n_0\
);
\fb_in_addr[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(10),
I1 => \counter_reg[12]_i_2_n_6\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[10]_i_1_n_0\
);
\fb_in_addr[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F111E000"
)
port map (
I0 => current_s(1),
I1 => current_s(0),
I2 => \counter[13]_i_3_n_0\,
I3 => \counter_reg[12]_i_2_n_5\,
I4 => fb_in_addr0(11),
O => \fb_in_addr[11]_i_1_n_0\
);
\fb_in_addr[11]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[12]_i_2_n_5\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[11]_i_3_n_0\
);
\fb_in_addr[11]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[12]_i_2_n_6\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[11]_i_4_n_0\
);
\fb_in_addr[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[8]_i_2_n_4\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[11]_i_5_n_0\
);
\fb_in_addr[11]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[12]_i_2_n_5\,
O => \fb_in_addr[11]_i_6_n_0\
);
\fb_in_addr[11]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[12]_i_2_n_6\,
O => \fb_in_addr[11]_i_7_n_0\
);
\fb_in_addr[11]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[12]_i_2_n_7\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[11]_i_8_n_0\
);
\fb_in_addr[11]_i_9\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[8]_i_2_n_4\,
O => \fb_in_addr[11]_i_9_n_0\
);
\fb_in_addr[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F111E000"
)
port map (
I0 => current_s(1),
I1 => current_s(0),
I2 => \counter[13]_i_3_n_0\,
I3 => \counter_reg[12]_i_2_n_4\,
I4 => fb_in_addr0(12),
O => \fb_in_addr[12]_i_1_n_0\
);
\fb_in_addr[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(13),
I1 => \counter_reg[13]_i_5_n_7\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[13]_i_1_n_0\
);
\fb_in_addr[13]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[13]_i_5_n_7\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[13]_i_3_n_0\
);
\fb_in_addr[13]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[12]_i_2_n_4\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[13]_i_4_n_0\
);
\fb_in_addr[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(1),
I1 => \counter_reg[3]_i_1_n_7\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[1]_i_1_n_0\
);
\fb_in_addr[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(2),
I1 => \counter_reg[3]_i_1_n_6\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[2]_i_1_n_0\
);
\fb_in_addr[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"F111E000"
)
port map (
I0 => current_s(1),
I1 => current_s(0),
I2 => \counter[13]_i_3_n_0\,
I3 => \counter_reg[3]_i_1_n_5\,
I4 => fb_in_addr0(3),
O => \fb_in_addr[3]_i_1_n_0\
);
\fb_in_addr[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[3]_i_1_n_7\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[3]_i_3_n_0\
);
\fb_in_addr[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[3]_i_1_n_5\,
O => \fb_in_addr[3]_i_4_n_0\
);
\fb_in_addr[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[3]_i_1_n_6\,
O => \fb_in_addr[3]_i_5_n_0\
);
\fb_in_addr[3]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[3]_i_1_n_7\,
O => \fb_in_addr[3]_i_6_n_0\
);
\fb_in_addr[3]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg_n_0_[0]\,
O => \fb_in_addr[3]_i_7_n_0\
);
\fb_in_addr[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(4),
I1 => \counter_reg[3]_i_1_n_4\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[4]_i_1_n_0\
);
\fb_in_addr[5]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(5),
I1 => \counter_reg[8]_i_2_n_7\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[5]_i_1_n_0\
);
\fb_in_addr[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(6),
I1 => \counter_reg[8]_i_2_n_6\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[6]_i_1_n_0\
);
\fb_in_addr[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(7),
I1 => \counter_reg[8]_i_2_n_5\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[7]_i_1_n_0\
);
\fb_in_addr[7]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[8]_i_2_n_6\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[7]_i_3_n_0\
);
\fb_in_addr[7]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[8]_i_2_n_7\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[7]_i_4_n_0\
);
\fb_in_addr[7]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[8]_i_2_n_5\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[7]_i_5_n_0\
);
\fb_in_addr[7]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[8]_i_2_n_6\,
O => \fb_in_addr[7]_i_6_n_0\
);
\fb_in_addr[7]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => \g0_b6__0_i_1_n_0\,
I1 => \counter_reg[8]_i_2_n_7\,
O => \fb_in_addr[7]_i_7_n_0\
);
\fb_in_addr[7]_i_8\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \counter_reg[3]_i_1_n_4\,
I1 => \g0_b6__0_i_1_n_0\,
O => \fb_in_addr[7]_i_8_n_0\
);
\fb_in_addr[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(8),
I1 => \counter_reg[8]_i_2_n_4\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[8]_i_1_n_0\
);
\fb_in_addr[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCCA0FFA"
)
port map (
I0 => fb_in_addr0(9),
I1 => \counter_reg[12]_i_2_n_7\,
I2 => current_s(0),
I3 => current_s(1),
I4 => \counter[13]_i_3_n_0\,
O => \fb_in_addr[9]_i_1_n_0\
);
\fb_in_addr_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[0]_i_1_n_0\,
Q => addra(0),
R => '0'
);
\fb_in_addr_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[10]_i_1_n_0\,
Q => addra(10),
R => '0'
);
\fb_in_addr_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[11]_i_1_n_0\,
Q => addra(11),
R => '0'
);
\fb_in_addr_reg[11]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \fb_in_addr_reg[7]_i_2_n_0\,
CO(3) => \fb_in_addr_reg[11]_i_2_n_0\,
CO(2 downto 0) => \NLW_fb_in_addr_reg[11]_i_2_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3) => \fb_in_addr[11]_i_3_n_0\,
DI(2) => \fb_in_addr[11]_i_4_n_0\,
DI(1) => '0',
DI(0) => \fb_in_addr[11]_i_5_n_0\,
O(3 downto 0) => fb_in_addr0(11 downto 8),
S(3) => \fb_in_addr[11]_i_6_n_0\,
S(2) => \fb_in_addr[11]_i_7_n_0\,
S(1) => \fb_in_addr[11]_i_8_n_0\,
S(0) => \fb_in_addr[11]_i_9_n_0\
);
\fb_in_addr_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[12]_i_1_n_0\,
Q => addra(12),
R => '0'
);
\fb_in_addr_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[13]_i_1_n_0\,
Q => addra(13),
R => '0'
);
\fb_in_addr_reg[13]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \fb_in_addr_reg[11]_i_2_n_0\,
CO(3 downto 0) => \NLW_fb_in_addr_reg[13]_i_2_CO_UNCONNECTED\(3 downto 0),
CYINIT => '0',
DI(3 downto 0) => B"0000",
O(3 downto 2) => \NLW_fb_in_addr_reg[13]_i_2_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => fb_in_addr0(13 downto 12),
S(3 downto 2) => B"00",
S(1) => \fb_in_addr[13]_i_3_n_0\,
S(0) => \fb_in_addr[13]_i_4_n_0\
);
\fb_in_addr_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[1]_i_1_n_0\,
Q => addra(1),
R => '0'
);
\fb_in_addr_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[2]_i_1_n_0\,
Q => addra(2),
R => '0'
);
\fb_in_addr_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[3]_i_1_n_0\,
Q => addra(3),
R => '0'
);
\fb_in_addr_reg[3]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \fb_in_addr_reg[3]_i_2_n_0\,
CO(2 downto 0) => \NLW_fb_in_addr_reg[3]_i_2_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3 downto 2) => B"00",
DI(1) => \fb_in_addr[3]_i_3_n_0\,
DI(0) => '0',
O(3 downto 0) => fb_in_addr0(3 downto 0),
S(3) => \fb_in_addr[3]_i_4_n_0\,
S(2) => \fb_in_addr[3]_i_5_n_0\,
S(1) => \fb_in_addr[3]_i_6_n_0\,
S(0) => \fb_in_addr[3]_i_7_n_0\
);
\fb_in_addr_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[4]_i_1_n_0\,
Q => addra(4),
R => '0'
);
\fb_in_addr_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[5]_i_1_n_0\,
Q => addra(5),
R => '0'
);
\fb_in_addr_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[6]_i_1_n_0\,
Q => addra(6),
R => '0'
);
\fb_in_addr_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[7]_i_1_n_0\,
Q => addra(7),
R => '0'
);
\fb_in_addr_reg[7]_i_2\: unisim.vcomponents.CARRY4
port map (
CI => \fb_in_addr_reg[3]_i_2_n_0\,
CO(3) => \fb_in_addr_reg[7]_i_2_n_0\,
CO(2 downto 0) => \NLW_fb_in_addr_reg[7]_i_2_CO_UNCONNECTED\(2 downto 0),
CYINIT => '0',
DI(3) => '0',
DI(2) => \fb_in_addr[7]_i_3_n_0\,
DI(1) => \fb_in_addr[7]_i_4_n_0\,
DI(0) => '0',
O(3 downto 0) => fb_in_addr0(7 downto 4),
S(3) => \fb_in_addr[7]_i_5_n_0\,
S(2) => \fb_in_addr[7]_i_6_n_0\,
S(1) => \fb_in_addr[7]_i_7_n_0\,
S(0) => \fb_in_addr[7]_i_8_n_0\
);
\fb_in_addr_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[8]_i_1_n_0\,
Q => addra(8),
R => '0'
);
\fb_in_addr_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => \fb_in_addr[9]_i_1_n_0\,
Q => addra(9),
R => '0'
);
\fb_in_dat[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"02"
)
port map (
I0 => clk_1_reg_n_0,
I1 => current_s(1),
I2 => current_s(0),
O => fb_in_dat(7)
);
\fb_in_dat_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(0),
Q => dina(0),
R => '0'
);
\fb_in_dat_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(1),
Q => dina(1),
R => '0'
);
\fb_in_dat_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(2),
Q => dina(2),
R => '0'
);
\fb_in_dat_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(3),
Q => dina(3),
R => '0'
);
\fb_in_dat_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(4),
Q => dina(4),
R => '0'
);
\fb_in_dat_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(5),
Q => dina(5),
R => '0'
);
\fb_in_dat_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(6),
Q => dina(6),
R => '0'
);
\fb_in_dat_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => keyboard0_n_2,
D => fb_in_dat(7),
Q => dina(7),
R => '0'
);
frameBuffer0: entity work.FrameBuffer
port map (
addra(13 downto 0) => addra(13 downto 0),
addrb(13 downto 0) => fbOutAddr(13 downto 0),
clka => clk_BUFG,
clkb => clk108M,
dina(7 downto 0) => dina(7 downto 0),
doutb(7 downto 0) => doutb(7 downto 0),
wea(0) => '1'
);
\g0_b0__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000F40F40FBBBFB0"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b0__0_n_0\
);
\g0_b1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"04F0B4F400B40F40"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b1__0_n_0\
);
\g0_b2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"04FFBFB4B40400B0"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b2__0_n_0\
);
\g0_b3__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"04F0F4B40BBF0000"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b3__0_n_0\
);
\g0_b4__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"00040B00BB0B0F4B"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b4__0_n_0\
);
\g0_b5__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"04FFFFFFFFFFFFF0"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b5__0_n_0\
);
\g0_b6__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000FFFF4BFBFBFFB"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \g0_b6__0_i_2_n_0\,
I3 => \g0_b6__0_i_3_n_0\,
I4 => \g0_b6__0_i_4_n_0\,
I5 => \g0_b6__0_i_5_n_0\,
O => \g0_b6__0_n_0\
);
\g0_b6__0_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000000007F"
)
port map (
I0 => \counter_reg_n_0_[4]\,
I1 => \counter_reg_n_0_[2]\,
I2 => \counter_reg_n_0_[3]\,
I3 => \counter_reg_n_0_[11]\,
I4 => \counter_reg_n_0_[13]\,
I5 => \g0_b6__0_i_6_n_0\,
O => \g0_b6__0_i_1_n_0\
);
\g0_b6__0_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"84"
)
port map (
I0 => \counter_reg_n_0_[0]\,
I1 => \g0_b6__0_i_1_n_0\,
I2 => \counter_reg[3]_i_1_n_7\,
O => \g0_b6__0_i_2_n_0\
);
\g0_b6__0_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"D020"
)
port map (
I0 => \counter_reg[3]_i_1_n_7\,
I1 => \counter_reg_n_0_[0]\,
I2 => \g0_b6__0_i_1_n_0\,
I3 => \counter_reg[3]_i_1_n_6\,
O => \g0_b6__0_i_3_n_0\
);
\g0_b6__0_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"A060A0A0"
)
port map (
I0 => \counter_reg[3]_i_1_n_5\,
I1 => \counter_reg[3]_i_1_n_6\,
I2 => \g0_b6__0_i_1_n_0\,
I3 => \counter_reg_n_0_[0]\,
I4 => \counter_reg[3]_i_1_n_7\,
O => \g0_b6__0_i_4_n_0\
);
\g0_b6__0_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"D0F0F0F020000000"
)
port map (
I0 => \counter_reg[3]_i_1_n_7\,
I1 => \counter_reg_n_0_[0]\,
I2 => \g0_b6__0_i_1_n_0\,
I3 => \counter_reg[3]_i_1_n_6\,
I4 => \counter_reg[3]_i_1_n_5\,
I5 => \counter_reg[3]_i_1_n_4\,
O => \g0_b6__0_i_5_n_0\
);
\g0_b6__0_i_6\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \g0_b6__0_i_7_n_0\,
I1 => \counter_reg_n_0_[7]\,
I2 => \counter_reg_n_0_[9]\,
I3 => \counter_reg_n_0_[8]\,
O => \g0_b6__0_i_6_n_0\
);
\g0_b6__0_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \counter_reg_n_0_[6]\,
I1 => \counter_reg_n_0_[5]\,
I2 => \counter_reg_n_0_[10]\,
I3 => \counter_reg_n_0_[12]\,
O => \g0_b6__0_i_7_n_0\
);
keyboard0: entity work.ps2_keyboard_to_ascii
port map (
D(1 downto 0) => next_s(1 downto 0),
PS2Clk_IBUF => PS2Clk_IBUF,
PS2Data_IBUF => PS2Data_IBUF,
Q(1 downto 0) => current_s(1 downto 0),
clk_BUFG => clk_BUFG,
\counter_reg[0]\ => keyboard0_n_2,
\counter_reg[0]_0\ => \g0_b0__0_n_0\,
\counter_reg[0]_1\ => \g0_b1__0_n_0\,
\counter_reg[0]_2\ => \g0_b2__0_n_0\,
\counter_reg[0]_3\ => \g0_b3__0_n_0\,
\counter_reg[0]_4\ => \g0_b4__0_n_0\,
\counter_reg[0]_5\ => \g0_b5__0_n_0\,
\counter_reg[0]_6\ => \g0_b6__0_n_0\,
\counter_reg[12]\ => keyboard0_n_10,
\counter_reg[4]\ => \g0_b6__0_i_1_n_0\,
\counter_reg[4]_0\ => \counter[13]_i_3_n_0\,
\current_s_reg[0]\ => \next_s[1]_i_2_n_0\,
\fb_in_dat_reg[6]\(6 downto 0) => fb_in_dat(6 downto 0),
\next_s_reg[0]\ => keyboard0_n_1,
\next_s_reg[1]\ => keyboard0_n_0
);
\next_s[1]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => current_s(0),
I1 => current_s(1),
I2 => \counter[13]_i_3_n_0\,
O => \next_s[1]_i_2_n_0\
);
\next_s_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => keyboard0_n_1,
Q => next_s(0),
R => '0'
);
\next_s_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => clk_BUFG,
CE => '1',
D => keyboard0_n_0,
Q => next_s(1),
R => '0'
);
\sw[0]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[0]\,
O => \sw[0]_IBUF\
);
\sw[10]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[10]\,
O => \sw[10]_IBUF\
);
\sw[11]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[11]\,
O => \sw[11]_IBUF\
);
\sw[12]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[12]\,
O => \sw[12]_IBUF\
);
\sw[13]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[13]\,
O => \sw[13]_IBUF\
);
\sw[14]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[14]\,
O => \sw[14]_IBUF\
);
\sw[15]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[15]\,
O => \sw[15]_IBUF\
);
\sw[1]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[1]\,
O => \sw[1]_IBUF\
);
\sw[2]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[2]\,
O => \sw[2]_IBUF\
);
\sw[3]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[3]\,
O => \sw[3]_IBUF\
);
\sw[4]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[4]\,
O => \sw[4]_IBUF\
);
\sw[5]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[5]\,
O => \sw[5]_IBUF\
);
\sw[6]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[6]\,
O => \sw[6]_IBUF\
);
\sw[7]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[7]\,
O => \sw[7]_IBUF\
);
\sw[8]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[8]\,
O => \sw[8]_IBUF\
);
\sw[9]_IBUF_inst\: unisim.vcomponents.IBUF
port map (
I => \sw[9]\,
O => \sw[9]_IBUF\
);
vga0: entity work.Vga
port map (
D(7 downto 0) => doutb(7 downto 0),
Hsync_OBUF => Hsync_OBUF,
Vsync_OBUF => Vsync_OBUF,
addrb(13 downto 0) => fbOutAddr(13 downto 0),
clk108M => clk108M,
vgaBlue_OBUF(0) => vgaBlue_OBUF(0)
);
\vgaBlue_OBUF[0]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaBlue(0)
);
\vgaBlue_OBUF[1]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaBlue(1)
);
\vgaBlue_OBUF[2]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaBlue(2)
);
\vgaBlue_OBUF[3]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaBlue(3)
);
\vgaGreen_OBUF[0]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaGreen(0)
);
\vgaGreen_OBUF[1]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaGreen(1)
);
\vgaGreen_OBUF[2]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaGreen(2)
);
\vgaGreen_OBUF[3]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaGreen(3)
);
\vgaRed_OBUF[0]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaRed(0)
);
\vgaRed_OBUF[1]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaRed(1)
);
\vgaRed_OBUF[2]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaRed(2)
);
\vgaRed_OBUF[3]_inst\: unisim.vcomponents.OBUF
port map (
I => vgaBlue_OBUF(0),
O => vgaRed(3)
);
end STRUCTURE;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/led_controller/led_controller.cache/ip/2017.3/38a92fb39758d0fa/led_controller_design_rst_ps7_0_100M_0_sim_netlist.vhdl
|
1
|
35714
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017
-- Date : Tue Oct 17 15:19:41 2017
-- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS
-- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ led_controller_design_rst_ps7_0_100M_0_sim_netlist.vhdl
-- Design : led_controller_design_rst_ps7_0_100M_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
port (
lpf_asr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_asr : in STD_LOGIC;
asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 );
p_1_in : in STD_LOGIC;
p_2_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync is
signal asr_d1 : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => asr_d1,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => aux_reset_in,
O => asr_d1
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_asr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_asr,
I1 => asr_lpf(0),
I2 => \^scndry_out\,
I3 => p_1_in,
I4 => p_2_in,
O => lpf_asr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
port (
lpf_exr_reg : out STD_LOGIC;
scndry_out : out STD_LOGIC;
lpf_exr : in STD_LOGIC;
p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 );
mb_debug_sys_rst : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 : entity is "cdc_sync";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0 is
signal \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ : STD_LOGIC;
signal s_level_out_d1_cdc_to : STD_LOGIC;
signal s_level_out_d2 : STD_LOGIC;
signal s_level_out_d3 : STD_LOGIC;
signal \^scndry_out\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR";
attribute box_type : string;
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE";
attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true;
attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR";
attribute box_type of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE";
begin
scndry_out <= \^scndry_out\;
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\,
Q => s_level_out_d1_cdc_to,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => mb_debug_sys_rst,
I1 => ext_reset_in,
O => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d1_cdc_to,
Q => s_level_out_d2,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d2,
Q => s_level_out_d3,
R => '0'
);
\GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => s_level_out_d3,
Q => \^scndry_out\,
R => '0'
);
lpf_exr_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"EAAAAAA8"
)
port map (
I0 => lpf_exr,
I1 => p_3_out(0),
I2 => \^scndry_out\,
I3 => p_3_out(1),
I4 => p_3_out(2),
O => lpf_exr_reg
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
port (
Q : out STD_LOGIC_VECTOR ( 5 downto 0 );
seq_clr : in STD_LOGIC;
seq_cnt_en : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n is
signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 );
signal clear : STD_LOGIC;
signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0";
begin
Q(5 downto 0) <= \^q\(5 downto 0);
\q_int[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => q_int0(0)
);
\q_int[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => q_int0(1)
);
\q_int[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
I2 => \^q\(2),
O => q_int0(2)
);
\q_int[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
I3 => \^q\(3),
O => q_int0(3)
);
\q_int[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
I4 => \^q\(4),
O => q_int0(4)
);
\q_int[5]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => seq_clr,
O => clear
);
\q_int[5]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFF80000000"
)
port map (
I0 => \^q\(3),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(4),
I5 => \^q\(5),
O => q_int0(5)
);
\q_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(0),
Q => \^q\(0),
R => clear
);
\q_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(1),
Q => \^q\(1),
R => clear
);
\q_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(2),
Q => \^q\(2),
R => clear
);
\q_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(3),
Q => \^q\(3),
R => clear
);
\q_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(4),
Q => \^q\(4),
R => clear
);
\q_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => seq_cnt_en,
D => q_int0(5),
Q => \^q\(5),
R => clear
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
port (
lpf_int : out STD_LOGIC;
slowest_sync_clk : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
ext_reset_in : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf is
signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC;
signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC;
signal Q : STD_LOGIC;
signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 );
signal lpf_asr : STD_LOGIC;
signal lpf_exr : STD_LOGIC;
signal \lpf_int0__0\ : STD_LOGIC;
signal p_1_in : STD_LOGIC;
signal p_2_in : STD_LOGIC;
signal p_3_in1_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16";
attribute box_type : string;
attribute box_type of POR_SRL_I : label is "PRIMITIVE";
attribute srl_name : string;
attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I ";
begin
\ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync
port map (
asr_lpf(0) => asr_lpf(0),
aux_reset_in => aux_reset_in,
lpf_asr => lpf_asr,
lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
p_1_in => p_1_in,
p_2_in => p_2_in,
scndry_out => p_3_in1_in,
slowest_sync_clk => slowest_sync_clk
);
\ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_cdc_sync_0
port map (
ext_reset_in => ext_reset_in,
lpf_exr => lpf_exr,
lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\,
mb_debug_sys_rst => mb_debug_sys_rst,
p_3_out(2 downto 0) => p_3_out(2 downto 0),
scndry_out => p_3_out(3),
slowest_sync_clk => slowest_sync_clk
);
\AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_in1_in,
Q => p_2_in,
R => '0'
);
\AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_2_in,
Q => p_1_in,
R => '0'
);
\AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_1_in,
Q => asr_lpf(0),
R => '0'
);
\EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(3),
Q => p_3_out(2),
R => '0'
);
\EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => p_3_out(1),
R => '0'
);
\EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(1),
Q => p_3_out(0),
R => '0'
);
POR_SRL_I: unisim.vcomponents.SRL16E
generic map(
INIT => X"FFFF"
)
port map (
A0 => '1',
A1 => '1',
A2 => '1',
A3 => '1',
CE => '1',
CLK => slowest_sync_clk,
D => '0',
Q => Q
);
lpf_asr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\,
Q => lpf_asr,
R => '0'
);
lpf_exr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\,
Q => lpf_exr,
R => '0'
);
lpf_int0: unisim.vcomponents.LUT4
generic map(
INIT => X"FFEF"
)
port map (
I0 => Q,
I1 => lpf_asr,
I2 => dcm_locked,
I3 => lpf_exr,
O => \lpf_int0__0\
);
lpf_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \lpf_int0__0\,
Q => lpf_int,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
port (
MB_out : out STD_LOGIC;
Bsr_out : out STD_LOGIC;
Pr_out : out STD_LOGIC;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : out STD_LOGIC;
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : out STD_LOGIC;
lpf_int : in STD_LOGIC;
slowest_sync_clk : in STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr is
signal \^bsr_out\ : STD_LOGIC;
signal Core_i_1_n_0 : STD_LOGIC;
signal \^mb_out\ : STD_LOGIC;
signal \^pr_out\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal bsr_i_1_n_0 : STD_LOGIC;
signal \core_dec[0]_i_1_n_0\ : STD_LOGIC;
signal \core_dec[2]_i_1_n_0\ : STD_LOGIC;
signal \core_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \core_dec_reg_n_0_[1]\ : STD_LOGIC;
signal from_sys_i_1_n_0 : STD_LOGIC;
signal p_0_in : STD_LOGIC;
signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \pr_dec0__0\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC;
signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC;
signal pr_i_1_n_0 : STD_LOGIC;
signal seq_clr : STD_LOGIC;
signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 );
signal seq_cnt_en : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4";
begin
Bsr_out <= \^bsr_out\;
MB_out <= \^mb_out\;
Pr_out <= \^pr_out\;
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^bsr_out\,
O => \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^pr_out\,
O => \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\
);
Core_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^mb_out\,
I1 => p_0_in,
O => Core_i_1_n_0
);
Core_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Core_i_1_n_0,
Q => \^mb_out\,
S => lpf_int
);
SEQ_COUNTER: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_upcnt_n
port map (
Q(5 downto 0) => seq_cnt(5 downto 0),
seq_clr => seq_clr,
seq_cnt_en => seq_cnt_en,
slowest_sync_clk => slowest_sync_clk
);
\bsr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0804"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(3),
I2 => seq_cnt(5),
I3 => seq_cnt(4),
O => p_5_out(0)
);
\bsr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \bsr_dec_reg_n_0_[0]\,
O => p_5_out(2)
);
\bsr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(0),
Q => \bsr_dec_reg_n_0_[0]\,
R => '0'
);
\bsr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_5_out(2),
Q => \bsr_dec_reg_n_0_[2]\,
R => '0'
);
bsr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^bsr_out\,
I1 => \bsr_dec_reg_n_0_[2]\,
O => bsr_i_1_n_0
);
bsr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => bsr_i_1_n_0,
Q => \^bsr_out\,
S => lpf_int
);
\core_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8040"
)
port map (
I0 => seq_cnt(4),
I1 => seq_cnt(3),
I2 => seq_cnt(5),
I3 => seq_cnt_en,
O => \core_dec[0]_i_1_n_0\
);
\core_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \core_dec_reg_n_0_[0]\,
O => \core_dec[2]_i_1_n_0\
);
\core_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[0]_i_1_n_0\,
Q => \core_dec_reg_n_0_[0]\,
R => '0'
);
\core_dec_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \pr_dec0__0\,
Q => \core_dec_reg_n_0_[1]\,
R => '0'
);
\core_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => \core_dec[2]_i_1_n_0\,
Q => p_0_in,
R => '0'
);
from_sys_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^mb_out\,
I1 => seq_cnt_en,
O => from_sys_i_1_n_0
);
from_sys_reg: unisim.vcomponents.FDSE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => from_sys_i_1_n_0,
Q => seq_cnt_en,
S => lpf_int
);
pr_dec0: unisim.vcomponents.LUT4
generic map(
INIT => X"0210"
)
port map (
I0 => seq_cnt(0),
I1 => seq_cnt(1),
I2 => seq_cnt(2),
I3 => seq_cnt_en,
O => \pr_dec0__0\
);
\pr_dec[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"1080"
)
port map (
I0 => seq_cnt_en,
I1 => seq_cnt(5),
I2 => seq_cnt(3),
I3 => seq_cnt(4),
O => p_3_out(0)
);
\pr_dec[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \core_dec_reg_n_0_[1]\,
I1 => \pr_dec_reg_n_0_[0]\,
O => p_3_out(2)
);
\pr_dec_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(0),
Q => \pr_dec_reg_n_0_[0]\,
R => '0'
);
\pr_dec_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => p_3_out(2),
Q => \pr_dec_reg_n_0_[2]\,
R => '0'
);
pr_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => \^pr_out\,
I1 => \pr_dec_reg_n_0_[2]\,
O => pr_i_1_n_0
);
pr_reg: unisim.vcomponents.FDSE
generic map(
INIT => '1'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => pr_i_1_n_0,
Q => \^pr_out\,
S => lpf_int
);
seq_clr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => '1',
Q => seq_clr,
R => lpf_int
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "1'b0";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset : entity is 1;
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset is
signal Bsr_out : STD_LOGIC;
signal MB_out : STD_LOGIC;
signal Pr_out : STD_LOGIC;
signal SEQ_n_3 : STD_LOGIC;
signal SEQ_n_4 : STD_LOGIC;
signal lpf_int : STD_LOGIC;
attribute box_type : string;
attribute box_type of \ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ : label is "PRIMITIVE";
attribute box_type of \ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ : label is "PRIMITIVE";
attribute box_type of \BSR_OUT_DFF[0].FDRE_BSR\ : label is "PRIMITIVE";
attribute box_type of FDRE_inst : label is "PRIMITIVE";
attribute box_type of \PR_OUT_DFF[0].FDRE_PER\ : label is "PRIMITIVE";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of bus_struct_reset : signal is "no";
attribute equivalent_register_removal of interconnect_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_aresetn : signal is "no";
attribute equivalent_register_removal of peripheral_reset : signal is "no";
begin
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_3,
Q => interconnect_aresetn(0),
R => '0'
);
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => SEQ_n_4,
Q => peripheral_aresetn(0),
R => '0'
);
\BSR_OUT_DFF[0].FDRE_BSR\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Bsr_out,
Q => bus_struct_reset(0),
R => '0'
);
EXT_LPF: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_lpf
port map (
aux_reset_in => aux_reset_in,
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
lpf_int => lpf_int,
mb_debug_sys_rst => mb_debug_sys_rst,
slowest_sync_clk => slowest_sync_clk
);
FDRE_inst: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => MB_out,
Q => mb_reset,
R => '0'
);
\PR_OUT_DFF[0].FDRE_PER\: unisim.vcomponents.FDRE
generic map(
INIT => '1',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => slowest_sync_clk,
CE => '1',
D => Pr_out,
Q => peripheral_reset(0),
R => '0'
);
SEQ: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_sequence_psr
port map (
\ACTIVE_LOW_BSR_OUT_DFF[0].FDRE_BSR_N\ => SEQ_n_3,
\ACTIVE_LOW_PR_OUT_DFF[0].FDRE_PER_N\ => SEQ_n_4,
Bsr_out => Bsr_out,
MB_out => MB_out,
Pr_out => Pr_out,
lpf_int => lpf_int,
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
port (
slowest_sync_clk : in STD_LOGIC;
ext_reset_in : in STD_LOGIC;
aux_reset_in : in STD_LOGIC;
mb_debug_sys_rst : in STD_LOGIC;
dcm_locked : in STD_LOGIC;
mb_reset : out STD_LOGIC;
bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 );
interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 );
peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "led_controller_design_rst_ps7_0_100M_0,proc_sys_reset,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "proc_sys_reset,Vivado 2017.3";
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute C_AUX_RESET_HIGH : string;
attribute C_AUX_RESET_HIGH of U0 : label is "1'b0";
attribute C_AUX_RST_WIDTH : integer;
attribute C_AUX_RST_WIDTH of U0 : label is 4;
attribute C_EXT_RESET_HIGH : string;
attribute C_EXT_RESET_HIGH of U0 : label is "1'b0";
attribute C_EXT_RST_WIDTH : integer;
attribute C_EXT_RST_WIDTH of U0 : label is 4;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_NUM_BUS_RST : integer;
attribute C_NUM_BUS_RST of U0 : label is 1;
attribute C_NUM_INTERCONNECT_ARESETN : integer;
attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_ARESETN : integer;
attribute C_NUM_PERP_ARESETN of U0 : label is 1;
attribute C_NUM_PERP_RST : integer;
attribute C_NUM_PERP_RST of U0 : label is 1;
attribute x_interface_info : string;
attribute x_interface_info of aux_reset_in : signal is "xilinx.com:signal:reset:1.0 aux_reset RST";
attribute x_interface_parameter : string;
attribute x_interface_parameter of aux_reset_in : signal is "XIL_INTERFACENAME aux_reset, POLARITY ACTIVE_LOW";
attribute x_interface_info of ext_reset_in : signal is "xilinx.com:signal:reset:1.0 ext_reset RST";
attribute x_interface_parameter of ext_reset_in : signal is "XIL_INTERFACENAME ext_reset, BOARD.ASSOCIATED_PARAM RESET_BOARD_INTERFACE, POLARITY ACTIVE_LOW";
attribute x_interface_info of mb_debug_sys_rst : signal is "xilinx.com:signal:reset:1.0 dbg_reset RST";
attribute x_interface_parameter of mb_debug_sys_rst : signal is "XIL_INTERFACENAME dbg_reset, POLARITY ACTIVE_HIGH";
attribute x_interface_info of mb_reset : signal is "xilinx.com:signal:reset:1.0 mb_rst RST";
attribute x_interface_parameter of mb_reset : signal is "XIL_INTERFACENAME mb_rst, POLARITY ACTIVE_HIGH, TYPE PROCESSOR";
attribute x_interface_info of slowest_sync_clk : signal is "xilinx.com:signal:clock:1.0 clock CLK";
attribute x_interface_parameter of slowest_sync_clk : signal is "XIL_INTERFACENAME clock, ASSOCIATED_RESET mb_reset:bus_struct_reset:interconnect_aresetn:peripheral_aresetn:peripheral_reset, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN led_controller_design_processing_system7_0_0_FCLK_CLK0";
attribute x_interface_info of bus_struct_reset : signal is "xilinx.com:signal:reset:1.0 bus_struct_reset RST";
attribute x_interface_parameter of bus_struct_reset : signal is "XIL_INTERFACENAME bus_struct_reset, POLARITY ACTIVE_HIGH, TYPE INTERCONNECT";
attribute x_interface_info of interconnect_aresetn : signal is "xilinx.com:signal:reset:1.0 interconnect_low_rst RST";
attribute x_interface_parameter of interconnect_aresetn : signal is "XIL_INTERFACENAME interconnect_low_rst, POLARITY ACTIVE_LOW, TYPE INTERCONNECT";
attribute x_interface_info of peripheral_aresetn : signal is "xilinx.com:signal:reset:1.0 peripheral_low_rst RST";
attribute x_interface_parameter of peripheral_aresetn : signal is "XIL_INTERFACENAME peripheral_low_rst, POLARITY ACTIVE_LOW, TYPE PERIPHERAL";
attribute x_interface_info of peripheral_reset : signal is "xilinx.com:signal:reset:1.0 peripheral_high_rst RST";
attribute x_interface_parameter of peripheral_reset : signal is "XIL_INTERFACENAME peripheral_high_rst, POLARITY ACTIVE_HIGH, TYPE PERIPHERAL";
begin
U0: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_proc_sys_reset
port map (
aux_reset_in => aux_reset_in,
bus_struct_reset(0) => bus_struct_reset(0),
dcm_locked => dcm_locked,
ext_reset_in => ext_reset_in,
interconnect_aresetn(0) => interconnect_aresetn(0),
mb_debug_sys_rst => mb_debug_sys_rst,
mb_reset => mb_reset,
peripheral_aresetn(0) => peripheral_aresetn(0),
peripheral_reset(0) => peripheral_reset(0),
slowest_sync_clk => slowest_sync_clk
);
end STRUCTURE;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/ip_repo/zed_audio_ctrl/zed_audio_ctrl.srcs/sources_1/imports/i2s_audio/axi_lite_ipif.vhd
|
3
|
13994
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
-------------------------------------------------------------------
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_lite_ipif.vhd
-- Version: v1.01.a
-- Description: This is the top level design file for the axi_lite_ipif
-- function. It provides a standardized slave interface
-- between the IP and the AXI. This version supports
-- single read/write transfers only. It does not provide
-- address pipelining or simultaneous read and write
-- operations.
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 06/09/10 -- v1.01.a
-- 1. updated to reduce the utilization
-- Closed CR #574507
-- 2. Optimized the state machine code
-- 3. Optimized the address decoder logic to generate the CE's with common logic
-- 4. Address GAP decoding logic is removed and timeout counter is made active
-- for all transactions.
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
use work.common_types.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_S_AXI_DATA_WIDTH -- AXI data bus width
-- C_S_AXI_ADDR_WIDTH -- AXI address bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_USE_WSTRB -- Use write strobs or not
-- C_DPHASE_TIMEOUT -- Data phase time out counter
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESETN -- AXI Reset
-- S_AXI_AWADDR -- AXI Write address
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
-- S_AXI_WDATA -- Write data
-- S_AXI_WSTRB -- Write strobes
-- S_AXI_WVALID -- Write valid
-- S_AXI_WREADY -- Write ready
-- S_AXI_BRESP -- Write response
-- S_AXI_BVALID -- Write response valid
-- S_AXI_BREADY -- Response ready
-- S_AXI_ARADDR -- Read address
-- S_AXI_ARVALID -- Read address valid
-- S_AXI_ARREADY -- Read address ready
-- S_AXI_RDATA -- Read data
-- S_AXI_RRESP -- Read response
-- S_AXI_RVALID -- Read valid
-- S_AXI_RREADY -- Read ready
-- Bus2IP_Clk -- Synchronization clock provided to User IP
-- Bus2IP_Reset -- Active high reset for use by the User IP
-- Bus2IP_Addr -- Desired address of read or write operation
-- Bus2IP_RNW -- Read or write indicator for the transaction
-- Bus2IP_BE -- Byte enables for the data bus
-- Bus2IP_CS -- Chip select for the transcations
-- Bus2IP_RdCE -- Chip enables for the read
-- Bus2IP_WrCE -- Chip enables for the write
-- Bus2IP_Data -- Write data bus to the User IP
-- IP2Bus_Data -- Input Read Data bus from the User IP
-- IP2Bus_WrAck -- Active high Write Data qualifier from the IP
-- IP2Bus_RdAck -- Active high Read Data qualifier from the IP
-- IP2Bus_Error -- Error signal from the IP
-------------------------------------------------------------------------------
entity axi_lite_ipif is
generic (
C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer range 0 to 512 := 8;
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := -- not used
(
X"0000_0000_7000_0000", -- IP user0 base address
X"0000_0000_7000_00FF", -- IP user0 high address
X"0000_0000_7000_0100", -- IP user1 base address
X"0000_0000_7000_01FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := -- not used
(
4, -- User0 CE Number
12 -- User1 CE Number
);
C_FAMILY : string := "virtex6"
);
port (
--System signals
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector
(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector
(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector
((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector
(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector
(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- Controls to the IP/IPIF modules
Bus2IP_Clk : out std_logic;
Bus2IP_Resetn : out std_logic;
Bus2IP_Addr : out std_logic_vector
((C_S_AXI_ADDR_WIDTH-1) downto 0);
Bus2IP_RNW : out std_logic;
Bus2IP_BE : out std_logic_vector
(((C_S_AXI_DATA_WIDTH/8)-1) downto 0);
Bus2IP_CS : out std_logic_vector
(((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1) downto 0);
Bus2IP_RdCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0);
Bus2IP_WrCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0);
Bus2IP_Data : out std_logic_vector
((C_S_AXI_DATA_WIDTH-1) downto 0);
IP2Bus_Data : in std_logic_vector
((C_S_AXI_DATA_WIDTH-1) downto 0);
IP2Bus_WrAck : in std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_Error : in std_logic
);
end axi_lite_ipif;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture imp of axi_lite_ipif is
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Slave Attachment
-------------------------------------------------------------------------------
I_SLAVE_ATTACHMENT: entity work.slave_attachment
generic map(
C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY,
C_IPIF_ABUS_WIDTH => C_S_AXI_ADDR_WIDTH,
C_IPIF_DBUS_WIDTH => C_S_AXI_DATA_WIDTH,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_FAMILY => C_FAMILY
)
port map(
-- AXI signals
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_RREADY => S_AXI_RREADY,
-- IPIC signals
Bus2IP_Clk => Bus2IP_Clk,
Bus2IP_Resetn => Bus2IP_Resetn,
Bus2IP_Addr => Bus2IP_Addr,
Bus2IP_RNW => Bus2IP_RNW,
Bus2IP_BE => Bus2IP_BE,
Bus2IP_CS => Bus2IP_CS,
Bus2IP_RdCE => Bus2IP_RdCE,
Bus2IP_WrCE => Bus2IP_WrCE,
Bus2IP_Data => Bus2IP_Data,
IP2Bus_Data => IP2Bus_Data,
IP2Bus_WrAck => IP2Bus_WrAck,
IP2Bus_RdAck => IP2Bus_RdAck,
IP2Bus_Error => IP2Bus_Error
);
end imp;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/lab3_project.xpr/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_bram_ctrl_0_2/synth/design_1_axi_bram_ctrl_0_2.vhd
|
1
|
17300
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0
-- IP Revision: 12
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_bram_ctrl_v4_0_12;
USE axi_bram_ctrl_v4_0_12.axi_bram_ctrl;
ENTITY design_1_axi_bram_ctrl_0_2 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_1_axi_bram_ctrl_0_2;
ARCHITECTURE design_1_axi_bram_ctrl_0_2_arch OF design_1_axi_bram_ctrl_0_2 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_bram_ctrl_0_2_arch: ARCHITECTURE IS "yes";
COMPONENT axi_bram_ctrl IS
GENERIC (
C_BRAM_INST_MODE : STRING;
C_MEMORY_DEPTH : INTEGER;
C_BRAM_ADDR_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ID_WIDTH : INTEGER;
C_S_AXI_PROTOCOL : STRING;
C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER;
C_SINGLE_PORT_BRAM : INTEGER;
C_FAMILY : STRING;
C_SELECT_XPM : INTEGER;
C_S_AXI_CTRL_ADDR_WIDTH : INTEGER;
C_S_AXI_CTRL_DATA_WIDTH : INTEGER;
C_ECC : INTEGER;
C_ECC_TYPE : INTEGER;
C_FAULT_INJECT : INTEGER;
C_ECC_ONOFF_RESET_VALUE : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
ecc_interrupt : OUT STD_LOGIC;
ecc_ue : OUT STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_ctrl_awvalid : IN STD_LOGIC;
s_axi_ctrl_awready : OUT STD_LOGIC;
s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wvalid : IN STD_LOGIC;
s_axi_ctrl_wready : OUT STD_LOGIC;
s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_bvalid : OUT STD_LOGIC;
s_axi_ctrl_bready : IN STD_LOGIC;
s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_arvalid : IN STD_LOGIC;
s_axi_ctrl_arready : OUT STD_LOGIC;
s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_rvalid : OUT STD_LOGIC;
s_axi_ctrl_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rst_b : OUT STD_LOGIC;
bram_clk_b : OUT STD_LOGIC;
bram_en_b : OUT STD_LOGIC;
bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_b : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_bram_ctrl;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF design_1_axi_bram_ctrl_0_2_arch: ARCHITECTURE IS "axi_bram_ctrl,Vivado 2017.3";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_bram_ctrl_0_2_arch : ARCHITECTURE IS "design_1_axi_bram_ctrl_0_2,axi_bram_ctrl,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_bram_ctrl_0_2_arch: ARCHITECTURE IS "design_1_axi_bram_ctrl_0_2,axi_bram_ctrl,{x_ipProduct=Vivado 2017.3,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_bram_ctrl,x_ipVersion=4.0,x_ipCoreRevision=12,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_BRAM_INST_MODE=EXTERNAL,C_MEMORY_DEPTH=2048,C_BRAM_ADDR_WIDTH=11,C_S_AXI_ADDR_WIDTH=13,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ID_WIDTH=1,C_S_AXI_PROTOCOL=AXI4,C_S_AXI_SUPPORTS_NARROW_BURST=0,C_SINGLE_PORT_BRAM=1,C_FAMILY=zynq,C_SELECT_XPM=0,C_S_AXI_CTRL_ADDR_WIDTH=32,C_S_AXI_CTRL_DATA_WIDTH=32,C_ECC" &
"=0,C_ECC_TYPE=0,C_FAULT_INJECT=0,C_ECC_ONOFF_RESET_VALUE=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_PARAMETER : STRING;
ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_PARAMETER OF bram_rst_a: SIGNAL IS "XIL_INTERFACENAME BRAM_PORTA, MASTER_TYPE BRAM_CTRL, MEM_SIZE 8192, MEM_WIDTH 32, MEM_ECC NONE, READ_WRITE_MODE READ_WRITE";
ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_awaddr: SIGNAL IS "XIL_INTERFACENAME S_AXI, DATA_WIDTH 32, PROTOCOL AXI4, FREQ_HZ 100000000, ID_WIDTH 0, ADDR_WIDTH 13, AWUSER_WIDTH 0, ARUSER_WIDTH 0, WUSER_WIDTH 0, RUSER_WIDTH 0, BUSER_WIDTH 0, READ_WRITE_MODE READ_WRITE, HAS_BURST 1, HAS_LOCK 1, HAS_PROT 1, HAS_CACHE 1, HAS_QOS 0, HAS_REGION 0, HAS_WSTRB 1, HAS_BRESP 1, HAS_RRESP 1, SUPPORTS_NARROW_BURST 0, NUM_READ_OUTSTANDING 2, NUM_WRITE_OUTSTANDING 2, MAX_BURST_LENGTH 256, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0, NUM_READ_THREADS 1, NUM_WRITE_THREADS 1, RUSER_BITS_PER_BYTE 0, WUSER_BITS_PER_BYTE 0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aresetn: SIGNAL IS "XIL_INTERFACENAME RSTIF, POLARITY ACTIVE_LOW";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST";
ATTRIBUTE X_INTERFACE_PARAMETER OF s_axi_aclk: SIGNAL IS "XIL_INTERFACENAME CLKIF, ASSOCIATED_BUSIF S_AXI:S_AXI_CTRL, ASSOCIATED_RESET s_axi_aresetn, FREQ_HZ 100000000, PHASE 0.000, CLK_DOMAIN design_1_processing_system7_0_0_FCLK_CLK0";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK";
BEGIN
U0 : axi_bram_ctrl
GENERIC MAP (
C_BRAM_INST_MODE => "EXTERNAL",
C_MEMORY_DEPTH => 2048,
C_BRAM_ADDR_WIDTH => 11,
C_S_AXI_ADDR_WIDTH => 13,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ID_WIDTH => 1,
C_S_AXI_PROTOCOL => "AXI4",
C_S_AXI_SUPPORTS_NARROW_BURST => 0,
C_SINGLE_PORT_BRAM => 1,
C_FAMILY => "zynq",
C_SELECT_XPM => 0,
C_S_AXI_CTRL_ADDR_WIDTH => 32,
C_S_AXI_CTRL_DATA_WIDTH => 32,
C_ECC => 0,
C_ECC_TYPE => 0,
C_FAULT_INJECT => 0,
C_ECC_ONOFF_RESET_VALUE => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => s_axi_awaddr,
s_axi_awlen => s_axi_awlen,
s_axi_awsize => s_axi_awsize,
s_axi_awburst => s_axi_awburst,
s_axi_awlock => s_axi_awlock,
s_axi_awcache => s_axi_awcache,
s_axi_awprot => s_axi_awprot,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wlast => s_axi_wlast,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => s_axi_araddr,
s_axi_arlen => s_axi_arlen,
s_axi_arsize => s_axi_arsize,
s_axi_arburst => s_axi_arburst,
s_axi_arlock => s_axi_arlock,
s_axi_arcache => s_axi_arcache,
s_axi_arprot => s_axi_arprot,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rlast => s_axi_rlast,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axi_ctrl_awvalid => '0',
s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wvalid => '0',
s_axi_ctrl_bready => '0',
s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_arvalid => '0',
s_axi_ctrl_rready => '0',
bram_rst_a => bram_rst_a,
bram_clk_a => bram_clk_a,
bram_en_a => bram_en_a,
bram_we_a => bram_we_a,
bram_addr_a => bram_addr_a,
bram_wrdata_a => bram_wrdata_a,
bram_rddata_a => bram_rddata_a,
bram_rddata_b => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END design_1_axi_bram_ctrl_0_2_arch;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_processing_system7_0_0/ip_design_processing_system7_0_0_stub.vhdl
|
1
|
5959
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017
-- Date : Wed Oct 18 15:15:21 2017
-- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_processing_system7_0_0/ip_design_processing_system7_0_0_stub.vhdl
-- Design : ip_design_processing_system7_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity ip_design_processing_system7_0_0 is
Port (
I2C0_SDA_I : in STD_LOGIC;
I2C0_SDA_O : out STD_LOGIC;
I2C0_SDA_T : out STD_LOGIC;
I2C0_SCL_I : in STD_LOGIC;
I2C0_SCL_O : out STD_LOGIC;
I2C0_SCL_T : out STD_LOGIC;
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_CLK1 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end ip_design_processing_system7_0_0;
architecture stub of ip_design_processing_system7_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "I2C0_SDA_I,I2C0_SDA_O,I2C0_SDA_T,I2C0_SCL_I,I2C0_SCL_O,I2C0_SCL_T,TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],FCLK_CLK0,FCLK_CLK1,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2017.3";
begin
end;
|
mit
|
khaledhassan/vhdl-examples
|
adder_tree/adder.vhd
|
1
|
2067
|
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net>
-- This software is distributed under the terms of the MIT License shown below.
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to
-- deal in the Software without restriction, including without limitation the
-- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
-- sell copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
-- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
-- IN THE SOFTWARE.
-- Implements an adder of variable width with carry signals.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity adder is
generic (
WIDTH : positive := 8
);
port (
input1 : in std_logic_vector(WIDTH-1 downto 0);
input2 : in std_logic_vector(WIDTH-1 downto 0);
c_in : in std_logic;
output : out std_logic_vector(WIDTH-1 downto 0);
c_out : out std_logic
);
end;
architecture BHV of adder is
signal full_sum : std_logic_vector(WIDTH downto 0);
signal c_in_vec : std_logic_vector(0 downto 0);
begin
c_in_vec(0) <= c_in;
full_sum <= std_logic_vector( resize(unsigned(input1), WIDTH+1) + resize(unsigned(input2), WIDTH+1) + resize(unsigned(c_in_vec), WIDTH+1) );
output <= full_sum(WIDTH-1 downto 0);
c_out <= full_sum(WIDTH);
end BHV;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/my_lab_1/my_lab_1.ip_user_files/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_gpio_1_0/sim/zqynq_lab_1_design_axi_gpio_1_0.vhd
|
1
|
9004
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_gpio:2.0
-- IP Revision: 15
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_gpio_v2_0_15;
USE axi_gpio_v2_0_15.axi_gpio;
ENTITY zqynq_lab_1_design_axi_gpio_1_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(4 DOWNTO 0)
);
END zqynq_lab_1_design_axi_gpio_1_0;
ARCHITECTURE zqynq_lab_1_design_axi_gpio_1_0_arch OF zqynq_lab_1_design_axi_gpio_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_gpio_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_gpio IS
GENERIC (
C_FAMILY : STRING;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_GPIO_WIDTH : INTEGER;
C_GPIO2_WIDTH : INTEGER;
C_ALL_INPUTS : INTEGER;
C_ALL_INPUTS_2 : INTEGER;
C_ALL_OUTPUTS : INTEGER;
C_ALL_OUTPUTS_2 : INTEGER;
C_INTERRUPT_PRESENT : INTEGER;
C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_IS_DUAL : INTEGER;
C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0);
C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0)
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
ip2intc_irpt : OUT STD_LOGIC;
gpio_io_i : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
gpio_io_o : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
gpio_io_t : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_gpio;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF ip2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 IP2INTC_IRQ INTERRUPT";
ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I";
BEGIN
U0 : axi_gpio
GENERIC MAP (
C_FAMILY => "zynq",
C_S_AXI_ADDR_WIDTH => 9,
C_S_AXI_DATA_WIDTH => 32,
C_GPIO_WIDTH => 5,
C_GPIO2_WIDTH => 32,
C_ALL_INPUTS => 1,
C_ALL_INPUTS_2 => 0,
C_ALL_OUTPUTS => 0,
C_ALL_OUTPUTS_2 => 0,
C_INTERRUPT_PRESENT => 1,
C_DOUT_DEFAULT => X"00000000",
C_TRI_DEFAULT => X"FFFFFFFF",
C_IS_DUAL => 0,
C_DOUT_DEFAULT_2 => X"00000000",
C_TRI_DEFAULT_2 => X"FFFFFFFF"
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awaddr => s_axi_awaddr,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_araddr => s_axi_araddr,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
ip2intc_irpt => ip2intc_irpt,
gpio_io_i => gpio_io_i,
gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END zqynq_lab_1_design_axi_gpio_1_0_arch;
|
mit
|
dawsonjon/FPGA-TX
|
synthesis/cmod_a7_15/tx/serial_in.vhd
|
3
|
3303
|
--------------------------------------------------------------------------------
---
--- SERIAL INPUT
---
--- :Author: Jonathan P Dawson
--- :Date: 17/10/2013
--- :email: [email protected]
--- :license: MIT
--- :Copyright: Copyright (C) Jonathan P Dawson 2013
---
--- A Serial Input Component
---
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity serial_input is
generic(
clock_frequency : integer;
baud_rate : integer
);
port(
clk : in std_logic;
rst : in std_logic;
rx : in std_logic;
out1 : out std_logic_vector(7 downto 0);
out1_stb : out std_logic;
out1_ack : in std_logic
);
end entity serial_input;
architecture rtl of serial_input is
component fifo is
generic(
width : integer;
depth : integer
);
port(
clk : in std_logic;
rst : in std_logic;
input : in std_logic_vector(width-1 downto 0);
input_stb : in std_logic;
input_ack : out std_logic;
output : out std_logic_vector(width-1 downto 0);
output_stb : out std_logic;
output_ack : in std_logic
);
end component fifo;
constant bit_clocks : integer := integer(round(real(clock_frequency)/real(baud_rate)))-1;
constant bit_clocks_1_5 : integer := integer(round(real(clock_frequency)/real(baud_rate) * 1.5))-1;
signal bit_count : integer range 0 to 8;
signal bit_spacing : integer range 0 to bit_clocks_1_5;
type serial_in_state_type is (idle, get_byte, output_data, wait_done);
signal state : serial_in_state_type;
signal rx_d, rx_d2 : std_logic;
signal data : std_logic_vector(8 downto 0);
signal int_out1 : std_logic_vector(7 downto 0);
signal int_out1_stb : std_logic;
signal int_out1_ack : std_logic;
begin
fifo_1 : fifo generic map(
width => 8,
depth => 16384
) port map(
clk => clk,
rst => rst,
input => int_out1,
input_stb => int_out1_stb,
input_ack => int_out1_ack,
output => out1,
output_stb => out1_stb,
output_ack => out1_ack
);
process
begin
wait until rising_edge(clk);
rx_d <= rx;
rx_d2 <= rx_d;
case state is
when idle =>
if rx_d2 = '0' then
state <= get_byte;
bit_spacing <= bit_clocks_1_5;
bit_count <= 8;
end if;
when get_byte =>
if bit_spacing = 0 then
data <= rx_d2 & data(8 downto 1);
if bit_count = 0 then
int_out1_stb <= '1';
state <= output_data;
else
bit_spacing <= bit_clocks;
bit_count <= bit_count - 1;
end if;
else
bit_spacing <= bit_spacing - 1;
end if;
when output_data =>
if int_out1_ack = '1' then
int_out1_stb <= '0';
state <= wait_done;
end if;
when wait_done =>
if rx_d2 = '1' then
state <= idle;
end if;
when others =>
state <= idle;
end case;
if rst = '1' then
state <= idle;
int_out1_stb <= '0';
end if;
end process;
int_out1 <= data(7 downto 0);
end architecture rtl;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ipshared/b19f/hdl/vhdl/lms_pcore.vhd
|
2
|
10165
|
-- -------------------------------------------------------------
--
-- File Name: hdl_prj\hdlsrc\lms\lms_pcore.vhd
-- Created: 2015-06-19 16:39:46
--
-- Generated by MATLAB 8.5 and HDL Coder 3.6
--
--
-- -------------------------------------------------------------
-- Rate and Clocking Details
-- -------------------------------------------------------------
-- Model base rate: -1
-- Target subsystem base rate: -1
--
-- -------------------------------------------------------------
-- -------------------------------------------------------------
--
-- Module: lms_pcore
-- Source Path: lms_pcore
-- Hierarchy Level: 0
--
-- -------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;
ENTITY lms_pcore IS
PORT( IPCORE_CLK : IN std_logic; -- ufix1
IPCORE_RESETN : IN std_logic; -- ufix1
AXI4_Lite_ACLK : IN std_logic; -- ufix1
AXI4_Lite_ARESETN : IN std_logic; -- ufix1
AXI4_Lite_AWADDR : IN std_logic_vector(15 DOWNTO 0); -- ufix16
AXI4_Lite_AWVALID : IN std_logic; -- ufix1
AXI4_Lite_WDATA : IN std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_WSTRB : IN std_logic_vector(3 DOWNTO 0); -- ufix4
AXI4_Lite_WVALID : IN std_logic; -- ufix1
AXI4_Lite_BREADY : IN std_logic; -- ufix1
AXI4_Lite_ARADDR : IN std_logic_vector(15 DOWNTO 0); -- ufix16
AXI4_Lite_ARVALID : IN std_logic; -- ufix1
AXI4_Lite_RREADY : IN std_logic; -- ufix1
AXI4_Lite_AWREADY : OUT std_logic; -- ufix1
AXI4_Lite_WREADY : OUT std_logic; -- ufix1
AXI4_Lite_BRESP : OUT std_logic_vector(1 DOWNTO 0); -- ufix2
AXI4_Lite_BVALID : OUT std_logic; -- ufix1
AXI4_Lite_ARREADY : OUT std_logic; -- ufix1
AXI4_Lite_RDATA : OUT std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_RRESP : OUT std_logic_vector(1 DOWNTO 0); -- ufix2
AXI4_Lite_RVALID : OUT std_logic -- ufix1
);
END lms_pcore;
ARCHITECTURE rtl OF lms_pcore IS
-- Component Declarations
COMPONENT lms_pcore_dut
PORT( clk : IN std_logic; -- ufix1
reset : IN std_logic;
dut_enable : IN std_logic; -- ufix1
x_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
d_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
ce_out : OUT std_logic; -- ufix1
e_k : OUT std_logic_vector(15 DOWNTO 0) -- sfix16_En14
);
END COMPONENT;
COMPONENT lms_pcore_cop
PORT( clk : IN std_logic; -- ufix1
reset : IN std_logic;
in_strobe : IN std_logic; -- ufix1
cop_enable : IN std_logic; -- ufix1
out_ready : OUT std_logic; -- ufix1
dut_enable : OUT std_logic; -- ufix1
reg_strobe : OUT std_logic -- ufix1
);
END COMPONENT;
COMPONENT lms_pcore_axi_lite
PORT( reset : IN std_logic;
AXI4_Lite_ACLK : IN std_logic; -- ufix1
AXI4_Lite_ARESETN : IN std_logic; -- ufix1
AXI4_Lite_AWADDR : IN std_logic_vector(15 DOWNTO 0); -- ufix16
AXI4_Lite_AWVALID : IN std_logic; -- ufix1
AXI4_Lite_WDATA : IN std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_WSTRB : IN std_logic_vector(3 DOWNTO 0); -- ufix4
AXI4_Lite_WVALID : IN std_logic; -- ufix1
AXI4_Lite_BREADY : IN std_logic; -- ufix1
AXI4_Lite_ARADDR : IN std_logic_vector(15 DOWNTO 0); -- ufix16
AXI4_Lite_ARVALID : IN std_logic; -- ufix1
AXI4_Lite_RREADY : IN std_logic; -- ufix1
read_cop_out_ready : IN std_logic; -- ufix1
cop_reg_strobe : IN std_logic; -- ufix1
read_e_k : IN std_logic_vector(15 DOWNTO 0); -- sfix16_En14
AXI4_Lite_AWREADY : OUT std_logic; -- ufix1
AXI4_Lite_WREADY : OUT std_logic; -- ufix1
AXI4_Lite_BRESP : OUT std_logic_vector(1 DOWNTO 0); -- ufix2
AXI4_Lite_BVALID : OUT std_logic; -- ufix1
AXI4_Lite_ARREADY : OUT std_logic; -- ufix1
AXI4_Lite_RDATA : OUT std_logic_vector(31 DOWNTO 0); -- ufix32
AXI4_Lite_RRESP : OUT std_logic_vector(1 DOWNTO 0); -- ufix2
AXI4_Lite_RVALID : OUT std_logic; -- ufix1
write_axi_enable : OUT std_logic; -- ufix1
strobe_cop_in_strobe : OUT std_logic; -- ufix1
write_x_k : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En14
write_d_k : OUT std_logic_vector(15 DOWNTO 0); -- sfix16_En14
reset_internal : OUT std_logic -- ufix1
);
END COMPONENT;
-- Component Configuration Statements
FOR ALL : lms_pcore_dut
USE ENTITY work.lms_pcore_dut(rtl);
FOR ALL : lms_pcore_cop
USE ENTITY work.lms_pcore_cop(rtl);
FOR ALL : lms_pcore_axi_lite
USE ENTITY work.lms_pcore_axi_lite(rtl);
-- Signals
SIGNAL reset : std_logic;
SIGNAL reset_cm : std_logic; -- ufix1
SIGNAL cop_dut_enable : std_logic; -- ufix1
SIGNAL write_x_k : std_logic_vector(15 DOWNTO 0); -- ufix16
SIGNAL write_d_k : std_logic_vector(15 DOWNTO 0); -- ufix16
SIGNAL ce_out_sig : std_logic; -- ufix1
SIGNAL e_k_sig : std_logic_vector(15 DOWNTO 0); -- ufix16
SIGNAL reset_internal : std_logic; -- ufix1
SIGNAL strobe_cop_in_strobe : std_logic; -- ufix1
SIGNAL write_axi_enable : std_logic; -- ufix1
SIGNAL cop_out_ready : std_logic; -- ufix1
SIGNAL cop_reg_strobe : std_logic; -- ufix1
SIGNAL AXI4_Lite_BRESP_tmp : std_logic_vector(1 DOWNTO 0); -- ufix2
SIGNAL AXI4_Lite_RDATA_tmp : std_logic_vector(31 DOWNTO 0); -- ufix32
SIGNAL AXI4_Lite_RRESP_tmp : std_logic_vector(1 DOWNTO 0); -- ufix2
BEGIN
u_lms_pcore_dut_inst : lms_pcore_dut
PORT MAP( clk => IPCORE_CLK, -- ufix1
reset => reset,
dut_enable => cop_dut_enable, -- ufix1
x_k => write_x_k, -- sfix16_En14
d_k => write_d_k, -- sfix16_En14
ce_out => ce_out_sig, -- ufix1
e_k => e_k_sig -- sfix16_En14
);
u_lms_pcore_cop_inst : lms_pcore_cop
PORT MAP( clk => IPCORE_CLK, -- ufix1
reset => reset,
in_strobe => strobe_cop_in_strobe, -- ufix1
cop_enable => write_axi_enable, -- ufix1
out_ready => cop_out_ready, -- ufix1
dut_enable => cop_dut_enable, -- ufix1
reg_strobe => cop_reg_strobe -- ufix1
);
u_lms_pcore_axi_lite_inst : lms_pcore_axi_lite
PORT MAP( reset => reset,
AXI4_Lite_ACLK => AXI4_Lite_ACLK, -- ufix1
AXI4_Lite_ARESETN => AXI4_Lite_ARESETN, -- ufix1
AXI4_Lite_AWADDR => AXI4_Lite_AWADDR, -- ufix16
AXI4_Lite_AWVALID => AXI4_Lite_AWVALID, -- ufix1
AXI4_Lite_WDATA => AXI4_Lite_WDATA, -- ufix32
AXI4_Lite_WSTRB => AXI4_Lite_WSTRB, -- ufix4
AXI4_Lite_WVALID => AXI4_Lite_WVALID, -- ufix1
AXI4_Lite_BREADY => AXI4_Lite_BREADY, -- ufix1
AXI4_Lite_ARADDR => AXI4_Lite_ARADDR, -- ufix16
AXI4_Lite_ARVALID => AXI4_Lite_ARVALID, -- ufix1
AXI4_Lite_RREADY => AXI4_Lite_RREADY, -- ufix1
read_cop_out_ready => cop_out_ready, -- ufix1
cop_reg_strobe => strobe_cop_in_strobe, -- ufix1
read_e_k => e_k_sig, -- sfix16_En14
AXI4_Lite_AWREADY => AXI4_Lite_AWREADY, -- ufix1
AXI4_Lite_WREADY => AXI4_Lite_WREADY, -- ufix1
AXI4_Lite_BRESP => AXI4_Lite_BRESP_tmp, -- ufix2
AXI4_Lite_BVALID => AXI4_Lite_BVALID, -- ufix1
AXI4_Lite_ARREADY => AXI4_Lite_ARREADY, -- ufix1
AXI4_Lite_RDATA => AXI4_Lite_RDATA_tmp, -- ufix32
AXI4_Lite_RRESP => AXI4_Lite_RRESP_tmp, -- ufix2
AXI4_Lite_RVALID => AXI4_Lite_RVALID, -- ufix1
write_axi_enable => write_axi_enable, -- ufix1
strobe_cop_in_strobe => strobe_cop_in_strobe, -- ufix1
write_x_k => write_x_k, -- sfix16_En14
write_d_k => write_d_k, -- sfix16_En14
reset_internal => reset_internal -- ufix1
);
reset_cm <= NOT IPCORE_RESETN;
reset <= reset_cm OR reset_internal;
AXI4_Lite_BRESP <= AXI4_Lite_BRESP_tmp;
AXI4_Lite_RDATA <= AXI4_Lite_RDATA_tmp;
AXI4_Lite_RRESP <= AXI4_Lite_RRESP_tmp;
END rtl;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_bram_ctrl_0_0/zqynq_lab_1_design_axi_bram_ctrl_0_0_sim_netlist.vhdl
|
1
|
291825
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Sat Sep 23 13:25:27 2017
-- Host : DarkCube running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode funcsim
-- c:/Users/markb/Source/Repos/FPGA_Sandbox/RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_bram_ctrl_0_0/zqynq_lab_1_design_axi_bram_ctrl_0_0_sim_netlist.vhdl
-- Design : zqynq_lab_1_design_axi_bram_ctrl_0_0
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_SRL_FIFO is
port (
bvalid_cnt_inc : out STD_LOGIC;
bid_gets_fifo_load_d1_reg : out STD_LOGIC;
bid_gets_fifo_load : out STD_LOGIC;
axi_wdata_full_cmb114_out : out STD_LOGIC;
\axi_bid_int_reg[0]\ : out STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
\bvalid_cnt_reg[2]\ : in STD_LOGIC;
wr_addr_sm_cs : in STD_LOGIC;
\bvalid_cnt_reg[2]_0\ : in STD_LOGIC;
\GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC;
axi_awaddr_full : in STD_LOGIC;
bram_addr_ld_en : in STD_LOGIC;
bid_gets_fifo_load_d1 : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
axi_bvalid_int_reg : in STD_LOGIC;
bvalid_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 );
\bvalid_cnt_reg[1]\ : in STD_LOGIC;
aw_active : in STD_LOGIC;
s_axi_awready : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
curr_awlen_reg_1_or_2 : in STD_LOGIC;
axi_awlen_pipe_1_or_2 : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ : in STD_LOGIC;
last_data_ack_mod : in STD_LOGIC;
axi_awid_pipe : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
\out\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
axi_wr_burst : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wlast : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_SRL_FIFO : entity is "SRL_FIFO";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_SRL_FIFO;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_SRL_FIFO is
signal \Addr_Counters[0].FDRE_I_n_0\ : STD_LOGIC;
signal \Addr_Counters[1].FDRE_I_n_0\ : STD_LOGIC;
signal \Addr_Counters[2].FDRE_I_n_0\ : STD_LOGIC;
signal \Addr_Counters[3].FDRE_I_n_0\ : STD_LOGIC;
signal \Addr_Counters[3].XORCY_I_i_1_n_0\ : STD_LOGIC;
signal CI : STD_LOGIC;
signal D : STD_LOGIC;
signal Data_Exists_DFF_i_2_n_0 : STD_LOGIC;
signal Data_Exists_DFF_i_3_n_0 : STD_LOGIC;
signal S : STD_LOGIC;
signal S0_out : STD_LOGIC;
signal S1_out : STD_LOGIC;
signal addr_cy_1 : STD_LOGIC;
signal addr_cy_2 : STD_LOGIC;
signal addr_cy_3 : STD_LOGIC;
signal \axi_bid_int[0]_i_2_n_0\ : STD_LOGIC;
signal axi_bvalid_int_i_4_n_0 : STD_LOGIC;
signal axi_bvalid_int_i_5_n_0 : STD_LOGIC;
signal axi_bvalid_int_i_6_n_0 : STD_LOGIC;
signal \^axi_wdata_full_cmb114_out\ : STD_LOGIC;
signal bid_fifo_ld : STD_LOGIC;
signal bid_fifo_not_empty : STD_LOGIC;
signal bid_fifo_rd : STD_LOGIC;
signal \^bid_gets_fifo_load\ : STD_LOGIC;
signal bid_gets_fifo_load_d1_i_3_n_0 : STD_LOGIC;
signal \^bid_gets_fifo_load_d1_reg\ : STD_LOGIC;
signal \^bvalid_cnt_inc\ : STD_LOGIC;
signal sum_A_0 : STD_LOGIC;
signal sum_A_1 : STD_LOGIC;
signal sum_A_2 : STD_LOGIC;
signal sum_A_3 : STD_LOGIC;
signal \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
signal \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_DI_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 );
attribute BOX_TYPE : string;
attribute BOX_TYPE of \Addr_Counters[0].FDRE_I\ : label is "PRIMITIVE";
attribute BOX_TYPE of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM : string;
attribute XILINX_LEGACY_PRIM of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "(MUXCY,XORCY)";
attribute XILINX_TRANSFORM_PINMAP : string;
attribute XILINX_TRANSFORM_PINMAP of \Addr_Counters[0].MUXCY_L_I_CARRY4\ : label is "LO:O";
attribute BOX_TYPE of \Addr_Counters[1].FDRE_I\ : label is "PRIMITIVE";
attribute BOX_TYPE of \Addr_Counters[2].FDRE_I\ : label is "PRIMITIVE";
attribute BOX_TYPE of \Addr_Counters[3].FDRE_I\ : label is "PRIMITIVE";
attribute BOX_TYPE of Data_Exists_DFF : label is "PRIMITIVE";
attribute XILINX_LEGACY_PRIM of Data_Exists_DFF : label is "FDR";
attribute BOX_TYPE of \FIFO_RAM[0].SRL16E_I\ : label is "PRIMITIVE";
attribute srl_bus_name : string;
attribute srl_bus_name of \FIFO_RAM[0].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM ";
attribute srl_name : string;
attribute srl_name of \FIFO_RAM[0].SRL16E_I\ : label is "U0/\gext_inst.abcv4_0_ext_inst/GEN_AXI4.I_FULL_AXI/I_WR_CHNL/BID_FIFO/FIFO_RAM[0].SRL16E_I ";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of axi_bvalid_int_i_3 : label is "soft_lutpair44";
attribute SOFT_HLUTNM of bid_gets_fifo_load_d1_i_3 : label is "soft_lutpair44";
begin
axi_wdata_full_cmb114_out <= \^axi_wdata_full_cmb114_out\;
bid_gets_fifo_load <= \^bid_gets_fifo_load\;
bid_gets_fifo_load_d1_reg <= \^bid_gets_fifo_load_d1_reg\;
bvalid_cnt_inc <= \^bvalid_cnt_inc\;
\Addr_Counters[0].FDRE_I\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => s_axi_aclk,
CE => bid_fifo_not_empty,
D => sum_A_3,
Q => \Addr_Counters[0].FDRE_I_n_0\,
R => s_axi_aresetn
);
\Addr_Counters[0].MUXCY_L_I_CARRY4\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_CO_UNCONNECTED\(3),
CO(2) => addr_cy_1,
CO(1) => addr_cy_2,
CO(0) => addr_cy_3,
CYINIT => CI,
DI(3) => \NLW_Addr_Counters[0].MUXCY_L_I_CARRY4_DI_UNCONNECTED\(3),
DI(2) => \Addr_Counters[2].FDRE_I_n_0\,
DI(1) => \Addr_Counters[1].FDRE_I_n_0\,
DI(0) => \Addr_Counters[0].FDRE_I_n_0\,
O(3) => sum_A_0,
O(2) => sum_A_1,
O(1) => sum_A_2,
O(0) => sum_A_3,
S(3) => \Addr_Counters[3].XORCY_I_i_1_n_0\,
S(2) => S0_out,
S(1) => S1_out,
S(0) => S
);
\Addr_Counters[0].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFFFFFE0000"
)
port map (
I0 => \Addr_Counters[1].FDRE_I_n_0\,
I1 => \Addr_Counters[3].FDRE_I_n_0\,
I2 => \Addr_Counters[2].FDRE_I_n_0\,
I3 => bram_addr_ld_en,
I4 => \axi_bid_int[0]_i_2_n_0\,
I5 => \Addr_Counters[0].FDRE_I_n_0\,
O => S
);
\Addr_Counters[0].MUXCY_L_I_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"8AAAAAAAAAAAAAAA"
)
port map (
I0 => bram_addr_ld_en,
I1 => \axi_bid_int[0]_i_2_n_0\,
I2 => \Addr_Counters[0].FDRE_I_n_0\,
I3 => \Addr_Counters[1].FDRE_I_n_0\,
I4 => \Addr_Counters[3].FDRE_I_n_0\,
I5 => \Addr_Counters[2].FDRE_I_n_0\,
O => CI
);
\Addr_Counters[1].FDRE_I\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => s_axi_aclk,
CE => bid_fifo_not_empty,
D => sum_A_2,
Q => \Addr_Counters[1].FDRE_I_n_0\,
R => s_axi_aresetn
);
\Addr_Counters[1].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFFFFFE0000"
)
port map (
I0 => \Addr_Counters[0].FDRE_I_n_0\,
I1 => \Addr_Counters[3].FDRE_I_n_0\,
I2 => \Addr_Counters[2].FDRE_I_n_0\,
I3 => bram_addr_ld_en,
I4 => \axi_bid_int[0]_i_2_n_0\,
I5 => \Addr_Counters[1].FDRE_I_n_0\,
O => S1_out
);
\Addr_Counters[2].FDRE_I\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => s_axi_aclk,
CE => bid_fifo_not_empty,
D => sum_A_1,
Q => \Addr_Counters[2].FDRE_I_n_0\,
R => s_axi_aresetn
);
\Addr_Counters[2].MUXCY_L_I_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFFFFFE0000"
)
port map (
I0 => \Addr_Counters[0].FDRE_I_n_0\,
I1 => \Addr_Counters[1].FDRE_I_n_0\,
I2 => \Addr_Counters[3].FDRE_I_n_0\,
I3 => bram_addr_ld_en,
I4 => \axi_bid_int[0]_i_2_n_0\,
I5 => \Addr_Counters[2].FDRE_I_n_0\,
O => S0_out
);
\Addr_Counters[3].FDRE_I\: unisim.vcomponents.FDRE
generic map(
INIT => '0',
IS_C_INVERTED => '0',
IS_D_INVERTED => '0',
IS_R_INVERTED => '0'
)
port map (
C => s_axi_aclk,
CE => bid_fifo_not_empty,
D => sum_A_0,
Q => \Addr_Counters[3].FDRE_I_n_0\,
R => s_axi_aresetn
);
\Addr_Counters[3].XORCY_I_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FFFFFFFE0000"
)
port map (
I0 => \Addr_Counters[0].FDRE_I_n_0\,
I1 => \Addr_Counters[1].FDRE_I_n_0\,
I2 => \Addr_Counters[2].FDRE_I_n_0\,
I3 => bram_addr_ld_en,
I4 => \axi_bid_int[0]_i_2_n_0\,
I5 => \Addr_Counters[3].FDRE_I_n_0\,
O => \Addr_Counters[3].XORCY_I_i_1_n_0\
);
Data_Exists_DFF: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => D,
Q => bid_fifo_not_empty,
R => s_axi_aresetn
);
Data_Exists_DFF_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE0A"
)
port map (
I0 => bram_addr_ld_en,
I1 => Data_Exists_DFF_i_2_n_0,
I2 => Data_Exists_DFF_i_3_n_0,
I3 => bid_fifo_not_empty,
O => D
);
Data_Exists_DFF_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"000000000000FFFD"
)
port map (
I0 => \^bvalid_cnt_inc\,
I1 => bvalid_cnt(2),
I2 => bvalid_cnt(0),
I3 => bvalid_cnt(1),
I4 => \^bid_gets_fifo_load_d1_reg\,
I5 => bid_gets_fifo_load_d1,
O => Data_Exists_DFF_i_2_n_0
);
Data_Exists_DFF_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => \Addr_Counters[0].FDRE_I_n_0\,
I1 => \Addr_Counters[1].FDRE_I_n_0\,
I2 => \Addr_Counters[3].FDRE_I_n_0\,
I3 => \Addr_Counters[2].FDRE_I_n_0\,
O => Data_Exists_DFF_i_3_n_0
);
\FIFO_RAM[0].SRL16E_I\: unisim.vcomponents.SRL16E
generic map(
INIT => X"0000",
IS_CLK_INVERTED => '0'
)
port map (
A0 => \Addr_Counters[0].FDRE_I_n_0\,
A1 => \Addr_Counters[1].FDRE_I_n_0\,
A2 => \Addr_Counters[2].FDRE_I_n_0\,
A3 => \Addr_Counters[3].FDRE_I_n_0\,
CE => CI,
CLK => s_axi_aclk,
D => bid_fifo_ld,
Q => bid_fifo_rd
);
\FIFO_RAM[0].SRL16E_I_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axi_awid_pipe,
I1 => axi_awaddr_full,
I2 => s_axi_awid(0),
O => bid_fifo_ld
);
\axi_bid_int[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"ACAFACA0"
)
port map (
I0 => bid_fifo_ld,
I1 => bid_fifo_rd,
I2 => \^bid_gets_fifo_load\,
I3 => \axi_bid_int[0]_i_2_n_0\,
I4 => s_axi_bid(0),
O => \axi_bid_int_reg[0]\
);
\axi_bid_int[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"A888AAAAA8888888"
)
port map (
I0 => bid_fifo_not_empty,
I1 => bid_gets_fifo_load_d1,
I2 => s_axi_bready,
I3 => axi_bvalid_int_reg,
I4 => bid_gets_fifo_load_d1_i_3_n_0,
I5 => \^bvalid_cnt_inc\,
O => \axi_bid_int[0]_i_2_n_0\
);
axi_bvalid_int_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"000055FD00000000"
)
port map (
I0 => \out\(2),
I1 => \^axi_wdata_full_cmb114_out\,
I2 => axi_bvalid_int_i_4_n_0,
I3 => axi_wr_burst,
I4 => \out\(1),
I5 => axi_bvalid_int_i_5_n_0,
O => \^bvalid_cnt_inc\
);
axi_bvalid_int_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"FE000000"
)
port map (
I0 => bvalid_cnt(1),
I1 => bvalid_cnt(0),
I2 => bvalid_cnt(2),
I3 => axi_bvalid_int_reg,
I4 => s_axi_bready,
O => \^bid_gets_fifo_load_d1_reg\
);
axi_bvalid_int_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"1F11000000000000"
)
port map (
I0 => axi_bvalid_int_i_6_n_0,
I1 => \bvalid_cnt_reg[2]\,
I2 => wr_addr_sm_cs,
I3 => \bvalid_cnt_reg[2]_0\,
I4 => \GEN_AWREADY.axi_aresetn_d2_reg\,
I5 => axi_awaddr_full,
O => axi_bvalid_int_i_4_n_0
);
axi_bvalid_int_i_5: unisim.vcomponents.LUT5
generic map(
INIT => X"74446444"
)
port map (
I0 => \out\(0),
I1 => \out\(2),
I2 => s_axi_wvalid,
I3 => s_axi_wlast,
I4 => \^axi_wdata_full_cmb114_out\,
O => axi_bvalid_int_i_5_n_0
);
axi_bvalid_int_i_6: unisim.vcomponents.LUT5
generic map(
INIT => X"FEFFFFFF"
)
port map (
I0 => curr_awlen_reg_1_or_2,
I1 => axi_awlen_pipe_1_or_2,
I2 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\,
I3 => axi_awaddr_full,
I4 => last_data_ack_mod,
O => axi_bvalid_int_i_6_n_0
);
axi_wready_int_mod_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"7F7F7F007F007F00"
)
port map (
I0 => bvalid_cnt(1),
I1 => bvalid_cnt(0),
I2 => bvalid_cnt(2),
I3 => aw_active,
I4 => s_axi_awready,
I5 => s_axi_awvalid,
O => \^axi_wdata_full_cmb114_out\
);
bid_gets_fifo_load_d1_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000800AA00AA00"
)
port map (
I0 => bram_addr_ld_en,
I1 => \^bid_gets_fifo_load_d1_reg\,
I2 => bid_fifo_not_empty,
I3 => \^bvalid_cnt_inc\,
I4 => \bvalid_cnt_reg[1]\,
I5 => bid_gets_fifo_load_d1_i_3_n_0,
O => \^bid_gets_fifo_load\
);
bid_gets_fifo_load_d1_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => bvalid_cnt(2),
I1 => bvalid_cnt(0),
I2 => bvalid_cnt(1),
O => bid_gets_fifo_load_d1_i_3_n_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst is
port (
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
bram_addr_ld_en_mod : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 13 downto 0 );
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ : out STD_LOGIC;
bram_addr_ld_en : out STD_LOGIC;
\save_init_bram_addr_ld_reg[15]_0\ : out STD_LOGIC;
\save_init_bram_addr_ld_reg[15]_1\ : out STD_LOGIC;
\save_init_bram_addr_ld_reg[15]_2\ : out STD_LOGIC;
\wrap_burst_total_reg[0]_0\ : out STD_LOGIC;
\wrap_burst_total_reg[2]_0\ : out STD_LOGIC;
curr_fixed_burst_reg_reg : out STD_LOGIC;
curr_wrap_burst_reg_reg : out STD_LOGIC;
curr_fixed_burst_reg : in STD_LOGIC;
bram_addr_inc : in STD_LOGIC;
bram_addr_rst_cmb : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
\out\ : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_wvalid : in STD_LOGIC;
bram_addr_a : in STD_LOGIC_VECTOR ( 9 downto 0 );
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\ : in STD_LOGIC;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ : in STD_LOGIC;
axi_awaddr_full : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
\GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\ : in STD_LOGIC;
\GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC;
wr_addr_sm_cs : in STD_LOGIC;
last_data_ack_mod : in STD_LOGIC;
bvalid_cnt : in STD_LOGIC_VECTOR ( 2 downto 0 );
aw_active : in STD_LOGIC;
s_axi_awvalid : in STD_LOGIC;
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ : in STD_LOGIC;
axi_awlen_pipe_1_or_2 : in STD_LOGIC;
curr_awlen_reg_1_or_2 : in STD_LOGIC;
curr_wrap_burst_reg : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_awsize_pipe : in STD_LOGIC_VECTOR ( 0 to 0 );
curr_fixed_burst : in STD_LOGIC;
curr_wrap_burst : in STD_LOGIC;
s_axi_aresetn_0 : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst : entity is "wrap_brst";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst is
signal \^d\ : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8_n_0\ : STD_LOGIC;
signal \^gen_dual_addr_cnt.bram_addr_int_reg[8]\ : STD_LOGIC;
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal bram_addr_ld : STD_LOGIC_VECTOR ( 9 downto 1 );
signal \^bram_addr_ld_en\ : STD_LOGIC;
signal \^bram_addr_ld_en_mod\ : STD_LOGIC;
signal save_init_bram_addr_ld : STD_LOGIC_VECTOR ( 15 downto 3 );
signal \save_init_bram_addr_ld[3]_i_2__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[4]_i_2__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[5]_i_2__0_n_0\ : STD_LOGIC;
signal \^save_init_bram_addr_ld_reg[15]_0\ : STD_LOGIC;
signal \^save_init_bram_addr_ld_reg[15]_1\ : STD_LOGIC;
signal \^save_init_bram_addr_ld_reg[15]_2\ : STD_LOGIC;
signal wrap_burst_total : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \wrap_burst_total[0]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_burst_total[0]_i_2__0_n_0\ : STD_LOGIC;
signal \wrap_burst_total[0]_i_4__0_n_0\ : STD_LOGIC;
signal \wrap_burst_total[0]_i_5_n_0\ : STD_LOGIC;
signal \wrap_burst_total[1]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_burst_total[2]_i_1__0_n_0\ : STD_LOGIC;
signal \wrap_burst_total[2]_i_2__0_n_0\ : STD_LOGIC;
signal \^wrap_burst_total_reg[0]_0\ : STD_LOGIC;
signal \^wrap_burst_total_reg[2]_0\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \curr_fixed_burst_reg_i_1__0\ : label is "soft_lutpair48";
attribute SOFT_HLUTNM of \save_init_bram_addr_ld[3]_i_2__0\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \save_init_bram_addr_ld[4]_i_2__0\ : label is "soft_lutpair49";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_3__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_4__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_5\ : label is "soft_lutpair47";
attribute SOFT_HLUTNM of \wrap_burst_total[1]_i_1__0\ : label is "soft_lutpair45";
attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_2__0\ : label is "soft_lutpair46";
attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_3__0\ : label is "soft_lutpair47";
begin
D(13 downto 0) <= \^d\(13 downto 0);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[8]\;
SR(0) <= \^sr\(0);
bram_addr_ld_en <= \^bram_addr_ld_en\;
bram_addr_ld_en_mod <= \^bram_addr_ld_en_mod\;
\save_init_bram_addr_ld_reg[15]_0\ <= \^save_init_bram_addr_ld_reg[15]_0\;
\save_init_bram_addr_ld_reg[15]_1\ <= \^save_init_bram_addr_ld_reg[15]_1\;
\save_init_bram_addr_ld_reg[15]_2\ <= \^save_init_bram_addr_ld_reg[15]_2\;
\wrap_burst_total_reg[0]_0\ <= \^wrap_burst_total_reg[0]_0\;
\wrap_burst_total_reg[2]_0\ <= \^wrap_burst_total_reg[2]_0\;
\GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BB8BBBBB88B88888"
)
port map (
I0 => bram_addr_ld(8),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(6),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\,
I4 => bram_addr_a(7),
I5 => bram_addr_a(8),
O => \^d\(8)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAAAAAAA"
)
port map (
I0 => \^bram_addr_ld_en_mod\,
I1 => curr_fixed_burst_reg,
I2 => \out\(1),
I3 => \out\(2),
I4 => \out\(0),
I5 => s_axi_wvalid,
O => E(0)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"B88BB8B8"
)
port map (
I0 => bram_addr_ld(9),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(9),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\,
I4 => bram_addr_a(8),
O => \^d\(9)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(12),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(10),
O => \^d\(10)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[13]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(13),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(11),
O => \^d\(11)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[14]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(14),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(12),
O => \^d\(12)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"4500FFFF"
)
port map (
I0 => \^bram_addr_ld_en_mod\,
I1 => curr_fixed_burst_reg,
I2 => bram_addr_inc,
I3 => bram_addr_rst_cmb,
I4 => s_axi_aresetn,
O => \^sr\(0)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAABAAAAAAAAAAAA"
)
port map (
I0 => \^bram_addr_ld_en\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\,
I2 => \out\(1),
I3 => \out\(2),
I4 => \out\(0),
I5 => s_axi_wvalid,
O => \^bram_addr_ld_en_mod\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(15),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(13),
O => \^d\(13)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"55555555FFFFFFDF"
)
port map (
I0 => curr_wrap_burst_reg,
I1 => wrap_burst_total(1),
I2 => wrap_burst_total(2),
I3 => wrap_burst_total(0),
I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\,
I5 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8_n_0\,
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^bram_addr_ld_en\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_6_n_0\,
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000008F00C000"
)
port map (
I0 => bram_addr_a(2),
I1 => bram_addr_a(1),
I2 => wrap_burst_total(1),
I3 => bram_addr_a(0),
I4 => wrap_burst_total(0),
I5 => wrap_burst_total(2),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_8_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B800B800B800FFFF"
)
port map (
I0 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\,
I1 => axi_awaddr_full,
I2 => s_axi_awaddr(0),
I3 => \^bram_addr_ld_en\,
I4 => \^bram_addr_ld_en_mod\,
I5 => bram_addr_a(0),
O => \^d\(0)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"8BB8"
)
port map (
I0 => bram_addr_ld(1),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(1),
I3 => bram_addr_a(0),
O => \^d\(1)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"8BB8B8B8"
)
port map (
I0 => bram_addr_ld(2),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(2),
I3 => bram_addr_a(0),
I4 => bram_addr_a(1),
O => \^d\(2)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8BB8B8B8B8B8B8B8"
)
port map (
I0 => bram_addr_ld(3),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(3),
I3 => bram_addr_a(2),
I4 => bram_addr_a(0),
I5 => bram_addr_a(1),
O => \^d\(3)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[6]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"B88B"
)
port map (
I0 => bram_addr_ld(4),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(4),
I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\,
O => \^d\(4)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B88BB8B8"
)
port map (
I0 => bram_addr_ld(5),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(5),
I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\,
I4 => bram_addr_a(4),
O => \^d\(5)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8B88BB8B8B8B8B8"
)
port map (
I0 => bram_addr_ld(6),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(6),
I3 => bram_addr_a(4),
I4 => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\,
I5 => bram_addr_a(5),
O => \^d\(6)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => bram_addr_a(1),
I1 => bram_addr_a(0),
I2 => bram_addr_a(2),
I3 => bram_addr_a(3),
O => \^gen_dual_addr_cnt.bram_addr_int_reg[8]\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B88BB8B8"
)
port map (
I0 => bram_addr_ld(7),
I1 => \^bram_addr_ld_en_mod\,
I2 => bram_addr_a(7),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\,
I4 => bram_addr_a(6),
O => \^d\(7)
);
\curr_fixed_burst_reg_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"00E2"
)
port map (
I0 => curr_fixed_burst_reg,
I1 => \^bram_addr_ld_en\,
I2 => curr_fixed_burst,
I3 => \^sr\(0),
O => curr_fixed_burst_reg_reg
);
\curr_wrap_burst_reg_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"00E2"
)
port map (
I0 => curr_wrap_burst_reg,
I1 => \^bram_addr_ld_en\,
I2 => curr_wrap_burst,
I3 => \^sr\(0),
O => curr_wrap_burst_reg_reg
);
\save_init_bram_addr_ld[10]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(10),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(8),
O => bram_addr_ld(8)
);
\save_init_bram_addr_ld[11]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(11),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(9),
O => bram_addr_ld(9)
);
\save_init_bram_addr_ld[15]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0808080808AA0808"
)
port map (
I0 => \GEN_AWREADY.axi_aresetn_d2_reg\,
I1 => \^save_init_bram_addr_ld_reg[15]_0\,
I2 => wr_addr_sm_cs,
I3 => \^save_init_bram_addr_ld_reg[15]_1\,
I4 => last_data_ack_mod,
I5 => \^save_init_bram_addr_ld_reg[15]_2\,
O => \^bram_addr_ld_en\
);
\save_init_bram_addr_ld[15]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"007F007F007F0000"
)
port map (
I0 => bvalid_cnt(2),
I1 => bvalid_cnt(0),
I2 => bvalid_cnt(1),
I3 => aw_active,
I4 => axi_awaddr_full,
I5 => s_axi_awvalid,
O => \^save_init_bram_addr_ld_reg[15]_0\
);
\save_init_bram_addr_ld[15]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => bvalid_cnt(2),
I1 => bvalid_cnt(0),
I2 => bvalid_cnt(1),
O => \^save_init_bram_addr_ld_reg[15]_1\
);
\save_init_bram_addr_ld[15]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFD"
)
port map (
I0 => axi_awaddr_full,
I1 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\,
I2 => axi_awlen_pipe_1_or_2,
I3 => curr_awlen_reg_1_or_2,
O => \^save_init_bram_addr_ld_reg[15]_2\
);
\save_init_bram_addr_ld[3]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld[3]_i_2__0_n_0\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(1),
O => bram_addr_ld(1)
);
\save_init_bram_addr_ld[3]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"C80C"
)
port map (
I0 => wrap_burst_total(0),
I1 => save_init_bram_addr_ld(3),
I2 => wrap_burst_total(1),
I3 => wrap_burst_total(2),
O => \save_init_bram_addr_ld[3]_i_2__0_n_0\
);
\save_init_bram_addr_ld[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld[4]_i_2__0_n_0\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(2),
O => bram_addr_ld(2)
);
\save_init_bram_addr_ld[4]_i_2__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"A28A"
)
port map (
I0 => save_init_bram_addr_ld(4),
I1 => wrap_burst_total(0),
I2 => wrap_burst_total(2),
I3 => wrap_burst_total(1),
O => \save_init_bram_addr_ld[4]_i_2__0_n_0\
);
\save_init_bram_addr_ld[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"8F808F8F8F808080"
)
port map (
I0 => save_init_bram_addr_ld(5),
I1 => \save_init_bram_addr_ld[5]_i_2__0_n_0\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I3 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\,
I4 => axi_awaddr_full,
I5 => s_axi_awaddr(3),
O => bram_addr_ld(3)
);
\save_init_bram_addr_ld[5]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"FB"
)
port map (
I0 => wrap_burst_total(0),
I1 => wrap_burst_total(2),
I2 => wrap_burst_total(1),
O => \save_init_bram_addr_ld[5]_i_2__0_n_0\
);
\save_init_bram_addr_ld[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(6),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(4),
O => bram_addr_ld(4)
);
\save_init_bram_addr_ld[7]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(7),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(5),
O => bram_addr_ld(5)
);
\save_init_bram_addr_ld[8]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(8),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(6),
O => bram_addr_ld(6)
);
\save_init_bram_addr_ld[9]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => save_init_bram_addr_ld(9),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_7_n_0\,
I2 => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\,
I3 => axi_awaddr_full,
I4 => s_axi_awaddr(7),
O => bram_addr_ld(7)
);
\save_init_bram_addr_ld_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(8),
Q => save_init_bram_addr_ld(10),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(9),
Q => save_init_bram_addr_ld(11),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(10),
Q => save_init_bram_addr_ld(12),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(11),
Q => save_init_bram_addr_ld(13),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(12),
Q => save_init_bram_addr_ld(14),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(13),
Q => save_init_bram_addr_ld(15),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(1),
Q => save_init_bram_addr_ld(3),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(2),
Q => save_init_bram_addr_ld(4),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(3),
Q => save_init_bram_addr_ld(5),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(4),
Q => save_init_bram_addr_ld(6),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(5),
Q => save_init_bram_addr_ld(7),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(6),
Q => save_init_bram_addr_ld(8),
R => s_axi_aresetn_0
);
\save_init_bram_addr_ld_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => bram_addr_ld(7),
Q => save_init_bram_addr_ld(9),
R => s_axi_aresetn_0
);
\wrap_burst_total[0]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"F909090900000000"
)
port map (
I0 => \wrap_burst_total[0]_i_2__0_n_0\,
I1 => \^wrap_burst_total_reg[0]_0\,
I2 => \wrap_burst_total[0]_i_4__0_n_0\,
I3 => Q(1),
I4 => Q(2),
I5 => \wrap_burst_total[0]_i_5_n_0\,
O => \wrap_burst_total[0]_i_1__0_n_0\
);
\wrap_burst_total[0]_i_2__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => Q(2),
I1 => axi_awaddr_full,
I2 => s_axi_awlen(2),
O => \wrap_burst_total[0]_i_2__0_n_0\
);
\wrap_burst_total[0]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => Q(1),
I1 => axi_awaddr_full,
I2 => s_axi_awlen(1),
O => \^wrap_burst_total_reg[0]_0\
);
\wrap_burst_total[0]_i_4__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => axi_awaddr_full,
I1 => axi_awsize_pipe(0),
O => \wrap_burst_total[0]_i_4__0_n_0\
);
\wrap_burst_total[0]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"000ACC0A"
)
port map (
I0 => s_axi_awlen(0),
I1 => Q(0),
I2 => s_axi_awlen(3),
I3 => axi_awaddr_full,
I4 => Q(3),
O => \wrap_burst_total[0]_i_5_n_0\
);
\wrap_burst_total[1]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"000008F3"
)
port map (
I0 => Q(2),
I1 => axi_awaddr_full,
I2 => axi_awsize_pipe(0),
I3 => \^wrap_burst_total_reg[2]_0\,
I4 => \wrap_burst_total[2]_i_2__0_n_0\,
O => \wrap_burst_total[1]_i_1__0_n_0\
);
\wrap_burst_total[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"5000000044004400"
)
port map (
I0 => \wrap_burst_total[2]_i_2__0_n_0\,
I1 => s_axi_awlen(2),
I2 => Q(2),
I3 => \^wrap_burst_total_reg[2]_0\,
I4 => axi_awsize_pipe(0),
I5 => axi_awaddr_full,
O => \wrap_burst_total[2]_i_1__0_n_0\
);
\wrap_burst_total[2]_i_2__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"335FFF5F"
)
port map (
I0 => s_axi_awlen(1),
I1 => Q(1),
I2 => s_axi_awlen(0),
I3 => axi_awaddr_full,
I4 => Q(0),
O => \wrap_burst_total[2]_i_2__0_n_0\
);
\wrap_burst_total[2]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => Q(3),
I1 => axi_awaddr_full,
I2 => s_axi_awlen(3),
O => \^wrap_burst_total_reg[2]_0\
);
\wrap_burst_total_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \wrap_burst_total[0]_i_1__0_n_0\,
Q => wrap_burst_total(0),
R => s_axi_aresetn_0
);
\wrap_burst_total_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \wrap_burst_total[1]_i_1__0_n_0\,
Q => wrap_burst_total(1),
R => s_axi_aresetn_0
);
\wrap_burst_total_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \wrap_burst_total[2]_i_1__0_n_0\,
Q => wrap_burst_total(2),
R => s_axi_aresetn_0
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst_0 is
port (
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ : out STD_LOGIC;
SR : out STD_LOGIC_VECTOR ( 0 to 0 );
\wrap_burst_total_reg[0]_0\ : out STD_LOGIC;
\wrap_burst_total_reg[0]_1\ : out STD_LOGIC;
\wrap_burst_total_reg[0]_2\ : out STD_LOGIC;
\wrap_burst_total_reg[0]_3\ : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 1 downto 0 );
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 13 downto 0 );
bram_addr_ld_en : out STD_LOGIC;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ : out STD_LOGIC;
\rd_data_sm_cs_reg[1]\ : out STD_LOGIC;
\save_init_bram_addr_ld_reg[15]_0\ : out STD_LOGIC;
\save_init_bram_addr_ld_reg[15]_1\ : out STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_rvalid_int_reg : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
end_brst_rd : in STD_LOGIC;
brst_zero : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_arsize_pipe : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_araddr_full : in STD_LOGIC;
curr_fixed_burst_reg : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
\GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\ : in STD_LOGIC_VECTOR ( 9 downto 0 );
\GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\ : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\ : in STD_LOGIC;
curr_wrap_burst_reg : in STD_LOGIC;
axi_rd_burst_two_reg : in STD_LOGIC;
axi_rd_burst : in STD_LOGIC;
axi_aresetn_d2 : in STD_LOGIC;
last_bram_addr : in STD_LOGIC;
rd_addr_sm_cs : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
no_ar_ack : in STD_LOGIC;
pend_rd_op : in STD_LOGIC;
ar_active : in STD_LOGIC;
axi_b2b_brst : in STD_LOGIC;
axi_arsize_pipe_max : in STD_LOGIC;
disable_b2b_brst : in STD_LOGIC;
\GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\ : in STD_LOGIC;
axi_arlen_pipe_1_or_2 : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst_0 : entity is "wrap_brst";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst_0;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst_0 is
signal \^d\ : STD_LOGIC_VECTOR ( 13 downto 0 );
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0_n_0\ : STD_LOGIC;
signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]\ : STD_LOGIC;
signal \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\ : STD_LOGIC;
signal \^gen_dual_addr_cnt.bram_addr_int_reg[6]\ : STD_LOGIC;
signal \^sr\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^bram_addr_ld_en\ : STD_LOGIC;
signal \^rd_data_sm_cs_reg[1]\ : STD_LOGIC;
signal \save_init_bram_addr_ld[10]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[11]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[15]_i_2__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[15]_i_3__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[3]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[3]_i_2_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[4]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[4]_i_2_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[5]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[5]_i_2_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[6]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[7]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[8]_i_1__0_n_0\ : STD_LOGIC;
signal \save_init_bram_addr_ld[9]_i_1__0_n_0\ : STD_LOGIC;
signal \^save_init_bram_addr_ld_reg[15]_0\ : STD_LOGIC;
signal \^save_init_bram_addr_ld_reg[15]_1\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[10]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[11]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[12]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[13]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[14]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[15]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[3]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[4]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[5]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[6]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[7]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[8]\ : STD_LOGIC;
signal \save_init_bram_addr_ld_reg_n_0_[9]\ : STD_LOGIC;
signal \wrap_burst_total[0]_i_1_n_0\ : STD_LOGIC;
signal \wrap_burst_total[0]_i_5__0_n_0\ : STD_LOGIC;
signal \wrap_burst_total[1]_i_1_n_0\ : STD_LOGIC;
signal \wrap_burst_total[2]_i_1_n_0\ : STD_LOGIC;
signal \wrap_burst_total[2]_i_2_n_0\ : STD_LOGIC;
signal \^wrap_burst_total_reg[0]_0\ : STD_LOGIC;
signal \^wrap_burst_total_reg[0]_1\ : STD_LOGIC;
signal \^wrap_burst_total_reg[0]_2\ : STD_LOGIC;
signal \^wrap_burst_total_reg[0]_3\ : STD_LOGIC;
signal \wrap_burst_total_reg_n_0_[0]\ : STD_LOGIC;
signal \wrap_burst_total_reg_n_0_[1]\ : STD_LOGIC;
signal \wrap_burst_total_reg_n_0_[2]\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1__0\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \save_init_bram_addr_ld[15]_i_4__0\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \save_init_bram_addr_ld[3]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \save_init_bram_addr_ld[5]_i_2\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_2\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_3\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_4\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \wrap_burst_total[0]_i_5__0\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_2\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wrap_burst_total[2]_i_3\ : label is "soft_lutpair4";
begin
D(13 downto 0) <= \^d\(13 downto 0);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]\;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\;
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ <= \^gen_dual_addr_cnt.bram_addr_int_reg[6]\;
SR(0) <= \^sr\(0);
bram_addr_ld_en <= \^bram_addr_ld_en\;
\rd_data_sm_cs_reg[1]\ <= \^rd_data_sm_cs_reg[1]\;
\save_init_bram_addr_ld_reg[15]_0\ <= \^save_init_bram_addr_ld_reg[15]_0\;
\save_init_bram_addr_ld_reg[15]_1\ <= \^save_init_bram_addr_ld_reg[15]_1\;
\wrap_burst_total_reg[0]_0\ <= \^wrap_burst_total_reg[0]_0\;
\wrap_burst_total_reg[0]_1\ <= \^wrap_burst_total_reg[0]_1\;
\wrap_burst_total_reg[0]_2\ <= \^wrap_burst_total_reg[0]_2\;
\wrap_burst_total_reg[0]_3\ <= \^wrap_burst_total_reg[0]_3\;
\GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"DF20FFFFDF200000"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(6),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(7),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(8),
I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I5 => \save_init_bram_addr_ld[10]_i_1__0_n_0\,
O => \^d\(8)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_1__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"5D"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\,
I2 => curr_fixed_burst_reg,
O => E(0)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_2__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AFF9A00"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(9),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(8),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I4 => \save_init_bram_addr_ld[11]_i_1__0_n_0\,
O => \^d\(9)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"E0F0E0FFE0F0E0F0"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5_n_0\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\,
I2 => \^rd_data_sm_cs_reg[1]\,
I3 => Q(1),
I4 => Q(3),
I5 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\,
O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => axi_rd_burst_two_reg,
I1 => Q(0),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_5_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6\: unisim.vcomponents.LUT6
generic map(
INIT => X"0D00000000000000"
)
port map (
I0 => end_brst_rd,
I1 => axi_b2b_brst,
I2 => brst_zero,
I3 => axi_rvalid_int_reg,
I4 => s_axi_rready,
I5 => Q(0),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_6_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[12]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[12]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(10),
O => \^d\(10)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[13]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[13]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(11),
O => \^d\(11)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[14]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[14]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(12),
O => \^d\(12)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
O => E(1)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_2__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[15]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(13),
O => \^d\(13)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => \^bram_addr_ld_en\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"88A80000"
)
port map (
I0 => \^gen_dual_addr_cnt.bram_addr_int_reg[11]_0\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0_n_0\,
I2 => \save_init_bram_addr_ld[5]_i_2_n_0\,
I3 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\,
I4 => curr_wrap_burst_reg,
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"000000008F00A000"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2),
I2 => \wrap_burst_total_reg_n_0_[1]\,
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0),
I4 => \wrap_burst_total_reg_n_0_[0]\,
I5 => \wrap_burst_total_reg_n_0_[2]\,
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5__0_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[2]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000A808FD5D"
)
port map (
I0 => \^bram_addr_ld_en\,
I1 => s_axi_araddr(0),
I2 => axi_araddr_full,
I3 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\,
I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0),
I5 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
O => \^d\(0)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[3]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"6F60"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0),
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I3 => \save_init_bram_addr_ld[3]_i_1__0_n_0\,
O => \^d\(1)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AFF6A00"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0),
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I4 => \save_init_bram_addr_ld[4]_i_1__0_n_0\,
O => \^d\(2)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"6AAAFFFF6AAA0000"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(3),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2),
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1),
I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I5 => \save_init_bram_addr_ld[5]_i_1__0_n_0\,
O => \^d\(3)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[6]_i_1__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"9F90"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(4),
I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I3 => \save_init_bram_addr_ld[6]_i_1__0_n_0\,
O => \^d\(4)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AFF9A00"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(5),
I1 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(4),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I4 => \save_init_bram_addr_ld[7]_i_1__0_n_0\,
O => \^d\(5)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"A6AAFFFFA6AA0000"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(6),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(4),
I2 => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\,
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(5),
I4 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I5 => \save_init_bram_addr_ld[8]_i_1__0_n_0\,
O => \^d\(6)
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[8]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"7FFF"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(1),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(0),
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(2),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(3),
O => \^gen_dual_addr_cnt.bram_addr_int_reg[6]\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"9AFF9A00"
)
port map (
I0 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(7),
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(6),
I3 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_3__0_n_0\,
I4 => \save_init_bram_addr_ld[9]_i_1__0_n_0\,
O => \^d\(7)
);
bram_en_int_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000004000"
)
port map (
I0 => Q(0),
I1 => Q(2),
I2 => axi_rvalid_int_reg,
I3 => s_axi_rready,
I4 => end_brst_rd,
I5 => brst_zero,
O => \^gen_dual_addr_cnt.bram_addr_int_reg[11]\
);
bram_rst_b_INST_0: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => s_axi_aresetn,
O => \^sr\(0)
);
\rd_data_sm_cs[1]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"0302030203020300"
)
port map (
I0 => Q(0),
I1 => Q(3),
I2 => Q(2),
I3 => Q(1),
I4 => axi_rd_burst_two_reg,
I5 => axi_rd_burst,
O => \^rd_data_sm_cs_reg[1]\
);
\save_init_bram_addr_ld[10]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[10]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(8),
O => \save_init_bram_addr_ld[10]_i_1__0_n_0\
);
\save_init_bram_addr_ld[11]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[11]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(9),
O => \save_init_bram_addr_ld[11]_i_1__0_n_0\
);
\save_init_bram_addr_ld[15]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"8888888A88888888"
)
port map (
I0 => axi_aresetn_d2,
I1 => \save_init_bram_addr_ld[15]_i_2__0_n_0\,
I2 => \save_init_bram_addr_ld[15]_i_3__0_n_0\,
I3 => \^save_init_bram_addr_ld_reg[15]_0\,
I4 => \^save_init_bram_addr_ld_reg[15]_1\,
I5 => last_bram_addr,
O => \^bram_addr_ld_en\
);
\save_init_bram_addr_ld[15]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000054"
)
port map (
I0 => rd_addr_sm_cs,
I1 => axi_araddr_full,
I2 => s_axi_arvalid,
I3 => no_ar_ack,
I4 => pend_rd_op,
I5 => ar_active,
O => \save_init_bram_addr_ld[15]_i_2__0_n_0\
);
\save_init_bram_addr_ld[15]_i_3__0\: unisim.vcomponents.LUT3
generic map(
INIT => X"2A"
)
port map (
I0 => brst_zero,
I1 => s_axi_rready,
I2 => axi_rvalid_int_reg,
O => \save_init_bram_addr_ld[15]_i_3__0_n_0\
);
\save_init_bram_addr_ld[15]_i_4__0\: unisim.vcomponents.LUT4
generic map(
INIT => X"0040"
)
port map (
I0 => Q(3),
I1 => Q(2),
I2 => Q(1),
I3 => Q(0),
O => \^save_init_bram_addr_ld_reg[15]_0\
);
\save_init_bram_addr_ld[15]_i_5\: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFDFFFF"
)
port map (
I0 => axi_arsize_pipe_max,
I1 => disable_b2b_brst,
I2 => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\,
I3 => axi_arlen_pipe_1_or_2,
I4 => axi_araddr_full,
O => \^save_init_bram_addr_ld_reg[15]_1\
);
\save_init_bram_addr_ld[3]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld[3]_i_2_n_0\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(1),
O => \save_init_bram_addr_ld[3]_i_1__0_n_0\
);
\save_init_bram_addr_ld[3]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A282"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[3]\,
I1 => \wrap_burst_total_reg_n_0_[1]\,
I2 => \wrap_burst_total_reg_n_0_[2]\,
I3 => \wrap_burst_total_reg_n_0_[0]\,
O => \save_init_bram_addr_ld[3]_i_2_n_0\
);
\save_init_bram_addr_ld[4]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld[4]_i_2_n_0\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(2),
O => \save_init_bram_addr_ld[4]_i_1__0_n_0\
);
\save_init_bram_addr_ld[4]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"A28A"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[4]\,
I1 => \wrap_burst_total_reg_n_0_[0]\,
I2 => \wrap_burst_total_reg_n_0_[2]\,
I3 => \wrap_burst_total_reg_n_0_[1]\,
O => \save_init_bram_addr_ld[4]_i_2_n_0\
);
\save_init_bram_addr_ld[5]_i_1__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"2F202F2F2F202020"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[5]\,
I1 => \save_init_bram_addr_ld[5]_i_2_n_0\,
I2 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I3 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\,
I4 => axi_araddr_full,
I5 => s_axi_araddr(3),
O => \save_init_bram_addr_ld[5]_i_1__0_n_0\
);
\save_init_bram_addr_ld[5]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => \wrap_burst_total_reg_n_0_[0]\,
I1 => \wrap_burst_total_reg_n_0_[2]\,
I2 => \wrap_burst_total_reg_n_0_[1]\,
O => \save_init_bram_addr_ld[5]_i_2_n_0\
);
\save_init_bram_addr_ld[6]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[6]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(4),
O => \save_init_bram_addr_ld[6]_i_1__0_n_0\
);
\save_init_bram_addr_ld[7]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[7]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(5),
O => \save_init_bram_addr_ld[7]_i_1__0_n_0\
);
\save_init_bram_addr_ld[8]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[8]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(6),
O => \save_init_bram_addr_ld[8]_i_1__0_n_0\
);
\save_init_bram_addr_ld[9]_i_1__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8BBB888"
)
port map (
I0 => \save_init_bram_addr_ld_reg_n_0_[9]\,
I1 => \GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4__0_n_0\,
I2 => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\,
I3 => axi_araddr_full,
I4 => s_axi_araddr(7),
O => \save_init_bram_addr_ld[9]_i_1__0_n_0\
);
\save_init_bram_addr_ld_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[10]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[10]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[11]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[11]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(10),
Q => \save_init_bram_addr_ld_reg_n_0_[12]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(11),
Q => \save_init_bram_addr_ld_reg_n_0_[13]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(12),
Q => \save_init_bram_addr_ld_reg_n_0_[14]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \^d\(13),
Q => \save_init_bram_addr_ld_reg_n_0_[15]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[3]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[3]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[4]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[4]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[5]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[5]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[6]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[6]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[7]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[7]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[8]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[8]\,
R => \^sr\(0)
);
\save_init_bram_addr_ld_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \save_init_bram_addr_ld[9]_i_1__0_n_0\,
Q => \save_init_bram_addr_ld_reg_n_0_[9]\,
R => \^sr\(0)
);
\wrap_burst_total[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000A000C300"
)
port map (
I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2),
I1 => \^wrap_burst_total_reg[0]_0\,
I2 => \^wrap_burst_total_reg[0]_1\,
I3 => \^wrap_burst_total_reg[0]_2\,
I4 => \wrap_burst_total[0]_i_5__0_n_0\,
I5 => \^wrap_burst_total_reg[0]_3\,
O => \wrap_burst_total[0]_i_1_n_0\
);
\wrap_burst_total[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2),
I1 => axi_araddr_full,
I2 => s_axi_arlen(2),
O => \^wrap_burst_total_reg[0]_0\
);
\wrap_burst_total[0]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(1),
I1 => axi_araddr_full,
I2 => s_axi_arlen(1),
O => \^wrap_burst_total_reg[0]_1\
);
\wrap_burst_total[0]_i_4\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(0),
I1 => axi_araddr_full,
I2 => s_axi_arlen(0),
O => \^wrap_burst_total_reg[0]_2\
);
\wrap_burst_total[0]_i_5__0\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => axi_araddr_full,
I1 => axi_arsize_pipe(0),
O => \wrap_burst_total[0]_i_5__0_n_0\
);
\wrap_burst_total[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"220A880A000A880A"
)
port map (
I0 => \wrap_burst_total[2]_i_2_n_0\,
I1 => axi_arsize_pipe(0),
I2 => s_axi_arlen(3),
I3 => axi_araddr_full,
I4 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(3),
I5 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2),
O => \wrap_burst_total[1]_i_1_n_0\
);
\wrap_burst_total[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"A000888800000000"
)
port map (
I0 => \wrap_burst_total[2]_i_2_n_0\,
I1 => s_axi_arlen(2),
I2 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(2),
I3 => axi_arsize_pipe(0),
I4 => axi_araddr_full,
I5 => \^wrap_burst_total_reg[0]_3\,
O => \wrap_burst_total[2]_i_1_n_0\
);
\wrap_burst_total[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"CCA000A0"
)
port map (
I0 => s_axi_arlen(1),
I1 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(1),
I2 => s_axi_arlen(0),
I3 => axi_araddr_full,
I4 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(0),
O => \wrap_burst_total[2]_i_2_n_0\
);
\wrap_burst_total[2]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(3),
I1 => axi_araddr_full,
I2 => s_axi_arlen(3),
O => \^wrap_burst_total_reg[0]_3\
);
\wrap_burst_total_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \wrap_burst_total[0]_i_1_n_0\,
Q => \wrap_burst_total_reg_n_0_[0]\,
R => \^sr\(0)
);
\wrap_burst_total_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \wrap_burst_total[1]_i_1_n_0\,
Q => \wrap_burst_total_reg_n_0_[1]\,
R => \^sr\(0)
);
\wrap_burst_total_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \^bram_addr_ld_en\,
D => \wrap_burst_total[2]_i_1_n_0\,
Q => \wrap_burst_total_reg_n_0_[2]\,
R => \^sr\(0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_rd_chnl is
port (
bram_rst_a : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
bram_en_b : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_arready : out STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
\GEN_AWREADY.axi_aresetn_d2_reg\ : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
axi_aresetn_d2 : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC;
axi_aresetn_re_reg : in STD_LOGIC;
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_rd_chnl : entity is "rd_chnl";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_rd_chnl;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_rd_chnl is
signal \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\ : STD_LOGIC;
signal \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\ : STD_LOGIC;
signal \/i__n_0\ : STD_LOGIC;
signal \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\ : STD_LOGIC;
signal \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\ : STD_LOGIC;
signal \GEN_ARREADY.axi_arready_int_i_1_n_0\ : STD_LOGIC;
signal \GEN_ARREADY.axi_early_arready_int_i_2_n_0\ : STD_LOGIC;
signal \GEN_ARREADY.axi_early_arready_int_i_3_n_0\ : STD_LOGIC;
signal \GEN_ARREADY.axi_early_arready_int_i_4_n_0\ : STD_LOGIC;
signal \GEN_AR_DUAL.ar_active_i_1_n_0\ : STD_LOGIC;
signal \GEN_AR_DUAL.ar_active_i_2_n_0\ : STD_LOGIC;
signal \GEN_AR_DUAL.ar_active_i_3_n_0\ : STD_LOGIC;
signal \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\ : STD_LOGIC;
signal \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\ : STD_LOGIC;
signal \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_4_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_int[0]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_int[0]_i_2_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_temp2[0]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_temp2_full_i_1_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_temp2_reg_n_0_[0]\ : STD_LOGIC;
signal \GEN_RID.axi_rid_temp[0]_i_1_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_temp[0]_i_3_n_0\ : STD_LOGIC;
signal \GEN_RID.axi_rid_temp_full_i_1_n_0\ : STD_LOGIC;
signal I_WRAP_BRST_n_0 : STD_LOGIC;
signal I_WRAP_BRST_n_10 : STD_LOGIC;
signal I_WRAP_BRST_n_11 : STD_LOGIC;
signal I_WRAP_BRST_n_12 : STD_LOGIC;
signal I_WRAP_BRST_n_13 : STD_LOGIC;
signal I_WRAP_BRST_n_14 : STD_LOGIC;
signal I_WRAP_BRST_n_15 : STD_LOGIC;
signal I_WRAP_BRST_n_16 : STD_LOGIC;
signal I_WRAP_BRST_n_17 : STD_LOGIC;
signal I_WRAP_BRST_n_18 : STD_LOGIC;
signal I_WRAP_BRST_n_19 : STD_LOGIC;
signal I_WRAP_BRST_n_2 : STD_LOGIC;
signal I_WRAP_BRST_n_20 : STD_LOGIC;
signal I_WRAP_BRST_n_21 : STD_LOGIC;
signal I_WRAP_BRST_n_22 : STD_LOGIC;
signal I_WRAP_BRST_n_24 : STD_LOGIC;
signal I_WRAP_BRST_n_25 : STD_LOGIC;
signal I_WRAP_BRST_n_26 : STD_LOGIC;
signal I_WRAP_BRST_n_27 : STD_LOGIC;
signal I_WRAP_BRST_n_3 : STD_LOGIC;
signal I_WRAP_BRST_n_4 : STD_LOGIC;
signal I_WRAP_BRST_n_5 : STD_LOGIC;
signal I_WRAP_BRST_n_7 : STD_LOGIC;
signal I_WRAP_BRST_n_8 : STD_LOGIC;
signal I_WRAP_BRST_n_9 : STD_LOGIC;
signal \^q\ : STD_LOGIC_VECTOR ( 13 downto 0 );
signal act_rd_burst : STD_LOGIC;
signal act_rd_burst_i_1_n_0 : STD_LOGIC;
signal act_rd_burst_i_3_n_0 : STD_LOGIC;
signal act_rd_burst_i_4_n_0 : STD_LOGIC;
signal act_rd_burst_i_5_n_0 : STD_LOGIC;
signal act_rd_burst_set : STD_LOGIC;
signal act_rd_burst_two : STD_LOGIC;
signal act_rd_burst_two_i_1_n_0 : STD_LOGIC;
signal ar_active : STD_LOGIC;
signal araddr_pipe_ld43_out : STD_LOGIC;
signal axi_araddr_full : STD_LOGIC;
signal axi_arburst_pipe : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_arid_pipe : STD_LOGIC;
signal axi_arlen_pipe : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_arlen_pipe_1_or_2 : STD_LOGIC;
signal axi_arready_int : STD_LOGIC;
signal axi_arsize_pipe : STD_LOGIC_VECTOR ( 1 to 1 );
signal axi_arsize_pipe_max : STD_LOGIC;
signal axi_arsize_pipe_max_i_1_n_0 : STD_LOGIC;
signal axi_b2b_brst : STD_LOGIC;
signal axi_b2b_brst_i_1_n_0 : STD_LOGIC;
signal axi_b2b_brst_i_2_n_0 : STD_LOGIC;
signal axi_early_arready_int : STD_LOGIC;
signal axi_rd_burst : STD_LOGIC;
signal axi_rd_burst_i_1_n_0 : STD_LOGIC;
signal axi_rd_burst_i_2_n_0 : STD_LOGIC;
signal axi_rd_burst_i_3_n_0 : STD_LOGIC;
signal axi_rd_burst_two : STD_LOGIC;
signal axi_rd_burst_two_i_1_n_0 : STD_LOGIC;
signal axi_rd_burst_two_reg_n_0 : STD_LOGIC;
signal axi_rid_temp : STD_LOGIC;
signal axi_rid_temp2 : STD_LOGIC;
signal axi_rid_temp2_full : STD_LOGIC;
signal axi_rid_temp_full : STD_LOGIC;
signal axi_rid_temp_full_d1 : STD_LOGIC;
signal axi_rlast_int_i_1_n_0 : STD_LOGIC;
signal axi_rlast_set : STD_LOGIC;
signal axi_rvalid_clr_ok : STD_LOGIC;
signal axi_rvalid_clr_ok_i_1_n_0 : STD_LOGIC;
signal axi_rvalid_clr_ok_i_2_n_0 : STD_LOGIC;
signal axi_rvalid_clr_ok_i_3_n_0 : STD_LOGIC;
signal axi_rvalid_int_i_1_n_0 : STD_LOGIC;
signal axi_rvalid_set : STD_LOGIC;
signal axi_rvalid_set_cmb : STD_LOGIC;
signal bram_addr_ld_en : STD_LOGIC;
signal bram_addr_ld_en_mod : STD_LOGIC;
signal \^bram_en_b\ : STD_LOGIC;
signal bram_en_int_i_10_n_0 : STD_LOGIC;
signal bram_en_int_i_11_n_0 : STD_LOGIC;
signal bram_en_int_i_12_n_0 : STD_LOGIC;
signal bram_en_int_i_13_n_0 : STD_LOGIC;
signal bram_en_int_i_1_n_0 : STD_LOGIC;
signal bram_en_int_i_2_n_0 : STD_LOGIC;
signal bram_en_int_i_3_n_0 : STD_LOGIC;
signal bram_en_int_i_4_n_0 : STD_LOGIC;
signal bram_en_int_i_5_n_0 : STD_LOGIC;
signal bram_en_int_i_6_n_0 : STD_LOGIC;
signal bram_en_int_i_7_n_0 : STD_LOGIC;
signal bram_en_int_i_9_n_0 : STD_LOGIC;
signal \^bram_rst_a\ : STD_LOGIC;
signal brst_cnt : STD_LOGIC_VECTOR ( 7 downto 0 );
signal \brst_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[3]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[4]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[4]_i_2_n_0\ : STD_LOGIC;
signal \brst_cnt[5]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[6]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[6]_i_2_n_0\ : STD_LOGIC;
signal \brst_cnt[7]_i_1_n_0\ : STD_LOGIC;
signal \brst_cnt[7]_i_2_n_0\ : STD_LOGIC;
signal \brst_cnt[7]_i_3_n_0\ : STD_LOGIC;
signal \brst_cnt[7]_i_4_n_0\ : STD_LOGIC;
signal brst_cnt_max : STD_LOGIC;
signal brst_cnt_max_d1 : STD_LOGIC;
signal brst_one : STD_LOGIC;
signal brst_one_i_1_n_0 : STD_LOGIC;
signal brst_one_i_2_n_0 : STD_LOGIC;
signal brst_zero : STD_LOGIC;
signal brst_zero_i_1_n_0 : STD_LOGIC;
signal brst_zero_i_2_n_0 : STD_LOGIC;
signal curr_fixed_burst : STD_LOGIC;
signal curr_fixed_burst_reg : STD_LOGIC;
signal curr_wrap_burst : STD_LOGIC;
signal curr_wrap_burst_reg : STD_LOGIC;
signal disable_b2b_brst : STD_LOGIC;
signal disable_b2b_brst_cmb : STD_LOGIC;
signal disable_b2b_brst_i_2_n_0 : STD_LOGIC;
signal disable_b2b_brst_i_3_n_0 : STD_LOGIC;
signal disable_b2b_brst_i_4_n_0 : STD_LOGIC;
signal end_brst_rd : STD_LOGIC;
signal end_brst_rd_clr : STD_LOGIC;
signal end_brst_rd_clr_i_1_n_0 : STD_LOGIC;
signal end_brst_rd_i_1_n_0 : STD_LOGIC;
signal last_bram_addr : STD_LOGIC;
signal last_bram_addr0 : STD_LOGIC;
signal last_bram_addr_i_2_n_0 : STD_LOGIC;
signal last_bram_addr_i_3_n_0 : STD_LOGIC;
signal last_bram_addr_i_4_n_0 : STD_LOGIC;
signal last_bram_addr_i_5_n_0 : STD_LOGIC;
signal last_bram_addr_i_6_n_0 : STD_LOGIC;
signal last_bram_addr_i_7_n_0 : STD_LOGIC;
signal last_bram_addr_i_8_n_0 : STD_LOGIC;
signal last_bram_addr_i_9_n_0 : STD_LOGIC;
signal no_ar_ack : STD_LOGIC;
signal no_ar_ack_i_1_n_0 : STD_LOGIC;
signal p_0_in13_in : STD_LOGIC;
signal p_13_out : STD_LOGIC;
signal p_48_out : STD_LOGIC;
signal p_4_out : STD_LOGIC;
signal p_9_out : STD_LOGIC;
signal pend_rd_op : STD_LOGIC;
signal pend_rd_op_i_1_n_0 : STD_LOGIC;
signal pend_rd_op_i_2_n_0 : STD_LOGIC;
signal pend_rd_op_i_3_n_0 : STD_LOGIC;
signal pend_rd_op_i_4_n_0 : STD_LOGIC;
signal pend_rd_op_i_5_n_0 : STD_LOGIC;
signal pend_rd_op_i_6_n_0 : STD_LOGIC;
signal pend_rd_op_i_7_n_0 : STD_LOGIC;
signal pend_rd_op_i_8_n_0 : STD_LOGIC;
signal rd_addr_sm_cs : STD_LOGIC;
signal rd_adv_buf67_out : STD_LOGIC;
signal rd_data_sm_cs : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \rd_data_sm_cs[0]_i_1_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[0]_i_2_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[0]_i_3_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[0]_i_4_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[1]_i_1_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[1]_i_2_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[2]_i_1_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[2]_i_2_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[2]_i_3_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[2]_i_4_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[2]_i_5_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[3]_i_2_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[3]_i_3_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[3]_i_4_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[3]_i_5_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[3]_i_6_n_0\ : STD_LOGIC;
signal \rd_data_sm_cs[3]_i_7_n_0\ : STD_LOGIC;
signal rd_data_sm_ns : STD_LOGIC;
signal rd_skid_buf : STD_LOGIC_VECTOR ( 31 downto 0 );
signal rd_skid_buf_ld : STD_LOGIC;
signal rd_skid_buf_ld_cmb : STD_LOGIC;
signal rd_skid_buf_ld_reg : STD_LOGIC;
signal rddata_mux_sel : STD_LOGIC;
signal rddata_mux_sel_cmb : STD_LOGIC;
signal rddata_mux_sel_i_1_n_0 : STD_LOGIC;
signal rddata_mux_sel_i_3_n_0 : STD_LOGIC;
signal rlast_sm_cs : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP : string;
attribute RTL_KEEP of rlast_sm_cs : signal is "yes";
signal \^s_axi_rid\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^s_axi_rlast\ : STD_LOGIC;
signal \^s_axi_rvalid\ : STD_LOGIC;
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \FSM_sequential_rlast_sm_cs[2]_i_2\ : label is "soft_lutpair14";
attribute KEEP : string;
attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[0]\ : label is "yes";
attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[1]\ : label is "yes";
attribute KEEP of \FSM_sequential_rlast_sm_cs_reg[2]\ : label is "yes";
attribute SOFT_HLUTNM of \GEN_ARREADY.axi_arready_int_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \GEN_ARREADY.axi_early_arready_int_i_3\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \GEN_AR_DUAL.ar_active_i_3\ : label is "soft_lutpair15";
attribute SOFT_HLUTNM of \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3\ : label is "soft_lutpair27";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1\ : label is "soft_lutpair34";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1\ : label is "soft_lutpair37";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1\ : label is "soft_lutpair38";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1\ : label is "soft_lutpair42";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1\ : label is "soft_lutpair41";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1\ : label is "soft_lutpair40";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1\ : label is "soft_lutpair39";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1\ : label is "soft_lutpair36";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1\ : label is "soft_lutpair35";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1\ : label is "soft_lutpair23";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_5\ : label is "soft_lutpair16";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1\ : label is "soft_lutpair29";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1\ : label is "soft_lutpair30";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1\ : label is "soft_lutpair32";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1\ : label is "soft_lutpair33";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1\ : label is "soft_lutpair25";
attribute SOFT_HLUTNM of \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1\ : label is "soft_lutpair28";
attribute SOFT_HLUTNM of \GEN_RID.axi_rid_int[0]_i_2\ : label is "soft_lutpair21";
attribute SOFT_HLUTNM of \GEN_RID.axi_rid_temp[0]_i_2\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of act_rd_burst_i_4 : label is "soft_lutpair14";
attribute SOFT_HLUTNM of act_rd_burst_i_5 : label is "soft_lutpair43";
attribute SOFT_HLUTNM of axi_rd_burst_two_i_2 : label is "soft_lutpair13";
attribute SOFT_HLUTNM of axi_rvalid_clr_ok_i_2 : label is "soft_lutpair8";
attribute SOFT_HLUTNM of axi_rvalid_set_i_1 : label is "soft_lutpair19";
attribute SOFT_HLUTNM of bram_en_int_i_10 : label is "soft_lutpair9";
attribute SOFT_HLUTNM of bram_en_int_i_11 : label is "soft_lutpair15";
attribute SOFT_HLUTNM of bram_en_int_i_13 : label is "soft_lutpair22";
attribute SOFT_HLUTNM of bram_en_int_i_6 : label is "soft_lutpair16";
attribute SOFT_HLUTNM of bram_en_int_i_9 : label is "soft_lutpair18";
attribute SOFT_HLUTNM of \brst_cnt[4]_i_2\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \brst_cnt[6]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \brst_cnt[6]_i_2\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \brst_cnt[7]_i_3\ : label is "soft_lutpair26";
attribute SOFT_HLUTNM of \brst_cnt[7]_i_4\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of brst_one_i_2 : label is "soft_lutpair31";
attribute SOFT_HLUTNM of brst_zero_i_1 : label is "soft_lutpair18";
attribute SOFT_HLUTNM of brst_zero_i_2 : label is "soft_lutpair13";
attribute SOFT_HLUTNM of curr_fixed_burst_reg_i_1 : label is "soft_lutpair6";
attribute SOFT_HLUTNM of curr_wrap_burst_reg_i_1 : label is "soft_lutpair6";
attribute SOFT_HLUTNM of disable_b2b_brst_i_2 : label is "soft_lutpair43";
attribute SOFT_HLUTNM of last_bram_addr_i_2 : label is "soft_lutpair31";
attribute SOFT_HLUTNM of last_bram_addr_i_7 : label is "soft_lutpair10";
attribute SOFT_HLUTNM of last_bram_addr_i_9 : label is "soft_lutpair11";
attribute SOFT_HLUTNM of pend_rd_op_i_5 : label is "soft_lutpair27";
attribute SOFT_HLUTNM of pend_rd_op_i_6 : label is "soft_lutpair22";
attribute SOFT_HLUTNM of pend_rd_op_i_7 : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \rd_data_sm_cs[0]_i_3\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \rd_data_sm_cs[1]_i_2\ : label is "soft_lutpair24";
attribute SOFT_HLUTNM of \rd_data_sm_cs[2]_i_3\ : label is "soft_lutpair17";
attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_4\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_5\ : label is "soft_lutpair19";
attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_6\ : label is "soft_lutpair20";
attribute SOFT_HLUTNM of \rd_data_sm_cs[3]_i_7\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of rddata_mux_sel_i_1 : label is "soft_lutpair17";
attribute SOFT_HLUTNM of s_axi_arready_INST_0 : label is "soft_lutpair21";
begin
Q(13 downto 0) <= \^q\(13 downto 0);
bram_en_b <= \^bram_en_b\;
bram_rst_a <= \^bram_rst_a\;
s_axi_rid(0) <= \^s_axi_rid\(0);
s_axi_rlast <= \^s_axi_rlast\;
s_axi_rvalid <= \^s_axi_rvalid\;
\/FSM_sequential_rlast_sm_cs[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0011001300130013"
)
port map (
I0 => axi_rd_burst,
I1 => rlast_sm_cs(1),
I2 => act_rd_burst_two,
I3 => axi_rd_burst_two_reg_n_0,
I4 => \^s_axi_rvalid\,
I5 => s_axi_rready,
O => \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\
);
\/FSM_sequential_rlast_sm_cs[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"003F007F003F0055"
)
port map (
I0 => axi_rd_burst,
I1 => s_axi_rready,
I2 => \^s_axi_rvalid\,
I3 => rlast_sm_cs(1),
I4 => axi_rd_burst_two_reg_n_0,
I5 => act_rd_burst_two,
O => \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\
);
\/i_\: unisim.vcomponents.LUT6
generic map(
INIT => X"F000F111F000E000"
)
port map (
I0 => rlast_sm_cs(2),
I1 => rlast_sm_cs(1),
I2 => \^s_axi_rvalid\,
I3 => s_axi_rready,
I4 => rlast_sm_cs(0),
I5 => last_bram_addr,
O => \/i__n_0\
);
\/i___0\: unisim.vcomponents.LUT6
generic map(
INIT => X"00008080000F8080"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => rlast_sm_cs(0),
I3 => rlast_sm_cs(1),
I4 => rlast_sm_cs(2),
I5 => \^s_axi_rlast\,
O => axi_rlast_set
);
\FSM_sequential_rlast_sm_cs[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"01FF0100"
)
port map (
I0 => rlast_sm_cs(2),
I1 => rlast_sm_cs(0),
I2 => \/FSM_sequential_rlast_sm_cs[0]_i_2_n_0\,
I3 => \/i__n_0\,
I4 => rlast_sm_cs(0),
O => \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\
);
\FSM_sequential_rlast_sm_cs[1]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"01FF0100"
)
port map (
I0 => rlast_sm_cs(2),
I1 => rlast_sm_cs(0),
I2 => \/FSM_sequential_rlast_sm_cs[1]_i_2_n_0\,
I3 => \/i__n_0\,
I4 => rlast_sm_cs(1),
O => \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\
);
\FSM_sequential_rlast_sm_cs[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00A4FFFF00A40000"
)
port map (
I0 => rlast_sm_cs(1),
I1 => p_0_in13_in,
I2 => rlast_sm_cs(0),
I3 => rlast_sm_cs(2),
I4 => \/i__n_0\,
I5 => rlast_sm_cs(2),
O => \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\
);
\FSM_sequential_rlast_sm_cs[2]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => axi_rd_burst_two_reg_n_0,
I1 => axi_rd_burst,
O => p_0_in13_in
);
\FSM_sequential_rlast_sm_cs_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \FSM_sequential_rlast_sm_cs[0]_i_1_n_0\,
Q => rlast_sm_cs(0),
R => \^bram_rst_a\
);
\FSM_sequential_rlast_sm_cs_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \FSM_sequential_rlast_sm_cs[1]_i_1_n_0\,
Q => rlast_sm_cs(1),
R => \^bram_rst_a\
);
\FSM_sequential_rlast_sm_cs_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \FSM_sequential_rlast_sm_cs[2]_i_1_n_0\,
Q => rlast_sm_cs(2),
R => \^bram_rst_a\
);
\GEN_ARREADY.axi_arready_int_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAEEE"
)
port map (
I0 => p_9_out,
I1 => axi_arready_int,
I2 => s_axi_arvalid,
I3 => axi_araddr_full,
I4 => araddr_pipe_ld43_out,
O => \GEN_ARREADY.axi_arready_int_i_1_n_0\
);
\GEN_ARREADY.axi_arready_int_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"BAAA"
)
port map (
I0 => axi_aresetn_re_reg,
I1 => axi_early_arready_int,
I2 => axi_araddr_full,
I3 => bram_addr_ld_en,
O => p_9_out
);
\GEN_ARREADY.axi_arready_int_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_ARREADY.axi_arready_int_i_1_n_0\,
Q => axi_arready_int,
R => \^bram_rst_a\
);
\GEN_ARREADY.axi_early_arready_int_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000200"
)
port map (
I0 => \GEN_ARREADY.axi_early_arready_int_i_2_n_0\,
I1 => \GEN_ARREADY.axi_early_arready_int_i_3_n_0\,
I2 => rd_data_sm_cs(3),
I3 => brst_one,
I4 => axi_arready_int,
I5 => \GEN_ARREADY.axi_early_arready_int_i_4_n_0\,
O => p_48_out
);
\GEN_ARREADY.axi_early_arready_int_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"03C4000400C40004"
)
port map (
I0 => axi_rd_burst_two_reg_n_0,
I1 => rd_data_sm_cs(1),
I2 => rd_data_sm_cs(0),
I3 => rd_data_sm_cs(2),
I4 => rd_adv_buf67_out,
I5 => bram_en_int_i_9_n_0,
O => \GEN_ARREADY.axi_early_arready_int_i_2_n_0\
);
\GEN_ARREADY.axi_early_arready_int_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => axi_araddr_full,
I1 => s_axi_arvalid,
O => \GEN_ARREADY.axi_early_arready_int_i_3_n_0\
);
\GEN_ARREADY.axi_early_arready_int_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAEAAAEAFFFFAAEA"
)
port map (
I0 => I_WRAP_BRST_n_27,
I1 => \rd_data_sm_cs[3]_i_6_n_0\,
I2 => rd_data_sm_cs(1),
I3 => rd_data_sm_cs(0),
I4 => brst_zero,
I5 => rd_adv_buf67_out,
O => \GEN_ARREADY.axi_early_arready_int_i_4_n_0\
);
\GEN_ARREADY.axi_early_arready_int_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => p_48_out,
Q => axi_early_arready_int,
R => \^bram_rst_a\
);
\GEN_AR_DUAL.ar_active_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F0FBFBFBF0F0F0F0"
)
port map (
I0 => \GEN_AR_DUAL.ar_active_i_2_n_0\,
I1 => \rd_data_sm_cs[2]_i_3_n_0\,
I2 => bram_addr_ld_en,
I3 => \rd_data_sm_cs[2]_i_5_n_0\,
I4 => rd_adv_buf67_out,
I5 => ar_active,
O => \GEN_AR_DUAL.ar_active_i_1_n_0\
);
\GEN_AR_DUAL.ar_active_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"B0FFBFFFB0FFBF0F"
)
port map (
I0 => \GEN_AR_DUAL.ar_active_i_3_n_0\,
I1 => I_WRAP_BRST_n_27,
I2 => rd_data_sm_cs(0),
I3 => rd_data_sm_cs(1),
I4 => axi_rd_burst_two_reg_n_0,
I5 => axi_rd_burst,
O => \GEN_AR_DUAL.ar_active_i_2_n_0\
);
\GEN_AR_DUAL.ar_active_i_3\: unisim.vcomponents.LUT5
generic map(
INIT => X"0DFFFFFF"
)
port map (
I0 => end_brst_rd,
I1 => axi_b2b_brst,
I2 => brst_zero,
I3 => s_axi_rready,
I4 => \^s_axi_rvalid\,
O => \GEN_AR_DUAL.ar_active_i_3_n_0\
);
\GEN_AR_DUAL.ar_active_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AR_DUAL.ar_active_i_1_n_0\,
Q => ar_active,
R => \GEN_AWREADY.axi_aresetn_d2_reg\
);
\GEN_AR_DUAL.rd_addr_sm_cs_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"10001000F0F01000"
)
port map (
I0 => rd_addr_sm_cs,
I1 => axi_araddr_full,
I2 => s_axi_arvalid,
I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\,
I4 => last_bram_addr,
I5 => \GEN_ARREADY.axi_early_arready_int_i_4_n_0\,
O => \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\
);
\GEN_AR_DUAL.rd_addr_sm_cs_reg\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AR_DUAL.rd_addr_sm_cs_i_1_n_0\,
Q => rd_addr_sm_cs,
R => \GEN_AWREADY.axi_aresetn_d2_reg\
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(8),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(9),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(10),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(11),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(12),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(13),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(0),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(1),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(2),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(3),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(4),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(5),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(6),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_araddr(7),
Q => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\,
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_araddr_full_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00C08888CCCC8888"
)
port map (
I0 => araddr_pipe_ld43_out,
I1 => s_axi_aresetn,
I2 => s_axi_arvalid,
I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\,
I4 => axi_araddr_full,
I5 => bram_addr_ld_en,
O => \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\
);
\GEN_AR_PIPE_DUAL.axi_araddr_full_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AR_PIPE_DUAL.axi_araddr_full_i_1_n_0\,
Q => axi_araddr_full,
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"03AA"
)
port map (
I0 => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\,
I1 => s_axi_arburst(0),
I2 => s_axi_arburst(1),
I3 => araddr_pipe_ld43_out,
O => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\
);
\GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_i_1_n_0\,
Q => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\,
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arburst_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arburst(0),
Q => axi_arburst_pipe(0),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arburst_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arburst(1),
Q => axi_arburst_pipe(1),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arid_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arid(0),
Q => axi_arid_pipe,
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"220022002A002200"
)
port map (
I0 => axi_aresetn_d2,
I1 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\,
I2 => rd_addr_sm_cs,
I3 => s_axi_arvalid,
I4 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\,
I5 => axi_araddr_full,
O => araddr_pipe_ld43_out
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFF70FFFFFFFF"
)
port map (
I0 => \^s_axi_rvalid\,
I1 => s_axi_rready,
I2 => brst_zero,
I3 => I_WRAP_BRST_n_26,
I4 => I_WRAP_BRST_n_27,
I5 => last_bram_addr,
O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_2_n_0\
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"FE"
)
port map (
I0 => no_ar_ack,
I1 => pend_rd_op,
I2 => ar_active,
O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe[7]_i_3_n_0\
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => s_axi_arlen(1),
I1 => s_axi_arlen(7),
I2 => s_axi_arlen(4),
I3 => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\,
O => p_13_out
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => s_axi_arlen(6),
I1 => s_axi_arlen(2),
I2 => s_axi_arlen(5),
I3 => s_axi_arlen(3),
O => \GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_i_2_n_0\
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_1_or_2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => p_13_out,
Q => axi_arlen_pipe_1_or_2,
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(0),
Q => axi_arlen_pipe(0),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(1),
Q => axi_arlen_pipe(1),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(2),
Q => axi_arlen_pipe(2),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(3),
Q => axi_arlen_pipe(3),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(4),
Q => axi_arlen_pipe(4),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(5),
Q => axi_arlen_pipe(5),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(6),
Q => axi_arlen_pipe(6),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => s_axi_arlen(7),
Q => axi_arlen_pipe(7),
R => '0'
);
\GEN_AR_PIPE_DUAL.axi_arsize_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => araddr_pipe_ld43_out,
D => '1',
Q => axi_arsize_pipe(1),
R => '0'
);
\GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000BAAA0000"
)
port map (
I0 => brst_cnt_max,
I1 => pend_rd_op,
I2 => ar_active,
I3 => brst_zero,
I4 => s_axi_aresetn,
I5 => bram_addr_ld_en,
O => \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\
);
\GEN_BRST_MAX_WO_NARROW.brst_cnt_max_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_BRST_MAX_WO_NARROW.brst_cnt_max_i_1_n_0\,
Q => brst_cnt_max,
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \^q\(4),
I1 => \^q\(1),
I2 => \^q\(0),
I3 => \^q\(2),
I4 => \^q\(3),
I5 => \^q\(5),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"F7FFFFFF"
)
port map (
I0 => \^q\(6),
I1 => \^q\(4),
I2 => I_WRAP_BRST_n_24,
I3 => \^q\(5),
I4 => \^q\(7),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_14,
Q => \^q\(8),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_13,
Q => \^q\(9),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => I_WRAP_BRST_n_12,
Q => \^q\(10),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => I_WRAP_BRST_n_11,
Q => \^q\(11),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => I_WRAP_BRST_n_10,
Q => \^q\(12),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => I_WRAP_BRST_n_9,
Q => \^q\(13),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_22,
Q => \^q\(0),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_21,
Q => \^q\(1),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_20,
Q => \^q\(2),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_19,
Q => \^q\(3),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_18,
Q => \^q\(4),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_17,
Q => \^q\(5),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_16,
Q => \^q\(6),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_7,
D => I_WRAP_BRST_n_15,
Q => \^q\(7),
R => '0'
);
\GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(0),
I1 => bram_rddata_b(0),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[0].axi_rdata_int[0]_i_1_n_0\,
Q => s_axi_rdata(0),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(10),
I1 => bram_rddata_b(10),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[10].axi_rdata_int[10]_i_1_n_0\,
Q => s_axi_rdata(10),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(11),
I1 => bram_rddata_b(11),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[11].axi_rdata_int[11]_i_1_n_0\,
Q => s_axi_rdata(11),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(12),
I1 => bram_rddata_b(12),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[12].axi_rdata_int[12]_i_1_n_0\,
Q => s_axi_rdata(12),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(13),
I1 => bram_rddata_b(13),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[13].axi_rdata_int[13]_i_1_n_0\,
Q => s_axi_rdata(13),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(14),
I1 => bram_rddata_b(14),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[14].axi_rdata_int[14]_i_1_n_0\,
Q => s_axi_rdata(14),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(15),
I1 => bram_rddata_b(15),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[15].axi_rdata_int[15]_i_1_n_0\,
Q => s_axi_rdata(15),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(16),
I1 => bram_rddata_b(16),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[16].axi_rdata_int[16]_i_1_n_0\,
Q => s_axi_rdata(16),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(17),
I1 => bram_rddata_b(17),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[17].axi_rdata_int[17]_i_1_n_0\,
Q => s_axi_rdata(17),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(18),
I1 => bram_rddata_b(18),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[18].axi_rdata_int[18]_i_1_n_0\,
Q => s_axi_rdata(18),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(19),
I1 => bram_rddata_b(19),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[19].axi_rdata_int[19]_i_1_n_0\,
Q => s_axi_rdata(19),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(1),
I1 => bram_rddata_b(1),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[1].axi_rdata_int[1]_i_1_n_0\,
Q => s_axi_rdata(1),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(20),
I1 => bram_rddata_b(20),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[20].axi_rdata_int[20]_i_1_n_0\,
Q => s_axi_rdata(20),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(21),
I1 => bram_rddata_b(21),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[21].axi_rdata_int[21]_i_1_n_0\,
Q => s_axi_rdata(21),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(22),
I1 => bram_rddata_b(22),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[22].axi_rdata_int[22]_i_1_n_0\,
Q => s_axi_rdata(22),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(23),
I1 => bram_rddata_b(23),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[23].axi_rdata_int[23]_i_1_n_0\,
Q => s_axi_rdata(23),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(24),
I1 => bram_rddata_b(24),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[24].axi_rdata_int[24]_i_1_n_0\,
Q => s_axi_rdata(24),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(25),
I1 => bram_rddata_b(25),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[25].axi_rdata_int[25]_i_1_n_0\,
Q => s_axi_rdata(25),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(26),
I1 => bram_rddata_b(26),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[26].axi_rdata_int[26]_i_1_n_0\,
Q => s_axi_rdata(26),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(27),
I1 => bram_rddata_b(27),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[27].axi_rdata_int[27]_i_1_n_0\,
Q => s_axi_rdata(27),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(28),
I1 => bram_rddata_b(28),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[28].axi_rdata_int[28]_i_1_n_0\,
Q => s_axi_rdata(28),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(29),
I1 => bram_rddata_b(29),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[29].axi_rdata_int[29]_i_1_n_0\,
Q => s_axi_rdata(29),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(2),
I1 => bram_rddata_b(2),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[2].axi_rdata_int[2]_i_1_n_0\,
Q => s_axi_rdata(2),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(30),
I1 => bram_rddata_b(30),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[30].axi_rdata_int[30]_i_1_n_0\,
Q => s_axi_rdata(30),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"08FF"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rlast\,
I2 => axi_b2b_brst,
I3 => s_axi_aresetn,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"1414545410000404"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(1),
I2 => rd_data_sm_cs(2),
I3 => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_4_n_0\,
I4 => rd_data_sm_cs(0),
I5 => rd_adv_buf67_out,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(31),
I1 => bram_rddata_b(31),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => act_rd_burst,
I1 => act_rd_burst_two,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_4_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => \^s_axi_rvalid\,
I1 => s_axi_rready,
O => rd_adv_buf67_out
);
\GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_3_n_0\,
Q => s_axi_rdata(31),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(3),
I1 => bram_rddata_b(3),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[3].axi_rdata_int[3]_i_1_n_0\,
Q => s_axi_rdata(3),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(4),
I1 => bram_rddata_b(4),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[4].axi_rdata_int[4]_i_1_n_0\,
Q => s_axi_rdata(4),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(5),
I1 => bram_rddata_b(5),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[5].axi_rdata_int[5]_i_1_n_0\,
Q => s_axi_rdata(5),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(6),
I1 => bram_rddata_b(6),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[6].axi_rdata_int[6]_i_1_n_0\,
Q => s_axi_rdata(6),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(7),
I1 => bram_rddata_b(7),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[7].axi_rdata_int[7]_i_1_n_0\,
Q => s_axi_rdata(7),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(8),
I1 => bram_rddata_b(8),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[8].axi_rdata_int[8]_i_1_n_0\,
Q => s_axi_rdata(8),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AC"
)
port map (
I0 => rd_skid_buf(9),
I1 => bram_rddata_b(9),
I2 => rddata_mux_sel,
O => \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_2_n_0\,
D => \GEN_RDATA_NO_ECC.GEN_RDATA[9].axi_rdata_int[9]_i_1_n_0\,
Q => s_axi_rdata(9),
R => \GEN_RDATA_NO_ECC.GEN_RDATA[31].axi_rdata_int[31]_i_1_n_0\
);
\GEN_RDATA_NO_ECC.rd_skid_buf[31]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAABAAAAAA"
)
port map (
I0 => rd_skid_buf_ld_reg,
I1 => rd_data_sm_cs(1),
I2 => rd_data_sm_cs(3),
I3 => rd_adv_buf67_out,
I4 => rd_data_sm_cs(2),
I5 => rd_data_sm_cs(0),
O => rd_skid_buf_ld
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(0),
Q => rd_skid_buf(0),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(10),
Q => rd_skid_buf(10),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(11),
Q => rd_skid_buf(11),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(12),
Q => rd_skid_buf(12),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(13),
Q => rd_skid_buf(13),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(14),
Q => rd_skid_buf(14),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(15),
Q => rd_skid_buf(15),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(16),
Q => rd_skid_buf(16),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(17),
Q => rd_skid_buf(17),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(18),
Q => rd_skid_buf(18),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(19),
Q => rd_skid_buf(19),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(1),
Q => rd_skid_buf(1),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(20),
Q => rd_skid_buf(20),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(21),
Q => rd_skid_buf(21),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(22),
Q => rd_skid_buf(22),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(23),
Q => rd_skid_buf(23),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(24),
Q => rd_skid_buf(24),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(25),
Q => rd_skid_buf(25),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(26),
Q => rd_skid_buf(26),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(27),
Q => rd_skid_buf(27),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(28),
Q => rd_skid_buf(28),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(29),
Q => rd_skid_buf(29),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(2),
Q => rd_skid_buf(2),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(30),
Q => rd_skid_buf(30),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(31),
Q => rd_skid_buf(31),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(3),
Q => rd_skid_buf(3),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(4),
Q => rd_skid_buf(4),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(5),
Q => rd_skid_buf(5),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(6),
Q => rd_skid_buf(6),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(7),
Q => rd_skid_buf(7),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(8),
Q => rd_skid_buf(8),
R => \^bram_rst_a\
);
\GEN_RDATA_NO_ECC.rd_skid_buf_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => rd_skid_buf_ld,
D => bram_rddata_b(9),
Q => rd_skid_buf(9),
R => \^bram_rst_a\
);
\GEN_RID.axi_rid_int[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"E200E200F0000000"
)
port map (
I0 => \^s_axi_rid\(0),
I1 => axi_rvalid_set,
I2 => axi_rid_temp,
I3 => s_axi_aresetn,
I4 => axi_b2b_brst,
I5 => \GEN_RID.axi_rid_int[0]_i_2_n_0\,
O => \GEN_RID.axi_rid_int[0]_i_1_n_0\
);
\GEN_RID.axi_rid_int[0]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"7"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rlast\,
O => \GEN_RID.axi_rid_int[0]_i_2_n_0\
);
\GEN_RID.axi_rid_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_RID.axi_rid_int[0]_i_1_n_0\,
Q => \^s_axi_rid\(0),
R => '0'
);
\GEN_RID.axi_rid_temp2[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8FFFFFFB8000000"
)
port map (
I0 => axi_arid_pipe,
I1 => axi_araddr_full,
I2 => s_axi_arid(0),
I3 => axi_rid_temp_full,
I4 => bram_addr_ld_en,
I5 => \GEN_RID.axi_rid_temp2_reg_n_0_[0]\,
O => \GEN_RID.axi_rid_temp2[0]_i_1_n_0\
);
\GEN_RID.axi_rid_temp2_full_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"08080000C8C800C0"
)
port map (
I0 => bram_addr_ld_en,
I1 => s_axi_aresetn,
I2 => axi_rid_temp2_full,
I3 => axi_rid_temp_full_d1,
I4 => axi_rid_temp_full,
I5 => p_4_out,
O => \GEN_RID.axi_rid_temp2_full_i_1_n_0\
);
\GEN_RID.axi_rid_temp2_full_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_RID.axi_rid_temp2_full_i_1_n_0\,
Q => axi_rid_temp2_full,
R => '0'
);
\GEN_RID.axi_rid_temp2_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_RID.axi_rid_temp2[0]_i_1_n_0\,
Q => \GEN_RID.axi_rid_temp2_reg_n_0_[0]\,
R => \^bram_rst_a\
);
\GEN_RID.axi_rid_temp[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"CFAACFCFC0AAC0C0"
)
port map (
I0 => axi_rid_temp2,
I1 => \GEN_RID.axi_rid_temp2_reg_n_0_[0]\,
I2 => \GEN_RID.axi_rid_temp[0]_i_3_n_0\,
I3 => axi_rid_temp_full,
I4 => bram_addr_ld_en,
I5 => axi_rid_temp,
O => \GEN_RID.axi_rid_temp[0]_i_1_n_0\
);
\GEN_RID.axi_rid_temp[0]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axi_arid_pipe,
I1 => axi_araddr_full,
I2 => s_axi_arid(0),
O => axi_rid_temp2
);
\GEN_RID.axi_rid_temp[0]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AA08AAAAAA08AA08"
)
port map (
I0 => axi_rid_temp2_full,
I1 => axi_rid_temp_full_d1,
I2 => axi_rid_temp_full,
I3 => axi_rvalid_set,
I4 => \GEN_RID.axi_rid_int[0]_i_2_n_0\,
I5 => axi_b2b_brst,
O => \GEN_RID.axi_rid_temp[0]_i_3_n_0\
);
\GEN_RID.axi_rid_temp_full_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rid_temp_full,
Q => axi_rid_temp_full_d1,
R => \^bram_rst_a\
);
\GEN_RID.axi_rid_temp_full_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"F0F0F0E000F0A0A0"
)
port map (
I0 => bram_addr_ld_en,
I1 => axi_rid_temp_full_d1,
I2 => s_axi_aresetn,
I3 => p_4_out,
I4 => axi_rid_temp_full,
I5 => axi_rid_temp2_full,
O => \GEN_RID.axi_rid_temp_full_i_1_n_0\
);
\GEN_RID.axi_rid_temp_full_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"EAAA"
)
port map (
I0 => axi_rvalid_set,
I1 => s_axi_rready,
I2 => \^s_axi_rlast\,
I3 => axi_b2b_brst,
O => p_4_out
);
\GEN_RID.axi_rid_temp_full_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_RID.axi_rid_temp_full_i_1_n_0\,
Q => axi_rid_temp_full,
R => '0'
);
\GEN_RID.axi_rid_temp_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_RID.axi_rid_temp[0]_i_1_n_0\,
Q => axi_rid_temp,
R => \^bram_rst_a\
);
I_WRAP_BRST: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst_0
port map (
D(13) => I_WRAP_BRST_n_9,
D(12) => I_WRAP_BRST_n_10,
D(11) => I_WRAP_BRST_n_11,
D(10) => I_WRAP_BRST_n_12,
D(9) => I_WRAP_BRST_n_13,
D(8) => I_WRAP_BRST_n_14,
D(7) => I_WRAP_BRST_n_15,
D(6) => I_WRAP_BRST_n_16,
D(5) => I_WRAP_BRST_n_17,
D(4) => I_WRAP_BRST_n_18,
D(3) => I_WRAP_BRST_n_19,
D(2) => I_WRAP_BRST_n_20,
D(1) => I_WRAP_BRST_n_21,
D(0) => I_WRAP_BRST_n_22,
E(1) => bram_addr_ld_en_mod,
E(0) => I_WRAP_BRST_n_7,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[10].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[11].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[12].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[13].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[14].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[15].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[2].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[3].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[4].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[5].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[6].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[7].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[8].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\ => \GEN_AR_PIPE_DUAL.GEN_ARADDR[9].axi_araddr_pipe_reg\,
\GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg\ => \GEN_AR_PIPE_DUAL.axi_arburst_pipe_fixed_reg_n_0\,
\GEN_AR_PIPE_DUAL.axi_arlen_pipe_reg[3]\(3 downto 0) => axi_arlen_pipe(3 downto 0),
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\ => I_WRAP_BRST_n_0,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_0\ => I_WRAP_BRST_n_8,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]_1\(9 downto 0) => \^q\(9 downto 0),
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ => I_WRAP_BRST_n_24,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]_0\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2_n_0\,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_4_n_0\,
Q(3 downto 0) => rd_data_sm_cs(3 downto 0),
SR(0) => \^bram_rst_a\,
ar_active => ar_active,
axi_araddr_full => axi_araddr_full,
axi_aresetn_d2 => axi_aresetn_d2,
axi_arlen_pipe_1_or_2 => axi_arlen_pipe_1_or_2,
axi_arsize_pipe(0) => axi_arsize_pipe(1),
axi_arsize_pipe_max => axi_arsize_pipe_max,
axi_b2b_brst => axi_b2b_brst,
axi_rd_burst => axi_rd_burst,
axi_rd_burst_two_reg => axi_rd_burst_two_reg_n_0,
axi_rvalid_int_reg => \^s_axi_rvalid\,
bram_addr_ld_en => bram_addr_ld_en,
brst_zero => brst_zero,
curr_fixed_burst_reg => curr_fixed_burst_reg,
curr_wrap_burst_reg => curr_wrap_burst_reg,
disable_b2b_brst => disable_b2b_brst,
end_brst_rd => end_brst_rd,
last_bram_addr => last_bram_addr,
no_ar_ack => no_ar_ack,
pend_rd_op => pend_rd_op,
rd_addr_sm_cs => rd_addr_sm_cs,
\rd_data_sm_cs_reg[1]\ => I_WRAP_BRST_n_25,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(13 downto 0) => s_axi_araddr(13 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_rready => s_axi_rready,
\save_init_bram_addr_ld_reg[15]_0\ => I_WRAP_BRST_n_26,
\save_init_bram_addr_ld_reg[15]_1\ => I_WRAP_BRST_n_27,
\wrap_burst_total_reg[0]_0\ => I_WRAP_BRST_n_2,
\wrap_burst_total_reg[0]_1\ => I_WRAP_BRST_n_3,
\wrap_burst_total_reg[0]_2\ => I_WRAP_BRST_n_4,
\wrap_burst_total_reg[0]_3\ => I_WRAP_BRST_n_5
);
act_rd_burst_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"000000002EEE22E2"
)
port map (
I0 => act_rd_burst,
I1 => act_rd_burst_set,
I2 => bram_addr_ld_en,
I3 => axi_rd_burst_two,
I4 => axi_rd_burst,
I5 => act_rd_burst_i_3_n_0,
O => act_rd_burst_i_1_n_0
);
act_rd_burst_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"A8A888A888888888"
)
port map (
I0 => \rd_data_sm_cs[2]_i_3_n_0\,
I1 => act_rd_burst_i_4_n_0,
I2 => act_rd_burst_i_5_n_0,
I3 => axi_rd_burst_i_2_n_0,
I4 => I_WRAP_BRST_n_4,
I5 => bram_addr_ld_en,
O => act_rd_burst_set
);
act_rd_burst_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"20000040FFFFFFFF"
)
port map (
I0 => rd_data_sm_cs(2),
I1 => rd_data_sm_cs(3),
I2 => \rd_data_sm_cs[3]_i_7_n_0\,
I3 => rd_data_sm_cs(1),
I4 => rd_data_sm_cs(0),
I5 => s_axi_aresetn,
O => act_rd_burst_i_3_n_0
);
act_rd_burst_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"5500FC00"
)
port map (
I0 => bram_en_int_i_12_n_0,
I1 => axi_rd_burst_two_reg_n_0,
I2 => axi_rd_burst,
I3 => rd_data_sm_cs(0),
I4 => rd_data_sm_cs(1),
O => act_rd_burst_i_4_n_0
);
act_rd_burst_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => rd_data_sm_cs(1),
I1 => rd_data_sm_cs(0),
O => act_rd_burst_i_5_n_0
);
act_rd_burst_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => act_rd_burst_i_1_n_0,
Q => act_rd_burst,
R => '0'
);
act_rd_burst_two_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000E2EEE222"
)
port map (
I0 => act_rd_burst_two,
I1 => act_rd_burst_set,
I2 => axi_rd_burst_two,
I3 => bram_addr_ld_en,
I4 => axi_rd_burst_two_reg_n_0,
I5 => act_rd_burst_i_3_n_0,
O => act_rd_burst_two_i_1_n_0
);
act_rd_burst_two_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => act_rd_burst_two_i_1_n_0,
Q => act_rd_burst_two,
R => '0'
);
axi_arsize_pipe_max_i_1: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => araddr_pipe_ld43_out,
I1 => axi_arsize_pipe_max,
O => axi_arsize_pipe_max_i_1_n_0
);
axi_arsize_pipe_max_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_arsize_pipe_max_i_1_n_0,
Q => axi_arsize_pipe_max,
R => \^bram_rst_a\
);
axi_b2b_brst_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"F000F074F0F0F074"
)
port map (
I0 => I_WRAP_BRST_n_27,
I1 => axi_b2b_brst_i_2_n_0,
I2 => axi_b2b_brst,
I3 => rd_data_sm_cs(3),
I4 => rd_data_sm_cs(2),
I5 => disable_b2b_brst_i_2_n_0,
O => axi_b2b_brst_i_1_n_0
);
axi_b2b_brst_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000AA080000"
)
port map (
I0 => \rd_data_sm_cs[0]_i_3_n_0\,
I1 => end_brst_rd,
I2 => axi_b2b_brst,
I3 => brst_zero,
I4 => rd_adv_buf67_out,
I5 => I_WRAP_BRST_n_27,
O => axi_b2b_brst_i_2_n_0
);
axi_b2b_brst_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_b2b_brst_i_1_n_0,
Q => axi_b2b_brst,
R => \^bram_rst_a\
);
axi_rd_burst_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"303000A0"
)
port map (
I0 => axi_rd_burst,
I1 => axi_rd_burst_i_2_n_0,
I2 => s_axi_aresetn,
I3 => brst_zero,
I4 => bram_addr_ld_en,
O => axi_rd_burst_i_1_n_0
);
axi_rd_burst_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000001000111"
)
port map (
I0 => I_WRAP_BRST_n_2,
I1 => I_WRAP_BRST_n_5,
I2 => axi_arlen_pipe(1),
I3 => axi_araddr_full,
I4 => s_axi_arlen(1),
I5 => axi_rd_burst_i_3_n_0,
O => axi_rd_burst_i_2_n_0
);
axi_rd_burst_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFBBFCB8"
)
port map (
I0 => axi_arlen_pipe(5),
I1 => axi_araddr_full,
I2 => s_axi_arlen(5),
I3 => axi_arlen_pipe(4),
I4 => s_axi_arlen(4),
I5 => last_bram_addr_i_9_n_0,
O => axi_rd_burst_i_3_n_0
);
axi_rd_burst_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rd_burst_i_1_n_0,
Q => axi_rd_burst,
R => '0'
);
axi_rd_burst_two_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"C0C000A0"
)
port map (
I0 => axi_rd_burst_two_reg_n_0,
I1 => axi_rd_burst_two,
I2 => s_axi_aresetn,
I3 => brst_zero,
I4 => bram_addr_ld_en,
O => axi_rd_burst_two_i_1_n_0
);
axi_rd_burst_two_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"A808"
)
port map (
I0 => axi_rd_burst_i_2_n_0,
I1 => s_axi_arlen(0),
I2 => axi_araddr_full,
I3 => axi_arlen_pipe(0),
O => axi_rd_burst_two
);
axi_rd_burst_two_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rd_burst_two_i_1_n_0,
Q => axi_rd_burst_two_reg_n_0,
R => '0'
);
axi_rlast_int_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"88A8"
)
port map (
I0 => s_axi_aresetn,
I1 => axi_rlast_set,
I2 => \^s_axi_rlast\,
I3 => s_axi_rready,
O => axi_rlast_int_i_1_n_0
);
axi_rlast_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rlast_int_i_1_n_0,
Q => \^s_axi_rlast\,
R => '0'
);
axi_rvalid_clr_ok_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000FFFFEEEA"
)
port map (
I0 => axi_rvalid_clr_ok,
I1 => last_bram_addr,
I2 => disable_b2b_brst,
I3 => disable_b2b_brst_cmb,
I4 => axi_rvalid_clr_ok_i_2_n_0,
I5 => axi_rvalid_clr_ok_i_3_n_0,
O => axi_rvalid_clr_ok_i_1_n_0
);
axi_rvalid_clr_ok_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"AAAAAEAA"
)
port map (
I0 => bram_addr_ld_en,
I1 => rd_data_sm_cs(0),
I2 => rd_data_sm_cs(1),
I3 => rd_data_sm_cs(2),
I4 => rd_data_sm_cs(3),
O => axi_rvalid_clr_ok_i_2_n_0
);
axi_rvalid_clr_ok_i_3: unisim.vcomponents.LUT3
generic map(
INIT => X"4F"
)
port map (
I0 => \GEN_ARREADY.axi_early_arready_int_i_4_n_0\,
I1 => bram_addr_ld_en,
I2 => s_axi_aresetn,
O => axi_rvalid_clr_ok_i_3_n_0
);
axi_rvalid_clr_ok_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rvalid_clr_ok_i_1_n_0,
Q => axi_rvalid_clr_ok,
R => '0'
);
axi_rvalid_int_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00E0E0E0E0E0E0E0"
)
port map (
I0 => \^s_axi_rvalid\,
I1 => axi_rvalid_set,
I2 => s_axi_aresetn,
I3 => axi_rvalid_clr_ok,
I4 => \^s_axi_rlast\,
I5 => s_axi_rready,
O => axi_rvalid_int_i_1_n_0
);
axi_rvalid_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rvalid_int_i_1_n_0,
Q => \^s_axi_rvalid\,
R => '0'
);
axi_rvalid_set_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"0100"
)
port map (
I0 => rd_data_sm_cs(2),
I1 => rd_data_sm_cs(3),
I2 => rd_data_sm_cs(1),
I3 => rd_data_sm_cs(0),
O => axi_rvalid_set_cmb
);
axi_rvalid_set_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_rvalid_set_cmb,
Q => axi_rvalid_set,
R => \^bram_rst_a\
);
bram_en_int_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFEEFFFA0022000A"
)
port map (
I0 => bram_en_int_i_2_n_0,
I1 => bram_en_int_i_3_n_0,
I2 => bram_en_int_i_4_n_0,
I3 => rd_data_sm_cs(3),
I4 => rd_data_sm_cs(2),
I5 => \^bram_en_b\,
O => bram_en_int_i_1_n_0
);
bram_en_int_i_10: unisim.vcomponents.LUT5
generic map(
INIT => X"E0000000"
)
port map (
I0 => act_rd_burst,
I1 => act_rd_burst_two,
I2 => \^s_axi_rvalid\,
I3 => s_axi_rready,
I4 => bram_addr_ld_en,
O => bram_en_int_i_10_n_0
);
bram_en_int_i_11: unisim.vcomponents.LUT4
generic map(
INIT => X"0111"
)
port map (
I0 => end_brst_rd,
I1 => brst_zero,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
O => bram_en_int_i_11_n_0
);
bram_en_int_i_12: unisim.vcomponents.LUT6
generic map(
INIT => X"BFFFBFBFBFFFBFFF"
)
port map (
I0 => I_WRAP_BRST_n_27,
I1 => \^s_axi_rvalid\,
I2 => s_axi_rready,
I3 => brst_zero,
I4 => axi_b2b_brst,
I5 => end_brst_rd,
O => bram_en_int_i_12_n_0
);
bram_en_int_i_13: unisim.vcomponents.LUT3
generic map(
INIT => X"45"
)
port map (
I0 => brst_zero,
I1 => axi_b2b_brst,
I2 => end_brst_rd,
O => bram_en_int_i_13_n_0
);
bram_en_int_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFFFFF4044"
)
port map (
I0 => bram_en_int_i_5_n_0,
I1 => rd_data_sm_cs(1),
I2 => bram_en_int_i_6_n_0,
I3 => rd_data_sm_cs(2),
I4 => bram_en_int_i_7_n_0,
I5 => I_WRAP_BRST_n_0,
O => bram_en_int_i_2_n_0
);
bram_en_int_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"707370707C7F7C7C"
)
port map (
I0 => bram_en_int_i_6_n_0,
I1 => rd_data_sm_cs(0),
I2 => rd_data_sm_cs(1),
I3 => rd_adv_buf67_out,
I4 => bram_en_int_i_9_n_0,
I5 => bram_en_int_i_10_n_0,
O => bram_en_int_i_3_n_0
);
bram_en_int_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"A0001111AAAA1111"
)
port map (
I0 => rd_data_sm_cs(0),
I1 => bram_addr_ld_en,
I2 => bram_en_int_i_11_n_0,
I3 => brst_one,
I4 => rd_data_sm_cs(1),
I5 => bram_en_int_i_12_n_0,
O => bram_en_int_i_4_n_0
);
bram_en_int_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"0044054455440544"
)
port map (
I0 => rd_data_sm_cs(2),
I1 => axi_rd_burst_two_reg_n_0,
I2 => bram_en_int_i_9_n_0,
I3 => rd_data_sm_cs(0),
I4 => rd_adv_buf67_out,
I5 => bram_en_int_i_13_n_0,
O => bram_en_int_i_5_n_0
);
bram_en_int_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"ECCC"
)
port map (
I0 => pend_rd_op,
I1 => bram_addr_ld_en,
I2 => \^s_axi_rvalid\,
I3 => s_axi_rready,
O => bram_en_int_i_6_n_0
);
bram_en_int_i_7: unisim.vcomponents.LUT6
generic map(
INIT => X"5554005500540000"
)
port map (
I0 => rd_data_sm_cs(1),
I1 => axi_rd_burst_two_reg_n_0,
I2 => axi_rd_burst,
I3 => rd_data_sm_cs(2),
I4 => rd_data_sm_cs(0),
I5 => bram_addr_ld_en,
O => bram_en_int_i_7_n_0
);
bram_en_int_i_9: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => brst_zero,
I1 => end_brst_rd,
O => bram_en_int_i_9_n_0
);
bram_en_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => bram_en_int_i_1_n_0,
Q => \^bram_en_b\,
R => \^bram_rst_a\
);
\brst_cnt[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"D1DDD111"
)
port map (
I0 => brst_cnt(0),
I1 => bram_addr_ld_en,
I2 => axi_arlen_pipe(0),
I3 => axi_araddr_full,
I4 => s_axi_arlen(0),
O => \brst_cnt[0]_i_1_n_0\
);
\brst_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8FFB800B800B8FF"
)
port map (
I0 => axi_arlen_pipe(1),
I1 => axi_araddr_full,
I2 => s_axi_arlen(1),
I3 => bram_addr_ld_en,
I4 => brst_cnt(0),
I5 => brst_cnt(1),
O => \brst_cnt[1]_i_1_n_0\
);
\brst_cnt[2]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B8B8B88B"
)
port map (
I0 => I_WRAP_BRST_n_2,
I1 => bram_addr_ld_en,
I2 => brst_cnt(2),
I3 => brst_cnt(1),
I4 => brst_cnt(0),
O => \brst_cnt[2]_i_1_n_0\
);
\brst_cnt[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8B8B8B8B8B8B88B"
)
port map (
I0 => I_WRAP_BRST_n_5,
I1 => bram_addr_ld_en,
I2 => brst_cnt(3),
I3 => brst_cnt(2),
I4 => brst_cnt(0),
I5 => brst_cnt(1),
O => \brst_cnt[3]_i_1_n_0\
);
\brst_cnt[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8FFB800B800B8FF"
)
port map (
I0 => axi_arlen_pipe(4),
I1 => axi_araddr_full,
I2 => s_axi_arlen(4),
I3 => bram_addr_ld_en,
I4 => brst_cnt(4),
I5 => \brst_cnt[4]_i_2_n_0\,
O => \brst_cnt[4]_i_1_n_0\
);
\brst_cnt[4]_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"FFFE"
)
port map (
I0 => brst_cnt(3),
I1 => brst_cnt(2),
I2 => brst_cnt(0),
I3 => brst_cnt(1),
O => \brst_cnt[4]_i_2_n_0\
);
\brst_cnt[5]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"B800B8FFB8FFB800"
)
port map (
I0 => axi_arlen_pipe(5),
I1 => axi_araddr_full,
I2 => s_axi_arlen(5),
I3 => bram_addr_ld_en,
I4 => brst_cnt(5),
I5 => \brst_cnt[7]_i_4_n_0\,
O => \brst_cnt[5]_i_1_n_0\
);
\brst_cnt[6]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"B88BB8B8"
)
port map (
I0 => \brst_cnt[6]_i_2_n_0\,
I1 => bram_addr_ld_en,
I2 => brst_cnt(6),
I3 => brst_cnt(5),
I4 => \brst_cnt[7]_i_4_n_0\,
O => \brst_cnt[6]_i_1_n_0\
);
\brst_cnt[6]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axi_arlen_pipe(6),
I1 => axi_araddr_full,
I2 => s_axi_arlen(6),
O => \brst_cnt[6]_i_2_n_0\
);
\brst_cnt[7]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => bram_addr_ld_en,
I1 => I_WRAP_BRST_n_8,
O => \brst_cnt[7]_i_1_n_0\
);
\brst_cnt[7]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"B8B8B88BB8B8B8B8"
)
port map (
I0 => \brst_cnt[7]_i_3_n_0\,
I1 => bram_addr_ld_en,
I2 => brst_cnt(7),
I3 => brst_cnt(6),
I4 => brst_cnt(5),
I5 => \brst_cnt[7]_i_4_n_0\,
O => \brst_cnt[7]_i_2_n_0\
);
\brst_cnt[7]_i_3\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axi_arlen_pipe(7),
I1 => axi_araddr_full,
I2 => s_axi_arlen(7),
O => \brst_cnt[7]_i_3_n_0\
);
\brst_cnt[7]_i_4\: unisim.vcomponents.LUT5
generic map(
INIT => X"00000001"
)
port map (
I0 => brst_cnt(4),
I1 => brst_cnt(1),
I2 => brst_cnt(0),
I3 => brst_cnt(2),
I4 => brst_cnt(3),
O => \brst_cnt[7]_i_4_n_0\
);
brst_cnt_max_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => brst_cnt_max,
Q => brst_cnt_max_d1,
R => \^bram_rst_a\
);
\brst_cnt_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[0]_i_1_n_0\,
Q => brst_cnt(0),
R => \^bram_rst_a\
);
\brst_cnt_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[1]_i_1_n_0\,
Q => brst_cnt(1),
R => \^bram_rst_a\
);
\brst_cnt_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[2]_i_1_n_0\,
Q => brst_cnt(2),
R => \^bram_rst_a\
);
\brst_cnt_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[3]_i_1_n_0\,
Q => brst_cnt(3),
R => \^bram_rst_a\
);
\brst_cnt_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[4]_i_1_n_0\,
Q => brst_cnt(4),
R => \^bram_rst_a\
);
\brst_cnt_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[5]_i_1_n_0\,
Q => brst_cnt(5),
R => \^bram_rst_a\
);
\brst_cnt_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[6]_i_1_n_0\,
Q => brst_cnt(6),
R => \^bram_rst_a\
);
\brst_cnt_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \brst_cnt[7]_i_1_n_0\,
D => \brst_cnt[7]_i_2_n_0\,
Q => brst_cnt(7),
R => \^bram_rst_a\
);
brst_one_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000F0EE0000"
)
port map (
I0 => brst_one,
I1 => brst_one_i_2_n_0,
I2 => axi_rd_burst_two,
I3 => bram_addr_ld_en,
I4 => s_axi_aresetn,
I5 => last_bram_addr_i_2_n_0,
O => brst_one_i_1_n_0
);
brst_one_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => last_bram_addr_i_5_n_0,
I1 => brst_cnt(1),
I2 => brst_cnt(0),
O => brst_one_i_2_n_0
);
brst_one_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => brst_one_i_1_n_0,
Q => brst_one,
R => '0'
);
brst_zero_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"00E0"
)
port map (
I0 => brst_zero,
I1 => last_bram_addr_i_2_n_0,
I2 => s_axi_aresetn,
I3 => brst_zero_i_2_n_0,
O => brst_zero_i_1_n_0
);
brst_zero_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"8A80AAAA"
)
port map (
I0 => bram_addr_ld_en,
I1 => axi_arlen_pipe(0),
I2 => axi_araddr_full,
I3 => s_axi_arlen(0),
I4 => axi_rd_burst_i_2_n_0,
O => brst_zero_i_2_n_0
);
brst_zero_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => brst_zero_i_1_n_0,
Q => brst_zero,
R => '0'
);
curr_fixed_burst_reg_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"00053305"
)
port map (
I0 => s_axi_arburst(0),
I1 => axi_arburst_pipe(0),
I2 => s_axi_arburst(1),
I3 => axi_araddr_full,
I4 => axi_arburst_pipe(1),
O => curr_fixed_burst
);
curr_fixed_burst_reg_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en,
D => curr_fixed_burst,
Q => curr_fixed_burst_reg,
R => \^bram_rst_a\
);
curr_wrap_burst_reg_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"000ACC0A"
)
port map (
I0 => s_axi_arburst(1),
I1 => axi_arburst_pipe(1),
I2 => s_axi_arburst(0),
I3 => axi_araddr_full,
I4 => axi_arburst_pipe(0),
O => curr_wrap_burst
);
curr_wrap_burst_reg_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en,
D => curr_wrap_burst,
Q => curr_wrap_burst_reg,
R => \^bram_rst_a\
);
disable_b2b_brst_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF000D0000"
)
port map (
I0 => axi_rd_burst,
I1 => axi_rd_burst_two_reg_n_0,
I2 => rd_data_sm_cs(2),
I3 => rd_data_sm_cs(3),
I4 => disable_b2b_brst_i_2_n_0,
I5 => disable_b2b_brst_i_3_n_0,
O => disable_b2b_brst_cmb
);
disable_b2b_brst_i_2: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_data_sm_cs(0),
I1 => rd_data_sm_cs(1),
O => disable_b2b_brst_i_2_n_0
);
disable_b2b_brst_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"EEEEEEE00EE0EEEE"
)
port map (
I0 => disable_b2b_brst_i_4_n_0,
I1 => disable_b2b_brst,
I2 => rd_data_sm_cs(2),
I3 => rd_data_sm_cs(1),
I4 => rd_data_sm_cs(0),
I5 => rd_data_sm_cs(3),
O => disable_b2b_brst_i_3_n_0
);
disable_b2b_brst_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"0000FE0000000000"
)
port map (
I0 => brst_zero,
I1 => end_brst_rd,
I2 => brst_one,
I3 => rd_data_sm_cs(0),
I4 => rd_adv_buf67_out,
I5 => \rd_data_sm_cs[2]_i_3_n_0\,
O => disable_b2b_brst_i_4_n_0
);
disable_b2b_brst_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => disable_b2b_brst_cmb,
Q => disable_b2b_brst,
R => \^bram_rst_a\
);
end_brst_rd_clr_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFCD00002200"
)
port map (
I0 => rd_data_sm_cs(0),
I1 => rd_data_sm_cs(1),
I2 => bram_addr_ld_en,
I3 => rd_data_sm_cs(2),
I4 => rd_data_sm_cs(3),
I5 => end_brst_rd_clr,
O => end_brst_rd_clr_i_1_n_0
);
end_brst_rd_clr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => end_brst_rd_clr_i_1_n_0,
Q => end_brst_rd_clr,
R => \^bram_rst_a\
);
end_brst_rd_i_1: unisim.vcomponents.LUT5
generic map(
INIT => X"0020F020"
)
port map (
I0 => brst_cnt_max,
I1 => brst_cnt_max_d1,
I2 => s_axi_aresetn,
I3 => end_brst_rd,
I4 => end_brst_rd_clr,
O => end_brst_rd_i_1_n_0
);
end_brst_rd_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => end_brst_rd_i_1_n_0,
Q => end_brst_rd,
R => '0'
);
last_bram_addr_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FAAAAAAAAAAAAFAB"
)
port map (
I0 => last_bram_addr_i_2_n_0,
I1 => last_bram_addr_i_3_n_0,
I2 => rd_data_sm_cs(2),
I3 => last_bram_addr_i_4_n_0,
I4 => rd_data_sm_cs(1),
I5 => rd_data_sm_cs(0),
O => last_bram_addr0
);
last_bram_addr_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"08"
)
port map (
I0 => last_bram_addr_i_5_n_0,
I1 => brst_cnt(0),
I2 => brst_cnt(1),
O => last_bram_addr_i_2_n_0
);
last_bram_addr_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"7F7F707F7F7F7F7F"
)
port map (
I0 => p_0_in13_in,
I1 => rd_adv_buf67_out,
I2 => rd_data_sm_cs(3),
I3 => bram_addr_ld_en,
I4 => I_WRAP_BRST_n_4,
I5 => axi_rd_burst_i_2_n_0,
O => last_bram_addr_i_3_n_0
);
last_bram_addr_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"A888200000000000"
)
port map (
I0 => rd_adv_buf67_out,
I1 => bram_addr_ld_en,
I2 => pend_rd_op,
I3 => p_0_in13_in,
I4 => last_bram_addr_i_6_n_0,
I5 => \rd_data_sm_cs[3]_i_6_n_0\,
O => last_bram_addr_i_4_n_0
);
last_bram_addr_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000002"
)
port map (
I0 => I_WRAP_BRST_n_8,
I1 => brst_cnt(7),
I2 => brst_cnt(3),
I3 => brst_cnt(4),
I4 => brst_cnt(2),
I5 => last_bram_addr_i_7_n_0,
O => last_bram_addr_i_5_n_0
);
last_bram_addr_i_6: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000001"
)
port map (
I0 => last_bram_addr_i_8_n_0,
I1 => last_bram_addr_i_9_n_0,
I2 => I_WRAP_BRST_n_3,
I3 => I_WRAP_BRST_n_5,
I4 => I_WRAP_BRST_n_2,
I5 => I_WRAP_BRST_n_4,
O => last_bram_addr_i_6_n_0
);
last_bram_addr_i_7: unisim.vcomponents.LUT2
generic map(
INIT => X"E"
)
port map (
I0 => brst_cnt(6),
I1 => brst_cnt(5),
O => last_bram_addr_i_7_n_0
);
last_bram_addr_i_8: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFACCFA"
)
port map (
I0 => s_axi_arlen(4),
I1 => axi_arlen_pipe(4),
I2 => s_axi_arlen(5),
I3 => axi_araddr_full,
I4 => axi_arlen_pipe(5),
O => last_bram_addr_i_8_n_0
);
last_bram_addr_i_9: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFACCFA"
)
port map (
I0 => s_axi_arlen(6),
I1 => axi_arlen_pipe(6),
I2 => s_axi_arlen(7),
I3 => axi_araddr_full,
I4 => axi_arlen_pipe(7),
O => last_bram_addr_i_9_n_0
);
last_bram_addr_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => last_bram_addr0,
Q => last_bram_addr,
R => \^bram_rst_a\
);
no_ar_ack_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"88C8AAAAAAAAAAAA"
)
port map (
I0 => no_ar_ack,
I1 => rd_data_sm_cs(1),
I2 => bram_addr_ld_en,
I3 => rd_adv_buf67_out,
I4 => \rd_data_sm_cs[3]_i_6_n_0\,
I5 => rd_data_sm_cs(0),
O => no_ar_ack_i_1_n_0
);
no_ar_ack_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => no_ar_ack_i_1_n_0,
Q => no_ar_ack,
R => \^bram_rst_a\
);
pend_rd_op_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAFFFEAAAA0002"
)
port map (
I0 => pend_rd_op_i_2_n_0,
I1 => pend_rd_op_i_3_n_0,
I2 => rd_data_sm_cs(3),
I3 => rd_data_sm_cs(2),
I4 => pend_rd_op_i_4_n_0,
I5 => pend_rd_op,
O => pend_rd_op_i_1_n_0
);
pend_rd_op_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"0FFCC8C80CCCC8C8"
)
port map (
I0 => p_0_in13_in,
I1 => bram_addr_ld_en,
I2 => rd_data_sm_cs(1),
I3 => rd_data_sm_cs(0),
I4 => rd_data_sm_cs(2),
I5 => pend_rd_op_i_5_n_0,
O => pend_rd_op_i_2_n_0
);
pend_rd_op_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0303070733F3FFFF"
)
port map (
I0 => p_0_in13_in,
I1 => rd_data_sm_cs(0),
I2 => rd_data_sm_cs(1),
I3 => \^s_axi_rlast\,
I4 => pend_rd_op,
I5 => bram_addr_ld_en,
O => pend_rd_op_i_3_n_0
);
pend_rd_op_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000080FFD5FF"
)
port map (
I0 => rd_data_sm_cs(0),
I1 => rd_adv_buf67_out,
I2 => pend_rd_op,
I3 => rd_data_sm_cs(1),
I4 => pend_rd_op_i_6_n_0,
I5 => pend_rd_op_i_7_n_0,
O => pend_rd_op_i_4_n_0
);
pend_rd_op_i_5: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => ar_active,
I1 => end_brst_rd,
O => pend_rd_op_i_5_n_0
);
pend_rd_op_i_6: unisim.vcomponents.LUT3
generic map(
INIT => X"15"
)
port map (
I0 => bram_addr_ld_en,
I1 => end_brst_rd,
I2 => ar_active,
O => pend_rd_op_i_6_n_0
);
pend_rd_op_i_7: unisim.vcomponents.LUT4
generic map(
INIT => X"F1FF"
)
port map (
I0 => pend_rd_op_i_8_n_0,
I1 => bram_addr_ld_en,
I2 => rd_data_sm_cs(3),
I3 => rd_data_sm_cs(2),
O => pend_rd_op_i_7_n_0
);
pend_rd_op_i_8: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFF0008888"
)
port map (
I0 => pend_rd_op,
I1 => \^s_axi_rlast\,
I2 => ar_active,
I3 => end_brst_rd,
I4 => rd_data_sm_cs(0),
I5 => rd_data_sm_cs(1),
O => pend_rd_op_i_8_n_0
);
pend_rd_op_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => pend_rd_op_i_1_n_0,
Q => pend_rd_op,
R => \^bram_rst_a\
);
\rd_data_sm_cs[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFF54005555"
)
port map (
I0 => \rd_data_sm_cs[0]_i_2_n_0\,
I1 => pend_rd_op,
I2 => bram_addr_ld_en,
I3 => rd_adv_buf67_out,
I4 => \rd_data_sm_cs[0]_i_3_n_0\,
I5 => \rd_data_sm_cs[0]_i_4_n_0\,
O => \rd_data_sm_cs[0]_i_1_n_0\
);
\rd_data_sm_cs[0]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"E000E0E0FFFFFFFF"
)
port map (
I0 => act_rd_burst_two,
I1 => act_rd_burst,
I2 => disable_b2b_brst_i_2_n_0,
I3 => bram_addr_ld_en,
I4 => rd_adv_buf67_out,
I5 => \rd_data_sm_cs[3]_i_6_n_0\,
O => \rd_data_sm_cs[0]_i_2_n_0\
);
\rd_data_sm_cs[0]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => rd_data_sm_cs(1),
I1 => rd_data_sm_cs(0),
O => \rd_data_sm_cs[0]_i_3_n_0\
);
\rd_data_sm_cs[0]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"001100F7001100D5"
)
port map (
I0 => rd_data_sm_cs(0),
I1 => rd_data_sm_cs(1),
I2 => rd_adv_buf67_out,
I3 => rd_data_sm_cs(2),
I4 => rd_data_sm_cs(3),
I5 => p_0_in13_in,
O => \rd_data_sm_cs[0]_i_4_n_0\
);
\rd_data_sm_cs[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAEAAAEFFFFAAAE"
)
port map (
I0 => \rd_data_sm_cs[2]_i_2_n_0\,
I1 => \rd_data_sm_cs[1]_i_2_n_0\,
I2 => end_brst_rd,
I3 => brst_zero,
I4 => I_WRAP_BRST_n_25,
I5 => \rd_data_sm_cs[2]_i_4_n_0\,
O => \rd_data_sm_cs[1]_i_1_n_0\
);
\rd_data_sm_cs[1]_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"04"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(2),
I2 => rd_data_sm_cs(0),
O => \rd_data_sm_cs[1]_i_2_n_0\
);
\rd_data_sm_cs[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFFFFFEEEAEAEA"
)
port map (
I0 => \rd_data_sm_cs[2]_i_2_n_0\,
I1 => \rd_data_sm_cs[2]_i_3_n_0\,
I2 => \rd_data_sm_cs[2]_i_4_n_0\,
I3 => p_0_in13_in,
I4 => disable_b2b_brst_i_2_n_0,
I5 => \rd_data_sm_cs[2]_i_5_n_0\,
O => \rd_data_sm_cs[2]_i_1_n_0\
);
\rd_data_sm_cs[2]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"000007000F000000"
)
port map (
I0 => \rd_data_sm_cs[3]_i_7_n_0\,
I1 => bram_addr_ld_en,
I2 => rd_data_sm_cs(3),
I3 => rd_data_sm_cs(2),
I4 => rd_data_sm_cs(1),
I5 => rd_data_sm_cs(0),
O => \rd_data_sm_cs[2]_i_2_n_0\
);
\rd_data_sm_cs[2]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"1"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(2),
O => \rd_data_sm_cs[2]_i_3_n_0\
);
\rd_data_sm_cs[2]_i_4\: unisim.vcomponents.LUT6
generic map(
INIT => X"C8C8C8C808C8C8C8"
)
port map (
I0 => axi_rd_burst_two_reg_n_0,
I1 => rd_data_sm_cs(1),
I2 => rd_data_sm_cs(0),
I3 => s_axi_rready,
I4 => \^s_axi_rvalid\,
I5 => I_WRAP_BRST_n_27,
O => \rd_data_sm_cs[2]_i_4_n_0\
);
\rd_data_sm_cs[2]_i_5\: unisim.vcomponents.LUT6
generic map(
INIT => X"0004000400040000"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(2),
I2 => rd_data_sm_cs(1),
I3 => rd_data_sm_cs(0),
I4 => brst_zero,
I5 => end_brst_rd,
O => \rd_data_sm_cs[2]_i_5_n_0\
);
\rd_data_sm_cs[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"7444777730007444"
)
port map (
I0 => \rd_data_sm_cs[3]_i_3_n_0\,
I1 => \rd_data_sm_cs[3]_i_4_n_0\,
I2 => s_axi_rready,
I3 => \^s_axi_rvalid\,
I4 => \rd_data_sm_cs[3]_i_5_n_0\,
I5 => bram_addr_ld_en,
O => rd_data_sm_ns
);
\rd_data_sm_cs[3]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"00800000AA800000"
)
port map (
I0 => \rd_data_sm_cs[3]_i_6_n_0\,
I1 => bram_addr_ld_en,
I2 => \rd_data_sm_cs[3]_i_7_n_0\,
I3 => rd_data_sm_cs(1),
I4 => rd_data_sm_cs(0),
I5 => rd_adv_buf67_out,
O => \rd_data_sm_cs[3]_i_2_n_0\
);
\rd_data_sm_cs[3]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"00000D0000000000"
)
port map (
I0 => end_brst_rd,
I1 => axi_b2b_brst,
I2 => brst_zero,
I3 => rd_adv_buf67_out,
I4 => rd_data_sm_cs(3),
I5 => \rd_data_sm_cs[0]_i_3_n_0\,
O => \rd_data_sm_cs[3]_i_3_n_0\
);
\rd_data_sm_cs[3]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"BFAD"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(1),
I2 => rd_data_sm_cs(2),
I3 => rd_data_sm_cs(0),
O => \rd_data_sm_cs[3]_i_4_n_0\
);
\rd_data_sm_cs[3]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"0053"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(1),
I2 => rd_data_sm_cs(2),
I3 => rd_data_sm_cs(0),
O => \rd_data_sm_cs[3]_i_5_n_0\
);
\rd_data_sm_cs[3]_i_6\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_data_sm_cs(2),
I1 => rd_data_sm_cs(3),
O => \rd_data_sm_cs[3]_i_6_n_0\
);
\rd_data_sm_cs[3]_i_7\: unisim.vcomponents.LUT4
generic map(
INIT => X"8880"
)
port map (
I0 => s_axi_rready,
I1 => \^s_axi_rvalid\,
I2 => act_rd_burst_two,
I3 => act_rd_burst,
O => \rd_data_sm_cs[3]_i_7_n_0\
);
\rd_data_sm_cs_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => rd_data_sm_ns,
D => \rd_data_sm_cs[0]_i_1_n_0\,
Q => rd_data_sm_cs(0),
R => \^bram_rst_a\
);
\rd_data_sm_cs_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => rd_data_sm_ns,
D => \rd_data_sm_cs[1]_i_1_n_0\,
Q => rd_data_sm_cs(1),
R => \^bram_rst_a\
);
\rd_data_sm_cs_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => rd_data_sm_ns,
D => \rd_data_sm_cs[2]_i_1_n_0\,
Q => rd_data_sm_cs(2),
R => \^bram_rst_a\
);
\rd_data_sm_cs_reg[3]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => rd_data_sm_ns,
D => \rd_data_sm_cs[3]_i_2_n_0\,
Q => rd_data_sm_cs(3),
R => \^bram_rst_a\
);
rd_skid_buf_ld_reg_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"1000111111110000"
)
port map (
I0 => rd_data_sm_cs(3),
I1 => rd_data_sm_cs(2),
I2 => \^s_axi_rvalid\,
I3 => s_axi_rready,
I4 => rd_data_sm_cs(1),
I5 => rd_data_sm_cs(0),
O => rd_skid_buf_ld_cmb
);
rd_skid_buf_ld_reg_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => rd_skid_buf_ld_cmb,
Q => rd_skid_buf_ld_reg,
R => \^bram_rst_a\
);
rddata_mux_sel_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"FE02"
)
port map (
I0 => rddata_mux_sel_cmb,
I1 => rd_data_sm_cs(3),
I2 => rddata_mux_sel_i_3_n_0,
I3 => rddata_mux_sel,
O => rddata_mux_sel_i_1_n_0
);
rddata_mux_sel_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"D208D208D208F208"
)
port map (
I0 => rd_data_sm_cs(0),
I1 => rd_data_sm_cs(1),
I2 => rd_adv_buf67_out,
I3 => rd_data_sm_cs(2),
I4 => act_rd_burst,
I5 => act_rd_burst_two,
O => rddata_mux_sel_cmb
);
rddata_mux_sel_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"A007AF07AF07AF07"
)
port map (
I0 => rd_data_sm_cs(1),
I1 => axi_rd_burst_two_reg_n_0,
I2 => rd_data_sm_cs(0),
I3 => rd_data_sm_cs(2),
I4 => \^s_axi_rvalid\,
I5 => s_axi_rready,
O => rddata_mux_sel_i_3_n_0
);
rddata_mux_sel_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => rddata_mux_sel_i_1_n_0,
Q => rddata_mux_sel,
R => \^bram_rst_a\
);
s_axi_arready_INST_0: unisim.vcomponents.LUT4
generic map(
INIT => X"EAAA"
)
port map (
I0 => axi_arready_int,
I1 => \^s_axi_rvalid\,
I2 => s_axi_rready,
I3 => axi_early_arready_int,
O => s_axi_arready
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_wr_chnl is
port (
axi_aresetn_d2 : out STD_LOGIC;
axi_aresetn_re_reg : out STD_LOGIC;
bram_en_a : out STD_LOGIC;
bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_bvalid : out STD_LOGIC;
\GEN_AW_DUAL.aw_active_reg_0\ : out STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
bram_addr_a : out STD_LOGIC_VECTOR ( 13 downto 0 );
bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aresetn_0 : in STD_LOGIC;
s_axi_aclk : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_aresetn : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wlast : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_wr_chnl : entity is "wr_chnl";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_wr_chnl;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_wr_chnl is
signal BID_FIFO_n_1 : STD_LOGIC;
signal BID_FIFO_n_4 : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\ : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\ : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\ : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\ : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\ : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\ : STD_LOGIC;
signal \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\ : STD_LOGIC;
signal \GEN_AWREADY.axi_awready_int_i_1_n_0\ : STD_LOGIC;
signal \GEN_AWREADY.axi_awready_int_i_2_n_0\ : STD_LOGIC;
signal \GEN_AWREADY.axi_awready_int_i_3_n_0\ : STD_LOGIC;
signal \GEN_AW_DUAL.aw_active_i_2_n_0\ : STD_LOGIC;
signal \^gen_aw_dual.aw_active_reg_0\ : STD_LOGIC;
signal \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\ : STD_LOGIC;
signal \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\ : STD_LOGIC;
signal \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\ : STD_LOGIC;
signal \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0_n_0\ : STD_LOGIC;
signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\ : STD_LOGIC;
signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\ : STD_LOGIC;
signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\ : STD_LOGIC;
signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\ : STD_LOGIC;
signal \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\ : STD_LOGIC;
signal \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\ : STD_LOGIC;
signal \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\ : STD_LOGIC;
signal \I_RD_CHNL/axi_aresetn_d1\ : STD_LOGIC;
signal I_WRAP_BRST_n_0 : STD_LOGIC;
signal I_WRAP_BRST_n_10 : STD_LOGIC;
signal I_WRAP_BRST_n_11 : STD_LOGIC;
signal I_WRAP_BRST_n_12 : STD_LOGIC;
signal I_WRAP_BRST_n_13 : STD_LOGIC;
signal I_WRAP_BRST_n_14 : STD_LOGIC;
signal I_WRAP_BRST_n_15 : STD_LOGIC;
signal I_WRAP_BRST_n_16 : STD_LOGIC;
signal I_WRAP_BRST_n_17 : STD_LOGIC;
signal I_WRAP_BRST_n_19 : STD_LOGIC;
signal I_WRAP_BRST_n_2 : STD_LOGIC;
signal I_WRAP_BRST_n_20 : STD_LOGIC;
signal I_WRAP_BRST_n_21 : STD_LOGIC;
signal I_WRAP_BRST_n_22 : STD_LOGIC;
signal I_WRAP_BRST_n_23 : STD_LOGIC;
signal I_WRAP_BRST_n_24 : STD_LOGIC;
signal I_WRAP_BRST_n_25 : STD_LOGIC;
signal I_WRAP_BRST_n_7 : STD_LOGIC;
signal I_WRAP_BRST_n_8 : STD_LOGIC;
signal I_WRAP_BRST_n_9 : STD_LOGIC;
signal aw_active : STD_LOGIC;
signal \^axi_aresetn_d2\ : STD_LOGIC;
signal axi_aresetn_re : STD_LOGIC;
signal \^axi_aresetn_re_reg\ : STD_LOGIC;
signal axi_awaddr_full : STD_LOGIC;
signal axi_awburst_pipe : STD_LOGIC_VECTOR ( 1 downto 0 );
signal axi_awid_pipe : STD_LOGIC;
signal axi_awlen_pipe : STD_LOGIC_VECTOR ( 7 downto 0 );
signal axi_awlen_pipe_1_or_2 : STD_LOGIC;
signal axi_awsize_pipe : STD_LOGIC_VECTOR ( 1 to 1 );
signal axi_bvalid_int_i_1_n_0 : STD_LOGIC;
signal axi_wdata_full_cmb : STD_LOGIC;
signal axi_wdata_full_cmb114_out : STD_LOGIC;
signal axi_wdata_full_reg : STD_LOGIC;
signal axi_wr_burst : STD_LOGIC;
signal axi_wr_burst_cmb : STD_LOGIC;
signal axi_wr_burst_cmb0 : STD_LOGIC;
signal axi_wr_burst_i_1_n_0 : STD_LOGIC;
signal axi_wr_burst_i_3_n_0 : STD_LOGIC;
signal axi_wready_int_mod_i_1_n_0 : STD_LOGIC;
signal axi_wready_int_mod_i_3_n_0 : STD_LOGIC;
signal bid_gets_fifo_load : STD_LOGIC;
signal bid_gets_fifo_load_d1 : STD_LOGIC;
signal bid_gets_fifo_load_d1_i_2_n_0 : STD_LOGIC;
signal \^bram_addr_a\ : STD_LOGIC_VECTOR ( 13 downto 0 );
signal bram_addr_inc : STD_LOGIC;
signal bram_addr_ld : STD_LOGIC_VECTOR ( 13 downto 10 );
signal bram_addr_ld_en : STD_LOGIC;
signal bram_addr_ld_en_mod : STD_LOGIC;
signal bram_addr_rst_cmb : STD_LOGIC;
signal bram_en_cmb : STD_LOGIC;
signal bvalid_cnt : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \bvalid_cnt[0]_i_1_n_0\ : STD_LOGIC;
signal \bvalid_cnt[1]_i_1_n_0\ : STD_LOGIC;
signal \bvalid_cnt[2]_i_1_n_0\ : STD_LOGIC;
signal bvalid_cnt_inc : STD_LOGIC;
signal bvalid_cnt_inc11_out : STD_LOGIC;
signal clr_bram_we : STD_LOGIC;
signal clr_bram_we_cmb : STD_LOGIC;
signal curr_awlen_reg_1_or_2 : STD_LOGIC;
signal curr_awlen_reg_1_or_20 : STD_LOGIC;
signal curr_awlen_reg_1_or_2_i_2_n_0 : STD_LOGIC;
signal curr_fixed_burst : STD_LOGIC;
signal curr_fixed_burst_reg : STD_LOGIC;
signal curr_wrap_burst : STD_LOGIC;
signal curr_wrap_burst_reg : STD_LOGIC;
signal delay_aw_active_clr : STD_LOGIC;
signal last_data_ack_mod : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal p_9_out : STD_LOGIC;
signal \^s_axi_awready\ : STD_LOGIC;
signal \^s_axi_bid\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \^s_axi_bvalid\ : STD_LOGIC;
signal \^s_axi_wready\ : STD_LOGIC;
signal wr_addr_sm_cs : STD_LOGIC;
signal wr_data_sm_cs : STD_LOGIC_VECTOR ( 2 downto 0 );
attribute RTL_KEEP : string;
attribute RTL_KEEP of wr_data_sm_cs : signal is "yes";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1\ : label is "soft_lutpair53";
attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_3\ : label is "soft_lutpair51";
attribute SOFT_HLUTNM of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1\ : label is "soft_lutpair53";
attribute KEEP : string;
attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[0]\ : label is "yes";
attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[1]\ : label is "yes";
attribute KEEP of \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[2]\ : label is "yes";
attribute SOFT_HLUTNM of \GEN_AW_DUAL.last_data_ack_mod_i_1\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2\ : label is "soft_lutpair52";
attribute SOFT_HLUTNM of bid_gets_fifo_load_d1_i_2 : label is "soft_lutpair51";
attribute SOFT_HLUTNM of curr_fixed_burst_reg_i_2 : label is "soft_lutpair50";
attribute SOFT_HLUTNM of curr_wrap_burst_reg_i_2 : label is "soft_lutpair50";
begin
\GEN_AW_DUAL.aw_active_reg_0\ <= \^gen_aw_dual.aw_active_reg_0\;
axi_aresetn_d2 <= \^axi_aresetn_d2\;
axi_aresetn_re_reg <= \^axi_aresetn_re_reg\;
bram_addr_a(13 downto 0) <= \^bram_addr_a\(13 downto 0);
s_axi_awready <= \^s_axi_awready\;
s_axi_bid(0) <= \^s_axi_bid\(0);
s_axi_bvalid <= \^s_axi_bvalid\;
s_axi_wready <= \^s_axi_wready\;
BID_FIFO: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_SRL_FIFO
port map (
\GEN_AWREADY.axi_aresetn_d2_reg\ => \^axi_aresetn_d2\,
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\,
aw_active => aw_active,
axi_awaddr_full => axi_awaddr_full,
axi_awid_pipe => axi_awid_pipe,
axi_awlen_pipe_1_or_2 => axi_awlen_pipe_1_or_2,
\axi_bid_int_reg[0]\ => BID_FIFO_n_4,
axi_bvalid_int_reg => \^s_axi_bvalid\,
axi_wdata_full_cmb114_out => axi_wdata_full_cmb114_out,
axi_wr_burst => axi_wr_burst,
bid_gets_fifo_load => bid_gets_fifo_load,
bid_gets_fifo_load_d1 => bid_gets_fifo_load_d1,
bid_gets_fifo_load_d1_reg => BID_FIFO_n_1,
bram_addr_ld_en => bram_addr_ld_en,
bvalid_cnt(2 downto 0) => bvalid_cnt(2 downto 0),
bvalid_cnt_inc => bvalid_cnt_inc,
\bvalid_cnt_reg[1]\ => bid_gets_fifo_load_d1_i_2_n_0,
\bvalid_cnt_reg[2]\ => I_WRAP_BRST_n_20,
\bvalid_cnt_reg[2]_0\ => I_WRAP_BRST_n_19,
curr_awlen_reg_1_or_2 => curr_awlen_reg_1_or_2,
last_data_ack_mod => last_data_ack_mod,
\out\(2 downto 0) => wr_data_sm_cs(2 downto 0),
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn_0,
s_axi_awid(0) => s_axi_awid(0),
s_axi_awready => \^s_axi_awready\,
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(0) => \^s_axi_bid\(0),
s_axi_bready => s_axi_bready,
s_axi_wlast => s_axi_wlast,
s_axi_wvalid => s_axi_wvalid,
wr_addr_sm_cs => wr_addr_sm_cs
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\,
I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\,
I2 => wr_data_sm_cs(0),
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"05051F1A"
)
port map (
I0 => wr_data_sm_cs(1),
I1 => axi_wr_burst_cmb0,
I2 => wr_data_sm_cs(0),
I3 => axi_wdata_full_cmb114_out,
I4 => wr_data_sm_cs(2),
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_2_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_3\: unisim.vcomponents.LUT4
generic map(
INIT => X"5515"
)
port map (
I0 => I_WRAP_BRST_n_21,
I1 => bvalid_cnt(2),
I2 => bvalid_cnt(1),
I3 => bvalid_cnt(0),
O => axi_wr_burst_cmb0
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\,
I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\,
I2 => wr_data_sm_cs(1),
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000554000555540"
)
port map (
I0 => wr_data_sm_cs(1),
I1 => s_axi_wlast,
I2 => axi_wdata_full_cmb114_out,
I3 => wr_data_sm_cs(0),
I4 => wr_data_sm_cs(2),
I5 => axi_wr_burst,
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_2_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\,
I1 => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\,
I2 => wr_data_sm_cs(2),
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"44010001"
)
port map (
I0 => wr_data_sm_cs(2),
I1 => wr_data_sm_cs(1),
I2 => axi_wdata_full_cmb114_out,
I3 => wr_data_sm_cs(0),
I4 => s_axi_wvalid,
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_2_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"7774777774744444"
)
port map (
I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\,
I1 => wr_data_sm_cs(2),
I2 => wr_data_sm_cs(1),
I3 => s_axi_wlast,
I4 => wr_data_sm_cs(0),
I5 => s_axi_wvalid,
O => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_3_n_0\
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[0]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[0]_i_1_n_0\,
Q => wr_data_sm_cs(0),
R => s_axi_aresetn_0
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[1]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[1]_i_1_n_0\,
Q => wr_data_sm_cs(1),
R => s_axi_aresetn_0
);
\FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs_reg[2]\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \FSM_sequential_GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.wr_data_sm_cs[2]_i_1_n_0\,
Q => wr_data_sm_cs(2),
R => s_axi_aresetn_0
);
\GEN_AWREADY.axi_aresetn_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => s_axi_aresetn,
Q => \I_RD_CHNL/axi_aresetn_d1\,
R => '0'
);
\GEN_AWREADY.axi_aresetn_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \I_RD_CHNL/axi_aresetn_d1\,
Q => \^axi_aresetn_d2\,
R => '0'
);
\GEN_AWREADY.axi_aresetn_re_reg_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => s_axi_aresetn,
I1 => \I_RD_CHNL/axi_aresetn_d1\,
O => axi_aresetn_re
);
\GEN_AWREADY.axi_aresetn_re_reg_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_aresetn_re,
Q => \^axi_aresetn_re_reg\,
R => '0'
);
\GEN_AWREADY.axi_awready_int_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFBFBFFFFFAA00"
)
port map (
I0 => axi_awaddr_full,
I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\,
I2 => \^axi_aresetn_d2\,
I3 => bram_addr_ld_en,
I4 => \^axi_aresetn_re_reg\,
I5 => \^s_axi_awready\,
O => \GEN_AWREADY.axi_awready_int_i_1_n_0\
);
\GEN_AWREADY.axi_awready_int_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"5444444400000000"
)
port map (
I0 => \GEN_AWREADY.axi_awready_int_i_3_n_0\,
I1 => aw_active,
I2 => bvalid_cnt(1),
I3 => bvalid_cnt(0),
I4 => bvalid_cnt(2),
I5 => s_axi_awvalid,
O => \GEN_AWREADY.axi_awready_int_i_2_n_0\
);
\GEN_AWREADY.axi_awready_int_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"AABABABABABABABA"
)
port map (
I0 => wr_addr_sm_cs,
I1 => I_WRAP_BRST_n_21,
I2 => last_data_ack_mod,
I3 => bvalid_cnt(2),
I4 => bvalid_cnt(0),
I5 => bvalid_cnt(1),
O => \GEN_AWREADY.axi_awready_int_i_3_n_0\
);
\GEN_AWREADY.axi_awready_int_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AWREADY.axi_awready_int_i_1_n_0\,
Q => \^s_axi_awready\,
R => s_axi_aresetn_0
);
\GEN_AW_DUAL.aw_active_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^axi_aresetn_d2\,
O => \^gen_aw_dual.aw_active_reg_0\
);
\GEN_AW_DUAL.aw_active_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFFF7FFFFFF0000"
)
port map (
I0 => wr_data_sm_cs(1),
I1 => wr_data_sm_cs(0),
I2 => wr_data_sm_cs(2),
I3 => delay_aw_active_clr,
I4 => bram_addr_ld_en,
I5 => aw_active,
O => \GEN_AW_DUAL.aw_active_i_2_n_0\
);
\GEN_AW_DUAL.aw_active_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AW_DUAL.aw_active_i_2_n_0\,
Q => aw_active,
R => \^gen_aw_dual.aw_active_reg_0\
);
\GEN_AW_DUAL.last_data_ack_mod_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => \^s_axi_wready\,
I1 => s_axi_wlast,
I2 => s_axi_wvalid,
O => p_18_out
);
\GEN_AW_DUAL.last_data_ack_mod_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => p_18_out,
Q => last_data_ack_mod,
R => s_axi_aresetn_0
);
\GEN_AW_DUAL.wr_addr_sm_cs_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0010001000100000"
)
port map (
I0 => \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\,
I1 => wr_addr_sm_cs,
I2 => s_axi_awvalid,
I3 => axi_awaddr_full,
I4 => I_WRAP_BRST_n_20,
I5 => aw_active,
O => \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\
);
\GEN_AW_DUAL.wr_addr_sm_cs_i_2\: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000040"
)
port map (
I0 => I_WRAP_BRST_n_20,
I1 => last_data_ack_mod,
I2 => axi_awaddr_full,
I3 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\,
I4 => axi_awlen_pipe_1_or_2,
I5 => curr_awlen_reg_1_or_2,
O => \GEN_AW_DUAL.wr_addr_sm_cs_i_2_n_0\
);
\GEN_AW_DUAL.wr_addr_sm_cs_reg\: unisim.vcomponents.FDRE
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AW_DUAL.wr_addr_sm_cs_i_1_n_0\,
Q => wr_addr_sm_cs,
R => \^gen_aw_dual.aw_active_reg_0\
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(8),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(9),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(10),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(11),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(12),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(13),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(0),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(1),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(2),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(3),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(4),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(5),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(6),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awaddr(7),
Q => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\,
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"4000EA00"
)
port map (
I0 => axi_awaddr_full,
I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\,
I2 => \^axi_aresetn_d2\,
I3 => s_axi_aresetn,
I4 => bram_addr_ld_en,
O => \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\
);
\GEN_AW_PIPE_DUAL.axi_awaddr_full_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AW_PIPE_DUAL.axi_awaddr_full_i_1_n_0\,
Q => axi_awaddr_full,
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"BF00BF00BF00FF40"
)
port map (
I0 => axi_awaddr_full,
I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\,
I2 => \^axi_aresetn_d2\,
I3 => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\,
I4 => s_axi_awburst(0),
I5 => s_axi_awburst(1),
O => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\
);
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_i_1_n_0\,
Q => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\,
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awburst(0),
Q => axi_awburst_pipe(0),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awburst(1),
Q => axi_awburst_pipe(1),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awid_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awid(0),
Q => axi_awid_pipe,
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"40"
)
port map (
I0 => axi_awaddr_full,
I1 => \GEN_AWREADY.axi_awready_int_i_2_n_0\,
I2 => \^axi_aresetn_d2\,
O => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"0002"
)
port map (
I0 => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\,
I1 => s_axi_awlen(3),
I2 => s_axi_awlen(2),
I3 => s_axi_awlen(1),
O => p_9_out
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2\: unisim.vcomponents.LUT4
generic map(
INIT => X"0001"
)
port map (
I0 => s_axi_awlen(4),
I1 => s_axi_awlen(6),
I2 => s_axi_awlen(7),
I3 => s_axi_awlen(5),
O => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => p_9_out,
Q => axi_awlen_pipe_1_or_2,
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(0),
Q => axi_awlen_pipe(0),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(1),
Q => axi_awlen_pipe(1),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(2),
Q => axi_awlen_pipe(2),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(3),
Q => axi_awlen_pipe(3),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(4),
Q => axi_awlen_pipe(4),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(5),
Q => axi_awlen_pipe(5),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(6),
Q => axi_awlen_pipe(6),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awlen_pipe_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => s_axi_awlen(7),
Q => axi_awlen_pipe(7),
R => '0'
);
\GEN_AW_PIPE_DUAL.axi_awsize_pipe_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_AW_PIPE_DUAL.axi_awlen_pipe[7]_i_1_n_0\,
D => '1',
Q => axi_awsize_pipe(1),
R => '0'
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0\: unisim.vcomponents.LUT6
generic map(
INIT => X"7FFFFFFFFFFFFFFF"
)
port map (
I0 => \^bram_addr_a\(4),
I1 => \^bram_addr_a\(1),
I2 => \^bram_addr_a\(0),
I3 => \^bram_addr_a\(2),
I4 => \^bram_addr_a\(3),
I5 => \^bram_addr_a\(5),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0\: unisim.vcomponents.LUT5
generic map(
INIT => X"F7FFFFFF"
)
port map (
I0 => \^bram_addr_a\(6),
I1 => \^bram_addr_a\(4),
I2 => I_WRAP_BRST_n_17,
I3 => \^bram_addr_a\(5),
I4 => \^bram_addr_a\(7),
O => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0_n_0\
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_4\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => wr_data_sm_cs(1),
I1 => wr_data_sm_cs(2),
I2 => wr_data_sm_cs(0),
I3 => s_axi_wvalid,
O => bram_addr_inc
);
\GEN_DUAL_ADDR_CNT.bram_addr_int[15]_i_5\: unisim.vcomponents.LUT4
generic map(
INIT => X"1000"
)
port map (
I0 => s_axi_wvalid,
I1 => wr_data_sm_cs(2),
I2 => wr_data_sm_cs(0),
I3 => wr_data_sm_cs(1),
O => bram_addr_rst_cmb
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_8,
Q => \^bram_addr_a\(8),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_7,
Q => \^bram_addr_a\(9),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => bram_addr_ld(10),
Q => \^bram_addr_a\(10),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => bram_addr_ld(11),
Q => \^bram_addr_a\(11),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => bram_addr_ld(12),
Q => \^bram_addr_a\(12),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en_mod,
D => bram_addr_ld(13),
Q => \^bram_addr_a\(13),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_16,
Q => \^bram_addr_a\(0),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_15,
Q => \^bram_addr_a\(1),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_14,
Q => \^bram_addr_a\(2),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_13,
Q => \^bram_addr_a\(3),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_12,
Q => \^bram_addr_a\(4),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_11,
Q => \^bram_addr_a\(5),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_10,
Q => \^bram_addr_a\(6),
R => I_WRAP_BRST_n_0
);
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => I_WRAP_BRST_n_2,
D => I_WRAP_BRST_n_9,
Q => \^bram_addr_a\(7),
R => I_WRAP_BRST_n_0
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.axi_wdata_full_reg_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"15FF1500"
)
port map (
I0 => axi_wdata_full_cmb114_out,
I1 => axi_awaddr_full,
I2 => bram_addr_ld_en,
I3 => wr_data_sm_cs(2),
I4 => axi_wready_int_mod_i_3_n_0,
O => axi_wdata_full_cmb
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.axi_wdata_full_reg_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_wdata_full_cmb,
Q => axi_wdata_full_reg,
R => s_axi_aresetn_0
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"4777477444444444"
)
port map (
I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\,
I1 => wr_data_sm_cs(2),
I2 => wr_data_sm_cs(1),
I3 => wr_data_sm_cs(0),
I4 => axi_wdata_full_cmb114_out,
I5 => s_axi_wvalid,
O => bram_en_cmb
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"15"
)
port map (
I0 => axi_wdata_full_cmb114_out,
I1 => axi_awaddr_full,
I2 => bram_addr_ld_en,
O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => bram_en_cmb,
Q => bram_en_a,
R => s_axi_aresetn_0
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"0010001000101110"
)
port map (
I0 => wr_data_sm_cs(0),
I1 => wr_data_sm_cs(1),
I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\,
I3 => wr_data_sm_cs(2),
I4 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\,
I5 => axi_wr_burst,
O => clr_bram_we_cmb
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2\: unisim.vcomponents.LUT3
generic map(
INIT => X"80"
)
port map (
I0 => axi_wdata_full_cmb114_out,
I1 => s_axi_wlast,
I2 => s_axi_wvalid,
O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_i_2_n_0\
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.clr_bram_we_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => clr_bram_we_cmb,
Q => clr_bram_we,
R => s_axi_aresetn_0
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"FEAAFEFF02AA0200"
)
port map (
I0 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\,
I1 => axi_wr_burst,
I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\,
I3 => wr_data_sm_cs(2),
I4 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\,
I5 => delay_aw_active_clr,
O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"0000222E"
)
port map (
I0 => s_axi_wlast,
I1 => wr_data_sm_cs(2),
I2 => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.bram_en_int_i_2_n_0\,
I3 => wr_data_sm_cs(0),
I4 => wr_data_sm_cs(1),
O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_2_n_0\
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"8B338B0088008800"
)
port map (
I0 => delay_aw_active_clr,
I1 => wr_data_sm_cs(1),
I2 => axi_wr_burst_cmb0,
I3 => wr_data_sm_cs(0),
I4 => axi_wdata_full_cmb114_out,
I5 => bvalid_cnt_inc11_out,
O => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_3_n_0\
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"8"
)
port map (
I0 => s_axi_wvalid,
I1 => s_axi_wlast,
O => bvalid_cnt_inc11_out
);
\GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \GEN_WDATA_SM_NO_ECC_DUAL_REG_WREADY.delay_aw_active_clr_i_1_n_0\,
Q => delay_aw_active_clr,
R => s_axi_aresetn_0
);
\GEN_WRDATA[0].bram_wrdata_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(0),
Q => bram_wrdata_a(0),
R => '0'
);
\GEN_WRDATA[10].bram_wrdata_int_reg[10]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(10),
Q => bram_wrdata_a(10),
R => '0'
);
\GEN_WRDATA[11].bram_wrdata_int_reg[11]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(11),
Q => bram_wrdata_a(11),
R => '0'
);
\GEN_WRDATA[12].bram_wrdata_int_reg[12]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(12),
Q => bram_wrdata_a(12),
R => '0'
);
\GEN_WRDATA[13].bram_wrdata_int_reg[13]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(13),
Q => bram_wrdata_a(13),
R => '0'
);
\GEN_WRDATA[14].bram_wrdata_int_reg[14]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(14),
Q => bram_wrdata_a(14),
R => '0'
);
\GEN_WRDATA[15].bram_wrdata_int_reg[15]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(15),
Q => bram_wrdata_a(15),
R => '0'
);
\GEN_WRDATA[16].bram_wrdata_int_reg[16]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(16),
Q => bram_wrdata_a(16),
R => '0'
);
\GEN_WRDATA[17].bram_wrdata_int_reg[17]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(17),
Q => bram_wrdata_a(17),
R => '0'
);
\GEN_WRDATA[18].bram_wrdata_int_reg[18]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(18),
Q => bram_wrdata_a(18),
R => '0'
);
\GEN_WRDATA[19].bram_wrdata_int_reg[19]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(19),
Q => bram_wrdata_a(19),
R => '0'
);
\GEN_WRDATA[1].bram_wrdata_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(1),
Q => bram_wrdata_a(1),
R => '0'
);
\GEN_WRDATA[20].bram_wrdata_int_reg[20]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(20),
Q => bram_wrdata_a(20),
R => '0'
);
\GEN_WRDATA[21].bram_wrdata_int_reg[21]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(21),
Q => bram_wrdata_a(21),
R => '0'
);
\GEN_WRDATA[22].bram_wrdata_int_reg[22]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(22),
Q => bram_wrdata_a(22),
R => '0'
);
\GEN_WRDATA[23].bram_wrdata_int_reg[23]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(23),
Q => bram_wrdata_a(23),
R => '0'
);
\GEN_WRDATA[24].bram_wrdata_int_reg[24]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(24),
Q => bram_wrdata_a(24),
R => '0'
);
\GEN_WRDATA[25].bram_wrdata_int_reg[25]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(25),
Q => bram_wrdata_a(25),
R => '0'
);
\GEN_WRDATA[26].bram_wrdata_int_reg[26]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(26),
Q => bram_wrdata_a(26),
R => '0'
);
\GEN_WRDATA[27].bram_wrdata_int_reg[27]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(27),
Q => bram_wrdata_a(27),
R => '0'
);
\GEN_WRDATA[28].bram_wrdata_int_reg[28]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(28),
Q => bram_wrdata_a(28),
R => '0'
);
\GEN_WRDATA[29].bram_wrdata_int_reg[29]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(29),
Q => bram_wrdata_a(29),
R => '0'
);
\GEN_WRDATA[2].bram_wrdata_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(2),
Q => bram_wrdata_a(2),
R => '0'
);
\GEN_WRDATA[30].bram_wrdata_int_reg[30]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(30),
Q => bram_wrdata_a(30),
R => '0'
);
\GEN_WRDATA[31].bram_wrdata_int_reg[31]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(31),
Q => bram_wrdata_a(31),
R => '0'
);
\GEN_WRDATA[3].bram_wrdata_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(3),
Q => bram_wrdata_a(3),
R => '0'
);
\GEN_WRDATA[4].bram_wrdata_int_reg[4]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(4),
Q => bram_wrdata_a(4),
R => '0'
);
\GEN_WRDATA[5].bram_wrdata_int_reg[5]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(5),
Q => bram_wrdata_a(5),
R => '0'
);
\GEN_WRDATA[6].bram_wrdata_int_reg[6]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(6),
Q => bram_wrdata_a(6),
R => '0'
);
\GEN_WRDATA[7].bram_wrdata_int_reg[7]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(7),
Q => bram_wrdata_a(7),
R => '0'
);
\GEN_WRDATA[8].bram_wrdata_int_reg[8]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(8),
Q => bram_wrdata_a(8),
R => '0'
);
\GEN_WRDATA[9].bram_wrdata_int_reg[9]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wdata(9),
Q => bram_wrdata_a(9),
R => '0'
);
\GEN_WR_NO_ECC.bram_we_int[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"D0FF"
)
port map (
I0 => s_axi_wvalid,
I1 => wr_data_sm_cs(2),
I2 => clr_bram_we,
I3 => s_axi_aresetn,
O => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\
);
\GEN_WR_NO_ECC.bram_we_int[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => s_axi_wvalid,
I1 => wr_data_sm_cs(2),
O => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\
);
\GEN_WR_NO_ECC.bram_we_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wstrb(0),
Q => bram_we_a(0),
R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\
);
\GEN_WR_NO_ECC.bram_we_int_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wstrb(1),
Q => bram_we_a(1),
R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\
);
\GEN_WR_NO_ECC.bram_we_int_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wstrb(2),
Q => bram_we_a(2),
R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\
);
\GEN_WR_NO_ECC.bram_we_int_reg[3]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => \GEN_WR_NO_ECC.bram_we_int[3]_i_2_n_0\,
D => s_axi_wstrb(3),
Q => bram_we_a(3),
R => \GEN_WR_NO_ECC.bram_we_int[3]_i_1_n_0\
);
I_WRAP_BRST: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_wrap_brst
port map (
D(13 downto 10) => bram_addr_ld(13 downto 10),
D(9) => I_WRAP_BRST_n_7,
D(8) => I_WRAP_BRST_n_8,
D(7) => I_WRAP_BRST_n_9,
D(6) => I_WRAP_BRST_n_10,
D(5) => I_WRAP_BRST_n_11,
D(4) => I_WRAP_BRST_n_12,
D(3) => I_WRAP_BRST_n_13,
D(2) => I_WRAP_BRST_n_14,
D(1) => I_WRAP_BRST_n_15,
D(0) => I_WRAP_BRST_n_16,
E(0) => I_WRAP_BRST_n_2,
\GEN_AWREADY.axi_aresetn_d2_reg\ => \^axi_aresetn_d2\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[10].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[11].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[12].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[13].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[14].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[15].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[2].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[3].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[4].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[5].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[6].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[7].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[8].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\ => \GEN_AW_PIPE_DUAL.GEN_AWADDR[9].axi_awaddr_pipe_reg\,
\GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg\ => \GEN_AW_PIPE_DUAL.axi_awburst_pipe_fixed_reg_n_0\,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[6]\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[10]_i_2__0_n_0\,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]\ => I_WRAP_BRST_n_17,
\GEN_DUAL_ADDR_CNT.bram_addr_int_reg[8]_0\ => \GEN_DUAL_ADDR_CNT.bram_addr_int[11]_i_3__0_n_0\,
Q(3 downto 0) => axi_awlen_pipe(3 downto 0),
SR(0) => I_WRAP_BRST_n_0,
aw_active => aw_active,
axi_awaddr_full => axi_awaddr_full,
axi_awlen_pipe_1_or_2 => axi_awlen_pipe_1_or_2,
axi_awsize_pipe(0) => axi_awsize_pipe(1),
bram_addr_a(9 downto 0) => \^bram_addr_a\(9 downto 0),
bram_addr_inc => bram_addr_inc,
bram_addr_ld_en => bram_addr_ld_en,
bram_addr_ld_en_mod => bram_addr_ld_en_mod,
bram_addr_rst_cmb => bram_addr_rst_cmb,
bvalid_cnt(2 downto 0) => bvalid_cnt(2 downto 0),
curr_awlen_reg_1_or_2 => curr_awlen_reg_1_or_2,
curr_fixed_burst => curr_fixed_burst,
curr_fixed_burst_reg => curr_fixed_burst_reg,
curr_fixed_burst_reg_reg => I_WRAP_BRST_n_24,
curr_wrap_burst => curr_wrap_burst,
curr_wrap_burst_reg => curr_wrap_burst_reg,
curr_wrap_burst_reg_reg => I_WRAP_BRST_n_25,
last_data_ack_mod => last_data_ack_mod,
\out\(2 downto 0) => wr_data_sm_cs(2 downto 0),
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_aresetn_0 => s_axi_aresetn_0,
s_axi_awaddr(13 downto 0) => s_axi_awaddr(13 downto 0),
s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_axi_wvalid => s_axi_wvalid,
\save_init_bram_addr_ld_reg[15]_0\ => I_WRAP_BRST_n_19,
\save_init_bram_addr_ld_reg[15]_1\ => I_WRAP_BRST_n_20,
\save_init_bram_addr_ld_reg[15]_2\ => I_WRAP_BRST_n_21,
wr_addr_sm_cs => wr_addr_sm_cs,
\wrap_burst_total_reg[0]_0\ => I_WRAP_BRST_n_22,
\wrap_burst_total_reg[2]_0\ => I_WRAP_BRST_n_23
);
\axi_bid_int_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => BID_FIFO_n_4,
Q => \^s_axi_bid\(0),
R => s_axi_aresetn_0
);
axi_bvalid_int_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"AAAAAAAAAAAA8A88"
)
port map (
I0 => s_axi_aresetn,
I1 => bvalid_cnt_inc,
I2 => BID_FIFO_n_1,
I3 => bvalid_cnt(0),
I4 => bvalid_cnt(2),
I5 => bvalid_cnt(1),
O => axi_bvalid_int_i_1_n_0
);
axi_bvalid_int_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_bvalid_int_i_1_n_0,
Q => \^s_axi_bvalid\,
R => '0'
);
axi_wr_burst_i_1: unisim.vcomponents.LUT3
generic map(
INIT => X"B8"
)
port map (
I0 => axi_wr_burst_cmb,
I1 => axi_wr_burst_i_3_n_0,
I2 => axi_wr_burst,
O => axi_wr_burst_i_1_n_0
);
axi_wr_burst_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"3088FCBB"
)
port map (
I0 => s_axi_wvalid,
I1 => wr_data_sm_cs(1),
I2 => axi_wr_burst_cmb0,
I3 => wr_data_sm_cs(0),
I4 => s_axi_wlast,
O => axi_wr_burst_cmb
);
axi_wr_burst_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000AAAAA222"
)
port map (
I0 => s_axi_wvalid,
I1 => wr_data_sm_cs(0),
I2 => axi_wr_burst_cmb0,
I3 => s_axi_wlast,
I4 => wr_data_sm_cs(1),
I5 => wr_data_sm_cs(2),
O => axi_wr_burst_i_3_n_0
);
axi_wr_burst_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_wr_burst_i_1_n_0,
Q => axi_wr_burst,
R => s_axi_aresetn_0
);
axi_wready_int_mod_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"EA00EAFF00000000"
)
port map (
I0 => axi_wdata_full_cmb114_out,
I1 => axi_awaddr_full,
I2 => bram_addr_ld_en,
I3 => wr_data_sm_cs(2),
I4 => axi_wready_int_mod_i_3_n_0,
I5 => s_axi_aresetn,
O => axi_wready_int_mod_i_1_n_0
);
axi_wready_int_mod_i_3: unisim.vcomponents.LUT5
generic map(
INIT => X"F8F9F0F0"
)
port map (
I0 => wr_data_sm_cs(1),
I1 => wr_data_sm_cs(0),
I2 => axi_wdata_full_reg,
I3 => axi_wdata_full_cmb114_out,
I4 => s_axi_wvalid,
O => axi_wready_int_mod_i_3_n_0
);
axi_wready_int_mod_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => axi_wready_int_mod_i_1_n_0,
Q => \^s_axi_wready\,
R => '0'
);
bid_gets_fifo_load_d1_i_2: unisim.vcomponents.LUT3
generic map(
INIT => X"EF"
)
port map (
I0 => bvalid_cnt(1),
I1 => bvalid_cnt(2),
I2 => bvalid_cnt(0),
O => bid_gets_fifo_load_d1_i_2_n_0
);
bid_gets_fifo_load_d1_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => bid_gets_fifo_load,
Q => bid_gets_fifo_load_d1,
R => s_axi_aresetn_0
);
\bvalid_cnt[0]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"95956A6A95956AAA"
)
port map (
I0 => bvalid_cnt_inc,
I1 => s_axi_bready,
I2 => \^s_axi_bvalid\,
I3 => bvalid_cnt(2),
I4 => bvalid_cnt(0),
I5 => bvalid_cnt(1),
O => \bvalid_cnt[0]_i_1_n_0\
);
\bvalid_cnt[1]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"D5D5BFBF2A2A4000"
)
port map (
I0 => bvalid_cnt_inc,
I1 => s_axi_bready,
I2 => \^s_axi_bvalid\,
I3 => bvalid_cnt(2),
I4 => bvalid_cnt(0),
I5 => bvalid_cnt(1),
O => \bvalid_cnt[1]_i_1_n_0\
);
\bvalid_cnt[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"D52AFF00FF00BF00"
)
port map (
I0 => bvalid_cnt_inc,
I1 => s_axi_bready,
I2 => \^s_axi_bvalid\,
I3 => bvalid_cnt(2),
I4 => bvalid_cnt(0),
I5 => bvalid_cnt(1),
O => \bvalid_cnt[2]_i_1_n_0\
);
\bvalid_cnt_reg[0]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \bvalid_cnt[0]_i_1_n_0\,
Q => bvalid_cnt(0),
R => s_axi_aresetn_0
);
\bvalid_cnt_reg[1]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \bvalid_cnt[1]_i_1_n_0\,
Q => bvalid_cnt(1),
R => s_axi_aresetn_0
);
\bvalid_cnt_reg[2]\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => \bvalid_cnt[2]_i_1_n_0\,
Q => bvalid_cnt(2),
R => s_axi_aresetn_0
);
curr_awlen_reg_1_or_2_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"0000000000000151"
)
port map (
I0 => I_WRAP_BRST_n_23,
I1 => s_axi_awlen(2),
I2 => axi_awaddr_full,
I3 => axi_awlen_pipe(2),
I4 => I_WRAP_BRST_n_22,
I5 => curr_awlen_reg_1_or_2_i_2_n_0,
O => curr_awlen_reg_1_or_20
);
curr_awlen_reg_1_or_2_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"F5F5F5F5F5F5F5C5"
)
port map (
I0 => \GEN_AW_PIPE_DUAL.axi_awlen_pipe_1_or_2_i_2_n_0\,
I1 => axi_awlen_pipe(5),
I2 => axi_awaddr_full,
I3 => axi_awlen_pipe(6),
I4 => axi_awlen_pipe(7),
I5 => axi_awlen_pipe(4),
O => curr_awlen_reg_1_or_2_i_2_n_0
);
curr_awlen_reg_1_or_2_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => bram_addr_ld_en,
D => curr_awlen_reg_1_or_20,
Q => curr_awlen_reg_1_or_2,
R => s_axi_aresetn_0
);
curr_fixed_burst_reg_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"00053305"
)
port map (
I0 => s_axi_awburst(1),
I1 => axi_awburst_pipe(1),
I2 => s_axi_awburst(0),
I3 => axi_awaddr_full,
I4 => axi_awburst_pipe(0),
O => curr_fixed_burst
);
curr_fixed_burst_reg_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => I_WRAP_BRST_n_24,
Q => curr_fixed_burst_reg,
R => '0'
);
curr_wrap_burst_reg_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"000ACC0A"
)
port map (
I0 => s_axi_awburst(1),
I1 => axi_awburst_pipe(1),
I2 => s_axi_awburst(0),
I3 => axi_awaddr_full,
I4 => axi_awburst_pipe(0),
O => curr_wrap_burst
);
curr_wrap_burst_reg_reg: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => s_axi_aclk,
CE => '1',
D => I_WRAP_BRST_n_25,
Q => curr_wrap_burst_reg,
R => '0'
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_full_axi is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_rlast : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
bram_rst_a : out STD_LOGIC;
bram_addr_a : out STD_LOGIC_VECTOR ( 13 downto 0 );
bram_en_a : out STD_LOGIC;
bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_addr_b : out STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
bram_en_b : out STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wlast : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_full_axi : entity is "full_axi";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_full_axi;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_full_axi is
signal I_WR_CHNL_n_36 : STD_LOGIC;
signal axi_aresetn_d2 : STD_LOGIC;
signal axi_aresetn_re_reg : STD_LOGIC;
signal \^bram_rst_a\ : STD_LOGIC;
begin
bram_rst_a <= \^bram_rst_a\;
I_RD_CHNL: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_rd_chnl
port map (
\GEN_AWREADY.axi_aresetn_d2_reg\ => I_WR_CHNL_n_36,
Q(13 downto 0) => bram_addr_b(13 downto 0),
axi_aresetn_d2 => axi_aresetn_d2,
axi_aresetn_re_reg => axi_aresetn_re_reg,
bram_en_b => bram_en_b,
bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0),
bram_rst_a => \^bram_rst_a\,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(13 downto 0) => s_axi_araddr(13 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arid(0) => s_axi_arid(0),
s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(0) => s_axi_rid(0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid
);
I_WR_CHNL: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_wr_chnl
port map (
\GEN_AW_DUAL.aw_active_reg_0\ => I_WR_CHNL_n_36,
axi_aresetn_d2 => axi_aresetn_d2,
axi_aresetn_re_reg => axi_aresetn_re_reg,
bram_addr_a(13 downto 0) => bram_addr_a(13 downto 0),
bram_en_a => bram_en_a,
bram_we_a(3 downto 0) => bram_we_a(3 downto 0),
bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0),
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_aresetn_0 => \^bram_rst_a\,
s_axi_awaddr(13 downto 0) => s_axi_awaddr(13 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(0) => s_axi_awid(0),
s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(0) => s_axi_bid(0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl_top is
port (
s_axi_rvalid : out STD_LOGIC;
s_axi_rlast : out STD_LOGIC;
s_axi_bvalid : out STD_LOGIC;
s_axi_awready : out STD_LOGIC;
bram_rst_a : out STD_LOGIC;
bram_addr_a : out STD_LOGIC_VECTOR ( 13 downto 0 );
bram_en_a : out STD_LOGIC;
bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_addr_b : out STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wready : out STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
bram_en_b : out STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wlast : in STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_aclk : in STD_LOGIC;
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 13 downto 0 );
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_arvalid : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl_top : entity is "axi_bram_ctrl_top";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl_top;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl_top is
begin
\GEN_AXI4.I_FULL_AXI\: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_full_axi
port map (
bram_addr_a(13 downto 0) => bram_addr_a(13 downto 0),
bram_addr_b(13 downto 0) => bram_addr_b(13 downto 0),
bram_en_a => bram_en_a,
bram_en_b => bram_en_b,
bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0),
bram_rst_a => bram_rst_a,
bram_we_a(3 downto 0) => bram_we_a(3 downto 0),
bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0),
s_axi_aclk => s_axi_aclk,
s_axi_araddr(13 downto 0) => s_axi_araddr(13 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arid(0) => s_axi_arid(0),
s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(13 downto 0) => s_axi_awaddr(13 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(0) => s_axi_awid(0),
s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(0) => s_axi_bid(0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(0) => s_axi_rid(0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
ecc_interrupt : out STD_LOGIC;
ecc_ue : out STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC;
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC;
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
s_axi_ctrl_awvalid : in STD_LOGIC;
s_axi_ctrl_awready : out STD_LOGIC;
s_axi_ctrl_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_ctrl_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_ctrl_wvalid : in STD_LOGIC;
s_axi_ctrl_wready : out STD_LOGIC;
s_axi_ctrl_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_ctrl_bvalid : out STD_LOGIC;
s_axi_ctrl_bready : in STD_LOGIC;
s_axi_ctrl_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_ctrl_arvalid : in STD_LOGIC;
s_axi_ctrl_arready : out STD_LOGIC;
s_axi_ctrl_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_ctrl_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_ctrl_rvalid : out STD_LOGIC;
s_axi_ctrl_rready : in STD_LOGIC;
bram_rst_a : out STD_LOGIC;
bram_clk_a : out STD_LOGIC;
bram_en_a : out STD_LOGIC;
bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_addr_a : out STD_LOGIC_VECTOR ( 15 downto 0 );
bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rst_b : out STD_LOGIC;
bram_clk_b : out STD_LOGIC;
bram_en_b : out STD_LOGIC;
bram_we_b : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_addr_b : out STD_LOGIC_VECTOR ( 15 downto 0 );
bram_wrdata_b : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute C_BRAM_ADDR_WIDTH : integer;
attribute C_BRAM_ADDR_WIDTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 14;
attribute C_BRAM_INST_MODE : string;
attribute C_BRAM_INST_MODE of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is "EXTERNAL";
attribute C_ECC : integer;
attribute C_ECC of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute C_ECC_ONOFF_RESET_VALUE : integer;
attribute C_ECC_ONOFF_RESET_VALUE of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute C_ECC_TYPE : integer;
attribute C_ECC_TYPE of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is "zynq";
attribute C_FAULT_INJECT : integer;
attribute C_FAULT_INJECT of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute C_MEMORY_DEPTH : integer;
attribute C_MEMORY_DEPTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 16384;
attribute C_SELECT_XPM : integer;
attribute C_SELECT_XPM of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute C_SINGLE_PORT_BRAM : integer;
attribute C_SINGLE_PORT_BRAM of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute C_S_AXI_ADDR_WIDTH : integer;
attribute C_S_AXI_ADDR_WIDTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 16;
attribute C_S_AXI_CTRL_ADDR_WIDTH : integer;
attribute C_S_AXI_CTRL_ADDR_WIDTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 32;
attribute C_S_AXI_CTRL_DATA_WIDTH : integer;
attribute C_S_AXI_CTRL_DATA_WIDTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 32;
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 32;
attribute C_S_AXI_ID_WIDTH : integer;
attribute C_S_AXI_ID_WIDTH of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 1;
attribute C_S_AXI_PROTOCOL : string;
attribute C_S_AXI_PROTOCOL of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is "AXI4";
attribute C_S_AXI_SUPPORTS_NARROW_BURST : integer;
attribute C_S_AXI_SUPPORTS_NARROW_BURST of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is 0;
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is "axi_bram_ctrl";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl : entity is "yes";
end zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl is
signal \<const0>\ : STD_LOGIC;
signal \^bram_addr_a\ : STD_LOGIC_VECTOR ( 15 downto 2 );
signal \^bram_addr_b\ : STD_LOGIC_VECTOR ( 15 downto 2 );
signal \^bram_rst_a\ : STD_LOGIC;
signal \^s_axi_aclk\ : STD_LOGIC;
begin
\^s_axi_aclk\ <= s_axi_aclk;
bram_addr_a(15 downto 2) <= \^bram_addr_a\(15 downto 2);
bram_addr_a(1) <= \<const0>\;
bram_addr_a(0) <= \<const0>\;
bram_addr_b(15 downto 2) <= \^bram_addr_b\(15 downto 2);
bram_addr_b(1) <= \<const0>\;
bram_addr_b(0) <= \<const0>\;
bram_clk_a <= \^s_axi_aclk\;
bram_clk_b <= \^s_axi_aclk\;
bram_rst_a <= \^bram_rst_a\;
bram_rst_b <= \^bram_rst_a\;
bram_we_b(3) <= \<const0>\;
bram_we_b(2) <= \<const0>\;
bram_we_b(1) <= \<const0>\;
bram_we_b(0) <= \<const0>\;
bram_wrdata_b(31) <= \<const0>\;
bram_wrdata_b(30) <= \<const0>\;
bram_wrdata_b(29) <= \<const0>\;
bram_wrdata_b(28) <= \<const0>\;
bram_wrdata_b(27) <= \<const0>\;
bram_wrdata_b(26) <= \<const0>\;
bram_wrdata_b(25) <= \<const0>\;
bram_wrdata_b(24) <= \<const0>\;
bram_wrdata_b(23) <= \<const0>\;
bram_wrdata_b(22) <= \<const0>\;
bram_wrdata_b(21) <= \<const0>\;
bram_wrdata_b(20) <= \<const0>\;
bram_wrdata_b(19) <= \<const0>\;
bram_wrdata_b(18) <= \<const0>\;
bram_wrdata_b(17) <= \<const0>\;
bram_wrdata_b(16) <= \<const0>\;
bram_wrdata_b(15) <= \<const0>\;
bram_wrdata_b(14) <= \<const0>\;
bram_wrdata_b(13) <= \<const0>\;
bram_wrdata_b(12) <= \<const0>\;
bram_wrdata_b(11) <= \<const0>\;
bram_wrdata_b(10) <= \<const0>\;
bram_wrdata_b(9) <= \<const0>\;
bram_wrdata_b(8) <= \<const0>\;
bram_wrdata_b(7) <= \<const0>\;
bram_wrdata_b(6) <= \<const0>\;
bram_wrdata_b(5) <= \<const0>\;
bram_wrdata_b(4) <= \<const0>\;
bram_wrdata_b(3) <= \<const0>\;
bram_wrdata_b(2) <= \<const0>\;
bram_wrdata_b(1) <= \<const0>\;
bram_wrdata_b(0) <= \<const0>\;
ecc_interrupt <= \<const0>\;
ecc_ue <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_ctrl_arready <= \<const0>\;
s_axi_ctrl_awready <= \<const0>\;
s_axi_ctrl_bresp(1) <= \<const0>\;
s_axi_ctrl_bresp(0) <= \<const0>\;
s_axi_ctrl_bvalid <= \<const0>\;
s_axi_ctrl_rdata(31) <= \<const0>\;
s_axi_ctrl_rdata(30) <= \<const0>\;
s_axi_ctrl_rdata(29) <= \<const0>\;
s_axi_ctrl_rdata(28) <= \<const0>\;
s_axi_ctrl_rdata(27) <= \<const0>\;
s_axi_ctrl_rdata(26) <= \<const0>\;
s_axi_ctrl_rdata(25) <= \<const0>\;
s_axi_ctrl_rdata(24) <= \<const0>\;
s_axi_ctrl_rdata(23) <= \<const0>\;
s_axi_ctrl_rdata(22) <= \<const0>\;
s_axi_ctrl_rdata(21) <= \<const0>\;
s_axi_ctrl_rdata(20) <= \<const0>\;
s_axi_ctrl_rdata(19) <= \<const0>\;
s_axi_ctrl_rdata(18) <= \<const0>\;
s_axi_ctrl_rdata(17) <= \<const0>\;
s_axi_ctrl_rdata(16) <= \<const0>\;
s_axi_ctrl_rdata(15) <= \<const0>\;
s_axi_ctrl_rdata(14) <= \<const0>\;
s_axi_ctrl_rdata(13) <= \<const0>\;
s_axi_ctrl_rdata(12) <= \<const0>\;
s_axi_ctrl_rdata(11) <= \<const0>\;
s_axi_ctrl_rdata(10) <= \<const0>\;
s_axi_ctrl_rdata(9) <= \<const0>\;
s_axi_ctrl_rdata(8) <= \<const0>\;
s_axi_ctrl_rdata(7) <= \<const0>\;
s_axi_ctrl_rdata(6) <= \<const0>\;
s_axi_ctrl_rdata(5) <= \<const0>\;
s_axi_ctrl_rdata(4) <= \<const0>\;
s_axi_ctrl_rdata(3) <= \<const0>\;
s_axi_ctrl_rdata(2) <= \<const0>\;
s_axi_ctrl_rdata(1) <= \<const0>\;
s_axi_ctrl_rdata(0) <= \<const0>\;
s_axi_ctrl_rresp(1) <= \<const0>\;
s_axi_ctrl_rresp(0) <= \<const0>\;
s_axi_ctrl_rvalid <= \<const0>\;
s_axi_ctrl_wready <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
\gext_inst.abcv4_0_ext_inst\: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl_top
port map (
bram_addr_a(13 downto 0) => \^bram_addr_a\(15 downto 2),
bram_addr_b(13 downto 0) => \^bram_addr_b\(15 downto 2),
bram_en_a => bram_en_a,
bram_en_b => bram_en_b,
bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0),
bram_rst_a => \^bram_rst_a\,
bram_we_a(3 downto 0) => bram_we_a(3 downto 0),
bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0),
s_axi_aclk => \^s_axi_aclk\,
s_axi_araddr(13 downto 0) => s_axi_araddr(15 downto 2),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arid(0) => s_axi_arid(0),
s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(13 downto 0) => s_axi_awaddr(15 downto 2),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awid(0) => s_axi_awid(0),
s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(0) => s_axi_bid(0),
s_axi_bready => s_axi_bready,
s_axi_bvalid => s_axi_bvalid,
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(0) => s_axi_rid(0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity zqynq_lab_1_design_axi_bram_ctrl_0_0 is
port (
s_axi_aclk : in STD_LOGIC;
s_axi_aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC;
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
s_axi_araddr : in STD_LOGIC_VECTOR ( 15 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC;
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
bram_rst_a : out STD_LOGIC;
bram_clk_a : out STD_LOGIC;
bram_en_a : out STD_LOGIC;
bram_we_a : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_addr_a : out STD_LOGIC_VECTOR ( 15 downto 0 );
bram_wrdata_a : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rddata_a : in STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rst_b : out STD_LOGIC;
bram_clk_b : out STD_LOGIC;
bram_en_b : out STD_LOGIC;
bram_we_b : out STD_LOGIC_VECTOR ( 3 downto 0 );
bram_addr_b : out STD_LOGIC_VECTOR ( 15 downto 0 );
bram_wrdata_b : out STD_LOGIC_VECTOR ( 31 downto 0 );
bram_rddata_b : in STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of zqynq_lab_1_design_axi_bram_ctrl_0_0 : entity is true;
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of zqynq_lab_1_design_axi_bram_ctrl_0_0 : entity is "zqynq_lab_1_design_axi_bram_ctrl_0_0,axi_bram_ctrl,{}";
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of zqynq_lab_1_design_axi_bram_ctrl_0_0 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of zqynq_lab_1_design_axi_bram_ctrl_0_0 : entity is "axi_bram_ctrl,Vivado 2017.2";
end zqynq_lab_1_design_axi_bram_ctrl_0_0;
architecture STRUCTURE of zqynq_lab_1_design_axi_bram_ctrl_0_0 is
signal NLW_U0_ecc_interrupt_UNCONNECTED : STD_LOGIC;
signal NLW_U0_ecc_ue_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_ctrl_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_ctrl_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_ctrl_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_ctrl_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_ctrl_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_ctrl_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ctrl_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_s_axi_ctrl_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
attribute C_BRAM_ADDR_WIDTH : integer;
attribute C_BRAM_ADDR_WIDTH of U0 : label is 14;
attribute C_BRAM_INST_MODE : string;
attribute C_BRAM_INST_MODE of U0 : label is "EXTERNAL";
attribute C_ECC : integer;
attribute C_ECC of U0 : label is 0;
attribute C_ECC_ONOFF_RESET_VALUE : integer;
attribute C_ECC_ONOFF_RESET_VALUE of U0 : label is 0;
attribute C_ECC_TYPE : integer;
attribute C_ECC_TYPE of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_FAULT_INJECT : integer;
attribute C_FAULT_INJECT of U0 : label is 0;
attribute C_MEMORY_DEPTH : integer;
attribute C_MEMORY_DEPTH of U0 : label is 16384;
attribute C_SELECT_XPM : integer;
attribute C_SELECT_XPM of U0 : label is 0;
attribute C_SINGLE_PORT_BRAM : integer;
attribute C_SINGLE_PORT_BRAM of U0 : label is 0;
attribute C_S_AXI_ADDR_WIDTH : integer;
attribute C_S_AXI_ADDR_WIDTH of U0 : label is 16;
attribute C_S_AXI_CTRL_ADDR_WIDTH : integer;
attribute C_S_AXI_CTRL_ADDR_WIDTH of U0 : label is 32;
attribute C_S_AXI_CTRL_DATA_WIDTH : integer;
attribute C_S_AXI_CTRL_DATA_WIDTH of U0 : label is 32;
attribute C_S_AXI_DATA_WIDTH : integer;
attribute C_S_AXI_DATA_WIDTH of U0 : label is 32;
attribute C_S_AXI_ID_WIDTH : integer;
attribute C_S_AXI_ID_WIDTH of U0 : label is 1;
attribute C_S_AXI_PROTOCOL : string;
attribute C_S_AXI_PROTOCOL of U0 : label is "AXI4";
attribute C_S_AXI_SUPPORTS_NARROW_BURST : integer;
attribute C_S_AXI_SUPPORTS_NARROW_BURST of U0 : label is 0;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.zqynq_lab_1_design_axi_bram_ctrl_0_0_axi_bram_ctrl
port map (
bram_addr_a(15 downto 0) => bram_addr_a(15 downto 0),
bram_addr_b(15 downto 0) => bram_addr_b(15 downto 0),
bram_clk_a => bram_clk_a,
bram_clk_b => bram_clk_b,
bram_en_a => bram_en_a,
bram_en_b => bram_en_b,
bram_rddata_a(31 downto 0) => bram_rddata_a(31 downto 0),
bram_rddata_b(31 downto 0) => bram_rddata_b(31 downto 0),
bram_rst_a => bram_rst_a,
bram_rst_b => bram_rst_b,
bram_we_a(3 downto 0) => bram_we_a(3 downto 0),
bram_we_b(3 downto 0) => bram_we_b(3 downto 0),
bram_wrdata_a(31 downto 0) => bram_wrdata_a(31 downto 0),
bram_wrdata_b(31 downto 0) => bram_wrdata_b(31 downto 0),
ecc_interrupt => NLW_U0_ecc_interrupt_UNCONNECTED,
ecc_ue => NLW_U0_ecc_ue_UNCONNECTED,
s_axi_aclk => s_axi_aclk,
s_axi_araddr(15 downto 0) => s_axi_araddr(15 downto 0),
s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0),
s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0),
s_axi_aresetn => s_axi_aresetn,
s_axi_arid(0) => '0',
s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0),
s_axi_arlock => s_axi_arlock,
s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0),
s_axi_arready => s_axi_arready,
s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0),
s_axi_arvalid => s_axi_arvalid,
s_axi_awaddr(15 downto 0) => s_axi_awaddr(15 downto 0),
s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0),
s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0),
s_axi_awid(0) => '0',
s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0),
s_axi_awlock => s_axi_awlock,
s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0),
s_axi_awready => s_axi_awready,
s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0),
s_axi_awvalid => s_axi_awvalid,
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => s_axi_bready,
s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0),
s_axi_bvalid => s_axi_bvalid,
s_axi_ctrl_araddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_ctrl_arready => NLW_U0_s_axi_ctrl_arready_UNCONNECTED,
s_axi_ctrl_arvalid => '0',
s_axi_ctrl_awaddr(31 downto 0) => B"00000000000000000000000000000000",
s_axi_ctrl_awready => NLW_U0_s_axi_ctrl_awready_UNCONNECTED,
s_axi_ctrl_awvalid => '0',
s_axi_ctrl_bready => '0',
s_axi_ctrl_bresp(1 downto 0) => NLW_U0_s_axi_ctrl_bresp_UNCONNECTED(1 downto 0),
s_axi_ctrl_bvalid => NLW_U0_s_axi_ctrl_bvalid_UNCONNECTED,
s_axi_ctrl_rdata(31 downto 0) => NLW_U0_s_axi_ctrl_rdata_UNCONNECTED(31 downto 0),
s_axi_ctrl_rready => '0',
s_axi_ctrl_rresp(1 downto 0) => NLW_U0_s_axi_ctrl_rresp_UNCONNECTED(1 downto 0),
s_axi_ctrl_rvalid => NLW_U0_s_axi_ctrl_rvalid_UNCONNECTED,
s_axi_ctrl_wdata(31 downto 0) => B"00000000000000000000000000000000",
s_axi_ctrl_wready => NLW_U0_s_axi_ctrl_wready_UNCONNECTED,
s_axi_ctrl_wvalid => '0',
s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => s_axi_rlast,
s_axi_rready => s_axi_rready,
s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0),
s_axi_rvalid => s_axi_rvalid,
s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0),
s_axi_wlast => s_axi_wlast,
s_axi_wready => s_axi_wready,
s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0),
s_axi_wvalid => s_axi_wvalid
);
end STRUCTURE;
|
mit
|
khaledhassan/vhdl-examples
|
register/register.vhd
|
1
|
1950
|
-- Copyright (c) 2012 Brian Nezvadovitz <http://nezzen.net>
-- This software is distributed under the terms of the MIT License shown below.
--
-- Permission is hereby granted, free of charge, to any person obtaining a copy
-- of this software and associated documentation files (the "Software"), to
-- deal in the Software without restriction, including without limitation the
-- rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
-- sell copies of the Software, and to permit persons to whom the Software is
-- furnished to do so, subject to the following conditions:
--
-- The above copyright notice and this permission notice shall be included in
-- all copies or substantial portions of the Software.
--
-- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING
-- FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS
-- IN THE SOFTWARE.
-- Implements a synchronous register of a given width with a load signal.
library ieee;
use ieee.std_logic_1164.all;
entity reg is
generic (
WIDTH : positive := 1
);
port (
clk : in std_logic;
rst : in std_logic;
load : in std_logic;
input : in std_logic_vector(WIDTH-1 downto 0);
output : out std_logic_vector(WIDTH-1 downto 0)
);
end reg;
architecture BHV of reg is
begin
process(clk, rst)
begin
if(rst = '1') then
output <= (others => '0');
elsif(rising_edge(clk)) then
if(load = '1') then
output <= input;
end if;
end if;
end process;
end BHV;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_1/embedded_lab_1.cache/ip/2017.2/387128e4034068b3/zynq_design_1_processing_system7_0_2_stub.vhdl
|
1
|
5748
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017
-- Date : Tue Sep 19 00:29:47 2017
-- Host : DarkCube running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix
-- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zynq_design_1_processing_system7_0_2_stub.vhdl
-- Design : zynq_design_1_processing_system7_0_2
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
Port (
TTC0_WAVE0_OUT : out STD_LOGIC;
TTC0_WAVE1_OUT : out STD_LOGIC;
TTC0_WAVE2_OUT : out STD_LOGIC;
USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 );
USB0_VBUS_PWRSELECT : out STD_LOGIC;
USB0_VBUS_PWRFAULT : in STD_LOGIC;
M_AXI_GP0_ARVALID : out STD_LOGIC;
M_AXI_GP0_AWVALID : out STD_LOGIC;
M_AXI_GP0_BREADY : out STD_LOGIC;
M_AXI_GP0_RREADY : out STD_LOGIC;
M_AXI_GP0_WLAST : out STD_LOGIC;
M_AXI_GP0_WVALID : out STD_LOGIC;
M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 );
M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 );
M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 );
M_AXI_GP0_ACLK : in STD_LOGIC;
M_AXI_GP0_ARREADY : in STD_LOGIC;
M_AXI_GP0_AWREADY : in STD_LOGIC;
M_AXI_GP0_BVALID : in STD_LOGIC;
M_AXI_GP0_RLAST : in STD_LOGIC;
M_AXI_GP0_RVALID : in STD_LOGIC;
M_AXI_GP0_WREADY : in STD_LOGIC;
M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 );
M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 );
M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 );
FCLK_CLK0 : out STD_LOGIC;
FCLK_RESET0_N : out STD_LOGIC;
MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 );
DDR_CAS_n : inout STD_LOGIC;
DDR_CKE : inout STD_LOGIC;
DDR_Clk_n : inout STD_LOGIC;
DDR_Clk : inout STD_LOGIC;
DDR_CS_n : inout STD_LOGIC;
DDR_DRSTB : inout STD_LOGIC;
DDR_ODT : inout STD_LOGIC;
DDR_RAS_n : inout STD_LOGIC;
DDR_WEB : inout STD_LOGIC;
DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 );
DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 );
DDR_VRN : inout STD_LOGIC;
DDR_VRP : inout STD_LOGIC;
DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 );
DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 );
DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 );
PS_SRSTB : inout STD_LOGIC;
PS_CLK : inout STD_LOGIC;
PS_PORB : inout STD_LOGIC
);
end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix;
architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],FCLK_CLK0,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2017.2";
begin
end;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_xbar_0/ip_design_xbar_0_stub.vhdl
|
1
|
4109
|
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2017.3 (lin64) Build 2018833 Wed Oct 4 19:58:07 MDT 2017
-- Date : Tue Oct 17 19:50:59 2017
-- Host : TacitMonolith running 64-bit Ubuntu 16.04.3 LTS
-- Command : write_vhdl -force -mode synth_stub
-- /home/mark/Documents/Repos/FPGA_Sandbox/RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ip/ip_design_xbar_0/ip_design_xbar_0_stub.vhdl
-- Design : ip_design_xbar_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity ip_design_xbar_0 is
Port (
aclk : in STD_LOGIC;
aresetn : in STD_LOGIC;
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_wvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_bvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bready : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arvalid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arready : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rvalid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rready : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 191 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 17 downto 0 );
m_axi_awvalid : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_awready : in STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 191 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 23 downto 0 );
m_axi_wvalid : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_wready : in STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_bvalid : in STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_bready : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 191 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 17 downto 0 );
m_axi_arvalid : out STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_arready : in STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 191 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 11 downto 0 );
m_axi_rvalid : in STD_LOGIC_VECTOR ( 5 downto 0 );
m_axi_rready : out STD_LOGIC_VECTOR ( 5 downto 0 )
);
end ip_design_xbar_0;
architecture stub of ip_design_xbar_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "aclk,aresetn,s_axi_awaddr[31:0],s_axi_awprot[2:0],s_axi_awvalid[0:0],s_axi_awready[0:0],s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid[0:0],s_axi_wready[0:0],s_axi_bresp[1:0],s_axi_bvalid[0:0],s_axi_bready[0:0],s_axi_araddr[31:0],s_axi_arprot[2:0],s_axi_arvalid[0:0],s_axi_arready[0:0],s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid[0:0],s_axi_rready[0:0],m_axi_awaddr[191:0],m_axi_awprot[17:0],m_axi_awvalid[5:0],m_axi_awready[5:0],m_axi_wdata[191:0],m_axi_wstrb[23:0],m_axi_wvalid[5:0],m_axi_wready[5:0],m_axi_bresp[11:0],m_axi_bvalid[5:0],m_axi_bready[5:0],m_axi_araddr[191:0],m_axi_arprot[17:0],m_axi_arvalid[5:0],m_axi_arready[5:0],m_axi_rdata[191:0],m_axi_rresp[11:0],m_axi_rvalid[5:0],m_axi_rready[5:0]";
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of stub : architecture is "axi_crossbar_v2_1_15_axi_crossbar,Vivado 2017.3";
begin
end;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab2/ug871-design-files/Interface_Synthesis/lab4/axi_interfaces_prj/solution2/impl/ip/hdl/vhdl/axi_interfaces.vhd
|
3
|
116677
|
-- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.2
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity axi_interfaces is
generic (
C_S_AXI_AXILITES_ADDR_WIDTH : INTEGER := 4;
C_S_AXI_AXILITES_DATA_WIDTH : INTEGER := 32 );
port (
ap_clk : IN STD_LOGIC;
ap_rst_n : IN STD_LOGIC;
d_o_0_TREADY : IN STD_LOGIC;
d_o_1_TREADY : IN STD_LOGIC;
d_o_2_TREADY : IN STD_LOGIC;
d_o_3_TREADY : IN STD_LOGIC;
d_o_4_TREADY : IN STD_LOGIC;
d_o_5_TREADY : IN STD_LOGIC;
d_o_6_TREADY : IN STD_LOGIC;
d_o_7_TREADY : IN STD_LOGIC;
d_o_0_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_0_TVALID : OUT STD_LOGIC;
d_o_1_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_1_TVALID : OUT STD_LOGIC;
d_o_2_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_2_TVALID : OUT STD_LOGIC;
d_o_3_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_3_TVALID : OUT STD_LOGIC;
d_o_4_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_4_TVALID : OUT STD_LOGIC;
d_o_5_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_5_TVALID : OUT STD_LOGIC;
d_o_6_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_6_TVALID : OUT STD_LOGIC;
d_o_7_TDATA : OUT STD_LOGIC_VECTOR (15 downto 0);
d_o_7_TVALID : OUT STD_LOGIC;
d_i_0_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_0_TVALID : IN STD_LOGIC;
d_i_0_TREADY : OUT STD_LOGIC;
d_i_1_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_1_TVALID : IN STD_LOGIC;
d_i_1_TREADY : OUT STD_LOGIC;
d_i_2_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_2_TVALID : IN STD_LOGIC;
d_i_2_TREADY : OUT STD_LOGIC;
d_i_3_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_3_TVALID : IN STD_LOGIC;
d_i_3_TREADY : OUT STD_LOGIC;
d_i_4_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_4_TVALID : IN STD_LOGIC;
d_i_4_TREADY : OUT STD_LOGIC;
d_i_5_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_5_TVALID : IN STD_LOGIC;
d_i_5_TREADY : OUT STD_LOGIC;
d_i_6_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_6_TVALID : IN STD_LOGIC;
d_i_6_TREADY : OUT STD_LOGIC;
d_i_7_TDATA : IN STD_LOGIC_VECTOR (15 downto 0);
d_i_7_TVALID : IN STD_LOGIC;
d_i_7_TREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWVALID : IN STD_LOGIC;
s_axi_AXILiteS_AWREADY : OUT STD_LOGIC;
s_axi_AXILiteS_AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_WVALID : IN STD_LOGIC;
s_axi_AXILiteS_WREADY : OUT STD_LOGIC;
s_axi_AXILiteS_WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH/8-1 downto 0);
s_axi_AXILiteS_ARVALID : IN STD_LOGIC;
s_axi_AXILiteS_ARREADY : OUT STD_LOGIC;
s_axi_AXILiteS_ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_AXILITES_ADDR_WIDTH-1 downto 0);
s_axi_AXILiteS_RVALID : OUT STD_LOGIC;
s_axi_AXILiteS_RREADY : IN STD_LOGIC;
s_axi_AXILiteS_RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_AXILITES_DATA_WIDTH-1 downto 0);
s_axi_AXILiteS_RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
s_axi_AXILiteS_BVALID : OUT STD_LOGIC;
s_axi_AXILiteS_BREADY : IN STD_LOGIC;
s_axi_AXILiteS_BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
interrupt : OUT STD_LOGIC );
end;
architecture behav of axi_interfaces is
attribute CORE_GENERATION_INFO : STRING;
attribute CORE_GENERATION_INFO of behav : architecture is
"axi_interfaces,hls_ip_2017_2,{HLS_INPUT_TYPE=c,HLS_INPUT_FLOAT=0,HLS_INPUT_FIXED=0,HLS_INPUT_PART=xc7k160tfbg484-1,HLS_INPUT_CLOCK=4.000000,HLS_INPUT_ARCH=others,HLS_SYN_CLOCK=4.162500,HLS_SYN_LAT=5,HLS_SYN_TPT=none,HLS_SYN_MEM=0,HLS_SYN_DSP=0,HLS_SYN_FF=2139,HLS_SYN_LUT=1196}";
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant ap_ST_fsm_pp0_stage0 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_boolean_1 : BOOLEAN := true;
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_boolean_0 : BOOLEAN := false;
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv2_0 : STD_LOGIC_VECTOR (1 downto 0) := "00";
constant ap_const_lv2_2 : STD_LOGIC_VECTOR (1 downto 0) := "10";
constant ap_const_lv2_3 : STD_LOGIC_VECTOR (1 downto 0) := "11";
constant ap_const_lv2_1 : STD_LOGIC_VECTOR (1 downto 0) := "01";
constant C_S_AXI_DATA_WIDTH : INTEGER range 63 downto 0 := 20;
constant ap_const_lv5_0 : STD_LOGIC_VECTOR (4 downto 0) := "00000";
constant ap_const_lv6_8 : STD_LOGIC_VECTOR (5 downto 0) := "001000";
constant ap_const_lv6_20 : STD_LOGIC_VECTOR (5 downto 0) := "100000";
signal ap_rst_n_inv : STD_LOGIC;
signal ap_start : STD_LOGIC;
signal ap_done : STD_LOGIC;
signal ap_idle : STD_LOGIC;
signal ap_CS_fsm : STD_LOGIC_VECTOR (1 downto 0) := "01";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal ap_ready : STD_LOGIC;
signal exitcond_fu_246_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_CS_fsm_pp0_stage0 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_pp0_stage0 : signal is "none";
signal ap_enable_reg_pp0_iter0 : STD_LOGIC;
signal ap_block_state2_pp0_stage0_iter0 : BOOLEAN;
signal d_i_0_0_vld_out : STD_LOGIC;
signal d_i_1_0_vld_out : STD_LOGIC;
signal d_i_2_0_vld_out : STD_LOGIC;
signal d_i_3_0_vld_out : STD_LOGIC;
signal d_i_4_0_vld_out : STD_LOGIC;
signal d_i_5_0_vld_out : STD_LOGIC;
signal d_i_6_0_vld_out : STD_LOGIC;
signal d_i_7_0_vld_out : STD_LOGIC;
signal ap_block_state4_pp0_stage0_iter1 : BOOLEAN;
signal d_o_0_1_ack_in : STD_LOGIC;
signal d_o_1_1_ack_in : STD_LOGIC;
signal d_o_2_1_ack_in : STD_LOGIC;
signal d_o_3_1_ack_in : STD_LOGIC;
signal d_o_4_1_ack_in : STD_LOGIC;
signal d_o_5_1_ack_in : STD_LOGIC;
signal d_o_6_1_ack_in : STD_LOGIC;
signal d_o_7_1_ack_in : STD_LOGIC;
signal ap_block_state4_io : BOOLEAN;
signal ap_enable_reg_pp0_iter1 : STD_LOGIC := '0';
signal ap_block_state3_pp0_stage0_iter2 : BOOLEAN;
signal ap_block_state3_io : BOOLEAN;
signal ap_enable_reg_pp0_iter2 : STD_LOGIC := '0';
signal ap_block_pp0_stage0_flag00011001 : BOOLEAN;
signal d_o_0_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_0_1_vld_in : STD_LOGIC;
signal d_o_0_1_vld_out : STD_LOGIC;
signal d_o_0_1_ack_out : STD_LOGIC;
signal d_o_0_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_0_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_0_1_sel_rd : STD_LOGIC := '0';
signal d_o_0_1_sel_wr : STD_LOGIC := '0';
signal d_o_0_1_sel : STD_LOGIC;
signal d_o_0_1_load_A : STD_LOGIC;
signal d_o_0_1_load_B : STD_LOGIC;
signal d_o_0_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_0_1_state_cmp_full : STD_LOGIC;
signal d_o_1_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_1_1_vld_in : STD_LOGIC;
signal d_o_1_1_vld_out : STD_LOGIC;
signal d_o_1_1_ack_out : STD_LOGIC;
signal d_o_1_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_1_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_1_1_sel_rd : STD_LOGIC := '0';
signal d_o_1_1_sel_wr : STD_LOGIC := '0';
signal d_o_1_1_sel : STD_LOGIC;
signal d_o_1_1_load_A : STD_LOGIC;
signal d_o_1_1_load_B : STD_LOGIC;
signal d_o_1_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_1_1_state_cmp_full : STD_LOGIC;
signal d_o_2_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_2_1_vld_in : STD_LOGIC;
signal d_o_2_1_vld_out : STD_LOGIC;
signal d_o_2_1_ack_out : STD_LOGIC;
signal d_o_2_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_2_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_2_1_sel_rd : STD_LOGIC := '0';
signal d_o_2_1_sel_wr : STD_LOGIC := '0';
signal d_o_2_1_sel : STD_LOGIC;
signal d_o_2_1_load_A : STD_LOGIC;
signal d_o_2_1_load_B : STD_LOGIC;
signal d_o_2_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_2_1_state_cmp_full : STD_LOGIC;
signal d_o_3_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_3_1_vld_in : STD_LOGIC;
signal d_o_3_1_vld_out : STD_LOGIC;
signal d_o_3_1_ack_out : STD_LOGIC;
signal d_o_3_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_3_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_3_1_sel_rd : STD_LOGIC := '0';
signal d_o_3_1_sel_wr : STD_LOGIC := '0';
signal d_o_3_1_sel : STD_LOGIC;
signal d_o_3_1_load_A : STD_LOGIC;
signal d_o_3_1_load_B : STD_LOGIC;
signal d_o_3_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_3_1_state_cmp_full : STD_LOGIC;
signal d_o_4_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_4_1_vld_in : STD_LOGIC;
signal d_o_4_1_vld_out : STD_LOGIC;
signal d_o_4_1_ack_out : STD_LOGIC;
signal d_o_4_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_4_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_4_1_sel_rd : STD_LOGIC := '0';
signal d_o_4_1_sel_wr : STD_LOGIC := '0';
signal d_o_4_1_sel : STD_LOGIC;
signal d_o_4_1_load_A : STD_LOGIC;
signal d_o_4_1_load_B : STD_LOGIC;
signal d_o_4_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_4_1_state_cmp_full : STD_LOGIC;
signal d_o_5_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_5_1_vld_in : STD_LOGIC;
signal d_o_5_1_vld_out : STD_LOGIC;
signal d_o_5_1_ack_out : STD_LOGIC;
signal d_o_5_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_5_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_5_1_sel_rd : STD_LOGIC := '0';
signal d_o_5_1_sel_wr : STD_LOGIC := '0';
signal d_o_5_1_sel : STD_LOGIC;
signal d_o_5_1_load_A : STD_LOGIC;
signal d_o_5_1_load_B : STD_LOGIC;
signal d_o_5_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_5_1_state_cmp_full : STD_LOGIC;
signal d_o_6_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_6_1_vld_in : STD_LOGIC;
signal d_o_6_1_vld_out : STD_LOGIC;
signal d_o_6_1_ack_out : STD_LOGIC;
signal d_o_6_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_6_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_6_1_sel_rd : STD_LOGIC := '0';
signal d_o_6_1_sel_wr : STD_LOGIC := '0';
signal d_o_6_1_sel : STD_LOGIC;
signal d_o_6_1_load_A : STD_LOGIC;
signal d_o_6_1_load_B : STD_LOGIC;
signal d_o_6_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_6_1_state_cmp_full : STD_LOGIC;
signal d_o_7_1_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_7_1_vld_in : STD_LOGIC;
signal d_o_7_1_vld_out : STD_LOGIC;
signal d_o_7_1_ack_out : STD_LOGIC;
signal d_o_7_1_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_7_1_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_o_7_1_sel_rd : STD_LOGIC := '0';
signal d_o_7_1_sel_wr : STD_LOGIC := '0';
signal d_o_7_1_sel : STD_LOGIC;
signal d_o_7_1_load_A : STD_LOGIC;
signal d_o_7_1_load_B : STD_LOGIC;
signal d_o_7_1_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_o_7_1_state_cmp_full : STD_LOGIC;
signal d_i_0_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_0_0_vld_in : STD_LOGIC;
signal d_i_0_0_ack_in : STD_LOGIC;
signal d_i_0_0_ack_out : STD_LOGIC;
signal d_i_0_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_0_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_0_0_sel_rd : STD_LOGIC := '0';
signal d_i_0_0_sel_wr : STD_LOGIC := '0';
signal d_i_0_0_sel : STD_LOGIC;
signal d_i_0_0_load_A : STD_LOGIC;
signal d_i_0_0_load_B : STD_LOGIC;
signal d_i_0_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_0_0_state_cmp_full : STD_LOGIC;
signal d_i_1_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_1_0_vld_in : STD_LOGIC;
signal d_i_1_0_ack_in : STD_LOGIC;
signal d_i_1_0_ack_out : STD_LOGIC;
signal d_i_1_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_1_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_1_0_sel_rd : STD_LOGIC := '0';
signal d_i_1_0_sel_wr : STD_LOGIC := '0';
signal d_i_1_0_sel : STD_LOGIC;
signal d_i_1_0_load_A : STD_LOGIC;
signal d_i_1_0_load_B : STD_LOGIC;
signal d_i_1_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_1_0_state_cmp_full : STD_LOGIC;
signal d_i_2_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_2_0_vld_in : STD_LOGIC;
signal d_i_2_0_ack_in : STD_LOGIC;
signal d_i_2_0_ack_out : STD_LOGIC;
signal d_i_2_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_2_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_2_0_sel_rd : STD_LOGIC := '0';
signal d_i_2_0_sel_wr : STD_LOGIC := '0';
signal d_i_2_0_sel : STD_LOGIC;
signal d_i_2_0_load_A : STD_LOGIC;
signal d_i_2_0_load_B : STD_LOGIC;
signal d_i_2_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_2_0_state_cmp_full : STD_LOGIC;
signal d_i_3_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_3_0_vld_in : STD_LOGIC;
signal d_i_3_0_ack_in : STD_LOGIC;
signal d_i_3_0_ack_out : STD_LOGIC;
signal d_i_3_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_3_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_3_0_sel_rd : STD_LOGIC := '0';
signal d_i_3_0_sel_wr : STD_LOGIC := '0';
signal d_i_3_0_sel : STD_LOGIC;
signal d_i_3_0_load_A : STD_LOGIC;
signal d_i_3_0_load_B : STD_LOGIC;
signal d_i_3_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_3_0_state_cmp_full : STD_LOGIC;
signal d_i_4_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_4_0_vld_in : STD_LOGIC;
signal d_i_4_0_ack_in : STD_LOGIC;
signal d_i_4_0_ack_out : STD_LOGIC;
signal d_i_4_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_4_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_4_0_sel_rd : STD_LOGIC := '0';
signal d_i_4_0_sel_wr : STD_LOGIC := '0';
signal d_i_4_0_sel : STD_LOGIC;
signal d_i_4_0_load_A : STD_LOGIC;
signal d_i_4_0_load_B : STD_LOGIC;
signal d_i_4_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_4_0_state_cmp_full : STD_LOGIC;
signal d_i_5_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_5_0_vld_in : STD_LOGIC;
signal d_i_5_0_ack_in : STD_LOGIC;
signal d_i_5_0_ack_out : STD_LOGIC;
signal d_i_5_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_5_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_5_0_sel_rd : STD_LOGIC := '0';
signal d_i_5_0_sel_wr : STD_LOGIC := '0';
signal d_i_5_0_sel : STD_LOGIC;
signal d_i_5_0_load_A : STD_LOGIC;
signal d_i_5_0_load_B : STD_LOGIC;
signal d_i_5_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_5_0_state_cmp_full : STD_LOGIC;
signal d_i_6_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_6_0_vld_in : STD_LOGIC;
signal d_i_6_0_ack_in : STD_LOGIC;
signal d_i_6_0_ack_out : STD_LOGIC;
signal d_i_6_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_6_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_6_0_sel_rd : STD_LOGIC := '0';
signal d_i_6_0_sel_wr : STD_LOGIC := '0';
signal d_i_6_0_sel : STD_LOGIC;
signal d_i_6_0_load_A : STD_LOGIC;
signal d_i_6_0_load_B : STD_LOGIC;
signal d_i_6_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_6_0_state_cmp_full : STD_LOGIC;
signal d_i_7_0_data_out : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_7_0_vld_in : STD_LOGIC;
signal d_i_7_0_ack_in : STD_LOGIC;
signal d_i_7_0_ack_out : STD_LOGIC;
signal d_i_7_0_payload_A : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_7_0_payload_B : STD_LOGIC_VECTOR (15 downto 0);
signal d_i_7_0_sel_rd : STD_LOGIC := '0';
signal d_i_7_0_sel_wr : STD_LOGIC := '0';
signal d_i_7_0_sel : STD_LOGIC;
signal d_i_7_0_load_A : STD_LOGIC;
signal d_i_7_0_load_B : STD_LOGIC;
signal d_i_7_0_state : STD_LOGIC_VECTOR (1 downto 0) := "00";
signal d_i_7_0_state_cmp_full : STD_LOGIC;
signal acc_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_2 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_3 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_5 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal acc_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
signal d_o_0_TDATA_blk_n : STD_LOGIC;
signal ap_block_pp0_stage0_flag00000000 : BOOLEAN;
signal d_o_1_TDATA_blk_n : STD_LOGIC;
signal d_o_2_TDATA_blk_n : STD_LOGIC;
signal d_o_3_TDATA_blk_n : STD_LOGIC;
signal d_o_4_TDATA_blk_n : STD_LOGIC;
signal d_o_5_TDATA_blk_n : STD_LOGIC;
signal d_o_6_TDATA_blk_n : STD_LOGIC;
signal d_o_7_TDATA_blk_n : STD_LOGIC;
signal d_i_0_TDATA_blk_n : STD_LOGIC;
signal d_i_1_TDATA_blk_n : STD_LOGIC;
signal d_i_2_TDATA_blk_n : STD_LOGIC;
signal d_i_3_TDATA_blk_n : STD_LOGIC;
signal d_i_4_TDATA_blk_n : STD_LOGIC;
signal d_i_5_TDATA_blk_n : STD_LOGIC;
signal d_i_6_TDATA_blk_n : STD_LOGIC;
signal d_i_7_TDATA_blk_n : STD_LOGIC;
signal i1_reg_218 : STD_LOGIC_VECTOR (4 downto 0);
signal tmp_17_fu_242_p1 : STD_LOGIC_VECTOR (4 downto 0);
signal tmp_17_reg_502 : STD_LOGIC_VECTOR (4 downto 0);
signal exitcond_reg_507 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_reg_pp0_iter1_exitcond_reg_507 : STD_LOGIC_VECTOR (0 downto 0);
signal tmp_8_fu_278_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_1_fu_309_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_2_fu_340_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_3_fu_371_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_4_fu_402_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_5_fu_433_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_6_fu_464_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_2_7_fu_495_p2 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_block_pp0_stage0_flag00011011 : BOOLEAN;
signal i1_phi_fu_222_p6 : STD_LOGIC_VECTOR (4 downto 0);
signal tmp_1_fu_266_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_1_fu_297_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_2_fu_328_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_3_fu_359_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_4_fu_390_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_5_fu_421_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_6_fu_452_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_1_7_fu_483_p2 : STD_LOGIC_VECTOR (31 downto 0);
signal ap_block_pp0_stage0_flag00001001 : BOOLEAN;
signal i1_cast_fu_232_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal i_1_7_fu_236_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp1_fu_258_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_2_fu_262_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_s_fu_289_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_10_fu_293_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_9_fu_320_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_11_fu_324_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_3_fu_351_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_12_fu_355_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_4_fu_382_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_13_fu_386_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_5_fu_413_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_14_fu_417_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_6_fu_444_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_15_fu_448_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal tmp_7_fu_475_p1 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_16_fu_479_p1 : STD_LOGIC_VECTOR (15 downto 0);
signal ap_NS_fsm : STD_LOGIC_VECTOR (1 downto 0);
signal ap_idle_pp0_0to1 : STD_LOGIC;
signal ap_reset_idle_pp0 : STD_LOGIC;
signal ap_idle_pp0 : STD_LOGIC;
signal ap_enable_pp0 : STD_LOGIC;
signal ap_condition_1051 : BOOLEAN;
component axi_interfaces_AXILiteS_s_axi IS
generic (
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER );
port (
AWVALID : IN STD_LOGIC;
AWREADY : OUT STD_LOGIC;
AWADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
WVALID : IN STD_LOGIC;
WREADY : OUT STD_LOGIC;
WDATA : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB : IN STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH/8-1 downto 0);
ARVALID : IN STD_LOGIC;
ARREADY : OUT STD_LOGIC;
ARADDR : IN STD_LOGIC_VECTOR (C_S_AXI_ADDR_WIDTH-1 downto 0);
RVALID : OUT STD_LOGIC;
RREADY : IN STD_LOGIC;
RDATA : OUT STD_LOGIC_VECTOR (C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
BVALID : OUT STD_LOGIC;
BREADY : IN STD_LOGIC;
BRESP : OUT STD_LOGIC_VECTOR (1 downto 0);
ACLK : IN STD_LOGIC;
ARESET : IN STD_LOGIC;
ACLK_EN : IN STD_LOGIC;
ap_start : OUT STD_LOGIC;
interrupt : OUT STD_LOGIC;
ap_ready : IN STD_LOGIC;
ap_done : IN STD_LOGIC;
ap_idle : IN STD_LOGIC );
end component;
begin
axi_interfaces_AXILiteS_s_axi_U : component axi_interfaces_AXILiteS_s_axi
generic map (
C_S_AXI_ADDR_WIDTH => C_S_AXI_AXILITES_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_AXILITES_DATA_WIDTH)
port map (
AWVALID => s_axi_AXILiteS_AWVALID,
AWREADY => s_axi_AXILiteS_AWREADY,
AWADDR => s_axi_AXILiteS_AWADDR,
WVALID => s_axi_AXILiteS_WVALID,
WREADY => s_axi_AXILiteS_WREADY,
WDATA => s_axi_AXILiteS_WDATA,
WSTRB => s_axi_AXILiteS_WSTRB,
ARVALID => s_axi_AXILiteS_ARVALID,
ARREADY => s_axi_AXILiteS_ARREADY,
ARADDR => s_axi_AXILiteS_ARADDR,
RVALID => s_axi_AXILiteS_RVALID,
RREADY => s_axi_AXILiteS_RREADY,
RDATA => s_axi_AXILiteS_RDATA,
RRESP => s_axi_AXILiteS_RRESP,
BVALID => s_axi_AXILiteS_BVALID,
BREADY => s_axi_AXILiteS_BREADY,
BRESP => s_axi_AXILiteS_BRESP,
ACLK => ap_clk,
ARESET => ap_rst_n_inv,
ACLK_EN => ap_const_logic_1,
ap_start => ap_start,
interrupt => interrupt,
ap_ready => ap_ready,
ap_done => ap_done,
ap_idle => ap_idle);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
ap_enable_reg_pp0_iter1_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_enable_reg_pp0_iter1 <= ap_const_logic_0;
else
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0))) then
ap_enable_reg_pp0_iter1 <= ap_start;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_enable_reg_pp0_iter1 <= ap_const_logic_0;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter2_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
ap_enable_reg_pp0_iter2 <= ap_const_logic_0;
else
if ((ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0)) then
ap_enable_reg_pp0_iter2 <= ap_enable_reg_pp0_iter1;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_enable_reg_pp0_iter2 <= ap_const_logic_0;
end if;
end if;
end if;
end process;
d_i_0_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_0_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_0_0_ack_out) and (ap_const_logic_1 = d_i_0_0_vld_out))) then
d_i_0_0_sel_rd <= not(d_i_0_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_0_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_0_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_0_0_vld_in) and (ap_const_logic_1 = d_i_0_0_ack_in))) then
d_i_0_0_sel_wr <= not(d_i_0_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_0_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_0_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_0_0_vld_in) and (ap_const_logic_1 = d_i_0_0_ack_out) and (ap_const_lv2_3 = d_i_0_0_state)) or ((ap_const_logic_0 = d_i_0_0_vld_in) and (ap_const_lv2_2 = d_i_0_0_state)))) then
d_i_0_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_0_0_vld_in) and (ap_const_logic_0 = d_i_0_0_ack_out) and (ap_const_lv2_3 = d_i_0_0_state)) or ((ap_const_logic_0 = d_i_0_0_ack_out) and (ap_const_lv2_1 = d_i_0_0_state)))) then
d_i_0_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_0_0_vld_in) and (ap_const_lv2_2 = d_i_0_0_state)) or ((ap_const_logic_1 = d_i_0_0_ack_out) and (ap_const_lv2_1 = d_i_0_0_state)) or ((ap_const_lv2_3 = d_i_0_0_state) and not(((ap_const_logic_1 = d_i_0_0_vld_in) and (ap_const_logic_0 = d_i_0_0_ack_out))) and not(((ap_const_logic_0 = d_i_0_0_vld_in) and (ap_const_logic_1 = d_i_0_0_ack_out)))))) then
d_i_0_0_state <= ap_const_lv2_3;
else
d_i_0_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_1_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_1_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_1_0_ack_out) and (ap_const_logic_1 = d_i_1_0_vld_out))) then
d_i_1_0_sel_rd <= not(d_i_1_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_1_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_1_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_1_0_vld_in) and (ap_const_logic_1 = d_i_1_0_ack_in))) then
d_i_1_0_sel_wr <= not(d_i_1_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_1_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_1_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_1_0_vld_in) and (ap_const_logic_1 = d_i_1_0_ack_out) and (ap_const_lv2_3 = d_i_1_0_state)) or ((ap_const_logic_0 = d_i_1_0_vld_in) and (ap_const_lv2_2 = d_i_1_0_state)))) then
d_i_1_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_1_0_vld_in) and (ap_const_logic_0 = d_i_1_0_ack_out) and (ap_const_lv2_3 = d_i_1_0_state)) or ((ap_const_logic_0 = d_i_1_0_ack_out) and (ap_const_lv2_1 = d_i_1_0_state)))) then
d_i_1_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_1_0_vld_in) and (ap_const_lv2_2 = d_i_1_0_state)) or ((ap_const_logic_1 = d_i_1_0_ack_out) and (ap_const_lv2_1 = d_i_1_0_state)) or ((ap_const_lv2_3 = d_i_1_0_state) and not(((ap_const_logic_1 = d_i_1_0_vld_in) and (ap_const_logic_0 = d_i_1_0_ack_out))) and not(((ap_const_logic_0 = d_i_1_0_vld_in) and (ap_const_logic_1 = d_i_1_0_ack_out)))))) then
d_i_1_0_state <= ap_const_lv2_3;
else
d_i_1_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_2_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_2_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_2_0_ack_out) and (ap_const_logic_1 = d_i_2_0_vld_out))) then
d_i_2_0_sel_rd <= not(d_i_2_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_2_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_2_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_2_0_vld_in) and (ap_const_logic_1 = d_i_2_0_ack_in))) then
d_i_2_0_sel_wr <= not(d_i_2_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_2_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_2_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_2_0_vld_in) and (ap_const_logic_1 = d_i_2_0_ack_out) and (ap_const_lv2_3 = d_i_2_0_state)) or ((ap_const_logic_0 = d_i_2_0_vld_in) and (ap_const_lv2_2 = d_i_2_0_state)))) then
d_i_2_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_2_0_vld_in) and (ap_const_logic_0 = d_i_2_0_ack_out) and (ap_const_lv2_3 = d_i_2_0_state)) or ((ap_const_logic_0 = d_i_2_0_ack_out) and (ap_const_lv2_1 = d_i_2_0_state)))) then
d_i_2_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_2_0_vld_in) and (ap_const_lv2_2 = d_i_2_0_state)) or ((ap_const_logic_1 = d_i_2_0_ack_out) and (ap_const_lv2_1 = d_i_2_0_state)) or ((ap_const_lv2_3 = d_i_2_0_state) and not(((ap_const_logic_1 = d_i_2_0_vld_in) and (ap_const_logic_0 = d_i_2_0_ack_out))) and not(((ap_const_logic_0 = d_i_2_0_vld_in) and (ap_const_logic_1 = d_i_2_0_ack_out)))))) then
d_i_2_0_state <= ap_const_lv2_3;
else
d_i_2_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_3_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_3_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_3_0_ack_out) and (ap_const_logic_1 = d_i_3_0_vld_out))) then
d_i_3_0_sel_rd <= not(d_i_3_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_3_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_3_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_3_0_vld_in) and (ap_const_logic_1 = d_i_3_0_ack_in))) then
d_i_3_0_sel_wr <= not(d_i_3_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_3_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_3_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_3_0_vld_in) and (ap_const_logic_1 = d_i_3_0_ack_out) and (ap_const_lv2_3 = d_i_3_0_state)) or ((ap_const_logic_0 = d_i_3_0_vld_in) and (ap_const_lv2_2 = d_i_3_0_state)))) then
d_i_3_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_3_0_vld_in) and (ap_const_logic_0 = d_i_3_0_ack_out) and (ap_const_lv2_3 = d_i_3_0_state)) or ((ap_const_logic_0 = d_i_3_0_ack_out) and (ap_const_lv2_1 = d_i_3_0_state)))) then
d_i_3_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_3_0_vld_in) and (ap_const_lv2_2 = d_i_3_0_state)) or ((ap_const_logic_1 = d_i_3_0_ack_out) and (ap_const_lv2_1 = d_i_3_0_state)) or ((ap_const_lv2_3 = d_i_3_0_state) and not(((ap_const_logic_1 = d_i_3_0_vld_in) and (ap_const_logic_0 = d_i_3_0_ack_out))) and not(((ap_const_logic_0 = d_i_3_0_vld_in) and (ap_const_logic_1 = d_i_3_0_ack_out)))))) then
d_i_3_0_state <= ap_const_lv2_3;
else
d_i_3_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_4_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_4_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_4_0_ack_out) and (ap_const_logic_1 = d_i_4_0_vld_out))) then
d_i_4_0_sel_rd <= not(d_i_4_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_4_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_4_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_4_0_vld_in) and (ap_const_logic_1 = d_i_4_0_ack_in))) then
d_i_4_0_sel_wr <= not(d_i_4_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_4_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_4_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_4_0_vld_in) and (ap_const_logic_1 = d_i_4_0_ack_out) and (ap_const_lv2_3 = d_i_4_0_state)) or ((ap_const_logic_0 = d_i_4_0_vld_in) and (ap_const_lv2_2 = d_i_4_0_state)))) then
d_i_4_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_4_0_vld_in) and (ap_const_logic_0 = d_i_4_0_ack_out) and (ap_const_lv2_3 = d_i_4_0_state)) or ((ap_const_logic_0 = d_i_4_0_ack_out) and (ap_const_lv2_1 = d_i_4_0_state)))) then
d_i_4_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_4_0_vld_in) and (ap_const_lv2_2 = d_i_4_0_state)) or ((ap_const_logic_1 = d_i_4_0_ack_out) and (ap_const_lv2_1 = d_i_4_0_state)) or ((ap_const_lv2_3 = d_i_4_0_state) and not(((ap_const_logic_1 = d_i_4_0_vld_in) and (ap_const_logic_0 = d_i_4_0_ack_out))) and not(((ap_const_logic_0 = d_i_4_0_vld_in) and (ap_const_logic_1 = d_i_4_0_ack_out)))))) then
d_i_4_0_state <= ap_const_lv2_3;
else
d_i_4_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_5_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_5_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_5_0_ack_out) and (ap_const_logic_1 = d_i_5_0_vld_out))) then
d_i_5_0_sel_rd <= not(d_i_5_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_5_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_5_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_5_0_vld_in) and (ap_const_logic_1 = d_i_5_0_ack_in))) then
d_i_5_0_sel_wr <= not(d_i_5_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_5_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_5_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_5_0_vld_in) and (ap_const_logic_1 = d_i_5_0_ack_out) and (ap_const_lv2_3 = d_i_5_0_state)) or ((ap_const_logic_0 = d_i_5_0_vld_in) and (ap_const_lv2_2 = d_i_5_0_state)))) then
d_i_5_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_5_0_vld_in) and (ap_const_logic_0 = d_i_5_0_ack_out) and (ap_const_lv2_3 = d_i_5_0_state)) or ((ap_const_logic_0 = d_i_5_0_ack_out) and (ap_const_lv2_1 = d_i_5_0_state)))) then
d_i_5_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_5_0_vld_in) and (ap_const_lv2_2 = d_i_5_0_state)) or ((ap_const_logic_1 = d_i_5_0_ack_out) and (ap_const_lv2_1 = d_i_5_0_state)) or ((ap_const_lv2_3 = d_i_5_0_state) and not(((ap_const_logic_1 = d_i_5_0_vld_in) and (ap_const_logic_0 = d_i_5_0_ack_out))) and not(((ap_const_logic_0 = d_i_5_0_vld_in) and (ap_const_logic_1 = d_i_5_0_ack_out)))))) then
d_i_5_0_state <= ap_const_lv2_3;
else
d_i_5_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_6_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_6_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_6_0_ack_out) and (ap_const_logic_1 = d_i_6_0_vld_out))) then
d_i_6_0_sel_rd <= not(d_i_6_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_6_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_6_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_6_0_vld_in) and (ap_const_logic_1 = d_i_6_0_ack_in))) then
d_i_6_0_sel_wr <= not(d_i_6_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_6_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_6_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_6_0_vld_in) and (ap_const_logic_1 = d_i_6_0_ack_out) and (ap_const_lv2_3 = d_i_6_0_state)) or ((ap_const_logic_0 = d_i_6_0_vld_in) and (ap_const_lv2_2 = d_i_6_0_state)))) then
d_i_6_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_6_0_vld_in) and (ap_const_logic_0 = d_i_6_0_ack_out) and (ap_const_lv2_3 = d_i_6_0_state)) or ((ap_const_logic_0 = d_i_6_0_ack_out) and (ap_const_lv2_1 = d_i_6_0_state)))) then
d_i_6_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_6_0_vld_in) and (ap_const_lv2_2 = d_i_6_0_state)) or ((ap_const_logic_1 = d_i_6_0_ack_out) and (ap_const_lv2_1 = d_i_6_0_state)) or ((ap_const_lv2_3 = d_i_6_0_state) and not(((ap_const_logic_1 = d_i_6_0_vld_in) and (ap_const_logic_0 = d_i_6_0_ack_out))) and not(((ap_const_logic_0 = d_i_6_0_vld_in) and (ap_const_logic_1 = d_i_6_0_ack_out)))))) then
d_i_6_0_state <= ap_const_lv2_3;
else
d_i_6_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_i_7_0_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_7_0_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_7_0_ack_out) and (ap_const_logic_1 = d_i_7_0_vld_out))) then
d_i_7_0_sel_rd <= not(d_i_7_0_sel_rd);
end if;
end if;
end if;
end process;
d_i_7_0_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_7_0_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_i_7_0_vld_in) and (ap_const_logic_1 = d_i_7_0_ack_in))) then
d_i_7_0_sel_wr <= not(d_i_7_0_sel_wr);
end if;
end if;
end if;
end process;
d_i_7_0_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_i_7_0_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_i_7_0_vld_in) and (ap_const_logic_1 = d_i_7_0_ack_out) and (ap_const_lv2_3 = d_i_7_0_state)) or ((ap_const_logic_0 = d_i_7_0_vld_in) and (ap_const_lv2_2 = d_i_7_0_state)))) then
d_i_7_0_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_i_7_0_vld_in) and (ap_const_logic_0 = d_i_7_0_ack_out) and (ap_const_lv2_3 = d_i_7_0_state)) or ((ap_const_logic_0 = d_i_7_0_ack_out) and (ap_const_lv2_1 = d_i_7_0_state)))) then
d_i_7_0_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_i_7_0_vld_in) and (ap_const_lv2_2 = d_i_7_0_state)) or ((ap_const_logic_1 = d_i_7_0_ack_out) and (ap_const_lv2_1 = d_i_7_0_state)) or ((ap_const_lv2_3 = d_i_7_0_state) and not(((ap_const_logic_1 = d_i_7_0_vld_in) and (ap_const_logic_0 = d_i_7_0_ack_out))) and not(((ap_const_logic_0 = d_i_7_0_vld_in) and (ap_const_logic_1 = d_i_7_0_ack_out)))))) then
d_i_7_0_state <= ap_const_lv2_3;
else
d_i_7_0_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_0_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_0_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_0_1_ack_out) and (ap_const_logic_1 = d_o_0_1_vld_out))) then
d_o_0_1_sel_rd <= not(d_o_0_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_0_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_0_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_0_1_vld_in) and (ap_const_logic_1 = d_o_0_1_ack_in))) then
d_o_0_1_sel_wr <= not(d_o_0_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_0_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_0_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_0_1_vld_in) and (ap_const_logic_1 = d_o_0_1_ack_out) and (d_o_0_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = d_o_0_1_vld_in) and (d_o_0_1_state = ap_const_lv2_2)))) then
d_o_0_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_0_1_vld_in) and (ap_const_logic_0 = d_o_0_1_ack_out) and (d_o_0_1_state = ap_const_lv2_3)) or ((ap_const_logic_0 = d_o_0_1_ack_out) and (d_o_0_1_state = ap_const_lv2_1)))) then
d_o_0_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_0_1_vld_in) and (d_o_0_1_state = ap_const_lv2_2)) or ((ap_const_logic_1 = d_o_0_1_ack_out) and (d_o_0_1_state = ap_const_lv2_1)) or ((d_o_0_1_state = ap_const_lv2_3) and not(((ap_const_logic_1 = d_o_0_1_vld_in) and (ap_const_logic_0 = d_o_0_1_ack_out))) and not(((ap_const_logic_0 = d_o_0_1_vld_in) and (ap_const_logic_1 = d_o_0_1_ack_out)))))) then
d_o_0_1_state <= ap_const_lv2_3;
else
d_o_0_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_1_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_1_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_1_1_ack_out) and (ap_const_logic_1 = d_o_1_1_vld_out))) then
d_o_1_1_sel_rd <= not(d_o_1_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_1_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_1_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_1_1_vld_in) and (ap_const_logic_1 = d_o_1_1_ack_in))) then
d_o_1_1_sel_wr <= not(d_o_1_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_1_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_1_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_1_1_vld_in) and (ap_const_logic_1 = d_o_1_1_ack_out) and (ap_const_lv2_3 = d_o_1_1_state)) or ((ap_const_logic_0 = d_o_1_1_vld_in) and (ap_const_lv2_2 = d_o_1_1_state)))) then
d_o_1_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_1_1_vld_in) and (ap_const_logic_0 = d_o_1_1_ack_out) and (ap_const_lv2_3 = d_o_1_1_state)) or ((ap_const_logic_0 = d_o_1_1_ack_out) and (ap_const_lv2_1 = d_o_1_1_state)))) then
d_o_1_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_1_1_vld_in) and (ap_const_lv2_2 = d_o_1_1_state)) or ((ap_const_logic_1 = d_o_1_1_ack_out) and (ap_const_lv2_1 = d_o_1_1_state)) or ((ap_const_lv2_3 = d_o_1_1_state) and not(((ap_const_logic_1 = d_o_1_1_vld_in) and (ap_const_logic_0 = d_o_1_1_ack_out))) and not(((ap_const_logic_0 = d_o_1_1_vld_in) and (ap_const_logic_1 = d_o_1_1_ack_out)))))) then
d_o_1_1_state <= ap_const_lv2_3;
else
d_o_1_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_2_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_2_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_2_1_ack_out) and (ap_const_logic_1 = d_o_2_1_vld_out))) then
d_o_2_1_sel_rd <= not(d_o_2_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_2_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_2_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_2_1_vld_in) and (ap_const_logic_1 = d_o_2_1_ack_in))) then
d_o_2_1_sel_wr <= not(d_o_2_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_2_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_2_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_2_1_vld_in) and (ap_const_logic_1 = d_o_2_1_ack_out) and (ap_const_lv2_3 = d_o_2_1_state)) or ((ap_const_logic_0 = d_o_2_1_vld_in) and (ap_const_lv2_2 = d_o_2_1_state)))) then
d_o_2_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_2_1_vld_in) and (ap_const_logic_0 = d_o_2_1_ack_out) and (ap_const_lv2_3 = d_o_2_1_state)) or ((ap_const_logic_0 = d_o_2_1_ack_out) and (ap_const_lv2_1 = d_o_2_1_state)))) then
d_o_2_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_2_1_vld_in) and (ap_const_lv2_2 = d_o_2_1_state)) or ((ap_const_logic_1 = d_o_2_1_ack_out) and (ap_const_lv2_1 = d_o_2_1_state)) or ((ap_const_lv2_3 = d_o_2_1_state) and not(((ap_const_logic_1 = d_o_2_1_vld_in) and (ap_const_logic_0 = d_o_2_1_ack_out))) and not(((ap_const_logic_0 = d_o_2_1_vld_in) and (ap_const_logic_1 = d_o_2_1_ack_out)))))) then
d_o_2_1_state <= ap_const_lv2_3;
else
d_o_2_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_3_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_3_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_3_1_ack_out) and (ap_const_logic_1 = d_o_3_1_vld_out))) then
d_o_3_1_sel_rd <= not(d_o_3_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_3_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_3_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_3_1_vld_in) and (ap_const_logic_1 = d_o_3_1_ack_in))) then
d_o_3_1_sel_wr <= not(d_o_3_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_3_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_3_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_3_1_vld_in) and (ap_const_logic_1 = d_o_3_1_ack_out) and (ap_const_lv2_3 = d_o_3_1_state)) or ((ap_const_logic_0 = d_o_3_1_vld_in) and (ap_const_lv2_2 = d_o_3_1_state)))) then
d_o_3_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_3_1_vld_in) and (ap_const_logic_0 = d_o_3_1_ack_out) and (ap_const_lv2_3 = d_o_3_1_state)) or ((ap_const_logic_0 = d_o_3_1_ack_out) and (ap_const_lv2_1 = d_o_3_1_state)))) then
d_o_3_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_3_1_vld_in) and (ap_const_lv2_2 = d_o_3_1_state)) or ((ap_const_logic_1 = d_o_3_1_ack_out) and (ap_const_lv2_1 = d_o_3_1_state)) or ((ap_const_lv2_3 = d_o_3_1_state) and not(((ap_const_logic_1 = d_o_3_1_vld_in) and (ap_const_logic_0 = d_o_3_1_ack_out))) and not(((ap_const_logic_0 = d_o_3_1_vld_in) and (ap_const_logic_1 = d_o_3_1_ack_out)))))) then
d_o_3_1_state <= ap_const_lv2_3;
else
d_o_3_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_4_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_4_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_4_1_ack_out) and (ap_const_logic_1 = d_o_4_1_vld_out))) then
d_o_4_1_sel_rd <= not(d_o_4_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_4_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_4_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_4_1_vld_in) and (ap_const_logic_1 = d_o_4_1_ack_in))) then
d_o_4_1_sel_wr <= not(d_o_4_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_4_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_4_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_4_1_vld_in) and (ap_const_logic_1 = d_o_4_1_ack_out) and (ap_const_lv2_3 = d_o_4_1_state)) or ((ap_const_logic_0 = d_o_4_1_vld_in) and (ap_const_lv2_2 = d_o_4_1_state)))) then
d_o_4_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_4_1_vld_in) and (ap_const_logic_0 = d_o_4_1_ack_out) and (ap_const_lv2_3 = d_o_4_1_state)) or ((ap_const_logic_0 = d_o_4_1_ack_out) and (ap_const_lv2_1 = d_o_4_1_state)))) then
d_o_4_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_4_1_vld_in) and (ap_const_lv2_2 = d_o_4_1_state)) or ((ap_const_logic_1 = d_o_4_1_ack_out) and (ap_const_lv2_1 = d_o_4_1_state)) or ((ap_const_lv2_3 = d_o_4_1_state) and not(((ap_const_logic_1 = d_o_4_1_vld_in) and (ap_const_logic_0 = d_o_4_1_ack_out))) and not(((ap_const_logic_0 = d_o_4_1_vld_in) and (ap_const_logic_1 = d_o_4_1_ack_out)))))) then
d_o_4_1_state <= ap_const_lv2_3;
else
d_o_4_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_5_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_5_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_5_1_ack_out) and (ap_const_logic_1 = d_o_5_1_vld_out))) then
d_o_5_1_sel_rd <= not(d_o_5_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_5_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_5_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_5_1_vld_in) and (ap_const_logic_1 = d_o_5_1_ack_in))) then
d_o_5_1_sel_wr <= not(d_o_5_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_5_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_5_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_5_1_vld_in) and (ap_const_logic_1 = d_o_5_1_ack_out) and (ap_const_lv2_3 = d_o_5_1_state)) or ((ap_const_logic_0 = d_o_5_1_vld_in) and (ap_const_lv2_2 = d_o_5_1_state)))) then
d_o_5_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_5_1_vld_in) and (ap_const_logic_0 = d_o_5_1_ack_out) and (ap_const_lv2_3 = d_o_5_1_state)) or ((ap_const_logic_0 = d_o_5_1_ack_out) and (ap_const_lv2_1 = d_o_5_1_state)))) then
d_o_5_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_5_1_vld_in) and (ap_const_lv2_2 = d_o_5_1_state)) or ((ap_const_logic_1 = d_o_5_1_ack_out) and (ap_const_lv2_1 = d_o_5_1_state)) or ((ap_const_lv2_3 = d_o_5_1_state) and not(((ap_const_logic_1 = d_o_5_1_vld_in) and (ap_const_logic_0 = d_o_5_1_ack_out))) and not(((ap_const_logic_0 = d_o_5_1_vld_in) and (ap_const_logic_1 = d_o_5_1_ack_out)))))) then
d_o_5_1_state <= ap_const_lv2_3;
else
d_o_5_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_6_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_6_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_6_1_ack_out) and (ap_const_logic_1 = d_o_6_1_vld_out))) then
d_o_6_1_sel_rd <= not(d_o_6_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_6_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_6_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_6_1_vld_in) and (ap_const_logic_1 = d_o_6_1_ack_in))) then
d_o_6_1_sel_wr <= not(d_o_6_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_6_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_6_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_6_1_vld_in) and (ap_const_logic_1 = d_o_6_1_ack_out) and (ap_const_lv2_3 = d_o_6_1_state)) or ((ap_const_logic_0 = d_o_6_1_vld_in) and (ap_const_lv2_2 = d_o_6_1_state)))) then
d_o_6_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_6_1_vld_in) and (ap_const_logic_0 = d_o_6_1_ack_out) and (ap_const_lv2_3 = d_o_6_1_state)) or ((ap_const_logic_0 = d_o_6_1_ack_out) and (ap_const_lv2_1 = d_o_6_1_state)))) then
d_o_6_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_6_1_vld_in) and (ap_const_lv2_2 = d_o_6_1_state)) or ((ap_const_logic_1 = d_o_6_1_ack_out) and (ap_const_lv2_1 = d_o_6_1_state)) or ((ap_const_lv2_3 = d_o_6_1_state) and not(((ap_const_logic_1 = d_o_6_1_vld_in) and (ap_const_logic_0 = d_o_6_1_ack_out))) and not(((ap_const_logic_0 = d_o_6_1_vld_in) and (ap_const_logic_1 = d_o_6_1_ack_out)))))) then
d_o_6_1_state <= ap_const_lv2_3;
else
d_o_6_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
d_o_7_1_sel_rd_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_7_1_sel_rd <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_7_1_ack_out) and (ap_const_logic_1 = d_o_7_1_vld_out))) then
d_o_7_1_sel_rd <= not(d_o_7_1_sel_rd);
end if;
end if;
end if;
end process;
d_o_7_1_sel_wr_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_7_1_sel_wr <= ap_const_logic_0;
else
if (((ap_const_logic_1 = d_o_7_1_vld_in) and (ap_const_logic_1 = d_o_7_1_ack_in))) then
d_o_7_1_sel_wr <= not(d_o_7_1_sel_wr);
end if;
end if;
end if;
end process;
d_o_7_1_state_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst_n_inv = '1') then
d_o_7_1_state <= ap_const_lv2_0;
else
if ((((ap_const_logic_0 = d_o_7_1_vld_in) and (ap_const_logic_1 = d_o_7_1_ack_out) and (ap_const_lv2_3 = d_o_7_1_state)) or ((ap_const_logic_0 = d_o_7_1_vld_in) and (ap_const_lv2_2 = d_o_7_1_state)))) then
d_o_7_1_state <= ap_const_lv2_2;
elsif ((((ap_const_logic_1 = d_o_7_1_vld_in) and (ap_const_logic_0 = d_o_7_1_ack_out) and (ap_const_lv2_3 = d_o_7_1_state)) or ((ap_const_logic_0 = d_o_7_1_ack_out) and (ap_const_lv2_1 = d_o_7_1_state)))) then
d_o_7_1_state <= ap_const_lv2_1;
elsif ((((ap_const_logic_1 = d_o_7_1_vld_in) and (ap_const_lv2_2 = d_o_7_1_state)) or ((ap_const_logic_1 = d_o_7_1_ack_out) and (ap_const_lv2_1 = d_o_7_1_state)) or ((ap_const_lv2_3 = d_o_7_1_state) and not(((ap_const_logic_1 = d_o_7_1_vld_in) and (ap_const_logic_0 = d_o_7_1_ack_out))) and not(((ap_const_logic_0 = d_o_7_1_vld_in) and (ap_const_logic_1 = d_o_7_1_ack_out)))))) then
d_o_7_1_state <= ap_const_lv2_3;
else
d_o_7_1_state <= ap_const_lv2_2;
end if;
end if;
end if;
end process;
i1_reg_218_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_0 = exitcond_reg_507))) then
i1_reg_218 <= tmp_17_reg_502;
elsif ((((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = exitcond_reg_507)))) then
i1_reg_218 <= ap_const_lv5_0;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
acc_0 <= tmp_1_fu_266_p2;
acc_1 <= tmp_1_1_fu_297_p2;
acc_2 <= tmp_1_2_fu_328_p2;
acc_3 <= tmp_1_3_fu_359_p2;
acc_4 <= tmp_1_4_fu_390_p2;
acc_5 <= tmp_1_5_fu_421_p2;
acc_6 <= tmp_1_6_fu_452_p2;
acc_7 <= tmp_1_7_fu_483_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
ap_reg_pp0_iter1_exitcond_reg_507 <= exitcond_reg_507;
exitcond_reg_507 <= exitcond_fu_246_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_0_0_load_A)) then
d_i_0_0_payload_A <= d_i_0_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_0_0_load_B)) then
d_i_0_0_payload_B <= d_i_0_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_1_0_load_A)) then
d_i_1_0_payload_A <= d_i_1_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_1_0_load_B)) then
d_i_1_0_payload_B <= d_i_1_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_2_0_load_A)) then
d_i_2_0_payload_A <= d_i_2_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_2_0_load_B)) then
d_i_2_0_payload_B <= d_i_2_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_3_0_load_A)) then
d_i_3_0_payload_A <= d_i_3_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_3_0_load_B)) then
d_i_3_0_payload_B <= d_i_3_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_4_0_load_A)) then
d_i_4_0_payload_A <= d_i_4_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_4_0_load_B)) then
d_i_4_0_payload_B <= d_i_4_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_5_0_load_A)) then
d_i_5_0_payload_A <= d_i_5_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_5_0_load_B)) then
d_i_5_0_payload_B <= d_i_5_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_6_0_load_A)) then
d_i_6_0_payload_A <= d_i_6_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_6_0_load_B)) then
d_i_6_0_payload_B <= d_i_6_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_7_0_load_A)) then
d_i_7_0_payload_A <= d_i_7_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_i_7_0_load_B)) then
d_i_7_0_payload_B <= d_i_7_TDATA;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_0_1_load_A)) then
d_o_0_1_payload_A <= tmp_8_fu_278_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_0_1_load_B)) then
d_o_0_1_payload_B <= tmp_8_fu_278_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_1_1_load_A)) then
d_o_1_1_payload_A <= tmp_2_1_fu_309_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_1_1_load_B)) then
d_o_1_1_payload_B <= tmp_2_1_fu_309_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_2_1_load_A)) then
d_o_2_1_payload_A <= tmp_2_2_fu_340_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_2_1_load_B)) then
d_o_2_1_payload_B <= tmp_2_2_fu_340_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_3_1_load_A)) then
d_o_3_1_payload_A <= tmp_2_3_fu_371_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_3_1_load_B)) then
d_o_3_1_payload_B <= tmp_2_3_fu_371_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_4_1_load_A)) then
d_o_4_1_payload_A <= tmp_2_4_fu_402_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_4_1_load_B)) then
d_o_4_1_payload_B <= tmp_2_4_fu_402_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_5_1_load_A)) then
d_o_5_1_payload_A <= tmp_2_5_fu_433_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_5_1_load_B)) then
d_o_5_1_payload_B <= tmp_2_5_fu_433_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_6_1_load_A)) then
d_o_6_1_payload_A <= tmp_2_6_fu_464_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_6_1_load_B)) then
d_o_6_1_payload_B <= tmp_2_6_fu_464_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_7_1_load_A)) then
d_o_7_1_payload_A <= tmp_2_7_fu_495_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if ((ap_const_logic_1 = d_o_7_1_load_B)) then
d_o_7_1_payload_B <= tmp_2_7_fu_495_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
tmp_17_reg_502 <= tmp_17_fu_242_p1;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_block_pp0_stage0_flag00011011, ap_reset_idle_pp0)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_pp0_stage0 =>
if ((ap_reset_idle_pp0 = ap_const_logic_0)) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
elsif (((ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_reset_idle_pp0))) then
ap_NS_fsm <= ap_ST_fsm_state1;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
end if;
when others =>
ap_NS_fsm <= "XX";
end case;
end process;
ap_CS_fsm_pp0_stage0 <= ap_CS_fsm(1);
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_block_pp0_stage0_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage0_flag00001001_assign_proc : process(d_i_0_0_vld_out, d_i_1_0_vld_out, d_i_2_0_vld_out, d_i_3_0_vld_out, d_i_4_0_vld_out, d_i_5_0_vld_out, d_i_6_0_vld_out, d_i_7_0_vld_out, d_o_0_1_ack_in, d_o_1_1_ack_in, d_o_2_1_ack_in, d_o_3_1_ack_in, d_o_4_1_ack_in, d_o_5_1_ack_in, d_o_6_1_ack_in, d_o_7_1_ack_in, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2)
begin
ap_block_pp0_stage0_flag00001001 <= ((((ap_const_logic_0 = d_i_0_0_vld_out) or (ap_const_logic_0 = d_i_1_0_vld_out) or (ap_const_logic_0 = d_i_2_0_vld_out) or (ap_const_logic_0 = d_i_3_0_vld_out) or (ap_const_logic_0 = d_i_4_0_vld_out) or (ap_const_logic_0 = d_i_5_0_vld_out) or (ap_const_logic_0 = d_i_6_0_vld_out) or (ap_const_logic_0 = d_i_7_0_vld_out)) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1)) or (((ap_const_logic_0 = d_o_0_1_ack_in) or (ap_const_logic_0 = d_o_1_1_ack_in) or (ap_const_logic_0 = d_o_2_1_ack_in) or (ap_const_logic_0 = d_o_3_1_ack_in) or (ap_const_logic_0 = d_o_4_1_ack_in) or (ap_const_logic_0 = d_o_5_1_ack_in) or (ap_const_logic_0 = d_o_6_1_ack_in) or (ap_const_logic_0 = d_o_7_1_ack_in)) and (ap_const_logic_1 = ap_enable_reg_pp0_iter2)));
end process;
ap_block_pp0_stage0_flag00011001_assign_proc : process(d_i_0_0_vld_out, d_i_1_0_vld_out, d_i_2_0_vld_out, d_i_3_0_vld_out, d_i_4_0_vld_out, d_i_5_0_vld_out, d_i_6_0_vld_out, d_i_7_0_vld_out, d_o_0_1_ack_in, d_o_1_1_ack_in, d_o_2_1_ack_in, d_o_3_1_ack_in, d_o_4_1_ack_in, d_o_5_1_ack_in, d_o_6_1_ack_in, d_o_7_1_ack_in, ap_block_state4_io, ap_enable_reg_pp0_iter1, ap_block_state3_io, ap_enable_reg_pp0_iter2)
begin
ap_block_pp0_stage0_flag00011001 <= ((((ap_const_logic_0 = d_i_0_0_vld_out) or (ap_const_logic_0 = d_i_1_0_vld_out) or (ap_const_logic_0 = d_i_2_0_vld_out) or (ap_const_logic_0 = d_i_3_0_vld_out) or (ap_const_logic_0 = d_i_4_0_vld_out) or (ap_const_logic_0 = d_i_5_0_vld_out) or (ap_const_logic_0 = d_i_6_0_vld_out) or (ap_const_logic_0 = d_i_7_0_vld_out) or (ap_const_boolean_1 = ap_block_state4_io)) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1)) or (((ap_const_logic_0 = d_o_0_1_ack_in) or (ap_const_logic_0 = d_o_1_1_ack_in) or (ap_const_logic_0 = d_o_2_1_ack_in) or (ap_const_logic_0 = d_o_3_1_ack_in) or (ap_const_logic_0 = d_o_4_1_ack_in) or (ap_const_logic_0 = d_o_5_1_ack_in) or (ap_const_logic_0 = d_o_6_1_ack_in) or (ap_const_logic_0 = d_o_7_1_ack_in) or (ap_const_boolean_1 = ap_block_state3_io)) and (ap_const_logic_1 = ap_enable_reg_pp0_iter2)));
end process;
ap_block_pp0_stage0_flag00011011_assign_proc : process(d_i_0_0_vld_out, d_i_1_0_vld_out, d_i_2_0_vld_out, d_i_3_0_vld_out, d_i_4_0_vld_out, d_i_5_0_vld_out, d_i_6_0_vld_out, d_i_7_0_vld_out, d_o_0_1_ack_in, d_o_1_1_ack_in, d_o_2_1_ack_in, d_o_3_1_ack_in, d_o_4_1_ack_in, d_o_5_1_ack_in, d_o_6_1_ack_in, d_o_7_1_ack_in, ap_block_state4_io, ap_enable_reg_pp0_iter1, ap_block_state3_io, ap_enable_reg_pp0_iter2)
begin
ap_block_pp0_stage0_flag00011011 <= ((((ap_const_logic_0 = d_i_0_0_vld_out) or (ap_const_logic_0 = d_i_1_0_vld_out) or (ap_const_logic_0 = d_i_2_0_vld_out) or (ap_const_logic_0 = d_i_3_0_vld_out) or (ap_const_logic_0 = d_i_4_0_vld_out) or (ap_const_logic_0 = d_i_5_0_vld_out) or (ap_const_logic_0 = d_i_6_0_vld_out) or (ap_const_logic_0 = d_i_7_0_vld_out) or (ap_const_boolean_1 = ap_block_state4_io)) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1)) or (((ap_const_logic_0 = d_o_0_1_ack_in) or (ap_const_logic_0 = d_o_1_1_ack_in) or (ap_const_logic_0 = d_o_2_1_ack_in) or (ap_const_logic_0 = d_o_3_1_ack_in) or (ap_const_logic_0 = d_o_4_1_ack_in) or (ap_const_logic_0 = d_o_5_1_ack_in) or (ap_const_logic_0 = d_o_6_1_ack_in) or (ap_const_logic_0 = d_o_7_1_ack_in) or (ap_const_boolean_1 = ap_block_state3_io)) and (ap_const_logic_1 = ap_enable_reg_pp0_iter2)));
end process;
ap_block_state2_pp0_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state3_io_assign_proc : process(d_o_0_1_ack_in, d_o_1_1_ack_in, d_o_2_1_ack_in, d_o_3_1_ack_in, d_o_4_1_ack_in, d_o_5_1_ack_in, d_o_6_1_ack_in, d_o_7_1_ack_in)
begin
ap_block_state3_io <= ((ap_const_logic_0 = d_o_0_1_ack_in) or (ap_const_logic_0 = d_o_1_1_ack_in) or (ap_const_logic_0 = d_o_2_1_ack_in) or (ap_const_logic_0 = d_o_3_1_ack_in) or (ap_const_logic_0 = d_o_4_1_ack_in) or (ap_const_logic_0 = d_o_5_1_ack_in) or (ap_const_logic_0 = d_o_6_1_ack_in) or (ap_const_logic_0 = d_o_7_1_ack_in));
end process;
ap_block_state3_pp0_stage0_iter2_assign_proc : process(d_o_0_1_ack_in, d_o_1_1_ack_in, d_o_2_1_ack_in, d_o_3_1_ack_in, d_o_4_1_ack_in, d_o_5_1_ack_in, d_o_6_1_ack_in, d_o_7_1_ack_in)
begin
ap_block_state3_pp0_stage0_iter2 <= ((ap_const_logic_0 = d_o_0_1_ack_in) or (ap_const_logic_0 = d_o_1_1_ack_in) or (ap_const_logic_0 = d_o_2_1_ack_in) or (ap_const_logic_0 = d_o_3_1_ack_in) or (ap_const_logic_0 = d_o_4_1_ack_in) or (ap_const_logic_0 = d_o_5_1_ack_in) or (ap_const_logic_0 = d_o_6_1_ack_in) or (ap_const_logic_0 = d_o_7_1_ack_in));
end process;
ap_block_state4_io_assign_proc : process(d_o_0_1_ack_in, d_o_1_1_ack_in, d_o_2_1_ack_in, d_o_3_1_ack_in, d_o_4_1_ack_in, d_o_5_1_ack_in, d_o_6_1_ack_in, d_o_7_1_ack_in)
begin
ap_block_state4_io <= ((ap_const_logic_0 = d_o_0_1_ack_in) or (ap_const_logic_0 = d_o_1_1_ack_in) or (ap_const_logic_0 = d_o_2_1_ack_in) or (ap_const_logic_0 = d_o_3_1_ack_in) or (ap_const_logic_0 = d_o_4_1_ack_in) or (ap_const_logic_0 = d_o_5_1_ack_in) or (ap_const_logic_0 = d_o_6_1_ack_in) or (ap_const_logic_0 = d_o_7_1_ack_in));
end process;
ap_block_state4_pp0_stage0_iter1_assign_proc : process(d_i_0_0_vld_out, d_i_1_0_vld_out, d_i_2_0_vld_out, d_i_3_0_vld_out, d_i_4_0_vld_out, d_i_5_0_vld_out, d_i_6_0_vld_out, d_i_7_0_vld_out)
begin
ap_block_state4_pp0_stage0_iter1 <= ((ap_const_logic_0 = d_i_0_0_vld_out) or (ap_const_logic_0 = d_i_1_0_vld_out) or (ap_const_logic_0 = d_i_2_0_vld_out) or (ap_const_logic_0 = d_i_3_0_vld_out) or (ap_const_logic_0 = d_i_4_0_vld_out) or (ap_const_logic_0 = d_i_5_0_vld_out) or (ap_const_logic_0 = d_i_6_0_vld_out) or (ap_const_logic_0 = d_i_7_0_vld_out));
end process;
ap_condition_1051_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00000000)
begin
ap_condition_1051 <= ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0));
end process;
ap_done_assign_proc : process(ap_enable_reg_pp0_iter2, ap_block_pp0_stage0_flag00011001, ap_reg_pp0_iter1_exitcond_reg_507)
begin
if (((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (ap_const_lv1_1 = ap_reg_pp0_iter1_exitcond_reg_507))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_enable_pp0 <= (ap_idle_pp0 xor ap_const_logic_1);
ap_enable_reg_pp0_iter0 <= ap_start;
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_idle_pp0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2)
begin
if (((ap_const_logic_0 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = ap_enable_reg_pp0_iter1) and (ap_const_logic_0 = ap_enable_reg_pp0_iter2))) then
ap_idle_pp0 <= ap_const_logic_1;
else
ap_idle_pp0 <= ap_const_logic_0;
end if;
end process;
ap_idle_pp0_0to1_assign_proc : process(ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter1)
begin
if (((ap_const_logic_0 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = ap_enable_reg_pp0_iter1))) then
ap_idle_pp0_0to1 <= ap_const_logic_1;
else
ap_idle_pp0_0to1 <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(exitcond_fu_246_p2, ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter0, ap_block_pp0_stage0_flag00011001)
begin
if (((exitcond_fu_246_p2 = ap_const_lv1_1) and (ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_reset_idle_pp0_assign_proc : process(ap_start, ap_idle_pp0_0to1)
begin
if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_idle_pp0_0to1))) then
ap_reset_idle_pp0 <= ap_const_logic_1;
else
ap_reset_idle_pp0 <= ap_const_logic_0;
end if;
end process;
ap_rst_n_inv_assign_proc : process(ap_rst_n)
begin
ap_rst_n_inv <= not(ap_rst_n);
end process;
d_i_0_0_ack_in <= d_i_0_0_state(1);
d_i_0_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_0_0_ack_out <= ap_const_logic_1;
else
d_i_0_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_0_0_data_out_assign_proc : process(d_i_0_0_payload_A, d_i_0_0_payload_B, d_i_0_0_sel)
begin
if ((ap_const_logic_1 = d_i_0_0_sel)) then
d_i_0_0_data_out <= d_i_0_0_payload_B;
else
d_i_0_0_data_out <= d_i_0_0_payload_A;
end if;
end process;
d_i_0_0_load_A <= (d_i_0_0_state_cmp_full and not(d_i_0_0_sel_wr));
d_i_0_0_load_B <= (d_i_0_0_sel_wr and d_i_0_0_state_cmp_full);
d_i_0_0_sel <= d_i_0_0_sel_rd;
d_i_0_0_state_cmp_full <= '0' when (d_i_0_0_state = ap_const_lv2_1) else '1';
d_i_0_0_vld_in <= d_i_0_TVALID;
d_i_0_0_vld_out <= d_i_0_0_state(0);
d_i_0_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_0_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_0_TDATA_blk_n <= d_i_0_0_state(0);
else
d_i_0_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_0_TREADY <= d_i_0_0_state(1);
d_i_1_0_ack_in <= d_i_1_0_state(1);
d_i_1_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_1_0_ack_out <= ap_const_logic_1;
else
d_i_1_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_1_0_data_out_assign_proc : process(d_i_1_0_payload_A, d_i_1_0_payload_B, d_i_1_0_sel)
begin
if ((ap_const_logic_1 = d_i_1_0_sel)) then
d_i_1_0_data_out <= d_i_1_0_payload_B;
else
d_i_1_0_data_out <= d_i_1_0_payload_A;
end if;
end process;
d_i_1_0_load_A <= (d_i_1_0_state_cmp_full and not(d_i_1_0_sel_wr));
d_i_1_0_load_B <= (d_i_1_0_sel_wr and d_i_1_0_state_cmp_full);
d_i_1_0_sel <= d_i_1_0_sel_rd;
d_i_1_0_state_cmp_full <= '0' when (d_i_1_0_state = ap_const_lv2_1) else '1';
d_i_1_0_vld_in <= d_i_1_TVALID;
d_i_1_0_vld_out <= d_i_1_0_state(0);
d_i_1_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_1_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_1_TDATA_blk_n <= d_i_1_0_state(0);
else
d_i_1_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_1_TREADY <= d_i_1_0_state(1);
d_i_2_0_ack_in <= d_i_2_0_state(1);
d_i_2_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_2_0_ack_out <= ap_const_logic_1;
else
d_i_2_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_2_0_data_out_assign_proc : process(d_i_2_0_payload_A, d_i_2_0_payload_B, d_i_2_0_sel)
begin
if ((ap_const_logic_1 = d_i_2_0_sel)) then
d_i_2_0_data_out <= d_i_2_0_payload_B;
else
d_i_2_0_data_out <= d_i_2_0_payload_A;
end if;
end process;
d_i_2_0_load_A <= (d_i_2_0_state_cmp_full and not(d_i_2_0_sel_wr));
d_i_2_0_load_B <= (d_i_2_0_sel_wr and d_i_2_0_state_cmp_full);
d_i_2_0_sel <= d_i_2_0_sel_rd;
d_i_2_0_state_cmp_full <= '0' when (d_i_2_0_state = ap_const_lv2_1) else '1';
d_i_2_0_vld_in <= d_i_2_TVALID;
d_i_2_0_vld_out <= d_i_2_0_state(0);
d_i_2_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_2_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_2_TDATA_blk_n <= d_i_2_0_state(0);
else
d_i_2_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_2_TREADY <= d_i_2_0_state(1);
d_i_3_0_ack_in <= d_i_3_0_state(1);
d_i_3_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_3_0_ack_out <= ap_const_logic_1;
else
d_i_3_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_3_0_data_out_assign_proc : process(d_i_3_0_payload_A, d_i_3_0_payload_B, d_i_3_0_sel)
begin
if ((ap_const_logic_1 = d_i_3_0_sel)) then
d_i_3_0_data_out <= d_i_3_0_payload_B;
else
d_i_3_0_data_out <= d_i_3_0_payload_A;
end if;
end process;
d_i_3_0_load_A <= (d_i_3_0_state_cmp_full and not(d_i_3_0_sel_wr));
d_i_3_0_load_B <= (d_i_3_0_sel_wr and d_i_3_0_state_cmp_full);
d_i_3_0_sel <= d_i_3_0_sel_rd;
d_i_3_0_state_cmp_full <= '0' when (d_i_3_0_state = ap_const_lv2_1) else '1';
d_i_3_0_vld_in <= d_i_3_TVALID;
d_i_3_0_vld_out <= d_i_3_0_state(0);
d_i_3_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_3_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_3_TDATA_blk_n <= d_i_3_0_state(0);
else
d_i_3_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_3_TREADY <= d_i_3_0_state(1);
d_i_4_0_ack_in <= d_i_4_0_state(1);
d_i_4_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_4_0_ack_out <= ap_const_logic_1;
else
d_i_4_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_4_0_data_out_assign_proc : process(d_i_4_0_payload_A, d_i_4_0_payload_B, d_i_4_0_sel)
begin
if ((ap_const_logic_1 = d_i_4_0_sel)) then
d_i_4_0_data_out <= d_i_4_0_payload_B;
else
d_i_4_0_data_out <= d_i_4_0_payload_A;
end if;
end process;
d_i_4_0_load_A <= (d_i_4_0_state_cmp_full and not(d_i_4_0_sel_wr));
d_i_4_0_load_B <= (d_i_4_0_sel_wr and d_i_4_0_state_cmp_full);
d_i_4_0_sel <= d_i_4_0_sel_rd;
d_i_4_0_state_cmp_full <= '0' when (d_i_4_0_state = ap_const_lv2_1) else '1';
d_i_4_0_vld_in <= d_i_4_TVALID;
d_i_4_0_vld_out <= d_i_4_0_state(0);
d_i_4_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_4_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_4_TDATA_blk_n <= d_i_4_0_state(0);
else
d_i_4_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_4_TREADY <= d_i_4_0_state(1);
d_i_5_0_ack_in <= d_i_5_0_state(1);
d_i_5_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_5_0_ack_out <= ap_const_logic_1;
else
d_i_5_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_5_0_data_out_assign_proc : process(d_i_5_0_payload_A, d_i_5_0_payload_B, d_i_5_0_sel)
begin
if ((ap_const_logic_1 = d_i_5_0_sel)) then
d_i_5_0_data_out <= d_i_5_0_payload_B;
else
d_i_5_0_data_out <= d_i_5_0_payload_A;
end if;
end process;
d_i_5_0_load_A <= (d_i_5_0_state_cmp_full and not(d_i_5_0_sel_wr));
d_i_5_0_load_B <= (d_i_5_0_sel_wr and d_i_5_0_state_cmp_full);
d_i_5_0_sel <= d_i_5_0_sel_rd;
d_i_5_0_state_cmp_full <= '0' when (d_i_5_0_state = ap_const_lv2_1) else '1';
d_i_5_0_vld_in <= d_i_5_TVALID;
d_i_5_0_vld_out <= d_i_5_0_state(0);
d_i_5_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_5_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_5_TDATA_blk_n <= d_i_5_0_state(0);
else
d_i_5_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_5_TREADY <= d_i_5_0_state(1);
d_i_6_0_ack_in <= d_i_6_0_state(1);
d_i_6_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_6_0_ack_out <= ap_const_logic_1;
else
d_i_6_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_6_0_data_out_assign_proc : process(d_i_6_0_payload_A, d_i_6_0_payload_B, d_i_6_0_sel)
begin
if ((ap_const_logic_1 = d_i_6_0_sel)) then
d_i_6_0_data_out <= d_i_6_0_payload_B;
else
d_i_6_0_data_out <= d_i_6_0_payload_A;
end if;
end process;
d_i_6_0_load_A <= (d_i_6_0_state_cmp_full and not(d_i_6_0_sel_wr));
d_i_6_0_load_B <= (d_i_6_0_sel_wr and d_i_6_0_state_cmp_full);
d_i_6_0_sel <= d_i_6_0_sel_rd;
d_i_6_0_state_cmp_full <= '0' when (d_i_6_0_state = ap_const_lv2_1) else '1';
d_i_6_0_vld_in <= d_i_6_TVALID;
d_i_6_0_vld_out <= d_i_6_0_state(0);
d_i_6_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_6_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_6_TDATA_blk_n <= d_i_6_0_state(0);
else
d_i_6_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_6_TREADY <= d_i_6_0_state(1);
d_i_7_0_ack_in <= d_i_7_0_state(1);
d_i_7_0_ack_out_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_i_7_0_ack_out <= ap_const_logic_1;
else
d_i_7_0_ack_out <= ap_const_logic_0;
end if;
end process;
d_i_7_0_data_out_assign_proc : process(d_i_7_0_payload_A, d_i_7_0_payload_B, d_i_7_0_sel)
begin
if ((ap_const_logic_1 = d_i_7_0_sel)) then
d_i_7_0_data_out <= d_i_7_0_payload_B;
else
d_i_7_0_data_out <= d_i_7_0_payload_A;
end if;
end process;
d_i_7_0_load_A <= (d_i_7_0_state_cmp_full and not(d_i_7_0_sel_wr));
d_i_7_0_load_B <= (d_i_7_0_sel_wr and d_i_7_0_state_cmp_full);
d_i_7_0_sel <= d_i_7_0_sel_rd;
d_i_7_0_state_cmp_full <= '0' when (d_i_7_0_state = ap_const_lv2_1) else '1';
d_i_7_0_vld_in <= d_i_7_TVALID;
d_i_7_0_vld_out <= d_i_7_0_state(0);
d_i_7_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, d_i_7_0_state, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
d_i_7_TDATA_blk_n <= d_i_7_0_state(0);
else
d_i_7_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_i_7_TREADY <= d_i_7_0_state(1);
d_o_0_1_ack_in <= d_o_0_1_state(1);
d_o_0_1_ack_out <= d_o_0_TREADY;
d_o_0_1_data_out_assign_proc : process(d_o_0_1_payload_A, d_o_0_1_payload_B, d_o_0_1_sel)
begin
if ((ap_const_logic_1 = d_o_0_1_sel)) then
d_o_0_1_data_out <= d_o_0_1_payload_B;
else
d_o_0_1_data_out <= d_o_0_1_payload_A;
end if;
end process;
d_o_0_1_load_A <= (d_o_0_1_state_cmp_full and not(d_o_0_1_sel_wr));
d_o_0_1_load_B <= (d_o_0_1_sel_wr and d_o_0_1_state_cmp_full);
d_o_0_1_sel <= d_o_0_1_sel_rd;
d_o_0_1_state_cmp_full <= '0' when (d_o_0_1_state = ap_const_lv2_1) else '1';
d_o_0_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_0_1_vld_in <= ap_const_logic_1;
else
d_o_0_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_0_1_vld_out <= d_o_0_1_state(0);
d_o_0_TDATA <= d_o_0_1_data_out;
d_o_0_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_0_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_0_TDATA_blk_n <= d_o_0_1_state(1);
else
d_o_0_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_0_TVALID <= d_o_0_1_state(0);
d_o_1_1_ack_in <= d_o_1_1_state(1);
d_o_1_1_ack_out <= d_o_1_TREADY;
d_o_1_1_data_out_assign_proc : process(d_o_1_1_payload_A, d_o_1_1_payload_B, d_o_1_1_sel)
begin
if ((ap_const_logic_1 = d_o_1_1_sel)) then
d_o_1_1_data_out <= d_o_1_1_payload_B;
else
d_o_1_1_data_out <= d_o_1_1_payload_A;
end if;
end process;
d_o_1_1_load_A <= (d_o_1_1_state_cmp_full and not(d_o_1_1_sel_wr));
d_o_1_1_load_B <= (d_o_1_1_sel_wr and d_o_1_1_state_cmp_full);
d_o_1_1_sel <= d_o_1_1_sel_rd;
d_o_1_1_state_cmp_full <= '0' when (d_o_1_1_state = ap_const_lv2_1) else '1';
d_o_1_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_1_1_vld_in <= ap_const_logic_1;
else
d_o_1_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_1_1_vld_out <= d_o_1_1_state(0);
d_o_1_TDATA <= d_o_1_1_data_out;
d_o_1_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_1_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_1_TDATA_blk_n <= d_o_1_1_state(1);
else
d_o_1_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_1_TVALID <= d_o_1_1_state(0);
d_o_2_1_ack_in <= d_o_2_1_state(1);
d_o_2_1_ack_out <= d_o_2_TREADY;
d_o_2_1_data_out_assign_proc : process(d_o_2_1_payload_A, d_o_2_1_payload_B, d_o_2_1_sel)
begin
if ((ap_const_logic_1 = d_o_2_1_sel)) then
d_o_2_1_data_out <= d_o_2_1_payload_B;
else
d_o_2_1_data_out <= d_o_2_1_payload_A;
end if;
end process;
d_o_2_1_load_A <= (d_o_2_1_state_cmp_full and not(d_o_2_1_sel_wr));
d_o_2_1_load_B <= (d_o_2_1_sel_wr and d_o_2_1_state_cmp_full);
d_o_2_1_sel <= d_o_2_1_sel_rd;
d_o_2_1_state_cmp_full <= '0' when (d_o_2_1_state = ap_const_lv2_1) else '1';
d_o_2_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_2_1_vld_in <= ap_const_logic_1;
else
d_o_2_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_2_1_vld_out <= d_o_2_1_state(0);
d_o_2_TDATA <= d_o_2_1_data_out;
d_o_2_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_2_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_2_TDATA_blk_n <= d_o_2_1_state(1);
else
d_o_2_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_2_TVALID <= d_o_2_1_state(0);
d_o_3_1_ack_in <= d_o_3_1_state(1);
d_o_3_1_ack_out <= d_o_3_TREADY;
d_o_3_1_data_out_assign_proc : process(d_o_3_1_payload_A, d_o_3_1_payload_B, d_o_3_1_sel)
begin
if ((ap_const_logic_1 = d_o_3_1_sel)) then
d_o_3_1_data_out <= d_o_3_1_payload_B;
else
d_o_3_1_data_out <= d_o_3_1_payload_A;
end if;
end process;
d_o_3_1_load_A <= (d_o_3_1_state_cmp_full and not(d_o_3_1_sel_wr));
d_o_3_1_load_B <= (d_o_3_1_sel_wr and d_o_3_1_state_cmp_full);
d_o_3_1_sel <= d_o_3_1_sel_rd;
d_o_3_1_state_cmp_full <= '0' when (d_o_3_1_state = ap_const_lv2_1) else '1';
d_o_3_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_3_1_vld_in <= ap_const_logic_1;
else
d_o_3_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_3_1_vld_out <= d_o_3_1_state(0);
d_o_3_TDATA <= d_o_3_1_data_out;
d_o_3_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_3_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_3_TDATA_blk_n <= d_o_3_1_state(1);
else
d_o_3_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_3_TVALID <= d_o_3_1_state(0);
d_o_4_1_ack_in <= d_o_4_1_state(1);
d_o_4_1_ack_out <= d_o_4_TREADY;
d_o_4_1_data_out_assign_proc : process(d_o_4_1_payload_A, d_o_4_1_payload_B, d_o_4_1_sel)
begin
if ((ap_const_logic_1 = d_o_4_1_sel)) then
d_o_4_1_data_out <= d_o_4_1_payload_B;
else
d_o_4_1_data_out <= d_o_4_1_payload_A;
end if;
end process;
d_o_4_1_load_A <= (d_o_4_1_state_cmp_full and not(d_o_4_1_sel_wr));
d_o_4_1_load_B <= (d_o_4_1_sel_wr and d_o_4_1_state_cmp_full);
d_o_4_1_sel <= d_o_4_1_sel_rd;
d_o_4_1_state_cmp_full <= '0' when (d_o_4_1_state = ap_const_lv2_1) else '1';
d_o_4_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_4_1_vld_in <= ap_const_logic_1;
else
d_o_4_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_4_1_vld_out <= d_o_4_1_state(0);
d_o_4_TDATA <= d_o_4_1_data_out;
d_o_4_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_4_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_4_TDATA_blk_n <= d_o_4_1_state(1);
else
d_o_4_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_4_TVALID <= d_o_4_1_state(0);
d_o_5_1_ack_in <= d_o_5_1_state(1);
d_o_5_1_ack_out <= d_o_5_TREADY;
d_o_5_1_data_out_assign_proc : process(d_o_5_1_payload_A, d_o_5_1_payload_B, d_o_5_1_sel)
begin
if ((ap_const_logic_1 = d_o_5_1_sel)) then
d_o_5_1_data_out <= d_o_5_1_payload_B;
else
d_o_5_1_data_out <= d_o_5_1_payload_A;
end if;
end process;
d_o_5_1_load_A <= (d_o_5_1_state_cmp_full and not(d_o_5_1_sel_wr));
d_o_5_1_load_B <= (d_o_5_1_sel_wr and d_o_5_1_state_cmp_full);
d_o_5_1_sel <= d_o_5_1_sel_rd;
d_o_5_1_state_cmp_full <= '0' when (d_o_5_1_state = ap_const_lv2_1) else '1';
d_o_5_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_5_1_vld_in <= ap_const_logic_1;
else
d_o_5_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_5_1_vld_out <= d_o_5_1_state(0);
d_o_5_TDATA <= d_o_5_1_data_out;
d_o_5_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_5_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_5_TDATA_blk_n <= d_o_5_1_state(1);
else
d_o_5_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_5_TVALID <= d_o_5_1_state(0);
d_o_6_1_ack_in <= d_o_6_1_state(1);
d_o_6_1_ack_out <= d_o_6_TREADY;
d_o_6_1_data_out_assign_proc : process(d_o_6_1_payload_A, d_o_6_1_payload_B, d_o_6_1_sel)
begin
if ((ap_const_logic_1 = d_o_6_1_sel)) then
d_o_6_1_data_out <= d_o_6_1_payload_B;
else
d_o_6_1_data_out <= d_o_6_1_payload_A;
end if;
end process;
d_o_6_1_load_A <= (d_o_6_1_state_cmp_full and not(d_o_6_1_sel_wr));
d_o_6_1_load_B <= (d_o_6_1_sel_wr and d_o_6_1_state_cmp_full);
d_o_6_1_sel <= d_o_6_1_sel_rd;
d_o_6_1_state_cmp_full <= '0' when (d_o_6_1_state = ap_const_lv2_1) else '1';
d_o_6_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_6_1_vld_in <= ap_const_logic_1;
else
d_o_6_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_6_1_vld_out <= d_o_6_1_state(0);
d_o_6_TDATA <= d_o_6_1_data_out;
d_o_6_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_6_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_6_TDATA_blk_n <= d_o_6_1_state(1);
else
d_o_6_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_6_TVALID <= d_o_6_1_state(0);
d_o_7_1_ack_in <= d_o_7_1_state(1);
d_o_7_1_ack_out <= d_o_7_TREADY;
d_o_7_1_data_out_assign_proc : process(d_o_7_1_payload_A, d_o_7_1_payload_B, d_o_7_1_sel)
begin
if ((ap_const_logic_1 = d_o_7_1_sel)) then
d_o_7_1_data_out <= d_o_7_1_payload_B;
else
d_o_7_1_data_out <= d_o_7_1_payload_A;
end if;
end process;
d_o_7_1_load_A <= (d_o_7_1_state_cmp_full and not(d_o_7_1_sel_wr));
d_o_7_1_load_B <= (d_o_7_1_sel_wr and d_o_7_1_state_cmp_full);
d_o_7_1_sel <= d_o_7_1_sel_rd;
d_o_7_1_state_cmp_full <= '0' when (d_o_7_1_state = ap_const_lv2_1) else '1';
d_o_7_1_vld_in_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011001)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
d_o_7_1_vld_in <= ap_const_logic_1;
else
d_o_7_1_vld_in <= ap_const_logic_0;
end if;
end process;
d_o_7_1_vld_out <= d_o_7_1_state(0);
d_o_7_TDATA <= d_o_7_1_data_out;
d_o_7_TDATA_blk_n_assign_proc : process(ap_CS_fsm_pp0_stage0, ap_enable_reg_pp0_iter1, ap_enable_reg_pp0_iter2, d_o_7_1_state, ap_block_pp0_stage0_flag00000000)
begin
if ((((ap_const_logic_1 = ap_enable_reg_pp0_iter2) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)) or ((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0)))) then
d_o_7_TDATA_blk_n <= d_o_7_1_state(1);
else
d_o_7_TDATA_blk_n <= ap_const_logic_1;
end if;
end process;
d_o_7_TVALID <= d_o_7_1_state(0);
exitcond_fu_246_p2 <= "1" when (i_1_7_fu_236_p2 = ap_const_lv6_20) else "0";
i1_cast_fu_232_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i1_phi_fu_222_p6),6));
i1_phi_fu_222_p6_assign_proc : process(i1_reg_218, tmp_17_reg_502, exitcond_reg_507, ap_condition_1051)
begin
if ((ap_condition_1051 = ap_const_boolean_1)) then
if ((ap_const_lv1_1 = exitcond_reg_507)) then
i1_phi_fu_222_p6 <= ap_const_lv5_0;
elsif ((ap_const_lv1_0 = exitcond_reg_507)) then
i1_phi_fu_222_p6 <= tmp_17_reg_502;
else
i1_phi_fu_222_p6 <= i1_reg_218;
end if;
else
i1_phi_fu_222_p6 <= i1_reg_218;
end if;
end process;
i_1_7_fu_236_p2 <= std_logic_vector(unsigned(ap_const_lv6_8) + unsigned(i1_cast_fu_232_p1));
tmp1_fu_258_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_0_0_data_out),32));
tmp_10_fu_293_p1 <= acc_1(16 - 1 downto 0);
tmp_11_fu_324_p1 <= acc_2(16 - 1 downto 0);
tmp_12_fu_355_p1 <= acc_3(16 - 1 downto 0);
tmp_13_fu_386_p1 <= acc_4(16 - 1 downto 0);
tmp_14_fu_417_p1 <= acc_5(16 - 1 downto 0);
tmp_15_fu_448_p1 <= acc_6(16 - 1 downto 0);
tmp_16_fu_479_p1 <= acc_7(16 - 1 downto 0);
tmp_17_fu_242_p1 <= i_1_7_fu_236_p2(5 - 1 downto 0);
tmp_1_1_fu_297_p2 <= std_logic_vector(signed(tmp_s_fu_289_p1) + signed(acc_1));
tmp_1_2_fu_328_p2 <= std_logic_vector(signed(tmp_9_fu_320_p1) + signed(acc_2));
tmp_1_3_fu_359_p2 <= std_logic_vector(signed(tmp_3_fu_351_p1) + signed(acc_3));
tmp_1_4_fu_390_p2 <= std_logic_vector(signed(tmp_4_fu_382_p1) + signed(acc_4));
tmp_1_5_fu_421_p2 <= std_logic_vector(signed(tmp_5_fu_413_p1) + signed(acc_5));
tmp_1_6_fu_452_p2 <= std_logic_vector(signed(tmp_6_fu_444_p1) + signed(acc_6));
tmp_1_7_fu_483_p2 <= std_logic_vector(signed(tmp_7_fu_475_p1) + signed(acc_7));
tmp_1_fu_266_p2 <= std_logic_vector(signed(tmp1_fu_258_p1) + signed(acc_0));
tmp_2_1_fu_309_p2 <= std_logic_vector(unsigned(tmp_10_fu_293_p1) + unsigned(d_i_1_0_data_out));
tmp_2_2_fu_340_p2 <= std_logic_vector(unsigned(tmp_11_fu_324_p1) + unsigned(d_i_2_0_data_out));
tmp_2_3_fu_371_p2 <= std_logic_vector(unsigned(tmp_12_fu_355_p1) + unsigned(d_i_3_0_data_out));
tmp_2_4_fu_402_p2 <= std_logic_vector(unsigned(tmp_13_fu_386_p1) + unsigned(d_i_4_0_data_out));
tmp_2_5_fu_433_p2 <= std_logic_vector(unsigned(tmp_14_fu_417_p1) + unsigned(d_i_5_0_data_out));
tmp_2_6_fu_464_p2 <= std_logic_vector(unsigned(tmp_15_fu_448_p1) + unsigned(d_i_6_0_data_out));
tmp_2_7_fu_495_p2 <= std_logic_vector(unsigned(tmp_16_fu_479_p1) + unsigned(d_i_7_0_data_out));
tmp_2_fu_262_p1 <= acc_0(16 - 1 downto 0);
tmp_3_fu_351_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_3_0_data_out),32));
tmp_4_fu_382_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_4_0_data_out),32));
tmp_5_fu_413_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_5_0_data_out),32));
tmp_6_fu_444_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_6_0_data_out),32));
tmp_7_fu_475_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_7_0_data_out),32));
tmp_8_fu_278_p2 <= std_logic_vector(unsigned(tmp_2_fu_262_p1) + unsigned(d_i_0_0_data_out));
tmp_9_fu_320_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_2_0_data_out),32));
tmp_s_fu_289_p1 <= std_logic_vector(IEEE.numeric_std.resize(signed(d_i_1_0_data_out),32));
end behav;
|
mit
|
VerkhovtsovPavel/BSUIR_Labs
|
Labs/POCP/POCP-4/src/REGn.vhd
|
1
|
674
|
library ieee;
use ieee.std_logic_1164.all;
entity REGn is
generic (n : integer := 4);
port(
Din : in std_logic_vector(n-1 downto 0);
EN : in std_logic;
Dout : out std_logic_vector(n-1 downto 0)
);
end REGn;
architecture behavior of REGn is
signal reg : std_logic_vector(n-1 downto 0);
begin
main : process(Din, EN)
begin
if EN='1' then
reg <= Din;
end if;
end process;
Dout <= reg;
end behavior;
architecture structual of REGn is
component DEL
port(D, E : in std_logic; Q: out std_logic);
end component DEL;
begin
G_1 : for I in 0 to N-1 generate
DEL_I :
DEL port map
(Din(I), EN, Dout(I));
end generate;
end architecture structual;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/embedded_lab_2/embedded_lab_2.srcs/sources_1/bd/zynq_design_1/ipshared/cb07/hdl/axi_gpio_v2_0_vh_rfs.vhd
|
3
|
76385
|
-------------------------------------------------------------------------------
-- gpio_core - entity/architecture pair
-------------------------------------------------------------------------------
-- ***************************************************************************
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2009 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: gpio_core.vhd
-- Version: v1.01a
-- Description: General Purpose I/O for AXI Interface
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_gpio.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- gpio_core.vhd
--
-------------------------------------------------------------------------------
--
-- Author: KSB
-- History:
-- ~~~~~~~~~~~~~~
-- KSB 09/15/09
-- ^^^^^^^^^^^^^^
-- ~~~~~~~~~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library lib_cdc_v1_0_2;
-------------------------------------------------------------------------------
-- Definition of Generics : --
-------------------------------------------------------------------------------
-- C_DW -- Data width of PLB BUS.
-- C_AW -- Address width of PLB BUS.
-- C_GPIO_WIDTH -- GPIO Data Bus width.
-- C_GPIO2_WIDTH -- GPIO2 Data Bus width.
-- C_INTERRUPT_PRESENT -- GPIO Interrupt.
-- C_DOUT_DEFAULT -- GPIO_DATA Register reset value.
-- C_TRI_DEFAULT -- GPIO_TRI Register reset value.
-- C_IS_DUAL -- Dual Channel GPIO.
-- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value.
-- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value.
-- C_FAMILY -- XILINX FPGA family
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Ports --
-------------------------------------------------------------------------------
-- Clk -- Input clock
-- Rst -- Reset
-- ABus_Reg -- Bus to IP address
-- BE_Reg -- Bus to IP byte enables
-- DBus_Reg -- Bus to IP data bus
-- RNW_Reg -- Bus to IP read write control
-- GPIO_DBus -- IP to Bus data bus
-- GPIO_xferAck -- GPIO transfer acknowledge
-- GPIO_intr -- GPIO channel 1 interrupt to IPIC
-- GPIO2_intr -- GPIO channel 2 interrupt to IPIC
-- GPIO_Select -- GPIO select
--
-- GPIO_IO_I -- Channel 1 General purpose I/O in port
-- GPIO_IO_O -- Channel 1 General purpose I/O out port
-- GPIO_IO_T -- Channel 1 General purpose I/O TRI-STATE control port
-- GPIO2_IO_I -- Channel 2 General purpose I/O in port
-- GPIO2_IO_O -- Channel 2 General purpose I/O out port
-- GPIO2_IO_T -- Channel 2 General purpose I/O TRI-STATE control port
-------------------------------------------------------------------------------
entity GPIO_Core is
generic
(
C_DW : integer := 32;
C_AW : integer := 32;
C_GPIO_WIDTH : integer := 32;
C_GPIO2_WIDTH : integer := 32;
C_MAX_GPIO_WIDTH : integer := 32;
C_INTERRUPT_PRESENT : integer := 0;
C_DOUT_DEFAULT : std_logic_vector (0 to 31) := X"0000_0000";
C_TRI_DEFAULT : std_logic_vector (0 to 31) := X"FFFF_FFFF";
C_IS_DUAL : integer := 0;
C_ALL_OUTPUTS : integer range 0 to 1 := 0;--2/28/2013
C_ALL_OUTPUTS_2 : integer range 0 to 1 := 0;--2/28/2013
C_ALL_INPUTS : integer range 0 to 1 := 0;
C_ALL_INPUTS_2 : integer range 0 to 1 := 0;
C_DOUT_DEFAULT_2 : std_logic_vector (0 to 31) := X"0000_0000";
C_TRI_DEFAULT_2 : std_logic_vector (0 to 31) := X"FFFF_FFFF";
C_FAMILY : string := "virtex7"
);
port
(
Clk : in std_logic;
Rst : in std_logic;
ABus_Reg : in std_logic_vector(0 to C_AW-1);
BE_Reg : in std_logic_vector(0 to C_DW/8-1);
DBus_Reg : in std_logic_vector(0 to C_MAX_GPIO_WIDTH-1);
RNW_Reg : in std_logic;
GPIO_DBus : out std_logic_vector(0 to C_DW-1);
GPIO_xferAck : out std_logic;
GPIO_intr : out std_logic;
GPIO2_intr : out std_logic;
GPIO_Select : in std_logic;
GPIO_IO_I : in std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO_IO_O : out std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO_IO_T : out std_logic_vector(0 to C_GPIO_WIDTH-1);
GPIO2_IO_I : in std_logic_vector(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_O : out std_logic_vector(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_T : out std_logic_vector(0 to C_GPIO2_WIDTH-1)
);
end entity GPIO_Core;
-------------------------------------------------------------------------------
-- Architecture section
-------------------------------------------------------------------------------
architecture IMP of GPIO_Core is
-- Pragma Added to supress synth warnings
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
----------------------------------------------------------------------
-- Function for Reduction OR
----------------------------------------------------------------------
function or_reduce(l : std_logic_vector) return std_logic is
variable v : std_logic := '0';
begin
for i in l'range loop
v := v or l(i);
end loop;
return v;
end;
---------------------------------------------------------------------
-- End of Function
-------------------------------------------------------------------
--constant GPIO_G_W : integer = C_GPIO_WIDTH when (C_GPIO_WIDTH > C_GPIO2_WIDTH) else C_GPIO2_;
signal gpio_Data_Select : std_logic_vector(0 to C_IS_DUAL);
signal gpio_OE_Select : std_logic_vector(0 to C_IS_DUAL);
signal Read_Reg_Rst : STD_LOGIC;
signal Read_Reg_In : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal Read_Reg_CE : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_Data_Out : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_DOUT_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1);
signal gpio_Data_In : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_in_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_in_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_io_i_d1 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_io_i_d2 : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_OE : std_logic_vector(0 to C_GPIO_WIDTH-1) := C_TRI_DEFAULT(C_DW-C_GPIO_WIDTH to C_DW-1);
signal GPIO_DBus_i : std_logic_vector(0 to C_DW-1);
signal gpio_data_in_xor : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal gpio_data_in_xor_reg : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal or_ints : std_logic_vector(0 to 0);
signal or_ints2 : std_logic_vector(0 to 0);
signal iGPIO_xferAck : STD_LOGIC;
signal gpio_xferAck_Reg : STD_LOGIC;
signal dout_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal tri_default_i : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal reset_zeros : std_logic_vector(0 to C_GPIO_WIDTH-1);
signal dout2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal tri2_default_i : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal reset2_zeros : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio_reg_en : std_logic;
begin -- architecture IMP
reset_zeros <= (others => '0');
reset2_zeros <= (others => '0');
TIE_DEFAULTS_GENERATE : if C_DW >= C_GPIO_WIDTH generate
SELECT_BITS_GENERATE : for i in 0 to C_GPIO_WIDTH-1 generate
dout_default_i(i) <= C_DOUT_DEFAULT(i-C_GPIO_WIDTH+C_DW);
tri_default_i(i) <= C_TRI_DEFAULT(i-C_GPIO_WIDTH+C_DW);
end generate SELECT_BITS_GENERATE;
end generate TIE_DEFAULTS_GENERATE;
TIE_DEFAULTS_2_GENERATE : if C_DW >= C_GPIO2_WIDTH generate
SELECT_BITS_2_GENERATE : for i in 0 to C_GPIO2_WIDTH-1 generate
dout2_default_i(i) <= C_DOUT_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW);
tri2_default_i(i) <= C_TRI_DEFAULT_2(i-C_GPIO2_WIDTH+C_DW);
end generate SELECT_BITS_2_GENERATE;
end generate TIE_DEFAULTS_2_GENERATE;
Read_Reg_Rst <= iGPIO_xferAck or gpio_xferAck_Reg or (not GPIO_Select) or
(GPIO_Select and not RNW_Reg);
gpio_reg_en <= GPIO_Select when (ABus_Reg(0) = '0') else '0';
-----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
-----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
-----------------------------------------------------------------------------
XFER_ACK_PROCESS : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
iGPIO_xferAck <= '0';
else
iGPIO_xferAck <= GPIO_Select and not gpio_xferAck_Reg;
if iGPIO_xferAck = '1' then
iGPIO_xferAck <= '0';
end if;
end if;
end if;
end process XFER_ACK_PROCESS;
-----------------------------------------------------------------------------
-- DELAYED_XFER_ACK_PROCESS
-----------------------------------------------------------------------------
-- Single Reg stage to make Transfer Ack period one clock pulse wide
-----------------------------------------------------------------------------
DELAYED_XFER_ACK_PROCESS : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_xferAck_Reg <= '0';
else
gpio_xferAck_Reg <= iGPIO_xferAck;
end if;
end if;
end process DELAYED_XFER_ACK_PROCESS;
GPIO_xferAck <= iGPIO_xferAck;
-----------------------------------------------------------------------------
-- Drive GPIO interrupts to '0' when interrupt not present
-----------------------------------------------------------------------------
DONT_GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate
gpio_intr <= '0';
gpio2_intr <= '0';
end generate DONT_GEN_INTERRUPT;
----------------------------------------------------------------------------
-- When only one channel is used, the additional logic for the second
-- channel ports is not present
-----------------------------------------------------------------------------
Not_Dual : if (C_IS_DUAL = 0) generate
GPIO2_IO_O <= C_DOUT_DEFAULT(0 to C_GPIO2_WIDTH-1);
GPIO2_IO_T <= C_TRI_DEFAULT_2(0 to C_GPIO2_WIDTH-1);
ALLOUT_ND : if (C_ALL_OUTPUTS = 1) generate
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
end generate ALLOUT_ND;
ALLIN1_ND : if (C_ALL_INPUTS = 1) generate
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
end generate ALLIN1_ND;
ALLOUT0_ND : if (C_ALL_OUTPUTS = 0 and C_ALL_INPUTS = 0) generate
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
if (gpio_OE(i) = '0' and gpio_OE_Select(0) = '0')then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i);
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
--GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i) when (gpio_OE(i) = '1') else Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
end generate ALLOUT0_ND;
TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate
GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0');
end generate TIE_DBUS_GENERATE;
-----------------------------------------------------------------------------
-- GPIO_DBUS_PROCESS
-----------------------------------------------------------------------------
-- This process generates the GPIO DATA BUS from the GPIO_DBUS_I based on
-- the channel select signals
-----------------------------------------------------------------------------
GPIO_DBus <= GPIO_DBus_i;
-----------------------------------------------------------------------------
-- REG_SELECT_PROCESS
-----------------------------------------------------------------------------
-- GPIO REGISTER selection decoder for single channel configuration
-----------------------------------------------------------------------------
--REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is
REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is
begin
gpio_Data_Select(0) <= '0';
gpio_OE_Select(0) <= '0';
--if GPIO_Select = '1' then
if gpio_reg_en = '1' then
if (ABus_Reg(5) = '0') then
case ABus_Reg(6) is -- bit A29
when '0' => gpio_Data_Select(0) <= '1';
when '1' => gpio_OE_Select(0) <= '1';
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end if;
end process REG_SELECT_PROCESS;
INPUT_DOUBLE_REGS3 : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio_io_i_d2
);
---------------------------------------------------------------------------
-- GPIO_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 1 data from Bidirectional GPIO port
-- to GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio_io_i_d1 <= GPIO_IO_I;
-- gpio_io_i_d2 <= gpio_io_i_d1;
gpio_Data_In <= gpio_io_i_d2;
end if;
end process GPIO_INDATA_BIRDIR_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OUTDATA_PROCESS
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_OUTDATA_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_Data_Out <= dout_default_i;
elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_Data_Out(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OUTDATA_PROCESS;
---------------------------------------------------------------------------
-- READ_MUX_PROCESS
---------------------------------------------------------------------------
-- Selects GPIO_TRI control or GPIO_DATA Register to be read
---------------------------------------------------------------------------
READ_MUX_PROCESS : process (gpio_Data_In, gpio_Data_Select, gpio_OE,
gpio_OE_Select,gpio_Data_Out) is
begin
Read_Reg_In <= (others => '0');
if gpio_Data_Select(0) = '1' then
Read_Reg_In <= gpio_Data_In;
--Read_Reg_In <= gpio_Data_In;
elsif gpio_OE_Select(0) = '1' then
Read_Reg_In <= gpio_OE;
end if;
end process READ_MUX_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OE_PROCESS
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO_OE_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_OE <= tri_default_i;
elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_OE(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OE_PROCESS;
GPIO_IO_O <= gpio_Data_Out;
GPIO_IO_T <= gpio_OE;
----------------------------------------------------------------------------
-- INTERRUPT IS PRESENT
----------------------------------------------------------------------------
-- When the C_INTERRUPT_PRESENT=1, the interrupt is driven based on whether
-- there is a change in the data coming in at the GPIO_IO_I port or GPIO_In
-- port
----------------------------------------------------------------------------
GEN_INTERRUPT : if (C_INTERRUPT_PRESENT = 1) generate
gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2;
-------------------------------------------------------------------------
-- An interrupt conditon exists if there is a change on any bit.
-------------------------------------------------------------------------
or_ints(0) <= or_reduce(gpio_data_in_xor_reg);
-------------------------------------------------------------------------
-- Registering Interrupt condition
-------------------------------------------------------------------------
REGISTER_XOR_INTR : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_data_in_xor_reg <= reset_zeros;
GPIO_intr <= '0';
else
gpio_data_in_xor_reg <= gpio_data_in_xor;
GPIO_intr <= or_ints(0);
end if;
end if;
end process REGISTER_XOR_INTR;
gpio2_intr <= '0'; -- Channel 2 interrupt is driven low
end generate GEN_INTERRUPT;
end generate Not_Dual;
---)(------------------------------------------------------------------------
-- When both the channels are used, the additional logic for the second
-- channel ports
-----------------------------------------------------------------------------
Dual : if (C_IS_DUAL = 1) generate
signal gpio2_Data_In : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_in_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_in_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_io_i_d1 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_io_i_d2 : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_data_in_xor : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_data_in_xor_reg : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal gpio2_Data_Out : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_DOUT_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1);
signal gpio2_OE : std_logic_vector(0 to C_GPIO2_WIDTH-1) := C_TRI_DEFAULT_2(C_DW-C_GPIO2_WIDTH to C_DW-1);
signal Read_Reg2_In : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal Read_Reg2_CE : std_logic_vector(0 to C_GPIO2_WIDTH-1);
signal GPIO2_DBus_i : std_logic_vector(0 to C_DW-1);
begin
ALLOUT0_ND_G0 : if (C_ALL_OUTPUTS = 0 and C_ALL_INPUTS = 0) generate
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
if (gpio_OE(i) = '0' and gpio_OE_Select(0) = '0') then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i);
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
--GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i) when (gpio_OE(i) = '1') else Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
end generate ALLOUT0_ND_G0;
ALLIN0_ND_G0 : if (C_ALL_INPUTS = 1) generate
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
----------------------------------------------------------------------------
-- XFER_ACK_PROCESS
----------------------------------------------------------------------------
-- Generation of Transfer Ack signal for one clock pulse
----------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
end generate ALLIN0_ND_G0;
ALLOUT0_ND_G1 : if (C_ALL_OUTPUTS = 1) generate
READ_REG_GEN : for i in 0 to C_GPIO_WIDTH-1 generate
begin
--------------------------------------------------------------------------
-- GPIO_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL1 DATA BUS
--------------------------------------------------------------------------
GPIO_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= '0';
else
GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i);
end if;
end if;
end process;
end generate READ_REG_GEN;
end generate ALLOUT0_ND_G1;
TIE_DBUS_GENERATE : if C_DW > C_GPIO_WIDTH generate
GPIO_DBus_i(0 to C_DW-C_GPIO_WIDTH-1) <= (others => '0');
end generate TIE_DBUS_GENERATE;
ALLIN0_ND_G2 : if (C_ALL_OUTPUTS_2 = 0 and C_ALL_INPUTS_2 = 1) generate
READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate
--------------------------------------------------------------------------
-- GPIO2_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL2 DATA BUS
--------------------------------------------------------------------------
GPIO2_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0';
else
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= Read_Reg2_In(i);
--GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i) when (gpio_OE(i) = '1') else Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG2_GEN;
end generate ALLIN0_ND_G2;
ALLOUT0_ND_G2 : if (C_ALL_OUTPUTS_2 = 0 and C_ALL_INPUTS_2 = 0) generate
READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate
--------------------------------------------------------------------------
-- GPIO2_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL2 DATA BUS
--------------------------------------------------------------------------
GPIO2_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0';
else
if (gpio2_OE(i) = '0' and gpio_OE_Select(1) = '0') then
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= gpio2_Data_Out(i);
else
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= Read_Reg2_In(i);
end if;
-- GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= gpio2_Data_Out(i) when (gpio2_OE(i) = '1') else Read_Reg2_In(i);
--GPIO_DBus_i(i-C_GPIO_WIDTH+C_DW) <= gpio_Data_Out(i) when (gpio_OE(i) = '1') else Read_Reg_In(i);
end if;
end if;
end process;
end generate READ_REG2_GEN;
end generate ALLOUT0_ND_G2;
ALLOUT1_ND_G2 : if (C_ALL_OUTPUTS_2 = 1) generate
READ_REG2_GEN : for i in 0 to C_GPIO2_WIDTH-1 generate
--------------------------------------------------------------------------
-- GPIO2_DBUS_I_PROCESS
--------------------------------------------------------------------------
-- This process generates the GPIO CHANNEL2 DATA BUS
--------------------------------------------------------------------------
GPIO2_DBUS_I_PROC : process(Clk)
begin
if Clk'event and Clk = '1' then
if Read_Reg_Rst = '1' then
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= '0';
else
GPIO2_DBus_i(i-C_GPIO2_WIDTH+C_DW) <= gpio2_Data_Out(i);
end if;
end if;
end process;
end generate READ_REG2_GEN;
end generate ALLOUT1_ND_G2;
TIE_DBUS2_GENERATE : if C_DW > C_GPIO2_WIDTH generate
GPIO2_DBus_i(0 to C_DW-C_GPIO2_WIDTH-1) <= (others => '0');
end generate TIE_DBUS2_GENERATE;
---------------------------------------------------------------------------
-- GPIO_DBUS_PROCESS
---------------------------------------------------------------------------
-- This process generates the GPIO DATA BUS from the GPIO_DBUS_I and
-- GPIO2_DBUS_I based on which channel is selected
---------------------------------------------------------------------------
GPIO_DBus <= GPIO_DBus_i when (((gpio_Data_Select(0) = '1') or
(gpio_OE_Select(0) = '1')) and (RNW_Reg = '1'))
else GPIO2_DBus_i;
-----------------------------------------------------------------------------
-- DUAL_REG_SELECT_PROCESS
-----------------------------------------------------------------------------
-- GPIO REGISTER selection decoder for Dual channel configuration
-----------------------------------------------------------------------------
--DUAL_REG_SELECT_PROCESS : process (GPIO_Select, ABus_Reg) is
DUAL_REG_SELECT_PROCESS : process (gpio_reg_en, ABus_Reg) is
variable ABus_reg_select : std_logic_vector(0 to 1);
begin
ABus_reg_select := ABus_Reg(5 to 6);
gpio_Data_Select <= (others => '0');
gpio_OE_Select <= (others => '0');
--if GPIO_Select = '1' then
if gpio_reg_en = '1' then
-- case ABus_Reg(28 to 29) is -- bit A28,A29 for dual
case ABus_reg_select is -- bit A28,A29 for dual
when "00" => gpio_Data_Select(0) <= '1';
when "01" => gpio_OE_Select(0) <= '1';
when "10" => gpio_Data_Select(1) <= '1';
when "11" => gpio_OE_Select(1) <= '1';
-- coverage off
when others => null;
-- coverage on
end case;
end if;
end process DUAL_REG_SELECT_PROCESS;
---------------------------------------------------------------------------
-- GPIO_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 1 data from Bidirectional GPIO port
-- to GPIO_DATA REGISTER
---------------------------------------------------------------------------
INPUT_DOUBLE_REGS4 : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio_io_i_d2
);
GPIO_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio_io_i_d1 <= GPIO_IO_I;
-- gpio_io_i_d2 <= gpio_io_i_d1;
--if (C_ALL_OUTPUTS = '1') then
-- gpio_Data_In <= gpio_Data_Out;
-- else
gpio_Data_In <= gpio_io_i_d2;
-- end if;
end if;
end process GPIO_INDATA_BIRDIR_PROCESS;
INPUT_DOUBLE_REGS5 : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 0,
C_VECTOR_WIDTH => C_GPIO2_WIDTH,
C_MTBF_STAGES => 4
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => '0',
prmry_vect_in => GPIO2_IO_I,
scndry_aclk => Clk,
scndry_resetn => '0',
scndry_out => open,
scndry_vect_out => gpio2_io_i_d2
);
---------------------------------------------------------------------------
-- GPIO2_INDATA_BIRDIR_PROCESS
---------------------------------------------------------------------------
-- Reading of channel 2 data from Bidirectional GPIO2 port
-- to GPIO2_DATA REGISTER
---------------------------------------------------------------------------
GPIO2_INDATA_BIRDIR_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
-- gpio2_io_i_d1 <= GPIO2_IO_I;
-- gpio2_io_i_d2 <= gpio2_io_i_d1;
-- if (C_ALL_OUTPUTS = '1') then
-- gpio2_Data_In <= gpio2_Data_Out;
-- else
gpio2_Data_In <= gpio2_io_i_d2;
-- end if;
end if;
end process GPIO2_INDATA_BIRDIR_PROCESS;
---------------------------------------------------------------------------
-- GPIO_OUTDATA_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_DATA REGISTER
---------------------------------------------------------------------------
GPIO_OUTDATA_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_Data_Out <= dout_default_i;
elsif gpio_Data_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_Data_Out(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO_OUTDATA_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO_OE_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 1 GPIO_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO_OE_PROCESS : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio_OE <= tri_default_i;
elsif gpio_OE_Select(0) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO_WIDTH-1 loop
gpio_OE(i) <= DBus_Reg(i);
-- end if;
end loop;
end if;
end if;
end process GPIO_OE_PROCESS;
---------------------------------------------------------------------------
-- GPIO2_OUTDATA_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 2 GPIO2_DATA REGISTER
---------------------------------------------------------------------------
GPIO2_OUTDATA_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio2_Data_Out <= dout2_default_i;
elsif gpio_Data_Select(1) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO2_WIDTH-1 loop
gpio2_Data_Out(i) <= DBus_Reg(i);
-- end if;
end loop;
end if;
end if;
end process GPIO2_OUTDATA_PROCESS_0_0;
---------------------------------------------------------------------------
-- GPIO2_OE_PROCESS_0_0
---------------------------------------------------------------------------
-- Writing to Channel 2 GPIO2_TRI Control REGISTER
---------------------------------------------------------------------------
GPIO2_OE_PROCESS_0_0 : process(Clk) is
begin
if Clk = '1' and Clk'EVENT then
if (Rst = '1') then
gpio2_OE <= tri2_default_i;
elsif gpio_OE_Select(1) = '1' and RNW_Reg = '0' then
for i in 0 to C_GPIO2_WIDTH-1 loop
gpio2_OE(i) <= DBus_Reg(i);
end loop;
end if;
end if;
end process GPIO2_OE_PROCESS_0_0;
GPIO_IO_O <= gpio_Data_Out;
GPIO_IO_T <= gpio_OE;
GPIO2_IO_O <= gpio2_Data_Out;
GPIO2_IO_T <= gpio2_OE;
---------------------------------------------------------------------------
-- READ_MUX_PROCESS_0_0
---------------------------------------------------------------------------
-- Selects among Channel 1 GPIO_DATA ,GPIO_TRI and Channel 2 GPIO2_DATA
-- GPIO2_TRI REGISTERS for reading
---------------------------------------------------------------------------
READ_MUX_PROCESS_0_0 : process (gpio2_Data_In, gpio2_OE, gpio_Data_In,
gpio_Data_Select, gpio_OE,
gpio_OE_Select,gpio_Data_Out,gpio2_Data_Out) is
begin
Read_Reg_In <= (others => '0');
Read_Reg2_In <= (others => '0');
if gpio_Data_Select(0) = '1' then
Read_Reg_In <= gpio_Data_In;
--Read_Reg_In <= gpio_Data_In;
elsif gpio_OE_Select(0) = '1' then
Read_Reg_In <= gpio_OE;
elsif gpio_Data_Select(1) = '1' then
Read_Reg2_In <= gpio2_Data_In;
--Read_Reg2_In <= gpio2_Data_In;
--Read_Reg2_In<= gpio2_Data_In;
elsif gpio_OE_Select(1) = '1' then
Read_Reg2_In <= gpio2_OE;
end if;
end process READ_MUX_PROCESS_0_0;
---------------------------------------------------------------------------
-- INTERRUPT IS PRESENT
---------------------------------------------------------------------------
gen_interrupt_dual : if (C_INTERRUPT_PRESENT = 1) generate
gpio_data_in_xor <= gpio_Data_In xor gpio_io_i_d2;
gpio2_data_in_xor <= gpio2_Data_In xor gpio2_io_i_d2;
-------------------------------------------------------------------------
-- An interrupt conditon exists if there is a change any bit.
-------------------------------------------------------------------------
or_ints(0) <= or_reduce(gpio_data_in_xor_reg);
or_ints2(0) <= or_reduce(gpio2_data_in_xor_reg);
-------------------------------------------------------------------------
-- Registering Interrupt condition
-------------------------------------------------------------------------
REGISTER_XORs_INTRs : process (Clk) is
begin
if (Clk'EVENT and Clk = '1') then
if (Rst = '1') then
gpio_data_in_xor_reg <= reset_zeros;
gpio2_data_in_xor_reg <= reset2_zeros;
GPIO_intr <= '0';
GPIO2_intr <= '0';
else
gpio_data_in_xor_reg <= gpio_data_in_xor;
gpio2_data_in_xor_reg <= gpio2_data_in_xor;
GPIO_intr <= or_ints(0);
GPIO2_intr <= or_ints2(0);
end if;
end if;
end process REGISTER_XORs_INTRs;
end generate gen_interrupt_dual;
end generate Dual;
end architecture IMP;
-------------------------------------------------------------------------------
-- AXI_GPIO - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ***************************************************************************
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2009 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_gpio.vhd
-- Version: v2.0
-- Description: General Purpose I/O for AXI Interface
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_gpio.vhd
-- -- axi_lite_ipif.vhd
-- -- interrupt_control.vhd
-- -- gpio_core.vhd
-------------------------------------------------------------------------------
-- Author: KSB
-- History:
-- ~~~~~~~~~~~~~~
-- KSB 07/28/09
-- ^^^^^^^^^^^^^^
-- First version of axi_gpio. Based on xps_gpio 2.00a
--
-- KSB 05/20/10
-- ^^^^^^^^^^^^^^
-- Updated for holes in address range
-- ~~~~~~~~~~~~~~
-- VB 09/23/10
-- ^^^^^^^^^^^^^^
-- Updated for axi_lite_ipfi_v1_01_a
-- ~~~~~~~~~~~~~~
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
use std.textio.all;
-------------------------------------------------------------------------------
-- AXI common package of the proc common library is used for different
-- function declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- axi_gpio_v2_0_15 library is used for axi4 component declarations
-------------------------------------------------------------------------------
library axi_lite_ipif_v3_0_4;
use axi_lite_ipif_v3_0_4.ipif_pkg.calc_num_ce;
use axi_lite_ipif_v3_0_4.ipif_pkg.INTEGER_ARRAY_TYPE;
use axi_lite_ipif_v3_0_4.ipif_pkg.SLV64_ARRAY_TYPE;
-------------------------------------------------------------------------------
-- axi_gpio_v2_0_15 library is used for interrupt controller component
-- declarations
-------------------------------------------------------------------------------
library interrupt_control_v3_1_4;
-------------------------------------------------------------------------------
-- axi_gpio_v2_0_15 library is used for axi_gpio component declarations
-------------------------------------------------------------------------------
library axi_gpio_v2_0_15;
-------------------------------------------------------------------------------
-- Defination of Generics : --
-------------------------------------------------------------------------------
-- AXI generics
-- C_BASEADDR -- Base address of the core
-- C_HIGHADDR -- Permits alias of address space
-- by making greater than xFFF
-- C_S_AXI_ADDR_WIDTH -- Width of AXI Address interface (in bits)
-- C_S_AXI_DATA_WIDTH -- Width of the AXI Data interface (in bits)
-- C_FAMILY -- XILINX FPGA family
-- C_INSTANCE -- Instance name ot the core in the EDK system
-- C_GPIO_WIDTH -- GPIO Data Bus width.
-- C_ALL_INPUTS -- Inputs Only.
-- C_INTERRUPT_PRESENT -- GPIO Interrupt.
-- C_IS_BIDIR -- Selects gpio_io_i as input.
-- C_DOUT_DEFAULT -- GPIO_DATA Register reset value.
-- C_TRI_DEFAULT -- GPIO_TRI Register reset value.
-- C_IS_DUAL -- Dual Channel GPIO.
-- C_ALL_INPUTS_2 -- Channel2 Inputs only.
-- C_IS_BIDIR_2 -- Selects gpio2_io_i as input.
-- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value.
-- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value.
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Defination of Ports --
-------------------------------------------------------------------------------
-- AXI signals
-- s_axi_awaddr -- AXI Write address
-- s_axi_awvalid -- Write address valid
-- s_axi_awready -- Write address ready
-- s_axi_wdata -- Write data
-- s_axi_wstrb -- Write strobes
-- s_axi_wvalid -- Write valid
-- s_axi_wready -- Write ready
-- s_axi_bresp -- Write response
-- s_axi_bvalid -- Write response valid
-- s_axi_bready -- Response ready
-- s_axi_araddr -- Read address
-- s_axi_arvalid -- Read address valid
-- s_axi_arready -- Read address ready
-- s_axi_rdata -- Read data
-- s_axi_rresp -- Read response
-- s_axi_rvalid -- Read valid
-- s_axi_rready -- Read ready
-- GPIO Signals
-- gpio_io_i -- Channel 1 General purpose I/O in port
-- gpio_io_o -- Channel 1 General purpose I/O out port
-- gpio_io_t -- Channel 1 General purpose I/O
-- TRI-STATE control port
-- gpio2_io_i -- Channel 2 General purpose I/O in port
-- gpio2_io_o -- Channel 2 General purpose I/O out port
-- gpio2_io_t -- Channel 2 General purpose I/O
-- TRI-STATE control port
-- System Signals
-- s_axi_aclk -- AXI Clock
-- s_axi_aresetn -- AXI Reset
-- ip2intc_irpt -- AXI GPIO Interrupt
-------------------------------------------------------------------------------
entity axi_gpio is
generic
(
-- -- System Parameter
C_FAMILY : string := "virtex7";
-- -- AXI Parameters
C_S_AXI_ADDR_WIDTH : integer range 9 to 9 := 9;
C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32;
-- -- GPIO Parameter
C_GPIO_WIDTH : integer range 1 to 32 := 32;
C_GPIO2_WIDTH : integer range 1 to 32 := 32;
C_ALL_INPUTS : integer range 0 to 1 := 0;
C_ALL_INPUTS_2 : integer range 0 to 1 := 0;
C_ALL_OUTPUTS : integer range 0 to 1 := 0;--2/28/2013
C_ALL_OUTPUTS_2 : integer range 0 to 1 := 0;--2/28/2013
C_INTERRUPT_PRESENT : integer range 0 to 1 := 0;
C_DOUT_DEFAULT : std_logic_vector (31 downto 0) := X"0000_0000";
C_TRI_DEFAULT : std_logic_vector (31 downto 0) := X"FFFF_FFFF";
C_IS_DUAL : integer range 0 to 1 := 0;
C_DOUT_DEFAULT_2 : std_logic_vector (31 downto 0) := X"0000_0000";
C_TRI_DEFAULT_2 : std_logic_vector (31 downto 0) := X"FFFF_FFFF"
);
port
(
-- AXI interface Signals --------------------------------------------------
s_axi_aclk : in std_logic;
s_axi_aresetn : in std_logic;
s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1
downto 0);
s_axi_awvalid : in std_logic;
s_axi_awready : out std_logic;
s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1
downto 0);
s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1
downto 0);
s_axi_wvalid : in std_logic;
s_axi_wready : out std_logic;
s_axi_bresp : out std_logic_vector(1 downto 0);
s_axi_bvalid : out std_logic;
s_axi_bready : in std_logic;
s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1
downto 0);
s_axi_arvalid : in std_logic;
s_axi_arready : out std_logic;
s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1
downto 0);
s_axi_rresp : out std_logic_vector(1 downto 0);
s_axi_rvalid : out std_logic;
s_axi_rready : in std_logic;
-- Interrupt---------------------------------------------------------------
ip2intc_irpt : out std_logic;
-- GPIO Signals------------------------------------------------------------
gpio_io_i : in std_logic_vector(C_GPIO_WIDTH-1 downto 0);
gpio_io_o : out std_logic_vector(C_GPIO_WIDTH-1 downto 0);
gpio_io_t : out std_logic_vector(C_GPIO_WIDTH-1 downto 0);
gpio2_io_i : in std_logic_vector(C_GPIO2_WIDTH-1 downto 0);
gpio2_io_o : out std_logic_vector(C_GPIO2_WIDTH-1 downto 0);
gpio2_io_t : out std_logic_vector(C_GPIO2_WIDTH-1 downto 0)
);
-------------------------------------------------------------------------------
-- fan-out attributes for XST
-------------------------------------------------------------------------------
attribute MAX_FANOUT : string;
attribute MAX_FANOUT of s_axi_aclk : signal is "10000";
attribute MAX_FANOUT of s_axi_aresetn : signal is "10000";
-------------------------------------------------------------------------------
-- Attributes for MPD file
-------------------------------------------------------------------------------
attribute IP_GROUP : string ;
attribute IP_GROUP of axi_gpio : entity is "LOGICORE";
attribute SIGIS : string ;
attribute SIGIS of s_axi_aclk : signal is "Clk";
attribute SIGIS of s_axi_aresetn : signal is "Rst";
attribute SIGIS of ip2intc_irpt : signal is "INTR_LEVEL_HIGH";
end entity axi_gpio;
-------------------------------------------------------------------------------
-- Architecture Section
-------------------------------------------------------------------------------
architecture imp of axi_gpio is
-- Pragma Added to supress synth warnings
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes";
-------------------------------------------------------------------------------
-- constant added for webtalk information
-------------------------------------------------------------------------------
--function chr(sl: std_logic) return character is
-- variable c: character;
-- begin
-- case sl is
-- when '0' => c:= '0';
-- when '1' => c:= '1';
-- when 'Z' => c:= 'Z';
-- when 'U' => c:= 'U';
-- when 'X' => c:= 'X';
-- when 'W' => c:= 'W';
-- when 'L' => c:= 'L';
-- when 'H' => c:= 'H';
-- when '-' => c:= '-';
-- end case;
-- return c;
-- end chr;
--
--function str(slv: std_logic_vector) return string is
-- variable result : string (1 to slv'length);
-- variable r : integer;
-- begin
-- r := 1;
-- for i in slv'range loop
-- result(r) := chr(slv(i));
-- r := r + 1;
-- end loop;
-- return result;
-- end str;
type bo2na_type is array (boolean) of natural; -- boolean to
--natural conversion
constant bo2na : bo2na_type := (false => 0, true => 1);
-------------------------------------------------------------------------------
-- Function Declarations
-------------------------------------------------------------------------------
type BOOLEAN_ARRAY_TYPE is array(natural range <>) of boolean;
----------------------------------------------------------------------------
-- This function returns the number of elements that are true in
-- a boolean array.
----------------------------------------------------------------------------
function num_set( ba : BOOLEAN_ARRAY_TYPE ) return natural is
variable n : natural := 0;
begin
for i in ba'range loop
n := n + bo2na(ba(i));
end loop;
return n;
end;
----------------------------------------------------------------------------
-- This function returns a num_ce integer array that is constructed by
-- taking only those elements of superset num_ce integer array
-- that will be defined by the current case.
-- The superset num_ce array is given by parameter num_ce_by_ard.
-- The current case the ard elements that will be used is given
-- by parameter defined_ards.
----------------------------------------------------------------------------
function qual_ard_num_ce_array( defined_ards : BOOLEAN_ARRAY_TYPE;
num_ce_by_ard : INTEGER_ARRAY_TYPE
) return INTEGER_ARRAY_TYPE is
variable res : INTEGER_ARRAY_TYPE(num_set(defined_ards)-1 downto 0);
variable i : natural := 0;
variable j : natural := defined_ards'left;
begin
while i /= res'length loop
-- coverage off
while defined_ards(j) = false loop
j := j+1;
end loop;
-- coverage on
res(i) := num_ce_by_ard(j);
i := i+1;
j := j+1;
end loop;
return res;
end;
----------------------------------------------------------------------------
-- This function returns a addr_range array that is constructed by
-- taking only those elements of superset addr_range array
-- that will be defined by the current case.
-- The superset addr_range array is given by parameter addr_range_by_ard.
-- The current case the ard elements that will be used is given
-- by parameter defined_ards.
----------------------------------------------------------------------------
function qual_ard_addr_range_array( defined_ards : BOOLEAN_ARRAY_TYPE;
addr_range_by_ard : SLV64_ARRAY_TYPE
) return SLV64_ARRAY_TYPE is
variable res : SLV64_ARRAY_TYPE(0 to 2*num_set(defined_ards)-1);
variable i : natural := 0;
variable j : natural := defined_ards'left;
begin
while i /= res'length loop
-- coverage off
while defined_ards(j) = false loop
j := j+1;
end loop;
-- coverage on
res(i) := addr_range_by_ard(2*j);
res(i+1) := addr_range_by_ard((2*j)+1);
i := i+2;
j := j+1;
end loop;
return res;
end;
function qual_ard_ce_valid( defined_ards : BOOLEAN_ARRAY_TYPE
) return std_logic_vector is
variable res : std_logic_vector(0 to 31);
begin
res := (others => '0');
if defined_ards(defined_ards'right) then
res(0 to 3) := "1111";
res(12) := '1';
res(13) := '1';
res(15) := '1';
else
res(0 to 3) := "1111";
end if;
return res;
end;
----------------------------------------------------------------------------
-- This function returns the maximum width amongst the two GPIO Channels
-- and if there is only one channel, it returns just the width of that
-- channel.
----------------------------------------------------------------------------
function max_width( dual_channel : INTEGER;
channel1_width : INTEGER;
channel2_width : INTEGER
) return INTEGER is
begin
if (dual_channel = 0) then
return channel1_width;
else
if (channel1_width > channel2_width) then
return channel1_width;
else
return channel2_width;
end if;
end if;
end;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant C_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF";
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) :=
(others => '0');
constant INTR_TYPE : integer := 5;
constant INTR_BASEADDR : std_logic_vector(0 to 31):= X"00000100";
constant INTR_HIGHADDR : std_logic_vector(0 to 31):= X"000001FF";
constant GPIO_HIGHADDR : std_logic_vector(0 to 31):= X"0000000F";
constant MAX_GPIO_WIDTH : integer := max_width
(C_IS_DUAL,C_GPIO_WIDTH,C_GPIO2_WIDTH);
constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
qual_ard_addr_range_array(
(true,C_INTERRUPT_PRESENT=1),
(ZERO_ADDR_PAD & X"00000000",
ZERO_ADDR_PAD & GPIO_HIGHADDR,
ZERO_ADDR_PAD & INTR_BASEADDR,
ZERO_ADDR_PAD & INTR_HIGHADDR
)
);
constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
qual_ard_num_ce_array(
(true,C_INTERRUPT_PRESENT=1),
(4,16)
);
constant ARD_CE_VALID : std_logic_vector(0 to 31) :=
qual_ard_ce_valid(
(true,C_INTERRUPT_PRESENT=1)
);
constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE(0 to 0+bo2na(C_IS_DUAL=1))
:= (others => 5);
constant C_USE_WSTRB : integer := 0;
constant C_DPHASE_TIMEOUT : integer := 8;
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal ip2bus_intrevent : std_logic_vector(0 to 1);
signal GPIO_xferAck_i : std_logic;
signal Bus2IP_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal Bus2IP1_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal Bus2IP2_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
-- IPIC Used Signals
signal ip2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal bus2ip_addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1);
signal bus2ip_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal bus2ip_rnw : std_logic;
signal bus2ip_cs : std_logic_vector(0 to 0 + bo2na
(C_INTERRUPT_PRESENT=1));
signal bus2ip_rdce : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal bus2ip_wrce : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1);
signal Intrpt_bus2ip_rdce : std_logic_vector(0 to 15);
signal Intrpt_bus2ip_wrce : std_logic_vector(0 to 15);
signal intr_wr_ce_or_reduce : std_logic;
signal intr_rd_ce_or_reduce : std_logic;
signal ip2Bus_RdAck_intr_reg_hole : std_logic;
signal ip2Bus_RdAck_intr_reg_hole_d1 : std_logic;
signal ip2Bus_WrAck_intr_reg_hole : std_logic;
signal ip2Bus_WrAck_intr_reg_hole_d1 : std_logic;
signal bus2ip_be : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH / 8) - 1);
signal bus2ip_clk : std_logic;
signal bus2ip_reset : std_logic;
signal bus2ip_resetn : std_logic;
signal intr2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal intr2bus_wrack : std_logic;
signal intr2bus_rdack : std_logic;
signal intr2bus_error : std_logic;
signal ip2bus_data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal ip2bus_data_i_D1 : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1);
signal ip2bus_wrack_i : std_logic;
signal ip2bus_wrack_i_D1 : std_logic;
signal ip2bus_rdack_i : std_logic;
signal ip2bus_rdack_i_D1 : std_logic;
signal ip2bus_error_i : std_logic;
signal IP2INTC_Irpt_i : std_logic;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
begin -- architecture IMP
AXI_LITE_IPIF_I : entity axi_lite_ipif_v3_0_4.axi_lite_ipif
generic map
(
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH,
C_S_AXI_MIN_SIZE => C_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => s_axi_aclk,
S_AXI_ARESETN => s_axi_aresetn,
S_AXI_AWADDR => s_axi_awaddr,
S_AXI_AWVALID => s_axi_awvalid,
S_AXI_AWREADY => s_axi_awready,
S_AXI_WDATA => s_axi_wdata,
S_AXI_WSTRB => s_axi_wstrb,
S_AXI_WVALID => s_axi_wvalid,
S_AXI_WREADY => s_axi_wready,
S_AXI_BRESP => s_axi_bresp,
S_AXI_BVALID => s_axi_bvalid,
S_AXI_BREADY => s_axi_bready,
S_AXI_ARADDR => s_axi_araddr,
S_AXI_ARVALID => s_axi_arvalid,
S_AXI_ARREADY => s_axi_arready,
S_AXI_RDATA => s_axi_rdata,
S_AXI_RRESP => s_axi_rresp,
S_AXI_RVALID => s_axi_rvalid,
S_AXI_RREADY => s_axi_rready,
-- IP Interconnect (IPIC) port signals
Bus2IP_Clk => bus2ip_clk,
Bus2IP_Resetn => bus2ip_resetn,
IP2Bus_Data => ip2bus_data_i_D1,
IP2Bus_WrAck => ip2bus_wrack_i_D1,
IP2Bus_RdAck => ip2bus_rdack_i_D1,
--IP2Bus_WrAck => ip2bus_wrack_i,
--IP2Bus_RdAck => ip2bus_rdack_i,
IP2Bus_Error => ip2bus_error_i,
Bus2IP_Addr => bus2ip_addr,
Bus2IP_Data => bus2ip_data,
Bus2IP_RNW => bus2ip_rnw,
Bus2IP_BE => bus2ip_be,
Bus2IP_CS => bus2ip_cs,
Bus2IP_RdCE => bus2ip_rdce,
Bus2IP_WrCE => bus2ip_wrce
);
ip2bus_data_i <= intr2bus_data or ip2bus_data;
ip2bus_wrack_i <= intr2bus_wrack or
(GPIO_xferAck_i and not(bus2ip_rnw)) or
ip2Bus_WrAck_intr_reg_hole;-- Holes in Address range
ip2bus_rdack_i <= intr2bus_rdack or
(GPIO_xferAck_i and bus2ip_rnw) or
ip2Bus_RdAck_intr_reg_hole; -- Holes in Address range
I_WRACK_RDACK_DELAYS: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (bus2ip_reset = '1') then
ip2bus_wrack_i_D1 <= '0';
ip2bus_rdack_i_D1 <= '0';
ip2bus_data_i_D1 <= (others => '0');
else
ip2bus_wrack_i_D1 <= ip2bus_wrack_i;
ip2bus_rdack_i_D1 <= ip2bus_rdack_i;
ip2bus_data_i_D1 <= ip2bus_data_i;
end if;
end if;
end process I_WRACK_RDACK_DELAYS;
ip2bus_error_i <= intr2bus_error;
----------------------
--REG_RESET_FROM_IPIF: convert active low to active hig reset to rest of
-- the core.
----------------------
REG_RESET_FROM_IPIF: process (s_axi_aclk) is
begin
if(s_axi_aclk'event and s_axi_aclk = '1') then
bus2ip_reset <= not(bus2ip_resetn);
end if;
end process REG_RESET_FROM_IPIF;
---------------------------------------------------------------------------
-- Interrupts
---------------------------------------------------------------------------
INTR_CTRLR_GEN : if (C_INTERRUPT_PRESENT = 1) generate
constant NUM_IPIF_IRPT_SRC : natural := 1;
constant NUM_CE : integer := 16;
signal errack_reserved : std_logic_vector(0 to 1);
signal ipif_lvl_interrupts : std_logic_vector(0 to
NUM_IPIF_IRPT_SRC-1);
begin
ipif_lvl_interrupts <= (others => '0');
errack_reserved <= (others => '0');
--- Addr 0X11c, 0X120, 0X128 valid addresses, remaining are holes
Intrpt_bus2ip_rdce <= "0000000" & bus2ip_rdce(11) & bus2ip_rdce(12) & '0'
& bus2ip_rdce(14) & "00000";
Intrpt_bus2ip_wrce <= "0000000" & bus2ip_wrce(11) & bus2ip_wrce(12) & '0'
& bus2ip_wrce(14) & "00000";
intr_rd_ce_or_reduce <= or_reduce(bus2ip_rdce(4 to 10)) or
Bus2IP_RdCE(13) or
or_reduce(Bus2IP_RdCE(15 to 19));
intr_wr_ce_or_reduce <= or_reduce(bus2ip_wrce(4 to 10)) or
bus2ip_wrce(13) or
or_reduce(bus2ip_wrce(15 to 19));
I_READ_ACK_INTR_HOLES: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (bus2ip_reset = '1') then
ip2Bus_RdAck_intr_reg_hole <= '0';
ip2Bus_RdAck_intr_reg_hole_d1 <= '0';
else
ip2Bus_RdAck_intr_reg_hole_d1 <= intr_rd_ce_or_reduce;
ip2Bus_RdAck_intr_reg_hole <= intr_rd_ce_or_reduce and
(not ip2Bus_RdAck_intr_reg_hole_d1);
end if;
end if;
end process I_READ_ACK_INTR_HOLES;
I_WRITE_ACK_INTR_HOLES: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (bus2ip_reset = '1') then
ip2Bus_WrAck_intr_reg_hole <= '0';
ip2Bus_WrAck_intr_reg_hole_d1 <= '0';
else
ip2Bus_WrAck_intr_reg_hole_d1 <= intr_wr_ce_or_reduce;
ip2Bus_WrAck_intr_reg_hole <= intr_wr_ce_or_reduce and
(not ip2Bus_WrAck_intr_reg_hole_d1);
end if;
end if;
end process I_WRITE_ACK_INTR_HOLES;
INTERRUPT_CONTROL_I : entity interrupt_control_v3_1_4.interrupt_control
generic map
(
C_NUM_CE => NUM_CE,
C_NUM_IPIF_IRPT_SRC => NUM_IPIF_IRPT_SRC,
C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY,
C_INCLUDE_DEV_PENCODER => false,
C_INCLUDE_DEV_ISC => false,
C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH
)
port map
(
-- Inputs From the IPIF Bus
Bus2IP_Clk => Bus2IP_Clk,
Bus2IP_Reset => bus2ip_reset,
Bus2IP_Data => bus2ip_data,
Bus2IP_BE => bus2ip_be,
Interrupt_RdCE => Intrpt_bus2ip_rdce,
Interrupt_WrCE => Intrpt_bus2ip_wrce,
-- Interrupt inputs from the IPIF sources that will
-- get registered in this design
IPIF_Reg_Interrupts => errack_reserved,
-- Level Interrupt inputs from the IPIF sources
IPIF_Lvl_Interrupts => ipif_lvl_interrupts,
-- Inputs from the IP Interface
IP2Bus_IntrEvent => ip2bus_intrevent(IP_INTR_MODE_ARRAY'range),
-- Final Device Interrupt Output
Intr2Bus_DevIntr => IP2INTC_Irpt_i,
-- Status Reply Outputs to the Bus
Intr2Bus_DBus => intr2bus_data,
Intr2Bus_WrAck => intr2bus_wrack,
Intr2Bus_RdAck => intr2bus_rdack,
Intr2Bus_Error => intr2bus_error,
Intr2Bus_Retry => open,
Intr2Bus_ToutSup => open
);
-- registering interrupt
I_INTR_DELAY: process(Bus2IP_Clk) is
begin
if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then
if (bus2ip_reset = '1') then
ip2intc_irpt <= '0';
else
ip2intc_irpt <= IP2INTC_Irpt_i;
end if;
end if;
end process I_INTR_DELAY;
end generate INTR_CTRLR_GEN;
-----------------------------------------------------------------------
-- Assigning the intr2bus signal to zero's when interrupt is not
-- present
-----------------------------------------------------------------------
REMOVE_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate
intr2bus_data <= (others => '0');
ip2intc_irpt <= '0';
intr2bus_error <= '0';
intr2bus_rdack <= '0';
intr2bus_wrack <= '0';
ip2Bus_WrAck_intr_reg_hole <= '0';
ip2Bus_RdAck_intr_reg_hole <= '0';
end generate REMOVE_INTERRUPT;
gpio_core_1 : entity axi_gpio_v2_0_15.gpio_core
generic map
(
C_DW => C_S_AXI_DATA_WIDTH,
C_AW => C_S_AXI_ADDR_WIDTH,
C_GPIO_WIDTH => C_GPIO_WIDTH,
C_GPIO2_WIDTH => C_GPIO2_WIDTH,
C_MAX_GPIO_WIDTH => MAX_GPIO_WIDTH,
C_INTERRUPT_PRESENT => C_INTERRUPT_PRESENT,
C_DOUT_DEFAULT => C_DOUT_DEFAULT,
C_TRI_DEFAULT => C_TRI_DEFAULT,
C_IS_DUAL => C_IS_DUAL,
C_ALL_OUTPUTS => C_ALL_OUTPUTS,
C_ALL_INPUTS => C_ALL_INPUTS,
C_ALL_INPUTS_2 => C_ALL_INPUTS_2,
C_ALL_OUTPUTS_2 => C_ALL_OUTPUTS_2,
C_DOUT_DEFAULT_2 => C_DOUT_DEFAULT_2,
C_TRI_DEFAULT_2 => C_TRI_DEFAULT_2,
C_FAMILY => C_FAMILY
)
port map
(
Clk => Bus2IP_Clk,
Rst => bus2ip_reset,
ABus_Reg => Bus2IP_Addr,
BE_Reg => Bus2IP_BE(0 to C_S_AXI_DATA_WIDTH/8-1),
DBus_Reg => Bus2IP_Data_i(0 to MAX_GPIO_WIDTH-1),
RNW_Reg => Bus2IP_RNW,
GPIO_DBus => IP2Bus_Data(0 to C_S_AXI_DATA_WIDTH-1),
GPIO_xferAck => GPIO_xferAck_i,
GPIO_Select => bus2ip_cs(0),
GPIO_intr => ip2bus_intrevent(0),
GPIO2_intr => ip2bus_intrevent(1),
GPIO_IO_I => gpio_io_i,
GPIO_IO_O => gpio_io_o,
GPIO_IO_T => gpio_io_t,
GPIO2_IO_I => gpio2_io_i,
GPIO2_IO_O => gpio2_io_o,
GPIO2_IO_T => gpio2_io_t
);
Bus2IP_Data_i <= Bus2IP1_Data_i when bus2ip_cs(0) = '1'
and bus2ip_addr (5) = '0'else
Bus2IP2_Data_i;
BUS_CONV_ch1 : for i in 0 to C_GPIO_WIDTH-1 generate
Bus2IP1_Data_i(i) <= Bus2IP_Data(i+
C_S_AXI_DATA_WIDTH-C_GPIO_WIDTH);
end generate BUS_CONV_ch1;
BUS_CONV_ch2 : for i in 0 to C_GPIO2_WIDTH-1 generate
Bus2IP2_Data_i(i) <= Bus2IP_Data(i+
C_S_AXI_DATA_WIDTH-C_GPIO2_WIDTH);
end generate BUS_CONV_ch2;
end architecture imp;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab2/CNN_Optimization/cnn_optimization/solution1_6/impl/ip/tmp.srcs/sources_1/ip/convolve_kernel_ap_fmul_6_max_dsp_32/synth/convolve_kernel_ap_fmul_6_max_dsp_32.vhd
|
3
|
12822
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_4;
USE floating_point_v7_1_4.floating_point_v7_1_4;
ENTITY convolve_kernel_ap_fmul_6_max_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END convolve_kernel_ap_fmul_6_max_dsp_32;
ARCHITECTURE convolve_kernel_ap_fmul_6_max_dsp_32_arch OF convolve_kernel_ap_fmul_6_max_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF convolve_kernel_ap_fmul_6_max_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_4 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_4;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF convolve_kernel_ap_fmul_6_max_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF convolve_kernel_ap_fmul_6_max_dsp_32_arch : ARCHITECTURE IS "convolve_kernel_ap_fmul_6_max_dsp_32,floating_point_v7_1_4,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF convolve_kernel_ap_fmul_6_max_dsp_32_arch: ARCHITECTURE IS "convolve_kernel_ap_fmul_6_max_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FMS=" &
"0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=24,C_B_WIDTH=32,C_B_FRACTION_WIDTH=24,C_C_WIDTH=32,C_C_FRACTION_WIDTH=24,C_RESULT_WIDTH=32,C_RESULT_FRACTION_WIDTH=24,C_COMPARE_OPERATION=8,C_LATENCY=6,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_" &
"THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=32,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_4
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 1,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 24,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 24,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 24,
C_RESULT_WIDTH => 32,
C_RESULT_FRACTION_WIDTH => 24,
C_COMPARE_OPERATION => 8,
C_LATENCY => 6,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 3,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 32,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END convolve_kernel_ap_fmul_6_max_dsp_32_arch;
|
mit
|
kloboves/sicxe
|
vhdl/seg7.vhd
|
1
|
6838
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
-- Data bit connections on display:
--
-- --0--
-- | |
-- 1 2
-- | |
-- --3--
-- | |
-- 4 5
-- | |
-- --6-- [7] (dot)
entity seg7 is
Port (
clock_i : in std_logic;
reset_i : in std_logic;
-- special display modes
error_i : in std_logic;
stop_i : in std_logic;
-- register access
data_i : in std_logic_vector(7 downto 0);
write_mode_i : in std_logic;
write_raw_i : std_logic_vector(3 downto 0);
write_hex_i : std_logic_vector(1 downto 0);
-- display output
cathode_o : out std_logic_vector(7 downto 0);
anode_o : out std_logic_vector(3 downto 0)
);
end seg7;
architecture behavioral of seg7 is
-- registers
signal reg_mode : std_logic_vector(1 downto 0);
signal reg_raw : std_logic_vector(31 downto 0);
signal reg_hex : std_logic_vector(15 downto 0);
-- delay counter
signal delay_counter : std_logic_vector(14 downto 0);
signal delay_counter_done : std_logic;
-- current digit
signal digit_counter : std_logic_vector(1 downto 0);
signal digit_raw : std_logic_vector(7 downto 0);
signal digit_hex : std_logic_vector(3 downto 0);
-- output
signal cathode : std_logic_vector(7 downto 0);
signal anode : std_logic_vector(3 downto 0);
signal cathode_delay1 : std_logic_vector(7 downto 0);
signal anode_delay1 : std_logic_vector(3 downto 0);
begin
-- registers
reg_proc : process(clock_i)
begin
if (rising_edge(clock_i)) then
if (reset_i = '1') then
reg_mode <= (others => '0');
reg_raw <= (others => '0');
reg_hex <= (others => '0');
else
reg_mode <= reg_mode;
reg_raw <= reg_raw;
reg_hex <= reg_hex;
if (write_mode_i = '1') then
reg_mode <= data_i(1 downto 0);
end if;
if (write_raw_i(3) = '1') then
reg_raw(31 downto 24) <= data_i;
end if;
if (write_raw_i(2) = '1') then
reg_raw(23 downto 16) <= data_i;
end if;
if (write_raw_i(1) = '1') then
reg_raw(15 downto 8) <= data_i;
end if;
if (write_raw_i(0) = '1') then
reg_raw(7 downto 0) <= data_i;
end if;
if (write_hex_i(1) = '1') then
reg_hex(15 downto 8) <= data_i;
end if;
if (write_hex_i(0) = '1') then
reg_hex(7 downto 0) <= data_i;
end if;
end if;
end if;
end process;
-- delay counter
delay_counter_proc : process(clock_i)
begin
if (rising_edge(clock_i)) then
if (reset_i = '1') then
delay_counter <= (others => '0');
else
if (delay_counter = "111111111111111") then
delay_counter <= (others => '0');
else
delay_counter <= delay_counter + 1;
end if;
end if;
end if;
end process;
delay_counter_done_proc : process(delay_counter)
begin
if (delay_counter = "111111111111111") then
delay_counter_done <= '1';
else
delay_counter_done <= '0';
end if;
end process;
-- current digit
digit_counter_proc : process(clock_i)
begin
if (rising_edge(clock_i)) then
if (reset_i = '1') then
digit_counter <= (others => '0');
else
if (delay_counter_done = '1') then
digit_counter <= digit_counter + 1;
else
digit_counter <= digit_counter;
end if;
end if;
end if;
end process;
digit_proc : process(digit_counter, reg_raw, reg_hex)
begin
case (digit_counter) is
when "00" =>
digit_raw <= reg_raw(7 downto 0);
digit_hex <= reg_hex(3 downto 0);
when "01" =>
digit_raw <= reg_raw(15 downto 8);
digit_hex <= reg_hex(7 downto 4);
when "10" =>
digit_raw <= reg_raw(23 downto 16);
digit_hex <= reg_hex(11 downto 8);
when "11" =>
digit_raw <= reg_raw(31 downto 24);
digit_hex <= reg_hex(15 downto 12);
when others =>
digit_raw <= (others => '0');
digit_hex <= (others => '0');
end case;
end process;
-- output
cathode_o <= cathode_delay1;
anode_o <= anode_delay1;
delay_proc : process(clock_i)
begin
if (rising_edge(clock_i)) then
if (reset_i = '1') then
cathode_delay1 <= (others => '0');
anode_delay1 <= (others => '1');
else
cathode_delay1 <= cathode;
anode_delay1 <= anode;
end if;
end if;
end process;
anode_proc : process(digit_counter)
begin
case (digit_counter) is
when "00" => anode <= "1110";
when "01" => anode <= "1101";
when "10" => anode <= "1011";
when "11" => anode <= "0111";
when others => anode <= (others => '1');
end case;
end process;
cathode_proc : process(error_i, stop_i, digit_counter, reg_mode, digit_raw, digit_hex)
begin
if (error_i = '1') then
case (digit_counter) is
when "00" => cathode <= "11111111"; -- blank
when "01" => cathode <= "11100111"; -- r
when "10" => cathode <= "11100111"; -- r
when "11" => cathode <= "10100100"; -- E
when others => cathode <= (others => '1');
end case;
elsif (stop_i = '1') then
case (digit_counter) is
when "00" => cathode <= "11100000"; -- P
when "01" => cathode <= "10001000"; -- O
when "10" => cathode <= "10100101"; -- t
when "11" => cathode <= "10010100"; -- S
when others => cathode <= (others => '1');
end case;
else
if (reg_mode = "00") then
cathode <= not digit_raw;
else
if ((reg_mode = "01" and (digit_counter = "10" or digit_counter = "11")) or
(reg_mode = "10" and (digit_counter = "00" or digit_counter = "01"))) then
cathode <= not digit_raw;
else
case digit_hex is
when "0000" => cathode <= "10001000";
when "0001" => cathode <= "11011011";
when "0010" => cathode <= "10100010";
when "0011" => cathode <= "10010010";
when "0100" => cathode <= "11010001";
when "0101" => cathode <= "10010100";
when "0110" => cathode <= "10000100";
when "0111" => cathode <= "11011010";
when "1000" => cathode <= "10000000";
when "1001" => cathode <= "10010000";
when "1010" => cathode <= "11000000";
when "1011" => cathode <= "10000101";
when "1100" => cathode <= "10101100";
when "1101" => cathode <= "10000011";
when "1110" => cathode <= "10100100";
when "1111" => cathode <= "11100100";
when others => cathode <= (others => '1');
end case;
end if;
end if;
end if;
end process;
end behavioral;
|
mit
|
kloboves/sicxe
|
vhdl/gio_device.vhd
|
1
|
4795
|
library ieee;
use ieee.std_logic_1164.all;
entity gio_device is
Port (
clock_i : in std_logic;
reset_i : in std_logic;
-- device access
switches_data_o : out std_logic_vector(7 downto 0);
buttons_data_o : out std_logic_vector(7 downto 0);
event_o : out std_logic;
data_i : in std_logic_vector(7 downto 0);
write_leds_i : std_logic;
-- physical connections
leds_o : out std_logic_vector(7 downto 0);
switches_i : in std_logic_vector(7 downto 0);
buttons_i : in std_logic_vector(1 downto 0)
);
end gio_device;
architecture behavioral of gio_device is
component debouncer
Port (
clock_i : in std_logic;
reset_i : in std_logic;
input_i : in std_logic;
output_o : out std_logic;
change_on_o : out std_logic;
change_off_o : out std_logic
);
end component;
-- leds
signal reg_leds : std_logic_vector(7 downto 0);
-- switches and buttons
signal switches : std_logic_vector(7 downto 0);
signal buttons : std_logic_vector(1 downto 0);
-- events
signal switches_event1 : std_logic_vector(7 downto 0);
signal switches_event2 : std_logic_vector(7 downto 0);
signal buttons_event1 : std_logic_vector(1 downto 0);
signal buttons_event2 : std_logic_vector(1 downto 0);
begin
leds_proc : process(clock_i)
begin
if (rising_edge(clock_i)) then
if (reset_i = '1') then
reg_leds <= (others => '0');
else
if (write_leds_i = '1') then
reg_leds <= data_i;
else
reg_leds <= reg_leds;
end if;
end if;
end if;
end process;
leds_o <= reg_leds;
switches_data_o <= switches;
buttons_data_o <= "000000" & buttons;
event_o <= switches_event1(0) or switches_event1(1) or
switches_event1(2) or switches_event1(3) or
switches_event1(4) or switches_event1(5) or
switches_event1(6) or switches_event1(7) or
switches_event2(0) or switches_event2(1) or
switches_event2(2) or switches_event2(3) or
switches_event2(4) or switches_event2(5) or
switches_event2(6) or switches_event2(7) or
buttons_event1(0) or buttons_event1(1) or
buttons_event2(0) or buttons_event2(1);
sw0_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(0),
output_o => switches(0),
change_on_o => switches_event1(0),
change_off_o => switches_event2(0)
);
sw1_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(1),
output_o => switches(1),
change_on_o => switches_event1(1),
change_off_o => switches_event2(1)
);
sw2_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(2),
output_o => switches(2),
change_on_o => switches_event1(2),
change_off_o => switches_event2(2)
);
sw3_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(3),
output_o => switches(3),
change_on_o => switches_event1(3),
change_off_o => switches_event2(3)
);
sw4_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(4),
output_o => switches(4),
change_on_o => switches_event1(4),
change_off_o => switches_event2(4)
);
sw5_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(5),
output_o => switches(5),
change_on_o => switches_event1(5),
change_off_o => switches_event2(5)
);
sw6_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(6),
output_o => switches(6),
change_on_o => switches_event1(6),
change_off_o => switches_event2(6)
);
sw7_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => switches_i(7),
output_o => switches(7),
change_on_o => switches_event1(7),
change_off_o => switches_event2(7)
);
btn0_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => buttons_i(0),
output_o => buttons(0),
change_on_o => buttons_event1(0),
change_off_o => buttons_event2(0)
);
btn1_debounce_cmpt : debouncer
port map (
clock_i => clock_i,
reset_i => reset_i,
input_i => buttons_i(1),
output_o => buttons(1),
change_on_o => buttons_event1(1),
change_off_o => buttons_event2(1)
);
end behavioral;
|
mit
|
nathdwek/vhdltree
|
test/dummy_project/.vhd
|
7
|
7
|
--test
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/ip_repo/zed_audio_ctrl/zed_audio_ctrl.srcs/sources_1/imports/i2s_audio/slave_attachment.vhd
|
7
|
21369
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
-------------------------------------------------------------------
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: slave_attachment.vhd
-- Version: v1.01.a
-- Description: AXI slave attachment supporting single transfers
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 06/09/10 -- updated to reduce the utilization
-- 1. State machine is re-designed
-- 2. R and B channels are registered and AW, AR, W channels are non-registered
-- 3. Address decoding is done only for the required address bits and not complete
-- 32 bits
-- 4. combined the response signals like ip2bus_error in optimzed code to remove the mux
-- 5. Added local function "clog2" with "integer" as input in place of proc_common_pkg
-- function.
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- access_cs machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common_types.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_IPIF_ABUS_WIDTH -- IPIF Address bus width
-- C_IPIF_DBUS_WIDTH -- IPIF Data Bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_USE_WSTRB -- Use write strobs or not
-- C_DPHASE_TIMEOUT -- Data phase time out counter
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESET -- AXI Reset
-- S_AXI_AWADDR -- AXI Write address
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
-- S_AXI_WDATA -- Write data
-- S_AXI_WSTRB -- Write strobes
-- S_AXI_WVALID -- Write valid
-- S_AXI_WREADY -- Write ready
-- S_AXI_BRESP -- Write response
-- S_AXI_BVALID -- Write response valid
-- S_AXI_BREADY -- Response ready
-- S_AXI_ARADDR -- Read address
-- S_AXI_ARVALID -- Read address valid
-- S_AXI_ARREADY -- Read address ready
-- S_AXI_RDATA -- Read data
-- S_AXI_RRESP -- Read response
-- S_AXI_RVALID -- Read valid
-- S_AXI_RREADY -- Read ready
-- Bus2IP_Clk -- Synchronization clock provided to User IP
-- Bus2IP_Reset -- Active high reset for use by the User IP
-- Bus2IP_Addr -- Desired address of read or write operation
-- Bus2IP_RNW -- Read or write indicator for the transaction
-- Bus2IP_BE -- Byte enables for the data bus
-- Bus2IP_CS -- Chip select for the transcations
-- Bus2IP_RdCE -- Chip enables for the read
-- Bus2IP_WrCE -- Chip enables for the write
-- Bus2IP_Data -- Write data bus to the User IP
-- IP2Bus_Data -- Input Read Data bus from the User IP
-- IP2Bus_WrAck -- Active high Write Data qualifier from the IP
-- IP2Bus_RdAck -- Active high Read Data qualifier from the IP
-- IP2Bus_Error -- Error signal from the IP
-------------------------------------------------------------------------------
entity slave_attachment is
generic (
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE :=
(
X"0000_0000_7000_0000", -- IP user0 base address
X"0000_0000_7000_00FF", -- IP user0 high address
X"0000_0000_7000_0100", -- IP user1 base address
X"0000_0000_7000_01FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
1, -- User0 CE Number
8 -- User1 CE Number
);
C_IPIF_ABUS_WIDTH : integer := 32;
C_IPIF_DBUS_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer range 0 to 512 := 16;
C_FAMILY : string := "virtex6"
);
port(
-- AXI signals
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector
((C_IPIF_DBUS_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- Controls to the IP/IPIF modules
Bus2IP_Clk : out std_logic;
Bus2IP_Resetn : out std_logic;
Bus2IP_Addr : out std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
Bus2IP_RNW : out std_logic;
Bus2IP_BE : out std_logic_vector
(((C_IPIF_DBUS_WIDTH/8) - 1) downto 0);
Bus2IP_CS : out std_logic_vector
(((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2 - 1) downto 0);
Bus2IP_RdCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0);
Bus2IP_WrCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0);
Bus2IP_Data : out std_logic_vector
((C_IPIF_DBUS_WIDTH-1) downto 0);
IP2Bus_Data : in std_logic_vector
((C_IPIF_DBUS_WIDTH-1) downto 0);
IP2Bus_WrAck : in std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_Error : in std_logic
);
end entity slave_attachment;
-------------------------------------------------------------------------------
architecture imp of slave_attachment is
-------------------------------------------------------------------------------
-- Get_Addr_Bits: Function Declarations
-------------------------------------------------------------------------------
function Get_Addr_Bits (y : std_logic_vector(31 downto 0)) return integer is
variable i : integer := 0;
begin
for i in 31 downto 0 loop
if y(i)='1' then
return (i);
end if;
end loop;
return -1;
end function Get_Addr_Bits;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant CS_BUS_SIZE : integer := C_ARD_ADDR_RANGE_ARRAY'length/2;
constant CE_BUS_SIZE : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY);
constant C_ADDR_DECODE_BITS : integer := Get_Addr_Bits(C_S_AXI_MIN_SIZE);
constant C_NUM_DECODE_BITS : integer := C_ADDR_DECODE_BITS +1;
constant ZEROS : std_logic_vector((C_IPIF_ABUS_WIDTH-1) downto
(C_ADDR_DECODE_BITS+1)) := (others=>'0');
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal s_axi_bvalid_i : std_logic:= '0';
signal s_axi_arready_i : std_logic;
signal s_axi_rvalid_i : std_logic:= '0';
signal start : std_logic;
-- Intermediate IPIC signals
signal bus2ip_addr_i : std_logic_vector
((C_IPIF_ABUS_WIDTH-1) downto 0);
signal timeout : std_logic;
signal rd_done,wr_done : std_logic;
signal rst : std_logic;
signal temp_i : std_logic;
type BUS_ACCESS_STATES is (
SM_IDLE,
SM_READ,
SM_WRITE,
SM_RESP
);
signal state : BUS_ACCESS_STATES;
signal cs_for_gaps_i : std_logic;
signal bus2ip_rnw_i : std_logic;
signal s_axi_bresp_i : std_logic_vector(1 downto 0):=(others => '0');
signal s_axi_rresp_i : std_logic_vector(1 downto 0):=(others => '0');
signal s_axi_rdata_i : std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0):=(others => '0');
-------------------------------------------------------------------------------
-- begin the architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Address registered
-------------------------------------------------------------------------------
Bus2IP_Clk <= S_AXI_ACLK;
Bus2IP_Resetn <= S_AXI_ARESETN;
bus2ip_rnw_i <= '1' when S_AXI_ARVALID='1'
else
'0';
BUS2IP_RNW <= bus2ip_rnw_i;
Bus2IP_BE <= S_AXI_WSTRB when ((C_USE_WSTRB = 1) and (bus2ip_rnw_i = '0'))
else
(others => '1');
Bus2IP_Data <= S_AXI_WDATA;
Bus2IP_Addr <= bus2ip_addr_i;
-- For AXI Lite interface, interconnect will duplicate the addresses on both the
-- read and write channel. so onlyone address is used for decoding as well as
-- passing it to IP.
bus2ip_addr_i <= ZEROS & S_AXI_ARADDR(C_ADDR_DECODE_BITS downto 0)
when (S_AXI_ARVALID='1')
else
ZEROS & S_AXI_AWADDR(C_ADDR_DECODE_BITS downto 0);
--------------------------------------------------------------------------------
-- start signal will be used to latch the incoming address
start<= (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID))
when (state = SM_IDLE)
else
'0';
-- x_done signals are used to release the hold from AXI, it will generate "ready"
-- signal on the read and write address channels.
rd_done <= IP2Bus_RdAck or timeout;
wr_done <= IP2Bus_WrAck or timeout;
temp_i <= rd_done or wr_done;
-------------------------------------------------------------------------------
-- Address Decoder Component Instance
--
-- This component decodes the specified base address pairs and outputs the
-- specified number of chip enables and the target bus size.
-------------------------------------------------------------------------------
I_DECODER : entity work.address_decoder
generic map
(
C_BUS_AWIDTH => C_NUM_DECODE_BITS,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_ARD_ADDR_RANGE_ARRAY=> C_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY,
C_FAMILY => "nofamily"
)
port map
(
Bus_clk => S_AXI_ACLK,
Bus_rst => S_AXI_ARESETN,
Address_In_Erly => bus2ip_addr_i(C_ADDR_DECODE_BITS downto 0),
Address_Valid_Erly => start,
Bus_RNW => S_AXI_ARVALID,
Bus_RNW_Erly => S_AXI_ARVALID,
CS_CE_ld_enable => start,
Clear_CS_CE_Reg => temp_i,
RW_CE_ld_enable => start,
CS_for_gaps => open,
-- Decode output signals
CS_Out => Bus2IP_CS,
RdCE_Out => Bus2IP_RdCE,
WrCE_Out => Bus2IP_WrCE
);
-- REGISTERING_RESET_P: Invert the reset coming from AXI
-----------------------
REGISTERING_RESET_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
rst <= not S_AXI_ARESETN;
end if;
end process REGISTERING_RESET_P;
-------------------------------------------------------------------------------
-- AXI Transaction Controller
-------------------------------------------------------------------------------
-- Access_Control: As per suggestion to optimize the core, the below state machine
-- is re-coded. Latches are removed from original suggestions
Access_Control : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if rst = '1' then
state <= SM_IDLE;
else
case state is
when SM_IDLE => if (S_AXI_ARVALID = '1') then -- Read precedence over write
state <= SM_READ;
elsif (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
state <= SM_WRITE;
else
state <= SM_IDLE;
end if;
when SM_READ => if rd_done = '1' then
state <= SM_RESP;
else
state <= SM_READ;
end if;
when SM_WRITE=> if (wr_done = '1') then
state <= SM_RESP;
else
state <= SM_WRITE;
end if;
when SM_RESP => if ((s_axi_bvalid_i and S_AXI_BREADY) or
(s_axi_rvalid_i and S_AXI_RREADY)) = '1' then
state <= SM_IDLE;
else
state <= SM_RESP;
end if;
-- coverage off
when others => state <= SM_IDLE;
-- coverage on
end case;
end if;
end if;
end process Access_Control;
-------------------------------------------------------------------------------
-- AXI Transaction Controller signals registered
-------------------------------------------------------------------------------
-- S_AXI_RDATA_RESP_P : BElow process generates the RRESP and RDATA on AXI
-----------------------
S_AXI_RDATA_RESP_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_rresp_i <= (others => '0');
s_axi_rdata_i <= (others => '0');
elsif state = SM_READ then
s_axi_rresp_i <= (IP2Bus_Error) & '0';
s_axi_rdata_i <= IP2Bus_Data;
end if;
end if;
end process S_AXI_RDATA_RESP_P;
S_AXI_RRESP <= s_axi_rresp_i;
S_AXI_RDATA <= s_axi_rdata_i;
-----------------------------
-- S_AXI_RVALID_I_P : below process generates the RVALID response on read channel
----------------------
S_AXI_RVALID_I_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_rvalid_i <= '0';
elsif ((state = SM_READ) and rd_done = '1') then
s_axi_rvalid_i <= '1';
elsif (S_AXI_RREADY = '1') then
s_axi_rvalid_i <= '0';
end if;
end if;
end process S_AXI_RVALID_I_P;
-- -- S_AXI_BRESP_P: Below process provides logic for write response
-- -----------------
S_AXI_BRESP_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_bresp_i <= (others => '0');
elsif (state = SM_WRITE) then
s_axi_bresp_i <= (IP2Bus_Error) & '0';
end if;
end if;
end process S_AXI_BRESP_P;
S_AXI_BRESP <= s_axi_bresp_i;
--S_AXI_BVALID_I_P: below process provides logic for valid write response signal
-------------------
S_AXI_BVALID_I_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if rst = '1' then
s_axi_bvalid_i <= '0';
elsif ((state = SM_WRITE) and wr_done = '1') then
s_axi_bvalid_i <= '1';
elsif (S_AXI_BREADY = '1') then
s_axi_bvalid_i <= '0';
end if;
end if;
end process S_AXI_BVALID_I_P;
-----------------------------------------------------------------------------
-- INCLUDE_DPHASE_TIMER: Data timeout counter included only when its value is non-zero.
--------------
INCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT /= 0 generate
constant COUNTER_WIDTH : integer := clog2((C_DPHASE_TIMEOUT));
signal dpto_cnt : std_logic_vector (COUNTER_WIDTH downto 0);
-- dpto_cnt is one bit wider then COUNTER_WIDTH, which allows the timeout
-- condition to be captured as a carry into this "extra" bit.
begin
DPTO_CNT_P : process (S_AXI_ACLK) is
begin
if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if ((state = SM_IDLE) or (state = SM_RESP)) then
dpto_cnt <= (others=>'0');
else
dpto_cnt <= dpto_cnt + 1;
end if;
end if;
end process DPTO_CNT_P;
timeout <= dpto_cnt(COUNTER_WIDTH);
end generate INCLUDE_DPHASE_TIMER;
EXCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT = 0 generate
timeout <= '0';
end generate EXCLUDE_DPHASE_TIMER;
-----------------------------------------------------------------------------
S_AXI_BVALID <= s_axi_bvalid_i;
S_AXI_RVALID <= s_axi_rvalid_i;
-----------------------------------------------------------------------------
S_AXI_ARREADY <= rd_done;
S_AXI_AWREADY <= wr_done;
S_AXI_WREADY <= wr_done;
-------------------------------------------------------------------------------
end imp;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/adventures_with_ip/adventures_with_ip.srcs/sources_1/bd/ip_design/ipshared/1288/zed_audio_ctrl.srcs/sources_1/imports/i2s_audio/slave_attachment.vhd
|
7
|
21369
|
-------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. --
-- --
-- This file contains confidential and proprietary information --
-- of Xilinx, Inc. and is protected under U.S. and --
-- international copyright and other intellectual property --
-- laws. --
-- --
-- DISCLAIMER --
-- This disclaimer is not a license and does not grant any --
-- rights to the materials distributed herewith. Except as --
-- otherwise provided in a valid license issued to you by --
-- Xilinx, and to the maximum extent permitted by applicable --
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND --
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES --
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING --
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- --
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and --
-- (2) Xilinx shall not be liable (whether in contract or tort, --
-- including negligence, or under any other theory of --
-- liability) for any loss or damage of any kind or nature --
-- related to, arising under or in connection with these --
-- materials, including for any direct, or any indirect, --
-- special, incidental, or consequential loss or damage --
-- (including loss of data, profits, goodwill, or any type of --
-- loss or damage suffered as a result of any action brought --
-- by a third party) even if such damage or loss was --
-- reasonably foreseeable or Xilinx had been advised of the --
-- possibility of the same. --
-- --
-- CRITICAL APPLICATIONS --
-- Xilinx products are not designed or intended to be fail- --
-- safe, or for use in any application requiring fail-safe --
-- performance, such as life-support or safety devices or --
-- systems, Class III medical devices, nuclear facilities, --
-- applications related to the deployment of airbags, or any --
-- other applications that could lead to death, personal --
-- injury, or severe property or environmental damage --
-- (individually and collectively, "Critical --
-- Applications"). Customer assumes the sole risk and --
-- liability of any use of Xilinx products in Critical --
-- Applications, subject only to applicable laws and --
-- regulations governing limitations on product liability. --
-- --
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS --
-- PART OF THIS FILE AT ALL TIMES. --
-------------------------------------------------------------------
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: slave_attachment.vhd
-- Version: v1.01.a
-- Description: AXI slave attachment supporting single transfers
-------------------------------------------------------------------------------
-- Structure: This section shows the hierarchical structure of axi_lite_ipif.
--
-- --axi_lite_ipif.vhd
-- --slave_attachment.vhd
-- --address_decoder.vhd
-------------------------------------------------------------------------------
-- Author: BSB
--
-- History:
--
-- BSB 05/20/10 -- First version
-- ~~~~~~
-- - Created the first version v1.00.a
-- ^^^^^^
-- ~~~~~~
-- SK 06/09/10 -- updated to reduce the utilization
-- 1. State machine is re-designed
-- 2. R and B channels are registered and AW, AR, W channels are non-registered
-- 3. Address decoding is done only for the required address bits and not complete
-- 32 bits
-- 4. combined the response signals like ip2bus_error in optimzed code to remove the mux
-- 5. Added local function "clog2" with "integer" as input in place of proc_common_pkg
-- function.
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- access_cs machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_misc.all;
use work.common_types.all;
-------------------------------------------------------------------------------
-- Definition of Generics
-------------------------------------------------------------------------------
-- C_IPIF_ABUS_WIDTH -- IPIF Address bus width
-- C_IPIF_DBUS_WIDTH -- IPIF Data Bus width
-- C_S_AXI_MIN_SIZE -- Minimum address range of the IP
-- C_USE_WSTRB -- Use write strobs or not
-- C_DPHASE_TIMEOUT -- Data phase time out counter
-- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range
-- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range
-- C_FAMILY -- Target FPGA family
-------------------------------------------------------------------------------
-- Definition of Ports
-------------------------------------------------------------------------------
-- S_AXI_ACLK -- AXI Clock
-- S_AXI_ARESET -- AXI Reset
-- S_AXI_AWADDR -- AXI Write address
-- S_AXI_AWVALID -- Write address valid
-- S_AXI_AWREADY -- Write address ready
-- S_AXI_WDATA -- Write data
-- S_AXI_WSTRB -- Write strobes
-- S_AXI_WVALID -- Write valid
-- S_AXI_WREADY -- Write ready
-- S_AXI_BRESP -- Write response
-- S_AXI_BVALID -- Write response valid
-- S_AXI_BREADY -- Response ready
-- S_AXI_ARADDR -- Read address
-- S_AXI_ARVALID -- Read address valid
-- S_AXI_ARREADY -- Read address ready
-- S_AXI_RDATA -- Read data
-- S_AXI_RRESP -- Read response
-- S_AXI_RVALID -- Read valid
-- S_AXI_RREADY -- Read ready
-- Bus2IP_Clk -- Synchronization clock provided to User IP
-- Bus2IP_Reset -- Active high reset for use by the User IP
-- Bus2IP_Addr -- Desired address of read or write operation
-- Bus2IP_RNW -- Read or write indicator for the transaction
-- Bus2IP_BE -- Byte enables for the data bus
-- Bus2IP_CS -- Chip select for the transcations
-- Bus2IP_RdCE -- Chip enables for the read
-- Bus2IP_WrCE -- Chip enables for the write
-- Bus2IP_Data -- Write data bus to the User IP
-- IP2Bus_Data -- Input Read Data bus from the User IP
-- IP2Bus_WrAck -- Active high Write Data qualifier from the IP
-- IP2Bus_RdAck -- Active high Read Data qualifier from the IP
-- IP2Bus_Error -- Error signal from the IP
-------------------------------------------------------------------------------
entity slave_attachment is
generic (
C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE :=
(
X"0000_0000_7000_0000", -- IP user0 base address
X"0000_0000_7000_00FF", -- IP user0 high address
X"0000_0000_7000_0100", -- IP user1 base address
X"0000_0000_7000_01FF" -- IP user1 high address
);
C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
1, -- User0 CE Number
8 -- User1 CE Number
);
C_IPIF_ABUS_WIDTH : integer := 32;
C_IPIF_DBUS_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer range 0 to 512 := 16;
C_FAMILY : string := "virtex6"
);
port(
-- AXI signals
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_AWREADY : out std_logic;
S_AXI_WDATA : in std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector
((C_IPIF_DBUS_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_RREADY : in std_logic;
-- Controls to the IP/IPIF modules
Bus2IP_Clk : out std_logic;
Bus2IP_Resetn : out std_logic;
Bus2IP_Addr : out std_logic_vector
(C_IPIF_ABUS_WIDTH-1 downto 0);
Bus2IP_RNW : out std_logic;
Bus2IP_BE : out std_logic_vector
(((C_IPIF_DBUS_WIDTH/8) - 1) downto 0);
Bus2IP_CS : out std_logic_vector
(((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2 - 1) downto 0);
Bus2IP_RdCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0);
Bus2IP_WrCE : out std_logic_vector
((calc_num_ce(C_ARD_NUM_CE_ARRAY) - 1) downto 0);
Bus2IP_Data : out std_logic_vector
((C_IPIF_DBUS_WIDTH-1) downto 0);
IP2Bus_Data : in std_logic_vector
((C_IPIF_DBUS_WIDTH-1) downto 0);
IP2Bus_WrAck : in std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_Error : in std_logic
);
end entity slave_attachment;
-------------------------------------------------------------------------------
architecture imp of slave_attachment is
-------------------------------------------------------------------------------
-- Get_Addr_Bits: Function Declarations
-------------------------------------------------------------------------------
function Get_Addr_Bits (y : std_logic_vector(31 downto 0)) return integer is
variable i : integer := 0;
begin
for i in 31 downto 0 loop
if y(i)='1' then
return (i);
end if;
end loop;
return -1;
end function Get_Addr_Bits;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
constant CS_BUS_SIZE : integer := C_ARD_ADDR_RANGE_ARRAY'length/2;
constant CE_BUS_SIZE : integer := calc_num_ce(C_ARD_NUM_CE_ARRAY);
constant C_ADDR_DECODE_BITS : integer := Get_Addr_Bits(C_S_AXI_MIN_SIZE);
constant C_NUM_DECODE_BITS : integer := C_ADDR_DECODE_BITS +1;
constant ZEROS : std_logic_vector((C_IPIF_ABUS_WIDTH-1) downto
(C_ADDR_DECODE_BITS+1)) := (others=>'0');
-------------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal s_axi_bvalid_i : std_logic:= '0';
signal s_axi_arready_i : std_logic;
signal s_axi_rvalid_i : std_logic:= '0';
signal start : std_logic;
-- Intermediate IPIC signals
signal bus2ip_addr_i : std_logic_vector
((C_IPIF_ABUS_WIDTH-1) downto 0);
signal timeout : std_logic;
signal rd_done,wr_done : std_logic;
signal rst : std_logic;
signal temp_i : std_logic;
type BUS_ACCESS_STATES is (
SM_IDLE,
SM_READ,
SM_WRITE,
SM_RESP
);
signal state : BUS_ACCESS_STATES;
signal cs_for_gaps_i : std_logic;
signal bus2ip_rnw_i : std_logic;
signal s_axi_bresp_i : std_logic_vector(1 downto 0):=(others => '0');
signal s_axi_rresp_i : std_logic_vector(1 downto 0):=(others => '0');
signal s_axi_rdata_i : std_logic_vector
(C_IPIF_DBUS_WIDTH-1 downto 0):=(others => '0');
-------------------------------------------------------------------------------
-- begin the architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Address registered
-------------------------------------------------------------------------------
Bus2IP_Clk <= S_AXI_ACLK;
Bus2IP_Resetn <= S_AXI_ARESETN;
bus2ip_rnw_i <= '1' when S_AXI_ARVALID='1'
else
'0';
BUS2IP_RNW <= bus2ip_rnw_i;
Bus2IP_BE <= S_AXI_WSTRB when ((C_USE_WSTRB = 1) and (bus2ip_rnw_i = '0'))
else
(others => '1');
Bus2IP_Data <= S_AXI_WDATA;
Bus2IP_Addr <= bus2ip_addr_i;
-- For AXI Lite interface, interconnect will duplicate the addresses on both the
-- read and write channel. so onlyone address is used for decoding as well as
-- passing it to IP.
bus2ip_addr_i <= ZEROS & S_AXI_ARADDR(C_ADDR_DECODE_BITS downto 0)
when (S_AXI_ARVALID='1')
else
ZEROS & S_AXI_AWADDR(C_ADDR_DECODE_BITS downto 0);
--------------------------------------------------------------------------------
-- start signal will be used to latch the incoming address
start<= (S_AXI_ARVALID or (S_AXI_AWVALID and S_AXI_WVALID))
when (state = SM_IDLE)
else
'0';
-- x_done signals are used to release the hold from AXI, it will generate "ready"
-- signal on the read and write address channels.
rd_done <= IP2Bus_RdAck or timeout;
wr_done <= IP2Bus_WrAck or timeout;
temp_i <= rd_done or wr_done;
-------------------------------------------------------------------------------
-- Address Decoder Component Instance
--
-- This component decodes the specified base address pairs and outputs the
-- specified number of chip enables and the target bus size.
-------------------------------------------------------------------------------
I_DECODER : entity work.address_decoder
generic map
(
C_BUS_AWIDTH => C_NUM_DECODE_BITS,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_ARD_ADDR_RANGE_ARRAY=> C_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY,
C_FAMILY => "nofamily"
)
port map
(
Bus_clk => S_AXI_ACLK,
Bus_rst => S_AXI_ARESETN,
Address_In_Erly => bus2ip_addr_i(C_ADDR_DECODE_BITS downto 0),
Address_Valid_Erly => start,
Bus_RNW => S_AXI_ARVALID,
Bus_RNW_Erly => S_AXI_ARVALID,
CS_CE_ld_enable => start,
Clear_CS_CE_Reg => temp_i,
RW_CE_ld_enable => start,
CS_for_gaps => open,
-- Decode output signals
CS_Out => Bus2IP_CS,
RdCE_Out => Bus2IP_RdCE,
WrCE_Out => Bus2IP_WrCE
);
-- REGISTERING_RESET_P: Invert the reset coming from AXI
-----------------------
REGISTERING_RESET_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
rst <= not S_AXI_ARESETN;
end if;
end process REGISTERING_RESET_P;
-------------------------------------------------------------------------------
-- AXI Transaction Controller
-------------------------------------------------------------------------------
-- Access_Control: As per suggestion to optimize the core, the below state machine
-- is re-coded. Latches are removed from original suggestions
Access_Control : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if rst = '1' then
state <= SM_IDLE;
else
case state is
when SM_IDLE => if (S_AXI_ARVALID = '1') then -- Read precedence over write
state <= SM_READ;
elsif (S_AXI_AWVALID = '1' and S_AXI_WVALID = '1') then
state <= SM_WRITE;
else
state <= SM_IDLE;
end if;
when SM_READ => if rd_done = '1' then
state <= SM_RESP;
else
state <= SM_READ;
end if;
when SM_WRITE=> if (wr_done = '1') then
state <= SM_RESP;
else
state <= SM_WRITE;
end if;
when SM_RESP => if ((s_axi_bvalid_i and S_AXI_BREADY) or
(s_axi_rvalid_i and S_AXI_RREADY)) = '1' then
state <= SM_IDLE;
else
state <= SM_RESP;
end if;
-- coverage off
when others => state <= SM_IDLE;
-- coverage on
end case;
end if;
end if;
end process Access_Control;
-------------------------------------------------------------------------------
-- AXI Transaction Controller signals registered
-------------------------------------------------------------------------------
-- S_AXI_RDATA_RESP_P : BElow process generates the RRESP and RDATA on AXI
-----------------------
S_AXI_RDATA_RESP_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_rresp_i <= (others => '0');
s_axi_rdata_i <= (others => '0');
elsif state = SM_READ then
s_axi_rresp_i <= (IP2Bus_Error) & '0';
s_axi_rdata_i <= IP2Bus_Data;
end if;
end if;
end process S_AXI_RDATA_RESP_P;
S_AXI_RRESP <= s_axi_rresp_i;
S_AXI_RDATA <= s_axi_rdata_i;
-----------------------------
-- S_AXI_RVALID_I_P : below process generates the RVALID response on read channel
----------------------
S_AXI_RVALID_I_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_rvalid_i <= '0';
elsif ((state = SM_READ) and rd_done = '1') then
s_axi_rvalid_i <= '1';
elsif (S_AXI_RREADY = '1') then
s_axi_rvalid_i <= '0';
end if;
end if;
end process S_AXI_RVALID_I_P;
-- -- S_AXI_BRESP_P: Below process provides logic for write response
-- -----------------
S_AXI_BRESP_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if (rst = '1') then
s_axi_bresp_i <= (others => '0');
elsif (state = SM_WRITE) then
s_axi_bresp_i <= (IP2Bus_Error) & '0';
end if;
end if;
end process S_AXI_BRESP_P;
S_AXI_BRESP <= s_axi_bresp_i;
--S_AXI_BVALID_I_P: below process provides logic for valid write response signal
-------------------
S_AXI_BVALID_I_P : process (S_AXI_ACLK) is
begin
if S_AXI_ACLK'event and S_AXI_ACLK = '1' then
if rst = '1' then
s_axi_bvalid_i <= '0';
elsif ((state = SM_WRITE) and wr_done = '1') then
s_axi_bvalid_i <= '1';
elsif (S_AXI_BREADY = '1') then
s_axi_bvalid_i <= '0';
end if;
end if;
end process S_AXI_BVALID_I_P;
-----------------------------------------------------------------------------
-- INCLUDE_DPHASE_TIMER: Data timeout counter included only when its value is non-zero.
--------------
INCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT /= 0 generate
constant COUNTER_WIDTH : integer := clog2((C_DPHASE_TIMEOUT));
signal dpto_cnt : std_logic_vector (COUNTER_WIDTH downto 0);
-- dpto_cnt is one bit wider then COUNTER_WIDTH, which allows the timeout
-- condition to be captured as a carry into this "extra" bit.
begin
DPTO_CNT_P : process (S_AXI_ACLK) is
begin
if (S_AXI_ACLK'event and S_AXI_ACLK = '1') then
if ((state = SM_IDLE) or (state = SM_RESP)) then
dpto_cnt <= (others=>'0');
else
dpto_cnt <= dpto_cnt + 1;
end if;
end if;
end process DPTO_CNT_P;
timeout <= dpto_cnt(COUNTER_WIDTH);
end generate INCLUDE_DPHASE_TIMER;
EXCLUDE_DPHASE_TIMER: if C_DPHASE_TIMEOUT = 0 generate
timeout <= '0';
end generate EXCLUDE_DPHASE_TIMER;
-----------------------------------------------------------------------------
S_AXI_BVALID <= s_axi_bvalid_i;
S_AXI_RVALID <= s_axi_rvalid_i;
-----------------------------------------------------------------------------
S_AXI_ARREADY <= rd_done;
S_AXI_AWREADY <= wr_done;
S_AXI_WREADY <= wr_done;
-------------------------------------------------------------------------------
end imp;
|
mit
|
VerkhovtsovPavel/BSUIR_Labs
|
Labs/POCP/POCP-6/src/RegFile.vhd
|
2
|
1417
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity RegFile is
generic (
INITREG: std_logic_vector := "0000";
a: integer := 2);
port (
INIT: in std_logic;
WDP: in std_logic_vector(INITREG'range);
WA: in std_logic_vector(a-1 downto 0);
RA: in std_logic_vector(a-1 downto 0);
WE: in std_logic;
RDP: out std_logic_vector(INITREG'range));
end RegFile;
architecture Beh of RegFile is
component RegN is
generic (
initreg: std_logic_vector := "1001");
port (
Din: in std_logic_vector(initreg'range);
EN: in std_logic;
INIT: in std_logic;
CLK: in std_logic;
OE: in std_logic;
Dout: out std_logic_vector(initreg'range));
end component;
signal wen: std_logic_vector(2**a-1 downto 0);
signal ren: std_logic_vector(2**a-1 downto 0);
signal readd: std_logic_vector (initreg'range);
Begin
WAD: process (WA)
begin
for i in 0 to 2**a - 1 loop
if i = conv_integer(WA) then
wen (i) <= '1';
else
wen (i) <= '0';
end if;
end loop;
end process;
RAD: process (RA)
begin
for i in 0 to 2**a - 1 loop
if i = conv_integer(RA) then
ren (i) <= '1';
else
ren (i) <= '0';
end if;
end loop;
end process;
Regi: for i in 2**a - 1 downto 0 generate
Regi: Regn generic map (initreg)
port map (WDP, wen(i), init, we, ren(i), readd);
end generate;
RDP <= readd;
End Beh;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab3/lab3_project_default.xpr/project_1/project_1.ipdefs/ip_0/hdl/vhdl/convolve_kernel_fbkb.vhd
|
1
|
3167
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.2
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity convolve_kernel_fbkb is
generic (
ID : integer := 0;
NUM_STAGE : integer := 5;
din0_WIDTH : integer := 32;
din1_WIDTH : integer := 32;
dout_WIDTH : integer := 32
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of convolve_kernel_fbkb is
--------------------- Component ---------------------
component convolve_kernel_ap_fadd_3_full_dsp_32 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(31 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(31 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(31 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(31 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(31 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(31 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
convolve_kernel_ap_fadd_3_full_dsp_32_u : component convolve_kernel_ap_fadd_3_full_dsp_32
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= din0_buf1;
b_tvalid <= '1';
b_tdata <= din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
|
mit
|
VerkhovtsovPavel/BSUIR_Labs
|
Labs/POCP/POCP-6/src/FIFO.vhd
|
1
|
1506
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity FIFO is
generic(
-- øèíà àäðåñà
m: integer := 2;
-- øèíà äàííûõ
n: integer := 2
);
port (
-- ñèíõðîíèçàöèÿ
CLK: in std_logic;
-- ñèãíàë óïðàâëåíèÿ ÷òåíèåì/çàïèñüþ
WR: in std_logic;
-- äâóíàïðàâëåííàÿ øèíà äàííûõ
DB: inout std_logic_vector (n-1 downto 0);
EMPTY: out std_logic;
FULL: out std_logic
);
end FIFO;
architecture Beh of FIFO is
-- òèï õðàíèìîãî ñëîâà
subtype word is std_logic_vector (n-1 downto 0);
-- íåïîñðåäñòâåííî òèï õðàíèëèùà äàííûõ
type tram is array (0 to 2**m - 1) of word;
signal sRAM: tram;
signal head: integer := 0;
constant Limit: integer := 2 ** m -1;
Begin
SH: process (CLK)
begin
if rising_edge(CLK) then
if (WR = '0') then
if (head <= Limit) then
head <= head + 1;
end if;
elsif (WR = '1') then
if (head > 0) then
head <= head - 1;
end if;
end if;
end if;
if (head = 0) then
empty <= '1';
full <= '0';
elsif (head = Limit + 1) then
empty <= '0';
full <= '1';
else
empty <= '0';
full <= '0';
end if;
end process;
WRP: process (head)
begin
if WR = '0' then
if (head > 0 and head <= Limit + 1) then
sRAM(head - 1) <= DB;
end if;
end if;
end process;
RDP: process(head)
begin
if WR = '1' then
if (head >= 0 and head <= Limit) then
DB <= sRAM (head);
end if;
else
DB <= (others => 'Z');
end if;
end process;
end Beh;
|
mit
|
MarkBlanco/FPGA_Sandbox
|
RecComp/Lab1/my_lab_1/my_lab_1.srcs/sources_1/bd/zqynq_lab_1_design/ip/zqynq_lab_1_design_axi_bram_ctrl_0_bram_0/synth/zqynq_lab_1_design_axi_bram_ctrl_0_bram_0.vhd
|
1
|
15765
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.3
-- IP Revision: 6
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_3_6;
USE blk_mem_gen_v8_3_6.blk_mem_gen_v8_3_6;
ENTITY zqynq_lab_1_design_axi_bram_ctrl_0_bram_0 IS
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END zqynq_lab_1_design_axi_bram_ctrl_0_bram_0;
ARCHITECTURE zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_arch OF zqynq_lab_1_design_axi_bram_ctrl_0_bram_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_3_6 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_USE_URAM : INTEGER;
C_EN_RDADDRA_CHG : INTEGER;
C_EN_RDADDRB_CHG : INTEGER;
C_EN_DEEPSLEEP_PIN : INTEGER;
C_EN_SHUTDOWN_PIN : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
sleep : IN STD_LOGIC;
deepsleep : IN STD_LOGIC;
shutdown : IN STD_LOGIC;
rsta_busy : OUT STD_LOGIC;
rstb_busy : OUT STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_3_6;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_arch: ARCHITECTURE IS "blk_mem_gen_v8_3_6,Vivado 2017.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_arch : ARCHITECTURE IS "zqynq_lab_1_design_axi_bram_ctrl_0_bram_0,blk_mem_gen_v8_3_6,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_arch: ARCHITECTURE IS "zqynq_lab_1_design_axi_bram_ctrl_0_bram_0,blk_mem_gen_v8_3_6,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.3,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=1,C_ENABLE_32BIT_ADDRESS=1,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=2,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=" &
"0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=NONE,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=1,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=16384,C_READ_DEPTH_A=16384,C_ADDRA_WIDTH=32,C_HAS_RSTB=1,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C" &
"_READ_WIDTH_B=32,C_WRITE_DEPTH_B=16384,C_READ_DEPTH_B=16384,C_ADDRB_WIDTH=32,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=" &
"0,C_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=16,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 20.388 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF rsta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF rstb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF web: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dinb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_3_6
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 1,
C_ENABLE_32BIT_ADDRESS => 1,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 2,
C_BYTE_SIZE => 8,
C_ALGORITHM => 1,
C_PRIM_TYPE => 1,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "NONE",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 1,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 1,
C_WEA_WIDTH => 4,
C_WRITE_MODE_A => "WRITE_FIRST",
C_WRITE_WIDTH_A => 32,
C_READ_WIDTH_A => 32,
C_WRITE_DEPTH_A => 16384,
C_READ_DEPTH_A => 16384,
C_ADDRA_WIDTH => 32,
C_HAS_RSTB => 1,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 1,
C_WEB_WIDTH => 4,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 32,
C_READ_WIDTH_B => 32,
C_WRITE_DEPTH_B => 16384,
C_READ_DEPTH_B => 16384,
C_ADDRB_WIDTH => 32,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_USE_URAM => 0,
C_EN_RDADDRA_CHG => 0,
C_EN_RDADDRB_CHG => 0,
C_EN_DEEPSLEEP_PIN => 0,
C_EN_SHUTDOWN_PIN => 0,
C_EN_SAFETY_CKT => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "16",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 20.388 mW"
)
PORT MAP (
clka => clka,
rsta => rsta,
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
douta => douta,
clkb => clkb,
rstb => rstb,
enb => enb,
regceb => '0',
web => web,
addrb => addrb,
dinb => dinb,
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
deepsleep => '0',
shutdown => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END zqynq_lab_1_design_axi_bram_ctrl_0_bram_0_arch;
|
mit
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.